%PDF-1.5 % 24978 0 obj << /E 52499 /H [3131 6536] /L 13771275 /Linearized 1 /N 1943 /O 24981 /T 13271663 >> endobj xref 24978 95 0000000017 00000 n 0000002942 00000 n 0000003131 00000 n 0000009667 00000 n 0000010052 00000 n 0000010220 00000 n 0000010415 00000 n 0000010685 00000 n 0000010858 00000 n 0000011539 00000 n 0000012074 00000 n 0000012344 00000 n 0000012794 00000 n 0000013189 00000 n 0000013464 00000 n 0000013567 00000 n 0000013704 00000 n 0000013775 00000 n 0000013883 00000 n 0000014645 00000 n 0000016229 00000 n 0000023820 00000 n 0000039235 00000 n 0000039475 00000 n 0000039635 00000 n 0000039857 00000 n 0000040037 00000 n 0000040235 00000 n 0000040471 00000 n 0000040629 00000 n 0000040803 00000 n 0000040978 00000 n 0000041139 00000 n 0000041307 00000 n 0000041526 00000 n 0000041696 00000 n 0000041877 00000 n 0000042060 00000 n 0000042249 00000 n 0000042493 00000 n 0000042665 00000 n 0000042841 00000 n 0000043018 00000 n 0000043207 00000 n 0000043392 00000 n 0000043556 00000 n 0000043754 00000 n 0000043976 00000 n 0000044142 00000 n 0000044317 00000 n 0000044564 00000 n 0000044715 00000 n 0000044895 00000 n 0000045069 00000 n 0000045244 00000 n 0000045414 00000 n 0000045584 00000 n 0000045758 00000 n 0000045936 00000 n 0000046121 00000 n 0000046299 00000 n 0000046468 00000 n 0000046645 00000 n 0000046820 00000 n 0000046996 00000 n 0000047177 00000 n 0000047348 00000 n 0000047517 00000 n 0000047686 00000 n 0000047856 00000 n 0000048027 00000 n 0000048215 00000 n 0000048394 00000 n 0000048574 00000 n 0000048748 00000 n 0000048920 00000 n 0000049100 00000 n 0000049274 00000 n 0000049455 00000 n 0000049631 00000 n 0000049809 00000 n 0000049988 00000 n 0000050171 00000 n 0000050348 00000 n 0000050519 00000 n 0000050694 00000 n 0000050866 00000 n 0000051041 00000 n 0000051212 00000 n 0000051393 00000 n 0000051543 00000 n 0000051779 00000 n 0000051941 00000 n 0000052142 00000 n 0000052317 00000 n trailer << /ABCpdf 9116 /ID [<5856030D90CBC74A95F7C7941AB34EC6> <2945A2BF625DA24234EA75F3D088152A>] /Info 24239 0 R /Prev 13271649 /Root 24979 0 R /Size 25073 /Source (WeJXFxNO4fJduyUMetTcP9+oaONfINN4+d7Y5b/KFkg2zAquHnX/dOQQD0sux1p5B9khgm8VtCFmyd8gIrwOjQRAIjPsWhM4vgMCV\ 8KvVF/K8lfmsM49eZ7zWXq1VmgaHqxefJhtxx0BGEg=) >> startxref 0 %%EOF 24979 0 obj << /Lang (en-US) /Names 24196 0 R /OpenAction [24981 0 R /Fit] /Outlines 23505 0 R /PageLayout /SinglePage /PageMode /UseOutlines /Pages 20883 0 R /Type /Catalog >> endobj 24980 0 obj << /Filter /FlateDecode /Length 6442 /S 31124 >> stream xymeUs>{~p"$ !2$*2 f SȐ`Z6 ^@(("-SQP ,KeJuޱ{Ϲ{g]Z]ku%Ε-;m;#`On7fُeSwqc?ށgO({dvz@4#u6gIw.9Z^yLam) t~{};;^^=}fڹcw~B~$esk(#ěsO8y~,;~.~}%_ZsKa^L2Z[#Vƾ^!>qB[_l[&m1},&A{/~ ;^Ɛ,B{nS"ۄԜ[ފz~0Vk+o S,Յȭ8.6/E\bo=rnp)x[澥9σ{6^˲c8T.ጎ[oxl~e[O6r[]"߱kn}x`Y9{ Ų>pDž?Xp޷2 -ڮ[rnum(e|gX۹8ytɻr;Vy.84zn}q?lbHz]]p>^<1rk+g:#1G>±uт[)a?KVǝ0rn]<5 ܳƾơ}zaQj]եz[ &=9΢ |ǹuOl4x6q];cl, kEvs~(h[.-1=]EKچ i;0>;{2;~_snps}1m4QχfWOĵ}{G6otnhs̹Cp|{,cs{2I/ۘ+?>q[q'Ϟ[,_\NhxwmsH[w.W3[3?~B,̭d.79ҮܝY2Z?1رwdGn>r9DSp}&rnλsFdkv/?tS1_]dbrۧYxدژsdGWrX%V|U1ڼ 9Og!yG&_LwBO>8ƑɃ c^o y\oK1wpbyA ;6oA_'avt\=xrs"G˸"?oG[*NߥkMxV_ýcC-PTgŐ[d`9Ͽ̜g袕Vv7mm7(ډ}^xߏsGpnctTqĖ}a?q73{'ٷ9}Gbn+N_+;y1PeaK0"%XMOկaǛxkڶ98 cp0?=T?v*ުz\+I[x£kW\e.%e?OrZy~۶ wNG܃5f21 \ cM%v̈́d SǑ<[&=wW˞*.>XEŲ݃W̷C%,ypk WIO*pVJ'-ć8-3K015xPhƌ\-y4Ŏ@Tǚ-c_3y*n R"까 Tc$w#xBF?x= >ܫ,vU|DQo{-j Ӡi\ k&bƋ~h0^7uK`A:H5\\c![_c2t ^ W1ޤEh鿬wp܎i4M4v%!KLpP'vĤo8 \i zSf* (SzX&Jl+pg-lW#q ?Mw-B̕"A՞jCknj|9YyFLmcؿb ď6";h8sii/mU`_`"Gi6+5dQ3ǒ|O3 =?Scv >kt17 _ix>8*Юf5JľpQ+ f1)q.ߩ83Z.jo7~>s+s|cqA+حGcM3P6U_0l8=m I[?rVtH ԒWp﹊'hyVV}ӎ>5?^m\V@J|F/^5zW2fjtط_j ؞ߪ_2_lI [dy Zrz͉ėk_3[7bŭ>߂^'9Ok!_oȷw>{os E5TܯߪK|5\⯹4j">/URbJMRTPcU[5Ăܶ7^r0DRrIt柒Hn忡i.[x&W4VʃZ7xd.:B?s'_"b~}js<I"5>"*9]f;j[) b$w`XWos](NTNUiz1O\o^y|ݼZ59J#O[YT]˱_kX1/M5Ǖ&׼#K55-OI^}oď+_m$k z\f3_ݠu.E\K ^jug"y |>i2"ZޘNԄ1}5p|[]^OE[JX5oJ_)qjv[е'G`,@|uu !6UhlrzO]ki%g<=8!Jށ^s8'*?tHpՂ)&o7TKbbz\ӭm9V &ӛilJ~g,-8*෈ZZk w|KN%͡5wvK/R16R+tڈ?#"<{uI"u+בh3k!lrpPN,_ĺȺOxbM -5K5Ư?a޲&5|/WI.-G3rV֨dILɰ_I;"ֲrįT?5f3rj'DbO̩3fRk[j j;tU&pDs3ėؾxOەع5RY yʣ~[3lھ@AZ+GWIh=UޮKN֣Ďsr9z-i軸E$<] bXs6#JTֈЂ½E'v%wM?75ktCִ%&]-Kj=u ftNA.ۼOޠ:N^i4${ %"4DԪsJ{YNĦaⵒ`]ߋV.ă9s*GirYS5.-\mf>{RrsNUs//:}Wq^ȺDF.Oދ8 :~\4V՘ yA5gs8;Ds83eS踹Q5SmeȺd7Qkr^]ׂf+O'b=Nw*sk_lYK0_kp6v}\b)؍|@Fpzj:O.XWk e}ϯ5*'QSNˊԗXjZ: 9sh\+!nu~-y/rgzUA<K})5ɡf?G*6˴u[~eM Ԛ|6Q?uåϊQc53t,a%D*|h K!\!k+6*z9]E x$e]"}^~(ۺJUg*>$5ձ𡬅J@?pM"y˚e{r}U`v ⛞8zɞ]ބh_:f-)xʿR_V"׮Eo{?n_J$1 |ߜ۩SJŤԛ5sZW 4|BbGcKfmu͢ Jjll6N`]ߛLw֪5eU~KdCr#k41JydQ򊚱S3WsYC ժY>p6{ޑɊ'ٝ]pI,ŕzC?&9&Nȹ #u#s`ȁn#~qj#Y؞z;GNWoYZ5G/pci endstream endobj 24981 0 obj << /Annots [24983 0 R 24984 0 R] /BleedBox [0 0 612 792] /Contents [24997 0 R 24994 0 R 24996 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F1 24985 0 R /Fabc35990 24995 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Type /Page >> endobj 24982 0 obj << /BaseFont /EFETTR+Lato-Bold /DescendantFonts [24986 0 R] /Encoding /Identity-H /Name /F0 /Subtype /Type0 /ToUnicode 24987 0 R /Type /Font >> endobj 24983 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents ( ) /M (D:20211013062921-08'00') /Rect [367.2 80.005 540 115.9] /Subtype /Link /Type /Annot >> endobj 24984 0 obj << /A << /S /URI /URI (https://www.xilinx.com/bin/public/docSeeAllVersions?productType=DesignTools&documentId=UG835) >> /Border [0 0 0] /Contents ( ) /M (D:20211013062921-08'00') /Rect [443.1038 716.9005 540 745.9] /Subtype /Link /Type /Annot >> endobj 24985 0 obj << /BaseFont /EFETTR+Roboto-Regular /DescendantFonts [24989 0 R] /Encoding /Identity-H /Name /F1 /Subtype /Type0 /ToUnicode 24990 0 R /Type /Font >> endobj 24986 0 obj << /BaseFont /EFETTR+Lato-Bold /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 24988 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [243 695] 6 [659 662] 9 [761] 11 [575] 13 [566 726 771] 17 [297] 21 [520] 24 [945 771] 27 [808] 30 [619] 33 [643 549] 36 [600] 38 [743] 40 [695] 42 [671] 45 [508] 48 [568 482] 55 [568] 59 [534] 61 [359] 93 [528] 95 [564] 98 [254] 104 [535] 108 [838 564] 111 [575] 114 [568] 117 [373 440] 124 [372] 134 [564] 136 [528 803 522 528] 308 [281] 311 [234] 313 [258 238] 330 [373] 336 337 275 399 402 580 404 [580] 458 [1000] 1140 [249] 1142 [580] 1363 [125]] >> endobj 24987 0 obj << /Filter /FlateDecode /Length 451 >> stream xUݎ0y _ƆH(6R./"5r/sU#cԜaQ8u簨01ܧGz aTڨ~薍pvvVI>?Kˤ*K|OKYc0^bc[Uk;koAݯ-ԇv!5*[*sU͉u|v~1y`V ׄ '5`Gp@#UQ)( g:P" T3k@.V00h M@n&oz+ '4,b,& E 2`u@u>_[7 燶d(4g4Z9 ` :c0x^y47ۙ)rA*!_6TDK;AEpb],D>eٯ1+%jR cye7 endstream endobj 24988 0 obj << /Ascent 987 /AvgWidth 563 /CIDSet 24993 0 R /CapHeight 987 /Descent -213 /Flags 4 /FontBBox [-603 -285 1344 1100] /FontFile2 24999 0 R /FontName /EFETTR+Lato-Bold /FontWeight 700 /ItalicAngle 0 /MaxWidth 1947 /StemV 0 /Type /FontDescriptor >> endobj 24989 0 obj << /BaseFont /EFETTR+Roboto-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 652 /FontDescriptor 24991 0 R /Subtype /CIDFontType2 /Type /Font /W [4 [248] 17 [276] 19 [412 562] 21 22 562 24 26 562 29 [562] 38 [623 651] 45 [272] 50 [713 688 631] 54 [616 593 597] 60 [627] 69 [544] 71 [523 564 530 347 561 551 243] 79 [507 243 876 552 570] 86 [338 516 327 551 484]] >> endobj 24990 0 obj << /Filter /FlateDecode /Length 311 >> stream xUj@ E -[gJ!Ҥ,8c95al/IKd w?3Nv87v3BM_;}'!9NwGC<;= 0vuSϟysY-C ^IU! 6Q)M|>ZCdR AȠ2tn,'(Tdk)аT2Ҏ]2q|^Z'Y$J=LZx"y-XʋB,HF\`fy|:@gqOc(w& endstream endobj 24991 0 obj << /Ascent 950 /AvgWidth 565 /CIDSet 24992 0 R /CapHeight 950 /Descent -250 /Flags 4 /FontBBox [-737 -271 1148 1056] /FontFile2 24998 0 R /FontName /EFETTR+Roboto-Regular /FontWeight 400 /ItalicAngle 0 /MaxWidth 1885 /StemV 0 /Type /FontDescriptor >> endobj 24992 0 obj << /Filter /FlateDecode /Length 20 >> stream x`{b+j endstream endobj 24993 0 obj << /Filter /FlateDecode /Length 54 >> stream xr)kAV^5N XgV`#'0H{_ endstream endobj 24994 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 24995 0 obj << /BaseFont /Times-Roman /Encoding /WinAnsiEncoding /Subtype /Type1 /Type /Font >> endobj 24996 0 obj << /Length 699 >> stream q BT 0 0 0 rg /Fabc35990 10 Tf 1 0 0 1 72 144 Tm (Xilinx is creating an environment where employees, customers, and) Tj 0 -10 Td (partners feel welcome and included. To that end, we\222re removing non-) Tj 0 -10 Td (inclusive language from our products and related collateral. We\222ve) Tj 0 -10 Td (launched an internal initiative to remove language that could exclude) Tj 0 -10 Td (people or reinforce historical biases, including terms embedded in our) Tj 0 -10 Td (software and IPs. You may still find examples of non-inclusive) Tj 0 -10 Td (language in our older products as we work to make these changes and) Tj 0 -10 Td (align with evolving industry standards.) Tj ET Q endstream endobj 24997 0 obj << /Filter /FlateDecode /Length 1499 >> stream xڕWMo7 W\`T[b')[==M`] FXP)E}V6~ǬACc?Do[>OjWL-IJ9iy5|K'0rj:uw*K';K!CC?SSP*kt~bNgO7'Aٓ zse˰@RBe%yj(.1Hd/t2l%[޶g%U{OA1 ~ KA kXS0ؖ@_TvhM_?ktYDB0 n-Ґɸb-0QyR.&STW%(rA.fS?k[j/[QKx">3C>u"zJ\L|?WW&Th!NMKz6s8q'[6U7 6M'cfsdbC\W&Ȫ ;/VHv &_K BU|.; M`(%u c̏ A2I|[vzYM/QG;Wq|2S1P]TmOzͰC'@<}׾]KW%S3y?VͬS׳wi y' WS\st氋88(2 VvqWV.,u;̶Ec=SO`d',9ic!˦&|% _bjt][*|OZIJ ho&s/N}`3  !x1&p|SVG;[KقT!C{=spKr2~+ ct9_5by ;7ܯ4Ȇ19n1^1q }qnuِ@6]TXDFɛ;I#D+zMfጵ'#ۧKqu3rÊ94\)K?̥7V`K3cOY2y -KɟPM*nWE|-,K;~諺> stream x[w`պ̙THd $@40"Rl  U0&Q*@RU/\kvݸyoΙs^fBRm~YU0*hiL[X#4f_x1fUKf'5%pE vI/xm;fϵ+FvQI2,Qh0Kv),۹])6Oo-m2юR8%^Cpq;BN:TAV%ZrRS&[L\vV^{OSfi+W<*CQ#v'`8g?5>|d70 \Z KDYr Y e:`uPi));6Ŗbn3N<s/bWUKD)'[kh :Ï 3? `V') L΄Y͔ fí[-ΘMVGڶv56JMˎ&$i2O~9~m_:[;#o/[ؼ֙BRHsR A]7pA@"6R(cStn#rs\HW{7C1SON6A{ßJ1{^͹zaqGv[˪5)5bGUZk3 D9 ZX- u*춎P3)]Sss?X+i)yy>S;?]4蒷K?p/6Kgۡ[m=g}[J^kf|g 2fk[Wo6>y] 8JXVwǓ4Lgdj̑0k;;6p>') ü\kQj7M+¸h`w,l8̚ί*0>GޚnYxRr3m?aDxLXԇG#qmP#V9*X FB<%l9Q a+1Q2~h\0ְ=Y# l|ĝr7׶ik e`l$~N蓼O(cMq߱H_jVi c>9u拭kV,ޢ.-[4ҥ5h(Ӭ8]R~5DՋ.+Wd "J2@qX݄X*o,떰܏7)=/kgKOf+ÔK._̈́WMSEi-N] źo8;#hLMQ/H4pDzC6."0lsR\`W~ȮOlb3Ҹeq}Ց=aGǻ^0K>6%`ƹgvH4b̛0Th/\;220ZZomBZh)J$~qun#& LBĄ>XQ%=Ku龩FZ1YE0CRR6Fsc3}A]qq7U:T>U2sY{QjAs]-[ɀnu$,2'܌ֺ, \{zP> fRpzK Ը=5M7Mx[L|r)"ŵ,zwj#zlơ3<%e´^YY}h=*8ӈxj=C2xnLMlY Tz7[j)c9mNP! [p)td8=ڌc~b\vn{Vm޴dࡻYm?~Ҹ?rp=HJѮf{ AXT=]&"(gismٶ %7^8Z{`c7^/m)9759B%7XɹJJYίe ?AʱYY$ ),뺄̽o$ uP\RϚ=My*.k:CgMMUz~l\~EN/=\=87iC\?6}]a\2=:箂gE,#:ǂQȸ:$W?[6 lyBgAF *ѵ00Q"'ЈkHC9$3w_~>_QJ˸T\!G6O2j*2Hv,b|^"ejbM񍃭V>#\A $򲓣S(=v Rkظ55*c;Wy{^ݺL 2R0#H, VX! N] Tˊћ55oСÄ<%ipǎ2#a4=H  7*ƥ̢v09TF㻝w2RVߧCBPR8tpZMHc;{gTgb n@mpݛ|, 2ZIϒQ{D {1$cUb01HYD-" _YE*r|m85) =徻kxziSR]|'/w,XN>HI*+^h 7bv>#[d)4^D kM.:RxR D! E#K3d޿*>a~l|wUVإm7ʌSFk,eGp}WfJ .Y'3B#|qYBXWRJUv٫y.޴M<ޚ/aoQ [*a ȿ]# ɦEH"]dRjplfߪkaWUl|ࢇGMb_wfkS-`IZG zݵكy/EC'|Y(<~REa(Uh<=EBʋ4[iEYqzLNÔ4sD`}04J8l &+Trj;) 2u,47A;(U7ȵz4mfЃ|(Uh `^Es4H?8R9-Phm-MӠ1hN BNA^{I;韸vP>b?@[4 =#b^N]%ЇxzvAk +F9[QKSh^J^ *OKWԙT=6idd 诚_:V|)~t}LTy)OKv^M4tө qPV1^-6*u{Hj2"sKT>$B\o*x nwBl#ڲ*j[9CcRG5C+h2zm0gi7uUF@!b5yjqL@Ijz<2|đ(7V|%kP7%)kΧiJ wi>~Pdvc?y|1|Uu87S\"(]I3^oBlbΥ|qt"]Sz``$lOpޟRXq 4Wn~C!gT{1.T% 4R;x/ڪOScxX]O勉6 "tm b"ӝ,Ӧawn!!nΠ2z!4t z6&|?F3C6 {`ٴ>Rk{+wʴ<;L'|FwbwETMbZ߅qs'hgvT@ `&`=`>`8`{*ܳy3*C#.k,:r6Wan QzDMY;"sk}$9gP2 <5sEY^ Y[d o/wKzSk -EM*pK >  ـ\bd}>4u$PwO}{^5TX֨^χGʚubiCm1H}CW,jrQ z>E*RɃIz^ b,| H o>xY]7A@1A0x?I-:Tb C }"A@rC}>| sIa>RiޡF<=ߗ ߏ zRǢ7j&Yw=Mѻ_B{(k~A/j:sg]d(^ 5CLk-=Ye$@-~ۖ諛:K$G|C.75皹X ?D[Rk _aD^4C<׵_xlgO/ _@QOZRbAO#NnE ۖv'l+>Y?G؋?7Ho WaC"qrvȒgY4,wxuϷ^_1Twu~]Ǿ^A\<{bޟ D}^뭋^@-rXk˺3ezgOUfc_ KAΫ8ڥxQAP6v)dCm.86gy}Nm8RoQkdmnn~ļ5Ke坐Fr"-A\YwK(? ]R\9WȎ*<fUDǬF6q%ecϝ^j9Mu ┙zS8UqSR.ޘ.ޘ.ޘl") .N8yĩs<\"Nxf]z endstream endobj 24999 0 obj << /Filter /FlateDecode /Length 15314 /Length1 38945 >> stream x} |TEu'!KgO:Kw:{w'!! Y' QQqqtpw0sC}8ySf4Uv6@{_?sN:uꜺ@Bh'T0uF~5O흛7*{NĒPekI"IK>Lz+Qc˗tt_;@T5j-{QN_fօSd ʯ\|!Ѳ({tlA٠u۸WB(O Kr,"HݪFx=N\$)$'Iďm:lD>Ʈ1fوΟ)z|?>w7 al?Ө1@>:Lݴ[Z=$(uڍCU<@q.!t{m(W(snt%KZl#6ɫ͘cf/2:LVKm^q>Bjګ^ڗe>U2>͂k:k;}6oKKiڼeC5Z{kJ խ _^3ZZ{0}^m݁y5mD?CC倴jTcLUzH wT!P`֎`F{"5<5 bآno4riGiGiGiGcidWOOs\.tيEcugvvy=>&6*GYO!``B;bW^\[]PNbJ(6Ög/DٰoDDVuEbL,$ޠ}yr*xl*hT6o;_iJ6f}Tʔ۪ 4Ú:fRjvH7RM{+ttTaE۠t%fG=Ё1.$wNS!{ *Z#L )e`CiQ TjfwL٪"0$J3[l9FRWi13 jSηC LeaRalcX[W{Rf[>/Amo3x0`1}qbw:Zt\-F-EĥV걕mBvd xf&jZ58M29 4<3,N3ٜgpi4Z:5)bC0}] #5Y%FbR&Uk&  Y v29e1iY]cN򏼡IaIwpw9/R9Z>{߇D{/.Să2\(L1&Fu,+̌Cuj(;36'.'4&4:8 UREze;8ת(]kַYҵh[{5ɈÔϵ( ɩR#hRd*th-*#IZ7q2Mqgb %Eq0;O1UIUL$ֲc1~ۗbms;nmҶ{p,eٸfX,}T%!%R۞nTbNJ%>/]b^(ՓXL@El{dX:*YtAn#:4US|>gaꡯJ[֒6{mЕJ4hc9h=&BO M2$K6TR-f9O?T}Sf=3MR%&0dFݪ2lH>:ؘseL)Ӝ,-d WJY\=kJdzR}髑13$XȘH.PلW+*2;W=$#{?զgd{xY U=m`"KYXK]  X1 >ʒ"}%+^̄d -s&֡xZٹك`TĊd4e_w>vgY؝kO=]lf^Z%~,uE -v>nHW#+zn+wOiƸ`0 0'fU (c/ +mi\ې>im/_ξ+߾eu.v]zv}KV<'smc0N%";T5It.٤0aHc( ҳ0k lSP0ivko|@E]MKNFo_qNҜ芔ʬ_v\H{U=4n.YBy8Tk6&)؟0L"lϫj<7;ÑHӰ؄g_`-w^Sfiies/M-0Y=|%a`" TlW55+)/SXwWvWJعçmȂQtT(F ,A hϐȦHҟ\0Bg+3X{Yx yqm{[_3.]4Eݓr#Mߑֹu bƅoX}G~ {jب$ aO]=f\VV)o;l.eJ6O5* LYlXE311;DjgjᅭsgRaQI !!8$F3O >$K'-}%$"&u4R\.RByCRjl򦴴bk6ifR쟝p|3+σrBpje{ q823#IPj9&:oDܞVL*߇̒nZu]ku}}Eݎ̐جƖyֶ+^~S+G$ê5>LZyGŊm5:ӣ&W%˕:IHmRrFt[?jƅq[:Fk+xIVCJz[$Y:),NBdȄEHtB t3 a*t`Zc)bs;KyҺWL\YPu%%U,R]%'4 .͙s{Lxh]Olڵ-QiSc! ~$?%ߩDVy]0W𵹦3lR9[][:!A=AֵMX<^XQP>1}ٚEh7>X'E%n:}w#9nn{_ܱ٫Za_bE+<"xl Rn'2K&;まl0fa6ӳӄQX #H򲹞)W>0:4ઙW.)tǒ ޢYnT(}]Z^fZI,X1~4.xzb*Zb23bY8VsAɌ*ϵ%;n.6qR,'i%ͭW.ua%Hʟ\^k<dR8/Ek `|4I\ˮbȈ5c `kS9sU;ݕ;f:vMsH2/<&(xg\PZ͒^d; h '-l %q7V'IV`f6/oG&y#5(Q>̺xoy/H_0 ϖ . vP*_JV Xp ǔ{ȌDljq']jӫf,)V,뚐UqRf-8Yݼ ess PMiMRb*69ʝw$%3&XUk~ZTLF o`v&&J4nZ\<#9aSw^pKoW"p56{a/m=]w=}L_ xB"e _3[ #A.@"ȥýA܏Gegd#NlHff7rlp<&:ǫg^cO{1%}Bŏ9}i~~ HUv`lFbd7" L~ i=V|tRE}IINtFQI9,k3STeԣnON^E:q*:9* D7pN /xɂ)!)qq9% e9QΉ͛,vʇc#B 4-g|kUyEQiyօĔĘ4w|z9koUT_1R@41Ra.Kn Nt'曘8Ne=yb%[c"-ᡚBi,͌ee'=<؛^":1jRN,?'.5.2($Xreā=ҸV;{$dTHHVw^`l&aL5Y2*392mݤ`wbV5jZ[4]IB@t\/Ӑw=eQ,$DBxv e,Ga NvÚ!t!z߄!n< ?;G0XClne.>hpz@4&K~b:]:{1 3u.2gq 1'5/>\XK3 = XHF4 (!Hby |fIMw46 O޷ 7.XJ(Xq R :vv)}d:++ȉ 5sŪ5"ggJܒf M riX])E!z= hP\V(,6O((1[,E eMf^>=g!{/zteߦ ?qc+qoYo9ˌ2s%ηⷾ%eh/E[^1'xbto /ңW$J|Y72?T^K}*qҞ+FO_5'}3C/Ҋ|Oz$(\ƒ!-$!>͑&1C+4.$4KR2.ǥtvKщ(=9kO 谁!d刳X9cj~&k=51ݐc=ɖXRB}Z% ;nݗfga>.G ` x4Oj& 4>i3&.Gg|%Ϳ 7E7g@C*?$)*E?F>J3,ߚXѷec؏..5!$4޴"qZtx-60059ZC1P'?2잰Ȭ2[jYN||NY,'N=¼/~xTR˲4;..TlJ)IŜHOոL.*t|͑!jɈ,egD%(2<mpeEk+r~Z ,L66he a)E-hHy:!c|<ä7;.f+b+ʲ!)FؗVyhi&b$kB اZ֩hT+"Fƥ`-=N|W/#a&K,_ S*ؐX+89cSSJ'f[J. }]6WO]9D?3nPˎ-ZV>fj5]b[oZвMG…Tc.s1?GFX$HxɃ>httA~^fz|l{56bt| V0.uKv}k[gq6Y"/[k6,r5V`ȥJFSVL)̹Շ֏-\;bss匙Ue򖂥+X`]` #D8!cY׊R@M|82cq`-iʂv֜ mv͋j0fvyKeJTFڭl|$iLk=.b8S%p%2H MbbaMĦY2 b!BӱfC3Swىo&W_eV8[wdNbq/QB̙'h}z60%?+gNǿ.S 3~^C=cF#o$7E7 "qn5!aqg+z3AZԀbqvoڴ6~Җ℘镕 cǴ۽av^f]]woY㨚]e۶-JSZycsӜ9i}_9{vߺr;{;6L߿bPn7`/Y!6RlCODg™?\.w&$8˱wҋ63bhRrtP~*t0s++(vo{ͳ+ uSZӊQuhy4(ٔ6A2rl[&rS[ &te?U$k?M[`.خfҸ +)T]#JP_EۯǡDZk?mKeY!FJt|M-%yL++fG) d R͕["6({aw$䯑2~t9Jwc.*琧RLJsЅ ,awr9wSvid?]=A{i-(5f POΠt Tb]F)hX|FJ xҩ4k @ &`"0 LRU..nze7J#)ET̢rYj 3[h*2 <K8rwrF#?S FkFV+A9`\@c\j?^l%TΆ̟3$WjT`uO7u=X\NSJ`6dEG0̓̓Pl9G'Mw/C?wo/pѠa'Y^9+;'AmS pZ7pޖh/fgc wޟGGxIK 4Kjil!̓4MLR& ~.純k=6F*9XG:DzMw &&kIxu T΁/IQ}Jy1*r61?^^l3BJ-~m3" ):WdM:ߌ=jTq^e'/()E/žy1|=Ti2R|l ȁ&վݹw(=ߏT%* |x+K'I ců~ M`סZ1ub_ȔO 8z?Un}1۴y'y?Sߡ#(Q/ȿnJZY F}Ңi1atohyTpHKJY&l)--6 *!{P[U-{{*5`, hsq9ԛ&RiՙkN  >]9'w(Cz$ R(ކ}/ag^BLUT ߳Z~|F33W[&S53aM"SƗ/o,y5Ew|L.1W(^P+)4plL=ci.;Ar8꣰ɰ}$w[Rt >Q }@ R% 䔗>Iro(>j~iH(]rkA?#4JZ T aV1J?_c.>{`w7P64Y6_AEП}Dy=ChZ?WK6h7;wu)_Ź}~eOYdW@7 {!:54hy fr%}Xp>qp9sAFU\N^8?FCB"5kDXlY!QdV'C_ʏ@'*%nЦᏟ>LL} uAnJ֖!>+mo76djd>b5_` 6Rf#H>{H.T&6HzxMŦxs'-߫p7 zu'Gآg@Cݏ#oԝGa_b8(X"E}4&C>u#6CHܷ)xF=R'.Of+'DEo? >m`m"QQڅ=n#W> > iYz'0U1B)[cퟰf41UFGSJ,[\t@ܼnPRwhK(v|6s⭽Y?R*ʼf`.lœO!A#&0JxR \q6dJnohmgUkVŇQq( s<܁p(71T+NvȌSߓa΃\iSԠ s>TKO^'b.:.&Py i?)Xc_K5𿪁Gyl~;ՁE2a^Ct@]qwK@-Pc` }b ":R=VLy]].7+^`QːKְ".3c"F\c* NuvF,;(<(Z9cr&ryFZw;8O_M8x;x$#2=HS>|MER{aoNrH_a*.ƾ>F##"`C_‡z~GȳnY'* MȥiZ yijjĽ@eF].8C 9qcC~^74F?dC"6RqS1L)?u.?>C5=ȣ)l_x*\GǓ"ʅ\^?~&y;_{bTq ?[gW]\{HGW/E Ȇ2T _F 's҉3E[ɗQD5ك3YREaF;DUЉRCӍX[C<"΃BcN'(~ 42Rk_QN€:W d?6Kv^%:|>\l8B/uH51>ȠXn@:8oO 7"=EzP?@"gǹ‡0tׂD|zB]/~Ϝq| ~i֠u#pgXG>ECzvz;o@{x}ЀMx>5`TE/2ak>.4ʉ4gyp >7WzG+G19r 6H&ay{pAWo|yE氺|dz#+;|$&)cQ|.Gϟ>ESN"] )C#QE]Ha ޠWt*p?tZ siZLJOBzٟ?]:%nm'pzzд[ܲ+~/Ǒn v)h&S[0&s JG. K3a~Gl&#{հ]>qNƹo;T4Q'@ແzp+pB/!5T_8yz:97Yo?Xj|CZcq\>nSo쿏ǰ;ƽTIshoe<@.\򿂴G"}Bې^$~46F ܙȣmgcG !b%kW?>0o5ø[wo!t@gWS7QQ^/9 "}ݸSxFF r MWM&?>,o4U(W Йe=oS(?5@lX-Ͼ G3)i(\ ߱gf1YjI⸐67qgĝ-~_˸_&;[l;S'M Eث:PBz_QF[oͿVܻPHI[hQQH &dQ%y58ѺĽ5'w߁mF_w].'۸6U-pWwԎcOm&)sTCPߦBEk2w5/Ľ|De^vmG'A ?4,.~H? #~~C@/7pŰ2=O3/~pzq /~xm {GW=xS?bwj[HoNOR3w tR~g TLH ϣU;8]'Wqi0?kA! wt s/d"0qoz3Zm^|/q:-o߇w_~}~~bZM*%\9_t?֡VNa89V"S` 'DAo6p^!{0ệ"€%8?/ Pq4Q¿w ɣ#yPVG> /C [0 0 0] /Count 5 /Dest [24981 0 R /Fit] /First 25001 0 R /Last 25068 0 R /Parent 23505 0 R /Title (Vivado Design Suite Tcl Command Reference Guide) >> endobj 25001 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6209) /S /GoTo >> /C [0 0 0] /Next 25002 0 R /Parent 25000 0 R /Title (Revision History) >> endobj 25002 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6554) /S /GoTo >> /C [0 0 0] /Count -8 /First 25003 0 R /Last 25023 0 R /Next 25024 0 R /Parent 25000 0 R /Prev 25001 0 R /Title (Ch. 1: Introduction) >> endobj 25003 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6557) /S /GoTo >> /C [0 0 0] /Next 25004 0 R /Parent 25002 0 R /Title (Navigating Content by Design Process) >> endobj 25004 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6614) /S /GoTo >> /C [0 0 0] /Next 25005 0 R /Parent 25002 0 R /Prev 25003 0 R /Title (Overview of Tcl Capabilities in Vivado) >> endobj 25005 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6643) /S /GoTo >> /C [0 0 0] /Count -4 /First 25006 0 R /Last 25009 0 R /Next 25010 0 R /Parent 25002 0 R /Prev 25004 0 R /Title (Launching the Vivado Design Suite) >> endobj 25006 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6661) /S /GoTo >> /C [0 0 0] /Next 25007 0 R /Parent 25005 0 R /Title (Tcl Shell Mode) >> endobj 25007 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6725) /S /GoTo >> /C [0 0 0] /Next 25008 0 R /Parent 25005 0 R /Prev 25006 0 R /Title (Tcl Batch Mode) >> endobj 25008 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6751) /S /GoTo >> /C [0 0 0] /Next 25009 0 R /Parent 25005 0 R /Prev 25007 0 R /Title (Vivado IDE Mode) >> endobj 25009 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6829) /S /GoTo >> /C [0 0 0] /Parent 25005 0 R /Prev 25008 0 R /Title (Tcl Journal Files) >> endobj 25010 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6851) /S /GoTo >> /C [0 0 0] /Next 25011 0 R /Parent 25002 0 R /Prev 25005 0 R /Title (Tcl Help) >> endobj 25011 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6966) /S /GoTo >> /C [0 0 0] /Count -3 /First 25012 0 R /Last 25014 0 R /Next 25015 0 R /Parent 25002 0 R /Prev 25010 0 R /Title (Scripting in Tcl) >> endobj 25012 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e6969) /S /GoTo >> /C [0 0 0] /Next 25013 0 R /Parent 25011 0 R /Title (Tcl Initialization Scripts) >> endobj 25013 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7091) /S /GoTo >> /C [0 0 0] /Next 25014 0 R /Parent 25011 0 R /Prev 25012 0 R /Title (Sourcing a Tcl Script) >> endobj 25014 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7131) /S /GoTo >> /C [0 0 0] /Parent 25011 0 R /Prev 25013 0 R /Title (Using Tcl.pre and Tcl.post Hook Scripts) >> endobj 25015 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7185) /S /GoTo >> /C [0 0 0] /Next 25016 0 R /Parent 25002 0 R /Prev 25011 0 R /Title (General Tcl Syntax Guidelines) >> endobj 25016 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7417) /S /GoTo >> /C [0 0 0] /Count -6 /First 25017 0 R /Last 25022 0 R /Next 25023 0 R /Parent 25002 0 R /Prev 25015 0 R /Title (First Class Tcl Objects and Relationships) >> endobj 25017 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7428) /S /GoTo >> /C [0 0 0] /Next 25018 0 R /Parent 25016 0 R /Title (Object Types and Definitions) >> endobj 25018 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7486) /S /GoTo >> /C [0 0 0] /Next 25019 0 R /Parent 25016 0 R /Prev 25017 0 R /Title (Querying Objects) >> endobj 25019 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7538) /S /GoTo >> /C [0 0 0] /Next 25020 0 R /Parent 25016 0 R /Prev 25018 0 R /Title (Object Properties) >> endobj 25020 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7828) /S /GoTo >> /C [0 0 0] /Next 25021 0 R /Parent 25016 0 R /Prev 25019 0 R /Title (Filtering Based on Properties) >> endobj 25021 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7868) /S /GoTo >> /C [0 0 0] /Next 25022 0 R /Parent 25016 0 R /Prev 25020 0 R /Title (Handling Lists of Objects) >> endobj 25022 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e7991) /S /GoTo >> /C [0 0 0] /Parent 25016 0 R /Prev 25021 0 R /Title (Object Relationships) >> endobj 25023 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8031) /S /GoTo >> /C [0 0 0] /Parent 25002 0 R /Prev 25016 0 R /Title (Errors, Warnings, Critical Warnings, and Info Messages) >> endobj 25024 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8054) /S /GoTo >> /C [0 0 0] /Count -2 /First 25025 0 R /Last 25026 0 R /Next 25027 0 R /Parent 25000 0 R /Prev 25002 0 R /Title (Ch. 2: Tcl Commands) >> endobj 25025 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8057) /S /GoTo >> /C [0 0 0] /Next 25026 0 R /Parent 25024 0 R /Title (Tcl Command Categories) >> endobj 25026 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e8283) /S /GoTo >> /C [0 0 0] /Parent 25024 0 R /Prev 25025 0 R /Title (Tcl Commands Listed by Category) >> endobj 25027 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14018) /S /GoTo >> /C [0 0 0] /Count -730 /First 25028 0 R /Last 25067 0 R /Next 25068 0 R /Parent 25000 0 R /Prev 25024 0 R /Title (Ch. 3: Tcl Commands Listed Alphabetically) >> endobj 25028 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14029) /S /GoTo >> /C [0 0 0] /Next 25029 0 R /Parent 25027 0 R /Title (add_bp) >> endobj 25029 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14256) /S /GoTo >> /C [0 0 0] /Next 25030 0 R /Parent 25027 0 R /Prev 25028 0 R /Title (add_cells_to_pblock) >> endobj 25030 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14540) /S /GoTo >> /C [0 0 0] /Next 25031 0 R /Parent 25027 0 R /Prev 25029 0 R /Title (add_condition) >> endobj 25031 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e14902) /S /GoTo >> /C [0 0 0] /Next 25032 0 R /Parent 25027 0 R /Prev 25030 0 R /Title (add_drc_checks) >> endobj 25032 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15345) /S /GoTo >> /C [0 0 0] /Next 25033 0 R /Parent 25027 0 R /Prev 25031 0 R /Title (add_files) >> endobj 25033 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e15790) /S /GoTo >> /C [0 0 0] /Next 25034 0 R /Parent 25027 0 R /Prev 25032 0 R /Title (add_force) >> endobj 25034 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16270) /S /GoTo >> /C [0 0 0] /Next 25035 0 R /Parent 25027 0 R /Prev 25033 0 R /Title (add_hw_hbm_pc) >> endobj 25035 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16546) /S /GoTo >> /C [0 0 0] /Next 25036 0 R /Parent 25027 0 R /Prev 25034 0 R /Title (add_hw_probe_enum) >> endobj 25036 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e16892) /S /GoTo >> /C [0 0 0] /Next 25037 0 R /Parent 25027 0 R /Prev 25035 0 R /Title (add_peripheral_interface) >> endobj 25037 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17153) /S /GoTo >> /C [0 0 0] /Next 25038 0 R /Parent 25027 0 R /Prev 25036 0 R /Title (add_to_power_rail) >> endobj 25038 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17297) /S /GoTo >> /C [0 0 0] /Next 25039 0 R /Parent 25027 0 R /Prev 25037 0 R /Title (add_wave) >> endobj 25039 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e17775) /S /GoTo >> /C [0 0 0] /Next 25040 0 R /Parent 25027 0 R /Prev 25038 0 R /Title (add_wave_divider) >> endobj 25040 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18075) /S /GoTo >> /C [0 0 0] /Next 25041 0 R /Parent 25027 0 R /Prev 25039 0 R /Title (add_wave_group) >> endobj 25041 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18367) /S /GoTo >> /C [0 0 0] /Next 25042 0 R /Parent 25027 0 R /Prev 25040 0 R /Title (add_wave_marker) >> endobj 25042 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e18639) /S /GoTo >> /C [0 0 0] /Next 25043 0 R /Parent 25027 0 R /Prev 25041 0 R /Title (add_wave_virtual_bus) >> endobj 25043 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19013) /S /GoTo >> /C [0 0 0] /Next 25044 0 R /Parent 25027 0 R /Prev 25042 0 R /Title (all_clocks) >> endobj 25044 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19230) /S /GoTo >> /C [0 0 0] /Next 25045 0 R /Parent 25027 0 R /Prev 25043 0 R /Title (all_cpus) >> endobj 25045 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19467) /S /GoTo >> /C [0 0 0] /Next 25046 0 R /Parent 25027 0 R /Prev 25044 0 R /Title (all_dsps) >> endobj 25046 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e19700) /S /GoTo >> /C [0 0 0] /Next 25047 0 R /Parent 25027 0 R /Prev 25045 0 R /Title (all_fanin) >> endobj 25047 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20024) /S /GoTo >> /C [0 0 0] /Next 23506 0 R /Parent 25027 0 R /Prev 25046 0 R /Title (all_fanout) >> endobj 25048 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e225556) /S /GoTo >> /C [0 0 0] /Next 25049 0 R /Parent 25027 0 R /Prev 24195 0 R /Title (write_hw_platform_metadata) >> endobj 25049 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e225759) /S /GoTo >> /C [0 0 0] /Next 25050 0 R /Parent 25027 0 R /Prev 25048 0 R /Title (write_hw_sio_scan) >> endobj 25050 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e226032) /S /GoTo >> /C [0 0 0] /Next 25051 0 R /Parent 25027 0 R /Prev 25049 0 R /Title (write_hw_sio_sweep) >> endobj 25051 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e226304) /S /GoTo >> /C [0 0 0] /Next 25052 0 R /Parent 25027 0 R /Prev 25050 0 R /Title (write_hw_svf) >> endobj 25052 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e226607) /S /GoTo >> /C [0 0 0] /Next 25053 0 R /Parent 25027 0 R /Prev 25051 0 R /Title (write_ibis) >> endobj 25053 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e226922) /S /GoTo >> /C [0 0 0] /Next 25054 0 R /Parent 25027 0 R /Prev 25052 0 R /Title (write_inferred_xdc) >> endobj 25054 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e227455) /S /GoTo >> /C [0 0 0] /Next 25055 0 R /Parent 25027 0 R /Prev 25053 0 R /Title (write_ip_tcl) >> endobj 25055 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e227661) /S /GoTo >> /C [0 0 0] /Next 25056 0 R /Parent 25027 0 R /Prev 25054 0 R /Title (write_iphys_opt_tcl) >> endobj 25056 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e227929) /S /GoTo >> /C [0 0 0] /Next 25057 0 R /Parent 25027 0 R /Prev 25055 0 R /Title (write_mem_info) >> endobj 25057 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e228198) /S /GoTo >> /C [0 0 0] /Next 25058 0 R /Parent 25027 0 R /Prev 25056 0 R /Title (write_peripheral) >> endobj 25058 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e228392) /S /GoTo >> /C [0 0 0] /Next 25059 0 R /Parent 25027 0 R /Prev 25057 0 R /Title (write_project_tcl) >> endobj 25059 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e228949) /S /GoTo >> /C [0 0 0] /Next 25060 0 R /Parent 25027 0 R /Prev 25058 0 R /Title (write_qor_suggestions) >> endobj 25060 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e229301) /S /GoTo >> /C [0 0 0] /Next 25061 0 R /Parent 25027 0 R /Prev 25059 0 R /Title (write_schematic) >> endobj 25061 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e229609) /S /GoTo >> /C [0 0 0] /Next 25062 0 R /Parent 25027 0 R /Prev 25060 0 R /Title (write_sdf) >> endobj 25062 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e229917) /S /GoTo >> /C [0 0 0] /Next 25063 0 R /Parent 25027 0 R /Prev 25061 0 R /Title (write_verilog) >> endobj 25063 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e230407) /S /GoTo >> /C [0 0 0] /Next 25064 0 R /Parent 25027 0 R /Prev 25062 0 R /Title (write_vhdl) >> endobj 25064 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e230813) /S /GoTo >> /C [0 0 0] /Next 25065 0 R /Parent 25027 0 R /Prev 25063 0 R /Title (write_waivers) >> endobj 25065 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e231119) /S /GoTo >> /C [0 0 0] /Next 25066 0 R /Parent 25027 0 R /Prev 25064 0 R /Title (write_xdc) >> endobj 25066 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e231564) /S /GoTo >> /C [0 0 0] /Next 25067 0 R /Parent 25027 0 R /Prev 25065 0 R /Title (write_xsim_coverage) >> endobj 25067 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e231853) /S /GoTo >> /C [0 0 0] /Parent 25027 0 R /Prev 25066 0 R /Title (xsim) >> endobj 25068 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e232589) /S /GoTo >> /C [0 0 0] /Count -4 /First 25069 0 R /Last 25072 0 R /Parent 25000 0 R /Prev 25027 0 R /Title (Appx. A: Additional Resources and Legal Notices) >> endobj 25069 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e232600) /S /GoTo >> /C [0 0 0] /Next 25070 0 R /Parent 25068 0 R /Title (Xilinx Resources) >> endobj 25070 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e232621) /S /GoTo >> /C [0 0 0] /Next 25071 0 R /Parent 25068 0 R /Prev 25069 0 R /Title (Documentation Navigator and Design Hubs) >> endobj 25071 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e232722) /S /GoTo >> /C [0 0 0] /Next 25072 0 R /Parent 25068 0 R /Prev 25070 0 R /Title (Tcl Resources) >> endobj 25072 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e232844) /S /GoTo >> /C [0 0 0] /Parent 25068 0 R /Prev 25071 0 R /Title (Please Read: Important Legal Notices) >> endobj 1 0 obj << /Annots 3 0 R /BleedBox [0 0 612 792] /Contents [72 0 R 67 0 R 20807 0 R 4 0 R 6 0 R 20807 0 R 68 0 R 20807 0 R 70 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21324 69 0 R /Iabc216 7 0 R /Iabc23435 71 0 R /Iabc42 5 0 R >> >> /Type /Page >> endobj 2 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3 0 obj [2 0 R 9 0 R 10 0 R 11 0 R 12 0 R 13 0 R 14 0 R 15 0 R 16 0 R 17 0 R 18 0 R 19 0 R 20 0 R 21 0 R 22 0 R 23 0 R 24 0 R 25 0 R 26 0 R 27 0 R 28 0 R 29 0 R 30 0 R 31 0 R 32 0 R 33 0 R 34 0 R 35 0 R 36 0 R 37 0 R 38 0 R 39 0 R 40 0 R 41 0 R 42 0 R 43 0 R 44 0 R 45 0 R 46 0 R 47 0 R 48 0 R 49 0 R 50 0 R 51 0 R 52 0 R 53 0 R 54 0 R 55 0 R 56 0 R 57 0 R 58 0 R 59 0 R 60 0 R 61 0 R 62 0 R 63 0 R 64 0 R 65 0 R 66 0 R 8 0 R] endobj 4 0 obj << /Length 16 >> stream q /Iabc42 Do Q endstream endobj 5 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc44 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 6 0 obj << /Length 17 >> stream q /Iabc216 Do Q endstream endobj 7 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc225 20830 0 R /Gabc227 20835 0 R >> /Font << /Fabc249 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`Vth_{9;s 34i_rUƠ埻=0VcX LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?Jhxfx/BD>.PkP=(%ypf%)RWm-־0ZOt⩔L$u$WʵRLsV7uR?l?K!H5>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>~%;2!3ϡh#bwo* endstream endobj 8 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=2) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9 0 obj << /A << /D (unique_2) /S /GoTo >> /Border [0 0 0] /Contents (create_testbench) /M (D:20211013063105-08'00') /Rect [93.5 572.575 157.5352 580.575] /Subtype /Link /Type /Annot >> endobj 10 0 obj << /A << /D (unique_3) /S /GoTo >> /Border [0 0 0] /Contents (export_xsim_coverage) /M (D:20211013063105-08'00') /Rect [161.6133 572.575 244.7383 580.575] /Subtype /Link /Type /Annot >> endobj 11 0 obj << /A << /D (unique_4) /S /GoTo >> /Border [0 0 0] /Contents (generate_vcd_ports) /M (D:20211013063105-08'00') /Rect [248.8164 572.575 322.0078 580.575] /Subtype /Link /Type /Annot >> endobj 12 0 obj << /A << /D (unique_5) /S /GoTo >> /Border [0 0 0] /Contents (tandem_verify) /M (D:20211013063105-08'00') /Rect [326.0859 572.575 379.3828 580.575] /Subtype /Link /Type /Annot >> endobj 13 0 obj << /A << /D (unique_6) /S /GoTo >> /Border [0 0 0] /Contents (terminate_runs) /M (D:20211013063105-08'00') /Rect [93.5 563.375 150.8945 571.375] /Subtype /Link /Type /Annot >> endobj 14 0 obj << /A << /D (unique_7) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_runs) /M (D:20211013063105-08'00') /Rect [154.9727 563.375 204.0898 571.375] /Subtype /Link /Type /Annot >> endobj 15 0 obj << /A << /D (unique_8) /S /GoTo >> /Border [0 0 0] /Contents (add_to_power_rail) /M (D:20211013063105-08'00') /Rect [93.5 548.175 161.0547 556.175] /Subtype /Link /Type /Annot >> endobj 16 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (checkpoint_vcd) /M (D:20211013063105-08'00') /Rect [165.1328 548.175 222.3125 556.175] /Subtype /Link /Type /Annot >> endobj 17 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20211013063105-08'00') /Rect [226.3906 548.175 261.5898 556.175] /Subtype /Link /Type /Annot >> endobj 18 0 obj << /A << /D (unique_11) /S /GoTo >> /Border [0 0 0] /Contents (create_power_rail) /M (D:20211013063105-08'00') /Rect [265.668 548.175 331.3398 556.175] /Subtype /Link /Type /Annot >> endobj 19 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20211013063105-08'00') /Rect [335.418 548.175 370.0703 556.175] /Subtype /Link /Type /Annot >> endobj 20 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [93.5 538.975 161.6211 546.975] /Subtype /Link /Type /Annot >> endobj 21 0 obj << /A << /D (unique_14) /S /GoTo >> /Border [0 0 0] /Contents (limit_vcd) /M (D:20211013063105-08'00') /Rect [165.6992 538.975 198.3672 546.975] /Subtype /Link /Type /Annot >> endobj 22 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (log_vcd) /M (D:20211013063105-08'00') /Rect [202.4453 538.975 230.3828 546.975] /Subtype /Link /Type /Annot >> endobj 23 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [234.4609 538.975 269.793 546.975] /Subtype /Link /Type /Annot >> endobj 24 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [273.8711 538.975 322.1797 546.975] /Subtype /Link /Type /Annot >> endobj 25 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [93.5 529.775 172.082 537.775] /Subtype /Link /Type /Annot >> endobj 26 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [176.1602 529.775 237.6641 537.775] /Subtype /Link /Type /Annot >> endobj 27 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20211013063105-08'00') /Rect [241.7422 529.775 326.9961 537.775] /Subtype /Link /Type /Annot >> endobj 28 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20211013063105-08'00') /Rect [93.5 520.5749 180.9883 528.5749] /Subtype /Link /Type /Annot >> endobj 29 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [185.0664 520.5749 273.9336 528.5749] /Subtype /Link /Type /Annot >> endobj 30 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (start_vcd) /M (D:20211013063105-08'00') /Rect [278.0117 520.5749 311.5234 528.5749] /Subtype /Link /Type /Annot >> endobj 31 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (stop_vcd) /M (D:20211013063105-08'00') /Rect [315.6016 520.5749 348.1953 528.5749] /Subtype /Link /Type /Annot >> endobj 32 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20211013063105-08'00') /Rect [93.5 511.375 142.6719 519.3749] /Subtype /Link /Type /Annot >> endobj 33 0 obj << /A << /D (unique_26) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_tcl) /M (D:20211013063105-08'00') /Rect [146.75 511.375 191.0156 519.3749] /Subtype /Link /Type /Annot >> endobj 34 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [195.0938 511.375 258.5039 519.3749] /Subtype /Link /Type /Annot >> endobj 35 0 obj << /A << /D (unique_28) /S /GoTo >> /Border [0 0 0] /Contents (copy_constraints) /M (D:20211013063105-08'00') /Rect [93.5 465.775 156.1758 473.775] /Subtype /Link /Type /Annot >> endobj 36 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (create_single_pass_run) /M (D:20211013063105-08'00') /Rect [160.2539 465.775 246.1914 473.775] /Subtype /Link /Type /Annot >> endobj 37 0 obj << /A << /D (unique_30) /S /GoTo >> /Border [0 0 0] /Contents (get_constant_paths) /M (D:20211013063105-08'00') /Rect [250.2695 465.775 322.9062 473.775] /Subtype /Link /Type /Annot >> endobj 38 0 obj << /A << /D (unique_31) /S /GoTo >> /Border [0 0 0] /Contents (report_constant_paths) /M (D:20211013063105-08'00') /Rect [93.5 456.575 177.5078 464.575] /Subtype /Link /Type /Annot >> endobj 39 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (report_sim_version) /M (D:20211013063105-08'00') /Rect [181.5859 456.575 252.7891 464.575] /Subtype /Link /Type /Annot >> endobj 40 0 obj << /A << /D (unique_33) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_project) /M (D:20211013063105-08'00') /Rect [256.8672 456.575 318.3828 464.575] /Subtype /Link /Type /Annot >> endobj 41 0 obj << /A << /D (unique_34) /S /GoTo >> /Border [0 0 0] /Contents (write_xsim_coverage) /M (D:20211013063105-08'00') /Rect [93.5 447.375 171.0625 455.375] /Subtype /Link /Type /Annot >> endobj 42 0 obj << /A << /D (unique_35) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20211013063105-08'00') /Rect [184.5625 432.175 239.9922 440.175] /Subtype /Link /Type /Annot >> endobj 43 0 obj << /A << /D (unique_36) /S /GoTo >> /Border [0 0 0] /Contents (config_ip_cache) /M (D:20211013063105-08'00') /Rect [244.0703 432.175 302.6211 440.175] /Subtype /Link /Type /Annot >> endobj 44 0 obj << /A << /D (unique_37) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20211013063105-08'00') /Rect [93.5 422.975 199.6836 430.975] /Subtype /Link /Type /Annot >> endobj 45 0 obj << /A << /D (unique_38) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20211013063105-08'00') /Rect [203.7617 422.975 268.8711 430.975] /Subtype /Link /Type /Annot >> endobj 46 0 obj << /A << /D (unique_39) /S /GoTo >> /Border [0 0 0] /Contents (create_slack_histogram) /M (D:20211013063105-08'00') /Rect [272.9492 422.975 360.1719 430.975] /Subtype /Link /Type /Annot >> endobj 47 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [93.5 413.775 144.9727 421.775] /Subtype /Link /Type /Annot >> endobj 48 0 obj << /A << /D (unique_41) /S /GoTo >> /Border [0 0 0] /Contents (export_simulation) /M (D:20211013063105-08'00') /Rect [149.0508 413.775 216.5625 421.775] /Subtype /Link /Type /Annot >> endobj 49 0 obj << /A << /D (unique_42) /S /GoTo >> /Border [0 0 0] /Contents (find_routing_path) /M (D:20211013063105-08'00') /Rect [220.6406 413.775 286.9727 421.775] /Subtype /Link /Type /Annot >> endobj 50 0 obj << /A << /D (unique_43) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20211013063105-08'00') /Rect [291.0508 413.775 321.9883 421.775] /Subtype /Link /Type /Annot >> endobj 51 0 obj << /A << /D (unique_44) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20211013063105-08'00') /Rect [93.5 404.575 175.6562 412.575] /Subtype /Link /Type /Annot >> endobj 52 0 obj << /A << /D (unique_45) /S /GoTo >> /Border [0 0 0] /Contents (implement_xphy_cores) /M (D:20211013063105-08'00') /Rect [179.7344 404.575 265.6016 412.575] /Subtype /Link /Type /Annot >> endobj 53 0 obj << /A << /D (unique_46) /S /GoTo >> /Border [0 0 0] /Contents (iphys_opt_design) /M (D:20211013063105-08'00') /Rect [269.6797 404.575 333.9414 412.575] /Subtype /Link /Type /Annot >> endobj 54 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [338.0195 404.575 386.3281 412.575] /Subtype /Link /Type /Annot >> endobj 55 0 obj << /A << /D (unique_47) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20211013063105-08'00') /Rect [93.5 395.375 161.793 403.375] /Subtype /Link /Type /Annot >> endobj 56 0 obj << /A << /D (unique_48) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20211013063105-08'00') /Rect [165.8711 395.375 255.6914 403.375] /Subtype /Link /Type /Annot >> endobj 57 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20211013063105-08'00') /Rect [259.7695 395.375 345.0234 403.375] /Subtype /Link /Type /Annot >> endobj 58 0 obj << /A << /D (unique_49) /S /GoTo >> /Border [0 0 0] /Contents (report_exceptions) /M (D:20211013063105-08'00') /Rect [93.5 386.175 160.9062 394.175] /Subtype /Link /Type /Annot >> endobj 59 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [164.9844 386.175 242.293 394.175] /Subtype /Link /Type /Annot >> endobj 60 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20211013063105-08'00') /Rect [246.3711 386.175 333.8594 394.175] /Subtype /Link /Type /Annot >> endobj 61 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [93.5 376.975 182.3672 384.975] /Subtype /Link /Type /Annot >> endobj 62 0 obj << /A << /D (unique_51) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design_as) /M (D:20211013063105-08'00') /Rect [186.4453 376.975 256.5664 384.975] /Subtype /Link /Type /Annot >> endobj 63 0 obj << /A << /D (unique_52) /S /GoTo >> /Border [0 0 0] /Contents (validate_bd_design) /M (D:20211013063105-08'00') /Rect [260.6445 376.975 331.7461 384.975] /Subtype /Link /Type /Annot >> endobj 64 0 obj << /A << /D (unique_53) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20211013063105-08'00') /Rect [93.5 367.775 177.7305 375.775] /Subtype /Link /Type /Annot >> endobj 65 0 obj << /A << /D (unique_54) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20211013063105-08'00') /Rect [181.8086 367.775 217.0664 375.775] /Subtype /Link /Type /Annot >> endobj 66 0 obj << /A << /D (unique_55) /S /GoTo >> /Border [0 0 0] /Contents (xsim) /M (D:20211013063105-08'00') /Rect [221.1445 367.775 238.75 375.775] /Subtype /Link /Type /Annot >> endobj 67 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 68 0 obj << /Length 19 >> stream q /Iabc21324 Do Q endstream endobj 69 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21325 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 70 0 obj << /Length 19 >> stream q /Iabc23435 Do Q endstream endobj 71 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23436 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 72 0 obj << /Filter /FlateDecode /Length 4307 >> stream xˎί@3|?3;rs@AN8A0`}.RTKYqiDVbj -~ 7RaMPjr?gICfJE?ѿ|\zSW&4s`2DlwEx]T`xm#\iW0ESP@ɴ~[4~O @µN# gksߊٌ>P!8Ldًx}G$/r0{1`q}*T8tSG(#h$c E>j: SAaf +,lzz* s1!_fV2v o0BPbwi:?GN5\hV:r*n1leEn+辶O,Fߥ6RU§ׄOOWPV4yiByƭ$DŽv!l 1ŰoޟC-Reٗ3׎̤&c㺐V2G[fifB2K :|GOR`Kkvx Y't\LVtd<6?4tmJ[&&jDVX>#GJlۤ.O[xUFE!ETET >|?  #x瓁ϡ=rfE5묃UCr\鋙ݩ_3v^@KQ]yHB|;YdOitGȆY'V&k!2Al0[0@HM=ezH{D\Ua=o}eOK'0ē=Jf`^`W:`#v6le9BuyB\䬑k'{^{ &C bqW/CG[ٟ#$TjSόGnƾsg@RYE4BZ%pBpor XեɽvT27 ֹ-~~Ot1=6͝a˔]G'+y$͢D͜8Ɗ`fkJ Qg52 "0aLfx)L yݔN/c9) wk}A6LA xJ* yLQ-0fiO_e̹Oݴe ŕI{UĂNXߵ{UŜWoۜ-VUy3.fy[U6ﱐs193] Z'ZA t]ݾd7qN)k`kϷ6 fϿk3pahtM9J\ΚB6oi!#.Qo Y\(K3ɠH~pH8::F K OC`j=PL؅ 0T72LVQ-)瞤Rs" WsKcy+j*,躂X>4:t)6p߳,grɧ_}U7dZMcABqE窪䲍`Ld;I㙓5mMqgc9Q@Eaެ:3!r:G)z2;MG62T/kU-M525>E'͛rq\3D?N[>Gyw)>z2ZjkhSI9.T}_B=z `~F:+OJWR&O'8,])CąMe᝜ϗoUvuqk{*B󶄹}7{ =o0]K>o)~W i; n%: # ?9A2tI";gAew7|CTC5)+^5@=:|3Hkϟ=ghO:*ox5 0RY. [$^9!"pr򏿧'v1"?y< '"_>W&b@% Oe#Nlr _ t_(_e fà_ި,02y,"(dP4쵗@[UTԘ˾c8AoC7X INtLC;?%P/yɍҭ446:uf+ 0+R[5GfnN Yj晏ͨ`^FU%Y EVGX`yFb)tZk,iC56䣽alAy#+X]|,g0j2KArv p '7yei`M^h&pXvr8&[m8fkCv\)怴oF6KajWYy&h:ެމmOlSu,qLNQه LZ(.?La5nq@yZC;pU cU94R'pm,WPGCV:FC+0%0S#w@D?/< sF"!.Lڬj:f\Mv?:dRŁfupN6y0 g2a7~IAmxuDnddJ+}nDۗ܈ݍ8phVYS.ٍFIh`@*EX0]P>B^^r7̰b}Juw4Ku#8phV?]FLG"hZD.ͪ&=3-Ik>4dN]`&\حHuvĹ$d]"=B Iw12N v!k#GD*:ЬT=#Œ\ AgGZ"}#`/vW rS.G܌\<_%c"[V> 6vWBBݫ'F"ח1ܖPwPc5DfH 4;^Ov|$0l$=Xtc汯H5:n P8i,93`̹`Oz\԰ÍmZ!IWy:l8 2[x ˝ϿuJ,V(xh bJ^`v\y16Iwjn}Ɋ'{{},-..#{]FpSAHx@o!|%78.?Tɔ[2EòXos2P3:=rG2γPYDb N;xcc9`$>desW){7Dc*P񐢳(tMUXםf ~Iw$0sl* 0[E;M@=GGZGW-p\qيDstf edL\ԮeOY1ɗ\Ʃ 8]IBTF&rga1Bw3Ff.q*r|sɉVmV(IMmMR_n.faO@ bJK"Җr3!Aj>wetoFX@ƆZldḥ\oF/S<"ݬɍצ28CTv)dKԤm7 V@RG g׍/7{vʉ~$?~/gI0+Wc N.^˘ wO?G̱f!i;oGO'8M{|j/OMBoǶ3Ī'HXk|Ձ|7ǽ%&/ (gUB?XNf{ Jʈ_g/'# Snoժ|zv2P= &%: endstream endobj 73 0 obj << /Annots [74 0 R 75 0 R 76 0 R 81 0 R] /BleedBox [0 0 612 792] /Contents [87 0 R 82 0 R 20807 0 R 77 0 R 79 0 R 20807 0 R 83 0 R 20807 0 R 85 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21328 84 0 R /Iabc23439 86 0 R /Iabc435 80 0 R /Iabc75 78 0 R >> >> /Type /Page >> endobj 74 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation-navigation/design-hubs.html) >> /Border [0 0 0] /Contents (Design Hubs) /M (D:20211013062921-08'00') /Rect [502.9125 510.45 536.27 521.45] /Subtype /Link /Type /Annot >> endobj 75 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support/documentation-navigation/design-hubs.html) >> /Border [0 0 0] /Contents (Hubs) /M (D:20211013062921-08'00') /Rect [90 496.15 115.355 507.15] /Subtype /Link /Type /Annot >> endobj 76 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 77 0 obj << /Length 16 >> stream q /Iabc75 Do Q endstream endobj 78 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc77 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 79 0 obj << /Length 17 >> stream q /Iabc435 Do Q endstream endobj 80 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc450 20830 0 R /Gabc452 20835 0 R >> /Font << /Fabc466 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=!W^Ls_RUƐ ?wG?{`EV2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OE٧j gVwlVbVM^RuTkI>U}IDURj)\Όl :ۏmbH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶_ѼΡDHI]@ U8Ρ\~j|=B/} + endstream endobj 81 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=3) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 82 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 83 0 obj << /Length 19 >> stream q /Iabc21328 Do Q endstream endobj 84 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21329 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 85 0 obj << /Length 19 >> stream q /Iabc23439 Do Q endstream endobj 86 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23440 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 87 0 obj << /Filter /FlateDecode /Length 4099 >> stream xn$ίsi4#M6oh^*ib]d/H?!RvIWqyY~E>*&CZ^^J{?+39¯RB[ه⧛^tDpqzym!]T{ ɵ']lx/>k۹Ư@]"*eJ_}T " O.k{zHN@ 2V6NqN1xuX!eko;qxuq0g(gLFS29Ci}Dx~Q"[AZQKi[[x[_ ?/r)PQ&Vɫ/^ y._~EA?88"EKF'{@ل8@Ag^ V‰ *D4 myP + &x ?˔v7zO F`ueȫ[F5:iM%cZAwWMSˊYޣCޜ%$@ 㡭¹2Ѹ 4UްvvlZKbgWST1U .\ xPVAXY(ib#\vIs`b*OH' g( yE]}ܝWLMb )+Z»a -/AGGMՋL^ۂCt;*+"N0hᴨY}Ù5`+DbփXBB1 "DHHWoDØaU\h6Z$k=~$w-4yndN쥸'dUd~^}f5`G$wu{M*0#Z8>6B26094<b=auwKtɿ9Ȇuc@@[({+N?n);j4Ga; D1zlg RF=|BV S)ޱPwYWuK8u>ݥx8o&;mرzgucgZ,7kJ*S*N|d{VYBfoMtB°>1'0n۾| r&Oډ#cz_/,ddmom[:Yks``O-Fֲ-dj]Gbk_issO- p#vkTFʩ!l2jW>~أVS* ծ6Swϟ{  ~?X׏&2k `e\}:c-P6WɵnkC9vk?$eXp- =`5}I,$@ɧWq=1&։Zcުs4K9ax- OC͙΂\~Q=1dR_&*;j q6w js/'. lxDU!JZP4=?i &̉Y6F6tH8Le[bBmc |M`89Jk9kѮK JXv8d !sT4#>5Tl?Oݨ3r,'B/5r8GROgth)ۇIv!Y6ts?"8u^M!d_m(:dj8cI]agN@ L8Ho_Xlt[8y҂67\эg(·#|I6^Z*Ehѿ+*kNOHС•e#mmyDIWy< F/)̍Sy38SkSA##Gl_d4\VZPARYStm X့ @'N sL(]Zd^H:Qگxsd_M^kuZ-Km.-zSBhfɜύƷB1ΉNjLF4Mv{V tw~ZtKp;1G\xV?5V?AgC2085%`imRUnT)FCb%S_sSI+Q*ĹH= l0"}8uJ^nW{ 0&_}P5Fw$(ù?n;μ&AnSG'JIiߣ:ԓj'Xk )sfjB]jR^t902R#RXTΦET ~VWy+dڤ8u.~&<'Yw? endstream endobj 88 0 obj << /Annots [89 0 R 90 0 R 91 0 R 94 0 R] /BleedBox [0 0 612 792] /Contents [96 0 R 95 0 R 92 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc632 93 0 R >> >> /Type /Page >> endobj 89 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug892-vivado-design-flows-overview.pdf) >> /Border [0 0 0] /Contents (UG892) /M (D:20211013062921-08'00') /Rect [201.441 462.7501 236.707 473.7501] /Subtype /Link /Type /Annot >> endobj 90 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug894-vivado-tcl-scripting.pdf) >> /Border [0 0 0] /Contents (UG894) /M (D:20211013062921-08'00') /Rect [251.931 156.3501 287.197 167.3501] /Subtype /Link /Type /Annot >> endobj 91 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 92 0 obj << /Length 17 >> stream q /Iabc632 Do Q endstream endobj 93 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc634 20830 0 R /Gabc643 20835 0 R >> /Font << /Fabc657 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=!W^Ls_RUƐ ?wG?{`EV2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfx/BD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 95 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 96 0 obj << /Filter /FlateDecode /Length 4755 >> stream x<Ɏcw~}RJ9 攞ad P}Ol\"1c#[)2Pj'|7A]~||Z1է5K4Ql O3w|y6Agz5!*W*à^p“/ϰbSY|NW[SKszR_1뒽&zN32 ;{}g܏ nvKɸG?g29##_Wx"OsAF Y*?:"pr5.>XxqK a+ςA]"Kb dLW&q?Ed, hWFe$z]{af3݉1yvD`x 6'OʵƳ@ϜJa`y$m@*= 3`60!X+h7"DjVҼ{g+wd']<5.0κ<}'u@>N%ZX$D2\?T#$>{(<"NOڟW>P`%x4jqƽ$hY(iG"ѬA<{KpÜ7rڤ ooLAy/kGRY>O>%![j@ TnJvǥ֢O/i$O%˛ߦv7D(\1Yjzi@e?΃?!quBE ZƴiP_ "%/^'Sh5jմu pg}Vfq&'?O|3 Zseܰeb*y'K풺e풛āFv/mP&-H0t Ɣ`hX6_\`ެr'狩 ,9#˖aJdCo? ;0y,FZ!w ] Cۇg ކOM>@> BL.`RXxritt/i ѭ+ңCyi>$ 4;)ãz'[WW;UE&tۘ&߶b@ Rw_pah&+=)IH,/gh1y0i'ufEXFz2$/C K@>>wV t|-&0ɴuBw"C1+0AMw乼*ujyy};q'Hy`$ZwҒmQ\gi}B$j17 n54Xng;ԏqΉ/=St95e|" /~Kn q8Wv}1Y-`̽mD Ҩ>bV :[B܂e#Hݹ܇m[vʋJD;SVRd:tّSH Mj&jVLØnyΘ /G?>RpnG!;Knnh0?~7SM& wܼgܞ͔W9%8ˀu~ W[ɦ%rΊ7"ySmv7,k3:>Bng uNC:<>R#_Des4.B~[n n$nq'%bRqp2=le{rceHH&$Xb" s]+̌޴lsc[w}K #<H$[^cMֿV2*0~ TX\F~V@/<˕qo^g))~["W afԖ]ɝڀ{_]6A1]5&CvI9A䱂"{FS%D:;:Ywmsp_ ]oHc:fB{894옲T @GSo#u)w$)w~)`[*< Rg)QzG( st$)` b"Mu|oiꤩ<2 [=[AزT֚0>VM= ` Plj Hi&8-P<SUO{BRUT {$, %[z$`-@,h4J/.BA86VZn Pm|.+ . \b )Qà;LIRi PC^ߨap9 u*(F^@ w@WioSg;:"*M=$0:! e VbE^ T0Bi&_ZJEGQA d=QyQYP!Ah͡NrAwpfy CiE^h(g^G 6V,k#u<;#= |*2X33PjCrD<87=[ZX4B?'9Jitʞ='ߢX=v􍝖ϒ!C勽C򶦲ZM먾IZ#/ZMG~cnQr/ {IԨ +ʂ*{r~)ndAvl"摯|[xv /N(Ԕ2螥dX{ F&R* W=0i;>36M1կjUɅ m)7tB*"N6kX"wX-Xbݷz1_qo9(zErbKFqKkgNmTKw5Y32bg4ΪŎ􇥍 [`ۛ Vo)j ~ft `0>5+vml(M vcnw׷ˏ+\pH,KQ܊էlYm}ؗ@vd(oTzMO]‘ l K/n+K0)8d' c\7?Y}r%jXFe&)KGo+`Ҋ[¯ՊtE?sE"R.+:65pisZSQ.w~ 5ϗϫd`jl7p$|/s}W61^> A-z#ajMzjt,5% /tQ}]u4}Z^'OB0m͔݊k\dw]QG DQxd]`"̜Wcl%jb5. a@r /)IL33~@+Դn!֣D-s03jypWw֥ބr>ax 3DJ 4&yթ?|e'QP.}#5N P ! hpPit5439[{hf#\lLP2'؃"$lV+r JYoFbsB[!)HN;̶WM8*i]2Cl^ c\@yRGYY6{N> t%$6.vf2 P\ti(s_NLc:7F&8<iWH]ҿ9s^4M ^'0fךe,NFd_p/(nwiF5˴dej7&)xmSެE~Hg![2uV~=mc2{4QGjj z/夃^s _b{يkhfUa)rM6GjlEv$1^Ħ0dɻ1thWA#%|0\@҉ّMRʠCJq((׈QW_?Z Qf'm@֭ .vߤn&C(k.W|^xZ=^ӞhnO[F "iRq$o|{g /L*GNHJO?A$=J$ v `83(b> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc818 102 0 R >> >> /Type /Page >> endobj 98 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug892-vivado-design-flows-overview.pdf) >> /Border [0 0 0] /Contents (UG892) /M (D:20211013062921-08'00') /Rect [201.441 275.8539 236.707 286.8539] /Subtype /Link /Type /Annot >> endobj 99 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug893-vivado-ide.pdf) >> /Border [0 0 0] /Contents (UG893) /M (D:20211013062921-08'00') /Rect [92.937 222.954 128.203 233.954] /Subtype /Link /Type /Annot >> endobj 100 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 101 0 obj << /Length 17 >> stream q /Iabc818 Do Q endstream endobj 102 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc828 20830 0 R /Gabc843 20835 0 R >> /Font << /Fabc845 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=!W^Ls_RUƐ ?wG?{`EV2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfx/BD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 104 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 105 0 obj << /Filter /FlateDecode /Length 5409 >> stream x<Ɏ,7r<Pi Px@|̩gc㚙Uن%$`U Wf}>z&]onqkjæTXubv}[zU/(B*rx.}Y+}h~Uue'@ڮZ#) a4׿kWxU*]g{6GGn'&XKk}62Pe|\t IJU<Ǜ+#Fx, X4N B,e!SR4@|YMmu^y%Bڕ 3aD mG`tpVt[=}kI; ʻZ8 OcbHBBzxF顮[T7e "esg!i5|Y qrXʡ\T2~a012 Y;~v^Wp}5o`X3+2 JN,m1kvJ*.*mU<g@E4Pz<6cLhF~.tyk:5q>^^i-@@xv#3j<4=_RzSXNQメTdxYPTS0%@N8/pQ!Jz 3[J)Er~JfU UC3S .&k ܨ4gWZ{zYkFxƫ =v:3\ ]ӊ.T(,$>UPARs!jYL,x#AF՞YN*AmU/Keg&p5ɕ:m | <ЉHs3E&]yQǴb/A֣3"IIP؜ dɄ@ȚDIJb|9egGL&np0G 1*(ct)~zqNBB;;֚M[{SR랡9艑{-sw{3{Bjh ġ^?]<ݡxA_!?}NBv~lgQe,2D(z I35v3 |J,[Ǯ k|';zs {t7-ӑƤ_^߱C9!H;ڀ ٻP{!ԉsH Cg_?A'm>㴇Vc¦cHk?)g tG$uaqhc– i!۷QdG{{7w|7_[x?*8z A ïEmeP$j6'q7RVF0`.¦jY[]`5g8`8[/.oI02F`sZ,+_ןvݼ":i86[ع/^/|Uxav C@],=`l\,坌G.D'mk]#Ua%kAҦK9Kw?0< LxqNAϫ͔"H@h5 ] E(:5` 1YVgDOVO]YTpGomF}Α@/$^2c,ԛ/%ӽϨ:穏Ã=E Ytxf烢/_"sUI#s:X:#YtX,x @zoW뎁N*wXԌcMѤOoYGkP:CJ[KkI2p )5lYy`=jV[ p[H3]sj@)*aJ[];{>,Y?v5QB pr 1B >) iLTb#Y }FoqaN@E8V'nD]MwwgL1Lg1wIK\T=pmB<ӎ*R;?f9/@7zOYfz}s\aD9,~Ev]JB1YP1+1KjtR ǖ#3ݽXp3|MM/ڇq\h0uldp`.rb9K]3;iTzFz#gꐛtxZ<_\/ڽ>+ڋʩ/k 8E:tEnye7@8&,D }Lvכwѩ&b$wvS .Z [>Ffg ; `9>~9%_&pgUS)#-A՝Mrޜݙ[]p,ds4jI8Jt^w9՝3D:i.$ Swx >$!~j@^oKFv~[M9|@JXJk((ӖA-O۫jo$k;|CMD<jEP5 S u&/S% Rnv<WM{T7l6ـwrtP%UaAUrT[3: 3Qr?AyAYꠖfАMߩ]-dOP>TBuR'͊S|]nB_Ij1[Tn)xi3G "&< QjhW*}a74k'a.f(;FA.J0MbNqJ-K)^EV97'LEJ}]N"5n=F!OYS@`Zft3KAkϩ΃BӪA[h) JLs@E<ŽJI;Lz|ѾfNXߢ{^HRGo|FL3P/t0;ǥKiƜߥtAm0p{qaXk_vh-}7[N˩VV݄`= SՎߏ[ZXG w9tL*"AٌD:@3bB2G&@1s^d!9c(5W9 qOɴ2<L iiTva9~n ~[NЗ62Mh _!5ՠZ{Wo: HF /XB hWФf2GihsY#dy tBy7uz,s}*Ddh}t~❄Aٺ֌!. 8T@G[ZWEzbNm/xTO,01 3{Q! Zl,pJå:(0FH0۞ܞk '} k E׺ȩT`K4a<ʚ^fhk.=TR#W3T3 H7V9!8x'Q*%_<8:Eɩrtf)/+;ʖ,.:"`DY6# qO,~'R2H % .솉r % :T|Т$FdJ)j$ʼna|],puhC.p]io]gRx )ؤ־g!.xpާEX@z7ǠĝfeW/O\hs:nx o48wA6+ ꃸ('~!-cQuS%~HuQ]ni'X&zP vӲU%p p#c|+S*ߺʽ4;nr77ykDjy_I6VR`\޷*uu!3=JfU-P.u~\͌A@>vAy'=-}>r hi3 rIQ=D9_JRKKRe =U-JEu gaCA| %c(6kYgxE_D{[i &_[tH &6]S`CXנּwpiK/B.2\429w^Wnڈ."P&XGA(Sa3pP3C;~" "oigc&{CkvezO `! O;N d`-]r qF/Mod(Cǥiy:bcdчa#1jE\MR}Njd: E%#52AhT~|#ޥu隩vJ2607Ӟ ?H/ 0_Ҳ a)^HyosT]Xdb|jf]pqf}ˈjӔCb Yt5xX+qz,i?mwLm١wac)D?#`]?9&2G|B _ o/*b$Z#P]QcD|aX R~K^*uf$Lpm\4&Ii|= 5U=>§|?'oC5 }ڒ4b88w;YR+u rԔAP?WdUHNHJ5֋\ M)!i; ДSĻrO;-ź+14-Ɠt. PC 0YoʎW~D2M=]/%L 8 endstream endobj 106 0 obj << /Annots [107 0 R 110 0 R] /BleedBox [0 0 612 792] /Contents [112 0 R 111 0 R 108 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1016 109 0 R >> >> /Type /Page >> endobj 107 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 108 0 obj << /Length 18 >> stream q /Iabc1016 Do Q endstream endobj 109 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1022 20830 0 R /Gabc1036 20835 0 R >> /Font << /Fabc1043 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu(HOջMp)\T1Br4n~AѣDI`2R(.Xc4ECIHD+E̕'R(fZĀ0dDP|}yԬ9*{braXt(~R@F QD3Guœ}"juZG)zr8^knT-=%MW4yrCodE#2yk+)sm.\gy8~ۏ}cH&>ÆZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 _^|v+9)C ( 'C9OmтGŶ endstream endobj 110 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=6) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 111 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 112 0 obj << /Filter /FlateDecode /Length 3510 >> stream x[KcWh=;z?:i"dLՁM~Co_]5$eKW<#RK߾ڧw2iR_Jן6lJAڼwRZdpQJRƝ=<3<<~xn6rLJI#8IsIj^>$/>/Y}ǫs1 lDBOa`4'hU|_/_ůRmy_/ jif%6u]zѠ35+&m9J*PQiy^ෲJĿ_ ƾ{/~u( =hs0Q~-gf5ڣv:\ډ*Cӧ*jSI<&Ш"St 'iخ=4I-m50" Eztf&-|nr}n xyӻ@Ic$W~GŮyvIW}j9Q <_z44ΒB5]6 " ӝJhofq;; !k UuXV%Z&$9rQ0YAy=)B`!6NH@Ak$INNm+| htp=zi]@tѪ] Z8r,SV!sJ!׵i466F^wn&A yLQ&J:ٸm<~/d+$ғޔʧnTLOUzgr{\)quʡ5ٓנ] MLD2ʬ: awX{Cj~WL7_v㗸_&^Y$}*ݡ f&J|l΅EJ4[ҡ!*Vh+zTQL:/u3)q_ꓴԪFc5z9k^pjb~s!ב˜_dC(2wB MB; ނ-511PZZ룈lu*cF]A񾉱"ua= `b_2R_]ƅD97ſZ4[x>)r % b'u/鱊`q;PsX{cF Q|qExІw?z <`%5Ī5mC,:*Bg0sIq> b>Cj!;qy1Z4lTx@17x78_Ua`קif .,ƒ`KSB|2V \c5F.ae5!-n%,ݭ\Uj\tAvF/SK֛zK? %I"M0b 0$i9Ttl'H9d1U+UAݼ-^o{OjY)AMvt*DI- 7*kMLWZl#D/{D!Sԟ GZ͈$$zǙ$1@Nbwd۱T^LNQ`p7%sQ*ːHc%ߟ;4Kch<^‚*zcD\TVTv yjm43L8l2nm8~Áߥ,7(nRMogerT7-JZi{Ln`͹\>H|b^O0ϣxWmMZ`G*477%k8d iɔ[ P}lNMiA1m; Mw UX/y&녨t1P` Dfsa=L2bQ8 h"Fz_q`1h l ̕{fcO%+ص:G[GӃ. wO|j8V(bV!5E74]I4j.o_qJHJW(Iyɐs b=3+SrP%HP)\SP`S%2rm/TP3 JLo$D D Oql,.mcfZ'ڢ:⭿Du2p^ YkL ABeco6Y^b*xĹVG/MAMv=+ ~[/=`/bkt#*Q ފ7)gST8@X96Yjח*)T]wx+/MH$%Iç~?'߆)k:$dt3=> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1218 116 0 R >> >> /Type /Page >> endobj 114 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 115 0 obj << /Length 18 >> stream q /Iabc1218 Do Q endstream endobj 116 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1220 20830 0 R /Gabc1235 20835 0 R >> /Font << /Fabc1242 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶GUi`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ endstream endobj 117 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=7) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 118 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 119 0 obj << /Filter /FlateDecode /Length 4406 >> stream x[I%qWKq_j2xA TnԫV[xI& XX,.H./ߖglK.xv1ԠWbtX~[t%V5:vwKBG j<}1))20(G Ou"i70{`cd er?\ײ\xbC]%JEӝu[Ne6nALu\.֬n+F|[ 7QG_̴~;uiW2Vg= neKXY3 3fO+NymutK0.ا  ] hB"-wq ixwm+Z%2D!2@w+sYr)_*ß:ĶWWr,Ja!;c39=`Iryk_[Aًwm;v9[8#R뱘+F,%lfa!6H2;oZg`V[[=X`6y Iq[lOņM)U1)7OSCC-p2A !|/)Ep"GܧS7etvHXhs$$;$ ']OJzO;,æxb\cYx,C)IWul.8zBYQ@% {," $~D*8.IvuB.L?y]P,,ԈB YVگ=0OyY`dlВhRpȻHAGSY#/PFS(SX=y 74-G NpKu Ļu.Ҵ3b:ΒH=$|'WG]q6Ͱ7-:Vܠl0pd]F`e([B5p%^Q$ʎPJo)[JE[xl)!CJm@{}V:.,jǒY4!}״cyw]Rw_у4p1pn:p#҈~uJ_QZFME8Ⱥ;wjíwKoHf)R'\ B_LT3tE5S49X#_cR0%[DsB X5Ser0U,OdCl6Jο0%z/.9@?(p$KB!S Cž=;ǓcTΊ]YF; R"}L M)iآ-捒DnMLM+`ۚs˹l[^/ V_[eJ7#Vw =鿉Iϸn<p&Yv}:S$֤Z+1|I"2&VSVe8I:f;4٫h(HDfJ@Vn`ΒcPp,i _qeM]5#b 6W\j.퐏BEg4 <=/`:*jSFvg(IS0q2~geZwVwɜITsSzi,uS)e_ht|1"]饦^c^'uMB_}&||axIj+0i>$ :N3˾k>;ю&#Ax F|1e"w\WL1igŽp5Yteg[^G|ʦ;ʴ|i1j䎂yq|DwO]^E[I5GVfo" )eȐKӠ{vԻZ퇵)v-0`M K;$gLv4#B:AU?SyT]446aЗ;ѹ&yI(ØP.[J~O/M$lNn|z0E]B|p[VX \P'fcAQIfgWis5V7^WB 8~mrb2~ӊ3o_0980dEaH',A-T[6K3FHnN(->%N?-?tr鉑fo\=ސ Pv܃d=q+y0GB609k *41[ͩa17WIcݶ%3]: +|ƈelQ\eKu vKFhi>x3vzOI+Lhz_)&`F#u뮤>A)pݩV :BCn[#ˇ1oW{@^F wu-Lݛ,M89L< RVΏs$i:ަۢ\| al[( i8dJvyrE4mD{PrE/FP{SEd эQN܂n]Wؚ=-uHT,w;\R? |;§>+|ӵjM_PY4#] E/|v3ypE^.ԙn= S>BcG&m}])ʭ|}W ,/zuIĩ!KJR?e ZWR_37.kmF7xaJ/:)t5ި~xXGmT]naiY@Vi6uc:{4qjj z/ekVx>-FSЄf$'Knت&cM~v=wC ӷxhF'E#G?\FZ=^3y[R9W2]g?fqt+.za%*{ѷO\0t-`O>;mm{ɎM&1JPGm< ߂~y_igi$ponwxtN.^S;:p%#<G$ϕtD̛GiuR!ᶗmzJXإC94(ɏb23Jyn @!oǀ rB:u endstream endobj 120 0 obj << /Annots [121 0 R 124 0 R] /BleedBox [0 0 612 792] /Contents [126 0 R 125 0 R 122 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1399 123 0 R >> >> /Type /Page >> endobj 121 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 122 0 obj << /Length 18 >> stream q /Iabc1399 Do Q endstream endobj 123 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1406 20830 0 R /Gabc1416 20835 0 R >> /Font << /Fabc1431 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶GUjg5ŐL$E d_ )2̼d9 M \09 `FcU$!ëEWmGyݝC.;p94C-z^lM endstream endobj 124 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=8) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 125 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 126 0 obj << /Filter /FlateDecode /Length 4910 >> stream xdsǝB}Xn Alx7kcOvcdY]RM{M kxo0|Ibwlxi/@5oHkACn<\V pܙ[xC[LIH"Dǩ¹)j:F=oA6T? Q<[ЕS/OIhA`rDcfQ2YXjw 3Gc'mGC};@P&fX_j0e@XMtt>6G ߥP1R{d7 [\U_6Stq[ث\yJaĚۜstpbm@]݄jD޴E&PnW3(qך5aGG޵ ^d:^wtWJB&e0}VX_Яus~Lq"d@:x\ZJ mL5|U\IF0{^2RW0WpL*Sp#Wc49 `.Ngᴷz 88S߾_y+Fq3X﷿-W1||?FM$-Of)'XpZȀo)5:f'ؠ`V) nʸk@^  }yga9( kE69KK!`eLohR,l "0 D<b!H'Tm=x)7ib ?/? y xWq/Ȑ.8lLm ~_&Xt {R !©["J\ȊE< |P ;IS˕adؠE¥X[uD&TJt B9p:abb׮x|NU % NyP=" F"N{, ),U`J I9$/Nxp7M"+'"qB2`IOxNf^IPeҙ͋:I7twod&3@wTdvkU"lL",+/MYJd;A=2gИz&Ք'qÞ*E1S7`tD}25qvwa4 wnfyڞy2zhf{yJee |lZ;"=cMlM<{]t4aK^G2ccf̀C;";W-;b0z {s>M$6*D &C^,S # /L=2QM0fy@3ݾHuwGՐ#>/A㧔o =?#-ƺbs;4uMvl2RR"vftB>DЙc7_$*c B``NI&fd%A퓗 >t6g 5 F/l#Mjz(y,UI4ؘ9Hn=jQi(/Kbbad׸1IIbV52fKB׌vZ'qî+^So$I :9 yz;`" ɉ.d|B ChO>K VR{}1}~߇r0.vOiW 2Cn_;"9XeZgc:DXέڱ{P V4wts1Y&o`wX66Fcchx > ź'N#Ṁ=lZ@4Zvc={Ze`t̮̍+ȾN9%Q $fSHHښmW|^ ˰w Bwy^ƸtL\CEEAlas#?HXrP/~~|00]Oq&/g"Q*lyn'LϙݻO`nfB[ygosӪаa IIF)ՋpBv2],HYȪ^ "56r1W=Y#[ݎ j|EGqIF{[a, b'YdJ )#8,nru؆?Wa8=71iH;r;vSWˡ;{t8:אvH rr`։$Xn*_Vʂk,AhB;1۳kU/ 楺by z̙☢$֠n]=սou6x+*hTUm [G< `.]2XޗGMi@O@a u 1ZF_@0< 4&9ԩ\qGXJMD}`l[P Xb'z1DuTLeb419[گx\7oņ2,h*,]}bv''MՊ&m{fH%"2a}6n%N +] <6=:COw]-[>`>UToV#ViBvT?!MgMCL T{j0tjpu@WXH[Wp\êiϊF.q%m ^*IoSE#L 7j*jfx#J7:)Xhii?1b?4,OmT]ΰ4/dldn6}c:{4QJfٗe+<d˥T}n64AsLb{?9:Ve@Rщc;X}V40 Fx`D?+9e(j6ks^:'V8&b猉Hv[  몽n[ "mFĤ$z#cp?UwFj2[+Idv!ǒ`y/`l?\Ӱ}>[ӖBya읖N'{^PA_#K%>zG8!)5MSt'3B(|ڞwC;c]Vz1(όǀ:JGleh1TIK#aej `ʞWO"x{eA endstream endobj 127 0 obj << /Annots [128 0 R 129 0 R 132 0 R] /BleedBox [0 0 612 792] /Contents [134 0 R 133 0 R 130 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1590 131 0 R >> >> /Type /Page >> endobj 128 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug894-vivado-tcl-scripting.pdf) >> /Border [0 0 0] /Contents (UG894) /M (D:20211013062921-08'00') /Rect [178.6325 338.2847 213.8985 349.2847] /Subtype /Link /Type /Annot >> endobj 129 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 130 0 obj << /Length 18 >> stream q /Iabc1590 Do Q endstream endobj 131 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1592 20830 0 R /Gabc1611 20835 0 R >> /Font << /Fabc1613 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ endstream endobj 132 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=9) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 133 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 134 0 obj << /Filter /FlateDecode /Length 4664 >> stream xڵ<ˎ$8HY( r ;^ x_$JYY9R((K$sfbo?6glpJ?}}xI_ǫ.*nJxc]~/V÷7L>mė6R:޽}@q[ھo9o뀎5ٗ!{2̐iS{_8x䁠IOp\n7fh8%t^c-ni+^|la2iNpl6\3\c_(\ 0g~!9hRǸ!qX3oxJ j9BQ&RaPlʆX"(׿;{>DxwvOcoI%&8%Kms^.7X|CC[lU6-mВP)RXyl PƌWD%HT|Cħ~|ؘ13s6{G8va;v\+ KܨJ$`4c^dJIb-mgYH-x^R7h(qݘYɫlj>qq>)m3b㶊kEEЅ35B&FJfY"0tz4{N~l<Ά$ĹT́*@O;˻ƫo؂U:9V~oMRY>@LvL<8"TԀh6!TO bh@6K;]7o +Vt 5 <aG/AW5H (s(ÿ݇2Oz;(Z|dI♆4%A9,:X$ )Ñ&ñ1J9J9̫`FO[%B L/M8I@uc&e-ier|W|zǭ(LR'^EIlpJg'xհGj_Aaf/cM- R+a+_+XADZ6i:lE߮ 0ux,,jwF7torGy5mEرg,@¹OYVpk)!i1;ױp? 26bxȑc3`k08d|n.;J1[(IDkW_X_ .n dv% ˌ=S4󖢕1k=`UZyMxxK,˸dx.+uHDQC$J, Q4sJ"^YP S FaߨU·ARi06lg,޾bt"?/$ ҭKnw==bdHr |M`(mMw5mk2PYA=5UHޢ@f10M:ME7fMqHEb?TEfV΄5do [+" DM>s]UsQMmǑى\l.s߄BQH׃0nDQ$B%M50ΗFTky"=i6͕\*\XlQF,mOۥG9=QeۿPȿ'4+Q 2Ryuה1z}V w3\58Lp`-GeaI@|՛ E1ZPe,.gKZPhFj2옫u9 貑H4դIM)iȊ,n⸭8E7Tg?J?oY\XCWVTXW@L+dK0[K7# Nz1Sg36G  Y),ރ oc ᒒ2xJзwei;= #_DE?,6lݶ=۔V;]GSci#>\0"qΨb=kj;fƀqUb_BQa4(Bna\jEFceqsϓ]%ixK V%]ֲSf$1yDĖ!XKD񬮿MYD.iF|Ogn`)efT濖{cBoQtTFz"y# dĀ8 pFD@5k."ozbm8JSh#Fv!r 9-Fሢ*l ^2YDyrc{{*z8r:}HU5`=Mhh^ [AP{RO 4{l1b`/b `H_{W[MksC# dž!/-fj&ko;F@-K٢ 7fag}'xncz6iU,TW RXQ,-{†s %O;,1:Y`|=9)&`4{:zʽVZJkp(u 1(_ S!so5 T6Fj\כyҡ.#q0-m?CI`GT oZC@Ud&,[!cӶ۳rD,ެEەyTSF*`緇}qNU44}2xm.;lYrKk3El], N>eAQZא{>., [OŶ|<ᨹB$R?頋Y CBRc5-zbDUVZ[M5*>FҩDm|a\N}}gQmU8:YqJi4Й]_ Vч][_<emj1mib NyT"(,7l ٚr@o:Z#1p>a% mE~UZ྽-\9@0<郲Vt1^4ЩڑcBmTh](-OɎ֢E+/w;TUBfb.̘\>bOUbV``ŒO)|Rmn2C>l`BU>Z=$}f`<J޼'[Gy7Hj6p-`!otִ yu`j~\H DQKFr!(,u:\L4xZ09T"L}Q%tadи;qj<ѡV8ac$zzhYxΰt3:gڊ=6h‡Mf}ط> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1781 138 0 R >> >> /Type /Page >> endobj 136 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 137 0 obj << /Length 18 >> stream q /Iabc1781 Do Q endstream endobj 138 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1791 20830 0 R /Gabc1803 20835 0 R >> /Font << /Fabc1813 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 140 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 141 0 obj << /Filter /FlateDecode /Length 3236 >> stream xɊ#_c_@JR[CƧ{Pm[.*=`ueDFx9ӏIO ;Tݔ4N)6f'o} R)U+?}~TJ]OsnJEwq<$2gmhƧ_tL΀?awد踚 33t ¿Pk\E$L:Vc>Iex2g`l6xc`2<'Q4i)0F #֘2 KBu|Z#m~*k($ / T::砃(?x9Mp4BX|E K  Gkg@62^ďI?M2!qN6}acAPsk`-eb,f=:Bwc:tde4θ P6X ORRA00PPZ6V,:&|,b|p.X-Bbfx5.jg]:w$eAA^I⻂+*rcT Tn 1ʐYs`޹j,ȡW3@i:(P:nQpBԒV¶0CG",vڑYvn$b Pǵ>xoJ\ٵ;S }_Rz?={m_[;dVA4I!NH+B))L{ ]> !D7oFZrzhٸ8Jҟc[r+!8g/zf5 _gVg)FgY+=DFT #Y+"0FNU]z ZTTznbuRb?$ib .,8-] )qt9c:)RZDǴPч㏝e0H $b d-#"`YIYy^FxTHfips3kԪYb YMXHtʘ$gcD2cMKA1s/* {W;3yPJ^inc_vX-8f]7%FO0&8ڋ}4V%D/jԚ|`5•C?O!3x &)뽐/U6ut^Ҭ(PCTҚZtG߻,rqojX{_ cնլEV \}2?l­;ǚ vTem-yZB L6mK5.UmJ0]fu6dtl6PuN`h2׀i#nj#%ܱ4C*S鸳g-=FA,άfY%^.VZqOu5cWTPxbOueDUSo/΢ @ EK%\ː,*Q ݪkV (DmҺk RGWtS+R.1)Uz"o pXU5k=ެ""|"3Py 3mZU_EaU6=6_p3Xu!:xrV9Sq3U$-oؒ-* KF망fDmU]nZvQyeC,s=l;w2SdPd8'BK}aO "K߀}o`sXSaM~-5`}\ni^o6ti-#olUlVⵇ w6G}ݑ5LvW+F 5Ib˨fLgL'- TՋkeVsiC񣸶Ut6% B]]1U [?:x(YS+c 59:OhL'*pm|/@ų1Z&;V؃~d@ AjLS&blzM_!+s΂ fAE-8Qa})Xrs|ڍ|X"?}y^Ň\glwa'=T a:/Ndck_+,hhI8H{Z2ݦ A6yiEtr0a0l2;ߧ3!2"p B8tc@x$.:̞gLQ ݯ̐bAuA)3i=#)PWɛ3c#Eh?Su!z@Uoz|Y$UqE-`&_+.$kZR:NP}0tpU@!p,H-<"Z*ʳ `QI Hʫ2*D]|-GWn̹J9LӤilgޔenB 3LJ)/BLxڞr+/o(ۮ {33VYںR!1oD%u ͝yQ;W; _˳)7|O$#s.q j.=NFVMuy tVN0/d'_@'a˱Ta}A/^O endstream endobj 142 0 obj << /Annots [143 0 R 146 0 R] /BleedBox [0 0 612 792] /Contents [148 0 R 147 0 R 144 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1969 145 0 R >> >> /Type /Page >> endobj 143 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 144 0 obj << /Length 18 >> stream q /Iabc1969 Do Q endstream endobj 145 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc1976 20830 0 R /Gabc1986 20835 0 R >> /Font << /Fabc2001 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7iOvջCp<@Bsw4_` j*"$TT Mw1)7JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}ɾ? 5Ժ@AC5ypf Rgm-־0ZOt⩔L$u$r!o VNsV7>B#x?d_F<<9C9P2,dN9 \L \0% F1'#8F$!ëXN>;2!3ϡ*mтGŶ#C endstream endobj 146 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=11) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 147 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 148 0 obj << /Filter /FlateDecode /Length 3834 >> stream xڽn+ί9:@0`I! 0%3A`*nydlRb6wiLo)Wq}GZ~2ڰ(eVW^~|?2E&?I FE:/ F""\c5܂єy67X9֕Zq9մ~ ./"UoJ9۰ARh+Dd2iZPDZߧENK԰hk9`&eܢHPLY E؋T\8\Ӱ`:x?_9~*k Xb2 3R./B*QK+w  ?"pb:SeRS8vwEiZ"̱^7eE8`;^슻)lSl= ӵhEa1ޚʣ )@ER' R2 n(qL<.l~ oĊ7Zԓo PpV?>8O"o.n>͝B"HXh0 + ~o45{ju,X+4(9!0 k:O 2ǬʪZ{!*`3`7˩*YKfP=b{lwN q:o;:lUT:YNkdNP*+$2<_c\Ir! Qch)kpLtzv^}C~:U]k1lasC:i&wxѶ1C`m QVKIҝc' ztK0Sܭ'c]4I=Ss0TA ,k mr8Zįdi,Kl ]y%-cQ?Ņм嬴9QJ };FE% BG9h8ݣ{Z7< L~F0H!~\߿*-D\ ;C*_"*"v2GM!ʀ}4MQN'\[:Vk= t6n/eRs{ uQmlAZņ*;$F~+Z[C](VuZ[N1Xl (vmH[D Cc%6KYm-@A.)dq@Q6xp9[lC&)mN_#YˆIrkț}(,qx-'#<9ӊyUj.xOqP!dmaL$?2iݩWuI15 fX!"`9q9z(ǡI##t67"v+V,VNE2CZ.㦐@oր*3vTŃjwj;`ky[ FkA͢X77Sn 4TөẺK?VWy˫cibhexkuɴ訖` K3T1޼H\k^rvk2ޑ^*O?%YuUm{Js5qwrA` tJc8!Ѿq{nkFF -`yllCceǦhJj\mUc^i40ro7橡%aNC)ܪj(]q kwMX;[q`n͉?/mSa4 LpҚQuWx2wŌ}kRmFZu`I7zH3ut>/6DI(oTPKsnĎ:+D\,c :goF>o [SOfݢv˷z asdR=7skC3Sr+ڴV %-?yDChL$vfVx~_pAqJ<չ^** N#n|`߾`™e?g'v]V.m=J E̒x޵ȫM4[ک)8m6{ļ tTK˾ 8৥M$κ(+UR\x;K7H涠M S\]%C'T .SD>/}P-W3@eݮqQ0*b|s|B^_@R wq[= O{hcp0/TҒ*kic)mP6" :6̄͵K2vv~BezEV+pAXa=8e p^ Vw{CvT;:#`L9xZ{fJ_(:|apһzpK|A* x#hKDТI4H[qht MD  (U矈tPkmq `?$0˛8_%š>JEfA4`qhS] ҂\}֫BqL߈S} xTDO.5RUl̰TY9ZoN9樱p=.x[n8/_LA2q)by%44hEa.J ΋AjQ\i{V gŷj?$KD4gR m.%ԝjnkJ^ry\*ig % ߝ}G猍9,NY ܠ$d;F͉; X0q}Qs~E~6QӚxZdP]<#d^Ǘԃ\74+4Z8-",V~FRZiZL.Pj]0VS[ٕϠF|Xy UTNJQmG~$J endstream endobj 149 0 obj << /Annots [150 0 R 153 0 R] /BleedBox [0 0 612 792] /Contents [155 0 R 154 0 R 151 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2156 152 0 R >> >> /Type /Page >> endobj 150 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 151 0 obj << /Length 18 >> stream q /Iabc2156 Do Q endstream endobj 152 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2170 20830 0 R /Gabc2185 20835 0 R >> /Font << /Fabc2192 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70OvջCp<)k.@Br!9h7r ģ1H[&!#((`aԜiJSo"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]dߟ}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>?d*u$R&o2VK2 ufg[X݌Z5ŐL$K4>S~3cy/ KaEr63po.IU1" ^Ocj[}J JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 154 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 155 0 obj << /Filter /FlateDecode /Length 3023 >> stream xˎyk4 ymrrd6z!$)YngwECm"bюv?ώ1.CW?Z;~iS}^3Eׯ^1y=qgg`03r 8~8^;]yNH J"Ҽ꧒$ēmj!Q)8-i +Tw(NHޝhoa9&?PLm\^o%D?)7G;{H<~oD@0.@xD*0jM<)(zpFj}[kL$HUyeEAQ|y 2.$sGyܐw |yPvd& %Zgs4+"h=17aQӱjY-ǻO ﺞ\ ~v8o:4kj^RΟܣA{HRs0lgȃg' S,#yiǢZLNްZsj[y?&FSEɦHw$\l9dl'SM)}j}miQ鬍Y?WH@$y>/X CԊu^:ʏSb|HO|/|Sߢ4)g:][7jX0%'1{f;u4,)_A>ww~?ytGLSru]RpT}9Mz KpϺT\ mOmޙ)LT&ӰTCݍ'B\TĪ/)E=-T vNfN1jq\ :)uPa=I1H'1ݜtnƾk8ƶCEq̇Y Rkj[u?ג2l 0ƃ_w| [屌7~UZF@*0,'>vؕV#oDԅ3P=X{s:XE٧bseHKb|zpUn՗Ivy✗ ,xI#th:B28:Nu>9w1%oaQ5>$emS%F-](|tx=d\ q|HCSJSq%83kcJR<,7Ʒ1O 76~?mCƖã* fд@㔀 [[-Әk@G]3e*waS a890ɻ8 .Fʵ&Y;E#ͤ4A69ZC p0nfǰ |ńTˌ1wbҌ9 @F2}|``s(`E($x˂Hk g$kԺ@]x$o4c\-@M ׻z5o5[D X"r@h -O>X&YPg~ie~0tVF&PE +3 >~1ǕxJmɧe)D\X F0_dt\z:PsNQ$+S3q}1N/ UDO,C"Hga[2 ϵGG9-,[bеfYrAr/ľsku]Hq&XmI KoUnB .TV\F{B{& 9vv6rOC?h }eL/r=7π9_,^rQvoϵ( 0m٪Ũ[X'[T?VʶORqTȊ+nM$Lºvuυvǫi=u)'b9ӷ+='w7)D3S &UѮăqB HoRT;jL TIVjtYlDM"*x&BQeVx$ﲇao7>/h[s endstream endobj 156 0 obj << /Annots [157 0 R 160 0 R] /BleedBox [0 0 612 792] /Contents [162 0 R 161 0 R 158 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2361 159 0 R >> >> /Type /Page >> endobj 157 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062921-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 158 0 obj << /Length 18 >> stream q /Iabc2361 Do Q endstream endobj 159 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2381 20830 0 R /Gabc2383 20835 0 R >> /Font << /Fabc2402 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج Yv0Lm)1:}ɓ#,J[㫤̵RLsV7uT[I/d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^/jj; Jt١Pϡh#bwo/ endstream endobj 160 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=13) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 161 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 162 0 obj << /Filter /FlateDecode /Length 3951 >> stream xˎyk4hCn "Nf'R*EJrwmJT/RY +&3}5={7/ߧכ~kڰ(W╟~{RJg? >+|F`oot5onSzj xy-\`=Z7pc=7q_՞ [&m$g(cge@mBB!W"c 0HV׿MK) dY2;ũS=u Gu,#wu>=Ov^*HD3j͎ä%c-E]<~kAIE5BhfYm aLcZF1nm ŎO[XR&/di7`V]֕%w*eon+=x*:Ք@%U,:ԂmhSAH72vc,,13l9>n,wķf)9-[9{ɐݚQ SFjgֻQx0WetIEڹ1mӌo Zzx7 vц~ħt5"v!mɝ{Q?djk}FZh}Zï <R]à>-6=^ p.#rdKT\MM=m[bhչ%_0k{1$..he'-E؋HUnjZcj^ L0p렴t82IjԣG!g~ԽI%K:HQN,3CJy5H; -1(fb2 %^N? '5~HVa__/t>MT$҂I*wp/Wھ@ 9; 5Glqy`\Cΰp 8/'G]^?Ībt%*ЅsRCy]h^^4*kDZpaEr $+5ڳu;MX׿fP袥A Kq- nWwrp:L9=wc+#QO0p 0FeImk,C3Q:[()qcO׹K}55ՀPrm>/y5 ֪:;z2_oMRڂ҈cvop'_.:\Ip,3z4/|kڊ#^zҡe j?D㌫}]Qi^7oeGmo E{jvlbe>nʊM@cuHw*nI[?[l `h1@-}XS8.2}3SR+\uq+^&*\{;=cMwwa>l}SGZJxþ 4H:]oX`ꎦ="ڬuĹ*-1qG0Ly_!C-涀:a Q>Lc$$]!(GeN 6Dz8=e`kt|hIJ2O"%'F˘j/H j2:.pd_+[T|}0C3==y/1=,E aFO<~Wʌj mb5.eBfaxˑm8}&4F{F*l]Fi\{ƿ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2565 166 0 R >> >> /Type /Page >> endobj 164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 165 0 obj << /Length 18 >> stream q /Iabc2565 Do Q endstream endobj 166 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2580 20830 0 R /Gabc2582 20835 0 R >> /Font << /Fabc2597 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7OvջCp<)k.@Br!9h7r ģ1H[&!#((`aԜiJSo"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]dߟ}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>?d*u$R&o2VK2 ufg[X݌~ 5ŐL$K4>S~3cy/ KaEr63po.IU1" ^Ocj[}J JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 168 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 169 0 obj << /Filter /FlateDecode /Length 4174 >> stream xڵ\Ko$ W9@0v69,4nx^CR/J*3I='EReE^|]58l /IӋ]Z>_5v |rRLJ%٧r\=(<:^z׾#;yTyWEfB}#eũ1.oʕwƨ4N۸b2W҆|&cYq$?t?F/ {Hp]><Hԋ^^'m;P۟-JLZEY?fSV[c..Aj0 }!$\Hה(6ҴQEI~~)Oyqx(oJP([_F"\ HvFP. v7\l +Oqq$ g&i;Mz!.3_e86 u_*S$B 0·6sO"YPI['Z49O ǐU@[qsvU YˏՈ7wFgگу}g)Xc3c ~"5 ҍؙ&$z"f2E(t;J1jӨd :5hc2ڨk4H`oރ{3N& w\Cf 9EcW` 0N;Kl2\:QyM%$g&l963ɊOvsz|VAZU>.UmU? _WG nrڪ&W:èhW(vt%5G!_Zԑ{~&|hd*܏W?ZSdNh%Ydg'(WUgN T=:nIʼnzm\RܕKoz}cXZgRP>>X?7R=Wo%B?[@06sH<^r0:5rj]LXP9n|~ٻ uW\m1?*o\Ů}BGYt?^Ft #=u_E`{zν2%*100ٕgjUxRcH9v .a沝 UPϑȡEx n-d(y\Ԙm 8)jmDٟeAޜj]UE S4q_ƄqSRUWIrUTYKoܢypݣ&&n 5iV=PI bFz%󬿢B9#FǑcHL|j˟/97:VMC HYu\ߥ@V[yJh`#wRjRKT/q[: FGOܹSڗ*SSl-PNrJr1ջ]*KFDpԡ kks&`!ku|Z'u A83R|Ew-Ȫ-AXp]G%PiQR6+d*xxm^Ts` /J0 C h}vFуZơѹ&TC1tsY))2J*6%=5Pwa3T$ !{5" n]3z;9axZnBmgJp|&THެl.P侃Gzat| 䪒^u0[sVi1HCqM sKkf<湥YS:wCHwN#|+bbવWN0r9.[Vj<냰[㝤sYѫl9p*&_<rZ- 'N6@!R޹K*-uiR\Ժ;U}iTMٳ)kao!jgnj-y8]4frYd^;]8r0lUӜ:oqlxu s2h]bh ܿ2G'=k4{=f+`J`~.t֖ yi.Wcu3X}жHS_:ay 5F0DZÀh~hvhgmbJc9 yQb'ׁ-~ϋ_YÔ)]]5ĜJ`<L5KҦlEWȎ_v5q gj[͸1(C}-Z}}X4K Lf:+ 3YyÓU]z cw(`p+ۢZ #vz*-V˰J{f\儶k yEǸZM"W& ɡgݕ%̉ĸյv&5vދ0+VQ8$D-KrR}:}aX%̹W좬v>.TX} )0ǭާx~{jK'=C? Y.r[^}@ 'fWWn"鍞N 4A;ʟU{ l-plc̭>u[.@* []A*,KXu@_]~[>)U!-[=H.gDTxs|y7xǼ_dS'n;`R8ӤCNބvqWpm%ɤSC\=vVWn8O4:A%.$1iDzMػftfa8_Tt tQiaD.z!Cw8Ma#g$۴o*dFڵ;j+i ƈP暈;fJعL&֟f0: YGq[0>QkS*1v1bQK|AsQ2MZ.o^ K62  ]_K Y\랖L)ο+l)/;k:HP:ӳNESхM,ez̻tZ'GsM5l#H!&lcA=??}SpLI'`c.%7np}ݭ 8dh~B or )MM}Lni[>V9aK/lXeO:(56I=N^mŕG$<kQ}{}_ǚjOSrUF-y,Rgxbek=C>%ٗ,:E񄢔FQ^TݲMhm P<#fu0RY),Egca6:QXA?m l26,Su͊+e6F}\ endstream endobj 170 0 obj << /Annots [171 0 R 174 0 R] /BleedBox [0 0 612 792] /Contents [176 0 R 175 0 R 172 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2756 173 0 R >> >> /Type /Page >> endobj 171 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 172 0 obj << /Length 18 >> stream q /Iabc2756 Do Q endstream endobj 173 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2762 20830 0 R /Gabc2781 20835 0 R >> /Font << /Fabc2783 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iTM`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM(Y endstream endobj 174 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=15) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 175 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 176 0 obj << /Filter /FlateDecode /Length 4592 >> stream x\K丑Wl 5|S TfU.|Xw=QeF0OIYʬÀY)`1H)Z*w¯CfY#I,OW+V0)T5yOJYzWwV*giy%Qt )~o yUj6RY~G#4QKjzTP7' 0 $ZDVI,>IJ,:{zCjTFHsA=5r'ֱU%>~tF~ b~*`$6PϽѲX {bry56xByX܃̠qkĕ(fQxeA'e1K3UqLHHUtZt mlmL&]^A,&z8m]wAC"X,/YdGVY670g \aCT~ bYߔTD6ڎr>-8B<@sZMtu+~cswԵ3)8X0#i,17^33ňҳq-b {+ׄs#QTn!i0 @vVl0=ҏ/E',TPOu@Ԧ.q T=aAƉl@a~Z/iIF4SF3 o81c59B %J̵ʡ>ʀhHpP@yP8tYN5 G3?dؗCդd XaTn(70.4 @BT>˅_{xWjw? @]; U:csIŘ,"G}̹.(o,ZoƤ r~˖S HmJY},pH\zr51&@|AI΂32L:.vYhyAc/'v)b@8c- o8~06l}"!dz|e @N+B*.`1b+Bl9_^\@1iK(Wp񅳷k~M9FBf!`)Y#`275rM3s1|Y2̥,5VhΖQ&IݱF̫~ӑݞNNr ɤO:a,n/s5nLy+Lo'MXQ[9|sveZt\mĪ V̤KTR0L&Eĸ13|st3Fo΄^c(/eEi^@љ3Ql;-y{ƶS΢JISI|Y^6 iWds @''6)ɭ0vHDA9MH_̑4x%5 vݣK/!uT*RFa>>^nAò:')ԻK9GJk&;Y,ZC E}M9vba] w)|iţq-!M6HS_0oB=6v*b}i ڨ۔s/p\3D >WDsQUr'.p N ZA_kpo<e*@C|O8(-mZZ#>MaX-bo)>'ElM!G58jy8qQ]࿦xJoF#wnyg3{{,%7ZDM>!Rpu 54tQUطacQ5! UZ ;̴,@ਬGc4צ޾__YTΎ6 =;Ӥ`^N}`̲2;D?ytәc!öo>{@ Lq!3\[;m7xr3k}hEIGjw~xn8bum=AO8DSm$v?^m) pR|z\m;| |ޅ Q"E_#'i;W/Oѳ,7".-}Ju*unN]Ҕ.R,>Pd _EQjdebZwN Iv- kl3&$jSfUIE8zcHk%ގW_ \Hcr{ھQv5(Z+ UUyi{ m*H*b` ˬnrXmK(zwJLlEחJqNv0x\jG**Q%Yu+{IBW0oM 6(Z $Zn4ug #qFxOCl6RQrXlm D3|mS.M \MQ[F۰Cl.Eh !pMs` E%ց2œm\&g0zp XѸͨAZ3ӠhlkYo_prm'(O &)y0pPRk;EdyT$t3oó-Sq3bn]EdmkMfU eAjmQ=VLA6z,cBPՍ ~(6#gޠI%-;ił&[D&Be,͊,9>rA `ZfVw}Xrsת$n8[9$o(!@[tkWbi\! ލr3‹˲‹ilٴ;ny6b6ŌU6smIGϱBOc.5F+wzw'"Fv`F(k)DсlAZ(Q6p֑ٮK4)NQ&LkM">u2t2-L-C!Lmşzm=L-?M?Sb S0%>͂)SK0%6`J~z\20%V0% LmzV[0%SbcQ%k_)M'$X6u/Lϳ;aJ̦)q(l8L}0%dS,'0%nfS”M= Sbo 0%[[߁w%jhT H-TS@p2It_1J(>e.u՞ܢUyo򱕞葩A"ۄh!H:S?~eWxᆇlşO u%I>OmkޅE/{;, wg (fxK$C:zi_Thb\SvQ57C?hCKp~\(nӂJ,k{V,+8_7N܄?Hj&] Hoq9*Oۀw.3w/2o_^S-%NβQCS|0dzZCDtvhS(r'y>߆1{"4E hU@U0Ⴀ7ReZX2`hA]'\pqrA[aP".` FӍrbs,הcғ \Oh.*JPRS"̭T=R0v/ >Rx7UI8*^ |p(rUw8e斘i;e:fdx,KT]Oq ub6; $19B[lX9b_]buT.;NMqn>[{Ƃ,Uk.'}:YWK1=/EiӔ(﵍*,rY"8ߖdX2D*Qy-Mn bJZJe|+PqQB2L R/m&6k̊z>^,<6kҷ[{7;0 TRM`؅މ6|45mmo>?J?<*)4Ki@L:|JUY*VO[,5필jHt뢴:_/yKgZWw3{t }c`Yrv.h.~r?Nu%g!pN'Ag>{f%Д*&6EzҦ'*>B$ M,Gi؁ R#FE$l.KQI4#Թ%M;m}.G> endstream endobj 177 0 obj << /Annots [178 0 R 181 0 R] /BleedBox [0 0 612 792] /Contents [183 0 R 182 0 R 179 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc2948 180 0 R >> >> /Type /Page >> endobj 178 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 179 0 obj << /Length 18 >> stream q /Iabc2948 Do Q endstream endobj 180 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc2957 20830 0 R /Gabc2968 20835 0 R >> /Font << /Fabc2983 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 182 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 183 0 obj << /Filter /FlateDecode /Length 5312 >> stream x]Y8~$H;[}_O\$ʖ)2~ ~Lv>Xg`Up|qYi5}( eٮZ+jتs?6*zjتtomftUzڪ4F7fTF9ИSxlU< 0Fv LVؼQUK#W-w_=wՆԲ(j﷿gPo&@M_?z~OP|U qq}o^f{aԉYX̣,v^1`փiqˁwFhbk*\a\ d  ߙN(3s V5.l-(Z>`y5\j-T[Xu%TB$ )4Tvoӄ=q<[2.N_rKrK*X7qU0Wœs*`7(,>O’8OZ\o;e͢'K~,G9 ҰMO}:ѿ^v腘╧¸P8&@fPFUo&4u[̀={cuEӘ$]L猸8Suf]Zk4}:[sKts;cm1P'SXvD h{T2[;X}`Pt4vXcGp'{3t__8hp M6ڄxmžqS3PPOɨ`Q/J='^z^FD'fԛIW('gԯ_Q2{tOV*rl%H=_qkJ''ڙaP6U͟)@ '@rٸKA'#*iJW^~2}hFY~qJCPT]̜Å<,{ Ҽ Vw*Ww$M}{)AYК@<=a̾v3|N|rWQ@怯CF Y-}A9ȏgi%T4Z^PѥHp6b]k=3pa94*FHMU؛&b dMBoFqor;|h_Ym ͗n~'d Z$a,8q 6d(P-3>L0vH]ɒ Xh@(j4%"XG9x$LÈ_UőL޵@"12io{A# k2I=3{Mش/k7Iyxv4\ C^٣{;-3Yr*KT6Cw>A3[kYDk.H>DIƏd]fF;B;~_<99oXl#zP^G `|!1wɃ}_d/VotZͷ1I3O:q'y4NJ6 pD֮ ^O@vH Nig6qr:QS::ǣ0y'  JT0T&G{'CC|4V}MGf}ֹt64zDyj3J ّG%z^(2:Yl jO8ZLc=.l"[pйqWKE]X*1k>Կq ]ٽv ;:8h2d0Co f`=E't@nn@f \*c C~Uy"hQiqFXcqpPxt 錶䙣'wbTݸ^F/-ZZS5*.f ,эD`5da xœØ=%Ҥы%͡F:9 :]+4p݂Ct(M:h9D[1߶J .'ࣣ({o6\YXD4_ L^#Db` qFX:*U! *"YT[F3j:1~|8cX18X/!;$0 gf(a ?dQ/ÈFr;F ڈnbK)>nQɠXc=5D QKo` t?}p¼S/6 mD ?d(J\]+JAHozd8C䥜Z[L"uvx.jf¿qү}zJ1MdLC2V!rK˿Udg*#,2n%g) jO=Q @vAz(u"rOu 1 n$eT|[ƺ3)~1{цe~\?s K`s'||aD4GRPq63W{zo(Oу=DL0~nlo}@#?o|%֚2[7tQKT\9vs^WK;V]]:s !&-!rئ[_姪c1ÌjO@#r*7}&R;6GYk$*5eRutY8<2&r X(< D#Cu\Z`QN, 1K>2X4 3ߢF1f]c+feM8Z͠iuxXew ;b|VSoEV-p9 ؎oh.FIԔHOu+:" =FZ: 5 |!Ny9VDlJgtp$ <$rjb{",sq690\X.%(?~p*=(% HR 0^} |pOe(KJnqKښ =9K4 YK\Ti|^b#0tbĹ=M&G *OM6$ȇP϶doE sCMf~Y[~}RH2b:GD/hx'^Es@1;+txKC_|.܈o6HT`6񗶹x mP~n蕗g!Xיyy9$ɉEXPyG ͑.?"S";7>`l/\ZD endstream endobj 184 0 obj << /Annots [185 0 R 188 0 R] /BleedBox [0 0 612 792] /Contents [190 0 R 189 0 R 186 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3144 187 0 R >> >> /Type /Page >> endobj 185 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 186 0 obj << /Length 18 >> stream q /Iabc3144 Do Q endstream endobj 187 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3151 20830 0 R /Gabc3161 20835 0 R >> /Font << /Fabc3172 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶Gw5ŐL$E d_ )2̼d9 M \09 `FcU$!ëEWmGyݝC.;p94C-z^lM endstream endobj 188 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=17) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 189 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 190 0 obj << /Filter /FlateDecode /Length 4906 >> stream x{O%^>#4`ܲО[ۓ@W[k- .(t~5/N0x07g DHE zKʯ1FsČ`D^t0†Kw@¤U}1Rpu%nVm=֜g`@m~-+47ީyNz{eIPEWj \_C&,8¢oœRPot$&!3ȱM*l&dl΄q:.c2csAX[Ji]m }"%z +4Җ5"h xvݐB$I_h{)Ċecq:1K.gH'1#󚀊Dd+B]2=uÜaM0G$N%`[LόЄw8ɍOK<  ƽ%h' YˁvSfߊ]cGe{VؤYwh뮃űbjq<-qƕ5Ǡͬ8:hScX?aԡe҅шUɵ +mF+h]e#T> ! ۞`Le}^ i\FǺGqsdXL$_ƆBL$O0B9AZ-^;_~=ֺV5 DƠ)WNSˇ0hDa|9}Fsb\;Mr'%Rb_$[κ`1~ccv'$J,:ቅQ1XPO#pCt̫3Sb|ڙLgykt;a])[wST* ̤ Ɣ~#e(uݸ`qgo5m&MJւ7odjC *3EeEj׮ p NcKˌH^VSr}$1ԅA!3Tx?GA0$:.3%3=Ѓ|ñ mI gWc|P;^^wV3+`\I߾++"ReuЕ)Ka f.1߬ xkXk5RoǟiP@=9c]ŸJڠZL+PK04X:_"xכo\ɔop} } ^%2:X^ 鵄5;ojkbn~Go hԪ#;Tœwg",\~Y~HP֐ }@7i_bS\ Byą7_Tb$],Ed8|3֬/H-iږM$PfH@+Z c⾥ze0`yFDÕ}dP6mNWD vFW\!8D;ڄ7@<$a\cBNgBFu!u(DޗҚyjm];,N ɾAq}y_00LBZƮq] ;S`8KU 0LF|Dklzx KmD@QCkPg–A*]/ȎzG5veg XDiT?Rѽ`<W+Ogt%JlbmX8 l#8`LT-b@KFf*?FPI>oRVʯo dE*&k"Q> d]:qƥB DQ\;3gkfxA`wF YW6Ի9lIȡmő1{lsO͜jomؠ.ˮstJܣM F79!f/Mj}Ժs/(Ldq>AFvWȗx Œ/Z~MU Tc=9`K5)X ;~Ny?y=.DDBDCGܐջXC/׬0)Ǽm{-DQSy?(23 Aʷ_k 5etm, $ =0Bmm 16f;YUwn&304zV*h+Sݽp͗0ڝz2+tFڗ6Ns7jW .Nף>wzP*YoomBa "aJO߳evya>믶A0)'Ѕ.U(ˆ,ODu[0g'X,@8PVMw2Zb]`KI jiGj(=R-k9rE0<PI;ԥRt5t#Qn$wXb<&& ^0d 2lޅcr~Hifn}\3\1pPʜ~7Hi-Dʧ]@}um8kԻ@\x k6tC[h Cr(wQ<^-O}UƛZ,9b-yF&^P;?\ԙMI:A\UquEgEr{RS85xIn\<^"5e,. F05l_p//*ja1x'J7:)`֥*@ON U[.Ur׶3, QK{} ٽim_:|PCc֚m)[;Palq-׭XA4Ks~)΍Ʒ9b\P}, 6.ۍ>+R㆔w{tG?\nJ,Or{XJut\”[)Z [ᎢEOjV?`*;2 I ,ۺR!kMwȉZX޾7m >^#/I8lGk;7>Nĩ%d/xP$$J:C}pBRj%b#R!p=^h%} |kQߑc@ %XZ'U"$B S0#+{^ d4JSq0l u/ endstream endobj 191 0 obj << /Annots [192 0 R 193 0 R 196 0 R] /BleedBox [0 0 612 792] /Contents [198 0 R 197 0 R 194 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3336 195 0 R >> >> /Type /Page >> endobj 192 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug912-vivado-properties.pdf) >> /Border [0 0 0] /Contents (UG912) /M (D:20211013062922-08'00') /Rect [218.612 253.4 253.878 264.4] /Subtype /Link /Type /Annot >> endobj 193 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 194 0 obj << /Length 18 >> stream q /Iabc3336 Do Q endstream endobj 195 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3338 20830 0 R /Gabc3352 20835 0 R >> /Font << /Fabc3359 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nQOvջCp<)k.@Br!sw4_P%c I`2(.X5g$𛈺ʜfU}IRG"e*)sm.\gy4r/d"Xl˒sKhf>eV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽?e endstream endobj 196 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=18) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 197 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 198 0 obj << /Filter /FlateDecode /Length 4368 >> stream xڽɎ#ίـ*@h%6q99ub&۸Tinǎǚ*Ƿ-M[m:U>cb?/gկ?>-@Yqo O3@wkL>SC q<Ӊ߱oUʓv@sa`4xbcI(AD}2>)l:3Ol~9>ig(,BU`,"6ClC6ufw8G&nB69>)'7XnmpxlZ_E,_^7mG y<̑lvDR Na+"kfҸ_jN@ׯrf#<1AƱ0"Sg$(7BѱxkEE7|m$⬢Q;dH֓j}/Զ6I,@hi4&>T-N׳L?o?o<6vgmgSisyOqP=:on۰"L?&2pA8T><'(%϶>_B#N 9KXdoZ>a9DͶdSvt>BpEn9/wϹѤ-}4AGtm~FwCX1٣KkT&`0yΗ32ӏ۲VG5lR7{ZZw'R"wu}W+j2 wq(IA>\'*сݯ}rвAQ\j+#?}jz8p$LWF'iߧNl&"zգ[@LJ݀ Nn#AV 97♫_ӡItAT#%]Yoq?*HP͑cS;:Rh[2jgRK s87vG0 'w*^i8rY *m^]-rķ#F1j<rYT@TqZ,aS$x(E3 b=}^]0o:,)h 9&9űvɰseF "s[ @OL&bBC9rR`g@4 EJ w8TuvK`@ls`0`w[بvhG0GכƳ(ǩkW @GSo#)w$)w_@  j7C;BYKdM,4S]wOA_߯+ *I,K:~Ce X *K(uDf)`̒Q]iKW Xql,ܾ Pm<Ǯ0,Tt(Z+p?=*5 :P#Thz)`^ߨap9 u*(F^Wl]P[K8mL0uGg@V=:=$0@=˰7-: n `N3 &ua%S`# Dj(H(q(9ԩ\-{X)x GK 2[hoETG 6V n Io;ÆδBz =(L&1)TVM쎏8Ȳ9wj;鶫l[BF# /u]{UfOlRf/? .rG#`;\G<1P퇟brBwo~IMM%mK>dK"$p+H|%4 4T`)mIvCv78lڛ̮Rlω }Up &6I^&&Y뉏 /ոPԽT| JhLgM3üZzB|yZ_i _YHyJZK 6{oCO"C1NrEá@LzNbq\ܯ?Yjg#HrО$B"Qtb(G K*ۦuV{Kqܐ\RL qCQ0׫ X?̇D»9f>az]W]qڎ܎\8aJW(/=)YfTUWo8sM8>3qbN{Q[6tۖdzzjm0i)QrN9jOז]MR>Ÿ-ӴIIss,q/bOWtImre1a7~ad1mg[׻ݚb8;,|kgX2! bϊ'KUi{N=\5Zt\ݾrdҜnsޖQئLh(wҳ#wfJ4Ac XZZs?1|m'[}!27kЧKz$4O >#I3y p{THc;VprĖe0}I,fteod&D3m؆˴HUY1-%F\Ci"mwطˍ󍬱E Mǭh4ckUD>G?2Xy?t"DLRcNDD.:L8>:dQw*u}N<+(Pf[^[F򝲸j1|"?8襌H]TZqwowz U)>['BBj4` ռ[A)pޱRn"&O ۔Qq:e*cMmШUc҇#K,1Y .X׸ةd)0EBG2yX VT?Ge˦J8AHb,y:Č ; "n`}k1W[@G w-VwaOkކ"UP jWq ̞I{;-TKl=&vbd !3KLs=ݲZ LV(C!p;pUN'θz_W j"YbIT©7xD*ߦGM2+] <5{1[@y7ϳm|ODM7[) k!`xAWxMCok}VfxI#`skd!#V^Ll/\H]ҿג/ɍ|*̳A$m|e,vF+Ig/TehxevJ25ވR㋉}V~*aY-mְ /ȭ[i#.c tn,F!50;"x!-GSwيkhfѿ0<,En\:dsĸVYd@2Ol C;+sۍvisnD_<f#U-ιRQ2)zU?púdI.LU;o@ oc c8: ς%#~h%Զr[^*}nI9˻gQ9{j< ~Y3 iKӈ7; @uGbei1$ PDV RʁW>L"jB܆_ `yA/9 endstream endobj 199 0 obj << /Annots [200 0 R 203 0 R] /BleedBox [0 0 612 792] /Contents [205 0 R 204 0 R 201 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F1 24985 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3510 202 0 R >> >> /Type /Page >> endobj 200 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 201 0 obj << /Length 18 >> stream q /Iabc3510 Do Q endstream endobj 202 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3525 20830 0 R /Gabc3533 20835 0 R >> /Font << /Fabc3548 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶GUk5ŐL$E d_ )2̼d9 M \09 `FcU$!ëEWmGyݝC.;p94C-z^lM endstream endobj 203 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=19) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 204 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 205 0 obj << /Filter /FlateDecode /Length 6654 >> stream x]K;nWzS0.#Y٤'w.#)6$H˗-_4kunIqU¹[kߧ/Zk]֘O4hsum7q-Dn?:+i?*J?\TU[M>۶F5=fWKKY.嵵ZTuۨ+KZIF;J[{wG4 t@`>LE?ݧr|m#o4r%ӿXY/˧.>NFT)٧g5踜h^HHGt\Nt4׮/|J@z֭-%FQ9O~SҧH?)OeF-~ݓslGm=Ҩ%%|mz1Q +\LzbqHN8|9 rOz~& }J$탇8-$*$ eͷIFk&ZIR$(l2#tn;!s, 6K/MWὕWNDۼJ \%35>$ v6NѺ=eߠFc[m ^Q[٭X 5a5-&yf6O_7mAZ|#A/{gҍP-eY)[Iπ~/]^k%DEomq|wGf~&}']n4>8uH^Hbc&@a_qd[Ň*@[ .71X-QsO iU҂2WM~%uPQSBQh\Ï܉hd9I @Ugl)WQw}Ϛ'H& + |ͫ'Gk_rǵ\kvԸʖt" $2I-*jGSa,4I';d׸:*yR$`pQuς9P~~ؑx @ Yx~͹fY#]}x.9J29*QV~&;zƞx32Ol%iNkEN/c5.zeD +^/P89mmg""ό<izjd<*Bʖz %q3iI& Qs՝ͨ1Vv#G<1#=&1Hܷ\Z9E*w/CSD: -L %mGbvQ tNWD Ly,K4Vq`ןjI^٢Umi&SoXrC$YKY0OO-E^y_ ?>ܶ1_F^VLX $a<0‘AscaH׃dcN3Qh #e5\\zE>=\F́krdY"MڶL5]_Ra9D,A)ٙDʔe8~@aWJ"1'f[b&j &Z6u]cE(+XDެ{&ڊ(LQRDe@K/MG̳I뉚0hū咙\~RuDݑ;,*{1Z'n]eCCk}b Y^@9,w"9lP@(̄.hkD&e0LgR.lq_H"IG]"㢍qЊ$r >Y&S*)B2!¿kHNo@-:7`T`J2~mB\5>-(= E5dyPʝT':Dxl-A 'q|՞"3J) 2 UMciיְ9a~:K'buڿx:a>0/EJB/})ǝygw3y2!K hoq}B\ƞ2oW@x1/݌Uo8G yJ3t1nb״ ] F6BFTsZ8lGA}8K.+ЄxUGIILr,~꤀J+@K.'Z=VW#h4{<e`mwnZ8ٳûE5uJ8B!$&&kO8Hg'Oo=bG%YZt/z'*WiCJ6El6|GŵF+%?ՑkK.o_``ڽx?zkb|穿2w@^m3Gk3e(${ާx^{.I;|q { R#/~yI\Ɏg=۟%Fr-֚g7Cp}g}HB$.r3h.aw%S"թz QQ:Zb%yBx/-LL_gm@[~/PU~ wBˤMP; i?<C0 ~ShΩs:m~+Ba#5g傳})LAar>7DkP\1m,*X1w’2G탽Ax0 ,'!V^ak}K_ c wgDAkuykg(1xd츔:vY( پv& GRLY&YQ~8غk1 ,ظ/OR Ρ6K$tuXr%;E!IDNC,>EvX7u腌DumRyIA`cPwҵw"<]b\y3HG2<ɶ), AjDCL #tIJHٜ^݉ kƹҗ ÍYܳ!f) {@Df`ivPrJ QjfcO%|@8ǝB~1ZY|oU,aUF'9ې[ 6VǍ[,DI&+g 2*( e'Pz^~SQ|MH3Yx#c~F/% /j(H\en-Ŋ,זDEވ^ d &p?Xl QIT.>N}.i{mumԽ-%ApPxy+[⣏s4;=J9|67gf4c8q2ZFֽJaȑ٧1Vo0/•O؛b<[! 0T,3kY B`nш9 ; m(bcصY}Uɋ0;~ևvopTD컮 *ai6@A Hhm1gT3KRyK;`u 懣Q;INW~k0uh[7-8G p\۬[y0ݻ`$i1g;\e [+Mq𢡄ї 1ƾ+=?(= z׆Ndw_e'W.ж=&<Kz1F Ny~cfWM5L9!G¹o9:-۰ʭNge"fҞ'bS/s<:ᯕ a]y׹b,/?vϮg$xqm#5f[.SO5)̵ `OәoySoJPV7V8_?)>fZB{)\z/PB\zZ*?sg~24D6Η]hsЌ<=ȐpѦ*4;m(. FL5P*siOI&*1:M;eYZŦ 2*tiOVĪ5+2/+93|?jo6~=>WA6P^+Q^i~;$v`"Ѳ%|/σn:91lS:#6&2ō`TԖ?܂sl}VB?WYc˞4VIs7V亽t%8^{H($#$$Wr~zI}r. ,;h>&鏓L|GbȰ;w2ͩ~S= >O) I?w3?4#{G$3!{'7Ptގo86媠M"Az!ۇ=4v }zH2 h}?Hz!\ԃ E?R ub~pڙwH9'%clEq8FJ' -2zn endstream endobj 206 0 obj << /Annots [207 0 R 210 0 R] /BleedBox [0 0 612 792] /Contents [212 0 R 211 0 R 208 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc3702 209 0 R >> >> /Type /Page >> endobj 207 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 208 0 obj << /Length 18 >> stream q /Iabc3702 Do Q endstream endobj 209 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3712 20830 0 R /Gabc3727 20835 0 R >> /Font << /Fabc3729 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQG>x|1$ɾ d_ EA2T>eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽?. endstream endobj 210 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=20) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 211 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 212 0 obj << /Filter /FlateDecode /Length 1750 >> stream xڥXn6+x (F! dv?U7mTbgB\~zmhߗQsXVc-FI6۝Is: c]X1PMc!aA h Kz iv[eb:Oy/r']*W*wwc!qm3}%d!12Ys.#h}╓]?5p@6euՏpEP3\XʇJCvO/1ng&dIcjkz/s3p$jX\8w+K;ZͲ ۆӾJ2{u'+wӎ!/4~^T3gYgTƞ'62L hMzec1M5sgyu$̲wׅm1M,OmƷ]ۘ1gP^E'Re5erWIu,W %ؼY-[tli- l";bHߙ2. 89ś%v;p%ؔ[ \K)Gz\hKtn.#k>k#LwXm54ɒ.Jj̀KC$][aTB:/d e2.$*55/U,<Ta4%={aOKrRU~eE]H<ء"7e<](_bM,j$q[}-pI S%e 0őϊ'wm͏ㄻ}T ٍOl-~f8\Bя/io)#z̄OKfp<߁?JKĀtZm%E> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc110 215 0 R /Iabc21332 286 0 R /Iabc23443 288 0 R /Iabc3887 219 0 R >> >> /Type /Page >> endobj 214 0 obj << /Length 17 >> stream q /Iabc110 Do Q endstream endobj 215 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc112 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 216 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 217 0 obj [216 0 R 221 0 R 222 0 R 223 0 R 224 0 R 225 0 R 226 0 R 227 0 R 228 0 R 229 0 R 230 0 R 231 0 R 232 0 R 233 0 R 234 0 R 235 0 R 236 0 R 237 0 R 238 0 R 239 0 R 240 0 R 241 0 R 242 0 R 243 0 R 244 0 R 245 0 R 246 0 R 247 0 R 248 0 R 249 0 R 250 0 R 251 0 R 252 0 R 253 0 R 254 0 R 255 0 R 256 0 R 257 0 R 258 0 R 259 0 R 260 0 R 261 0 R 262 0 R 263 0 R 264 0 R 265 0 R 266 0 R 267 0 R 268 0 R 269 0 R 270 0 R 271 0 R 272 0 R 273 0 R 274 0 R 275 0 R 276 0 R 277 0 R 278 0 R 279 0 R 280 0 R 281 0 R 282 0 R 283 0 R 220 0 R] endobj 218 0 obj << /Length 18 >> stream q /Iabc3887 Do Q endstream endobj 219 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc3894 20830 0 R /Gabc3903 20835 0 R >> /Font << /Fabc3918 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶Gj5ŐL$E d_ )2̼d9 M \09 `" ^/jj; Jt١Pϡh#bwo endstream endobj 220 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=21) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 221 0 obj << /A << /D (unique_77_Connect_42_bitgen_commands) /S /GoTo >> /Border [0 0 0] /Contents (Bitgen) /M (D:20211013063105-08'00') /Rect [93 524.8 117.5273 532.8] /Subtype /Link /Type /Annot >> endobj 222 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [249 524.8 271.6758 532.8] /Subtype /Link /Type /Annot >> endobj 223 0 obj << /A << /D (unique_77_Connect_42_cdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (CDC) /M (D:20211013063105-08'00') /Rect [405 524.8 420.9492 532.8] /Subtype /Link /Type /Annot >> endobj 224 0 obj << /A << /D (unique_77_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20211013063105-08'00') /Rect [93 510.6 172.4297 518.6] /Subtype /Link /Type /Annot >> endobj 225 0 obj << /A << /D (unique_77_Connect_42_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (Configuration) /M (D:20211013063105-08'00') /Rect [249 510.6 300.9727 518.6] /Subtype /Link /Type /Annot >> endobj 226 0 obj << /A << /D (unique_77_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20211013063105-08'00') /Rect [405 510.6 468.5547 518.6] /Subtype /Link /Type /Annot >> endobj 227 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [93 496.4 118.1406 504.4] /Subtype /Link /Type /Annot >> endobj 228 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [249 496.4 273.75 504.4] /Subtype /Link /Type /Annot >> endobj 229 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [405 496.4 420.8711 504.4] /Subtype /Link /Type /Annot >> endobj 230 0 obj << /A << /D (unique_77_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20211013063105-08'00') /Rect [93 482.2 130.1211 490.2] /Subtype /Link /Type /Annot >> endobj 231 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [249 482.2 270.7461 490.2] /Subtype /Link /Type /Annot >> endobj 232 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [405 482.2 440.6172 490.2] /Subtype /Link /Type /Annot >> endobj 233 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [93 468 135.2383 476] /Subtype /Link /Type /Annot >> endobj 234 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [249 468 286.082 476] /Subtype /Link /Type /Annot >> endobj 235 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [405 468 429.8164 476] /Subtype /Link /Type /Annot >> endobj 236 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [93 453.8 139.2734 461.8] /Subtype /Link /Type /Annot >> endobj 237 0 obj << /A << /D (unique_77_Connect_42_lint_commands) /S /GoTo >> /Border [0 0 0] /Contents (Lint) /M (D:20211013063105-08'00') /Rect [249 453.8 263.0859 461.8] /Subtype /Link /Type /Annot >> endobj 238 0 obj << /A << /D (unique_77_Connect_42_memory_commands) /S /GoTo >> /Border [0 0 0] /Contents (Memory) /M (D:20211013063105-08'00') /Rect [405 453.8 436.4727 461.8] /Subtype /Link /Type /Annot >> endobj 239 0 obj << /A << /D (unique_77_Connect_42_memory_initialization_commands) /S /GoTo >> /Border [0 0 0] /Contents (Memory Initialization) /M (D:20211013063105-08'00') /Rect [93 439.6 172.8164 447.6] /Subtype /Link /Type /Annot >> endobj 240 0 obj << /A << /D (unique_77_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20211013063105-08'00') /Rect [249 439.6 299.1055 447.6] /Subtype /Link /Type /Annot >> endobj 241 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [405 439.6 429.3203 447.6] /Subtype /Link /Type /Annot >> endobj 242 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [93 425.4 117.4688 433.4] /Subtype /Link /Type /Annot >> endobj 243 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [249 425.4 281.3164 433.4] /Subtype /Link /Type /Annot >> endobj 244 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [405 425.4 450.0586 433.4] /Subtype /Link /Type /Annot >> endobj 245 0 obj << /A << /D (unique_77_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20211013063105-08'00') /Rect [93 411.2 125.6562 419.2] /Subtype /Link /Type /Annot >> endobj 246 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [249 411.2 272.707 419.2] /Subtype /Link /Type /Annot >> endobj 247 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [405 411.2 431.207 419.2] /Subtype /Link /Type /Annot >> endobj 248 0 obj << /A << /D (unique_77_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20211013063105-08'00') /Rect [93 397 123.8398 405] /Subtype /Link /Type /Annot >> endobj 249 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [249 397 336.3281 405] /Subtype /Link /Type /Annot >> endobj 250 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [405 397 430.4414 405] /Subtype /Link /Type /Annot >> endobj 251 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [93 382.8 108.2852 390.8] /Subtype /Link /Type /Annot >> endobj 252 0 obj << /A << /D (unique_77_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (simulation) /M (D:20211013063105-08'00') /Rect [249 382.8 288.6055 390.8] /Subtype /Link /Type /Annot >> endobj 253 0 obj << /A << /D (unique_77_Connect_42_synthesis_commands) /S /GoTo >> /Border [0 0 0] /Contents (synthesis) /M (D:20211013063105-08'00') /Rect [405 382.8 439.9258 390.8] /Subtype /Link /Type /Annot >> endobj 254 0 obj << /A << /D (unique_77_Connect_42_sysgen_commands) /S /GoTo >> /Border [0 0 0] /Contents (SysGen) /M (D:20211013063105-08'00') /Rect [93 368.6 120.582 376.6] /Subtype /Link /Type /Annot >> endobj 255 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [249 368.6 274.9219 376.6] /Subtype /Link /Type /Annot >> endobj 256 0 obj << /A << /D (unique_77_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20211013063105-08'00') /Rect [405 368.6 448.2734 376.6] /Subtype /Link /Type /Annot >> endobj 257 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [93 354.4 112.75 362.4] /Subtype /Link /Type /Annot >> endobj 258 0 obj << /A << /D (unique_77_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20211013063105-08'00') /Rect [249 354.4 264.6445 362.4] /Subtype /Link /Type /Annot >> endobj 259 0 obj << /A << /D (unique_77_Connect_42_vivado_preferences_commands) /S /GoTo >> /Border [0 0 0] /Contents (vivado_preferences) /M (D:20211013063105-08'00') /Rect [405 354.4 477.5195 362.4] /Subtype /Link /Type /Annot >> endobj 260 0 obj << /A << /D (unique_77_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20211013063105-08'00') /Rect [93 340.2 118.793 348.2] /Subtype /Link /Type /Annot >> endobj 261 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [249 340.2 287.8047 348.2] /Subtype /Link /Type /Annot >> endobj 262 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [405 340.2 420.582 348.2] /Subtype /Link /Type /Annot >> endobj 263 0 obj << /A << /D (unique_77_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20211013063105-08'00') /Rect [93 326 140.6797 334] /Subtype /Link /Type /Annot >> endobj 264 0 obj << /A << /D (unique_77_Connect_42_xps_commands) /S /GoTo >> /Border [0 0 0] /Contents (XPS) /M (D:20211013063105-08'00') /Rect [249 326 262.918 334] /Subtype /Link /Type /Annot >> endobj 265 0 obj << /A << /D (unique_78) /S /GoTo >> /Border [0 0 0] /Contents (calc_config_time) /M (D:20211013063105-08'00') /Rect [93 206.4 154.1133 214.4] /Subtype /Link /Type /Annot >> endobj 266 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (apply_board_connection) /M (D:20211013063105-08'00') /Rect [93 152 184.1055 160] /Subtype /Link /Type /Annot >> endobj 267 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (current_board) /M (D:20211013063105-08'00') /Rect [249 152 302.3477 160] /Subtype /Link /Type /Annot >> endobj 268 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [405 152 477.2383 160] /Subtype /Link /Type /Annot >> endobj 269 0 obj << /A << /D (unique_82) /S /GoTo >> /Border [0 0 0] /Contents (get_board_bus_nets) /M (D:20211013063105-08'00') /Rect [93 137.8 167.4609 145.8] /Subtype /Link /Type /Annot >> endobj 270 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [249 137.8 312.3398 145.8] /Subtype /Link /Type /Annot >> endobj 271 0 obj << /A << /D (unique_84) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_interfaces) /M (D:20211013063105-08'00') /Rect [405 137.8 529.9336 145.8] /Subtype /Link /Type /Annot >> endobj 272 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_modes) /M (D:20211013063105-08'00') /Rect [93 123.6 206.3828 131.6] /Subtype /Link /Type /Annot >> endobj 273 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_pins) /M (D:20211013063105-08'00') /Rect [249 123.6 352.5586 131.6] /Subtype /Link /Type /Annot >> endobj 274 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20211013063105-08'00') /Rect [405 123.6 493.3398 131.6] /Subtype /Link /Type /Annot >> endobj 275 0 obj << /A << /D (unique_88) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20211013063105-08'00') /Rect [93 109.4 190.6758 117.4] /Subtype /Link /Type /Annot >> endobj 276 0 obj << /A << /D (unique_89) /S /GoTo >> /Border [0 0 0] /Contents (get_board_ip_preferences) /M (D:20211013063105-08'00') /Rect [249 109.4 345.3633 117.4] /Subtype /Link /Type /Annot >> endobj 277 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (get_board_jumpers) /M (D:20211013063105-08'00') /Rect [405 109.4 477.3555 117.4] /Subtype /Link /Type /Annot >> endobj 278 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parameters) /M (D:20211013063105-08'00') /Rect [93 95.2 177.9492 103.2] /Subtype /Link /Type /Annot >> endobj 279 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [249 95.2 346.3242 103.2] /Subtype /Link /Type /Annot >> endobj 280 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20211013063105-08'00') /Rect [405 95.2 480.9492 103.2] /Subtype /Link /Type /Annot >> endobj 281 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [93 81 153.7305 89] /Subtype /Link /Type /Annot >> endobj 282 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [249 81 290.8398 89] /Subtype /Link /Type /Annot >> endobj 283 0 obj << /A << /D (unique_96) /S /GoTo >> /Border [0 0 0] /Contents (validate_board_files) /M (D:20211013063105-08'00') /Rect [405 81 478.6836 89] /Subtype /Link /Type /Annot >> endobj 284 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 285 0 obj << /Length 19 >> stream q /Iabc21332 Do Q endstream endobj 286 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21333 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 287 0 obj << /Length 19 >> stream q /Iabc23443 Do Q endstream endobj 288 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23444 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 289 0 obj << /Filter /FlateDecode /Length 2712 >> stream xˎ9z tldal:%zZ*wm)(TSNpjE'Q wrz?\4^>U܌NQF'ƄaLRi 10/䇋bHfbLjA~%i_ <).ə '[-^H|b8q T~o? 9c#c923I)9LdDLdDLDELdDLdVU_^DYvչݹ"MF{#zd~ $"tx0+ҿ 'Эq4K.-wӿ `Si(zchɈRc([!ШAhJK64(2CY4i^0ݠEF_Ӱ\:raGksG%%zXsPFC7'"-w$wd}={"{Y}ʞҞSDDvğ'R=ƞŞ6N%U₪ˎ k\L_DN݀pFW1OXR⪓ցDzHLŠ@ذD!A1̾^T}z]b{BPt;KUֲxh۝Sg^gxkȉ\SfG; :CnU`9ȩJ_" J1yHu/{t((c]?cgNSg0t*Y/⥣^!=q{fe:54t圾eÄ92I׍>a%ysQ5ZkǥxV4_xv=oM Qp2&_=ٵ|-(_')!D6Mx9C|UIVސ܌!#gTcD@=DL#9sٕq\5ߥR;$]T>3WrfPcG߻ .t+=UY)H>Ձ6=LUЫkwtM؁oِm0|)'.1S3VC^Ҟ&d6E;" ۢʙңsR)VvcQv|'xuUf4?Żaf7f=k8L}cq?1o,^<˳Ksuzڧ=Ia%X' YȩCL13!SMc jz:a>ތ_` 4X'?co eXEW,Uspxrr}GA&>PEۄΌ ׏qrJWl|A <[^P:)9ū3=_)U;QTɠJy`oC|w%Ó}P' IÃ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4071 294 0 R >> >> /Type /Page >> endobj 291 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 292 0 obj [291 0 R 296 0 R 297 0 R 298 0 R 299 0 R 300 0 R 301 0 R 302 0 R 303 0 R 304 0 R 305 0 R 306 0 R 307 0 R 308 0 R 309 0 R 310 0 R 311 0 R 312 0 R 313 0 R 314 0 R 315 0 R 316 0 R 317 0 R 318 0 R 319 0 R 320 0 R 321 0 R 322 0 R 323 0 R 324 0 R 325 0 R 326 0 R 327 0 R 328 0 R 329 0 R 330 0 R 331 0 R 332 0 R 333 0 R 334 0 R 335 0 R 336 0 R 337 0 R 338 0 R 339 0 R 340 0 R 341 0 R 342 0 R 343 0 R 344 0 R 345 0 R 346 0 R 347 0 R 348 0 R 349 0 R 350 0 R 351 0 R 295 0 R] endobj 293 0 obj << /Length 18 >> stream q /Iabc4071 Do Q endstream endobj 294 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4086 20830 0 R /Gabc4088 20835 0 R >> /Font << /Fabc4107 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 296 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [93 675.2 144.4727 683.2] /Subtype /Link /Type /Annot >> endobj 297 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (get_cdc_violations) /M (D:20211013063105-08'00') /Rect [249 675.2 316.582 683.2] /Subtype /Link /Type /Annot >> endobj 298 0 obj << /A << /D (unique_37) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20211013063105-08'00') /Rect [93 620.8001 199.1836 628.8001] /Subtype /Link /Type /Annot >> endobj 299 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (get_cluster_configurations) /M (D:20211013063105-08'00') /Rect [249 620.8001 347.8711 628.8001] /Subtype /Link /Type /Annot >> endobj 300 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (remove_cluster_configurations) /M (D:20211013063105-08'00') /Rect [405 620.8001 520.1055 628.8001] /Subtype /Link /Type /Annot >> endobj 301 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (validate_cluster_configurations) /M (D:20211013063105-08'00') /Rect [93 606.6 209.0352 614.6] /Subtype /Link /Type /Annot >> endobj 302 0 obj << /A << /D (unique_101) /S /GoTo >> /Border [0 0 0] /Contents (config_implementation) /M (D:20211013063105-08'00') /Rect [93 552.2 179.7383 560.2] /Subtype /Link /Type /Annot >> endobj 303 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20211013063105-08'00') /Rect [93 497.8 186.1719 505.8] /Subtype /Link /Type /Annot >> endobj 304 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20211013063105-08'00') /Rect [249 497.8 314.7109 505.8] /Subtype /Link /Type /Annot >> endobj 305 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20211013063105-08'00') /Rect [405 497.8 481.25 505.8] /Subtype /Link /Type /Annot >> endobj 306 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20211013063105-08'00') /Rect [93 483.6 154.3008 491.6] /Subtype /Link /Type /Annot >> endobj 307 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (apply_hw_ila_trigger) /M (D:20211013063105-08'00') /Rect [93 429.1999 169.1016 437.1999] /Subtype /Link /Type /Annot >> endobj 308 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_cores) /M (D:20211013063105-08'00') /Rect [249 429.1999 329.8594 437.1999] /Subtype /Link /Type /Annot >> endobj 309 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [405 429.1999 481.5625 437.1999] /Subtype /Link /Type /Annot >> endobj 310 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [93 414.9999 163.6836 422.9999] /Subtype /Link /Type /Annot >> endobj 311 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [249 414.9999 319.2188 422.9999] /Subtype /Link /Type /Annot >> endobj 312 0 obj << /A << /D (unique_111) /S /GoTo >> /Border [0 0 0] /Contents (delete_debug_core) /M (D:20211013063105-08'00') /Rect [405 414.9999 475.625 422.9999] /Subtype /Link /Type /Annot >> endobj 313 0 obj << /A << /D (unique_112) /S /GoTo >> /Border [0 0 0] /Contents (delete_debug_port) /M (D:20211013063105-08'00') /Rect [93 400.7999 163.1602 408.7999] /Subtype /Link /Type /Annot >> endobj 314 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20211013063105-08'00') /Rect [249 400.7999 336.3789 408.7999] /Subtype /Link /Type /Annot >> endobj 315 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20211013063105-08'00') /Rect [405 400.7999 468.3711 408.7999] /Subtype /Link /Type /Annot >> endobj 316 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20211013063105-08'00') /Rect [93 386.5999 155.9062 394.5999] /Subtype /Link /Type /Annot >> endobj 317 0 obj << /A << /D (unique_116) /S /GoTo >> /Border [0 0 0] /Contents (implement_debug_core) /M (D:20211013063105-08'00') /Rect [249 386.5999 337.082 394.5999] /Subtype /Link /Type /Annot >> endobj 318 0 obj << /A << /D (unique_117) /S /GoTo >> /Border [0 0 0] /Contents (modify_debug_ports) /M (D:20211013063105-08'00') /Rect [405 386.5999 481.7227 394.5999] /Subtype /Link /Type /Annot >> endobj 319 0 obj << /A << /D (unique_118) /S /GoTo >> /Border [0 0 0] /Contents (report_debug_core) /M (D:20211013063105-08'00') /Rect [93 372.3999 163.9102 380.3999] /Subtype /Link /Type /Annot >> endobj 320 0 obj << /A << /D (unique_119) /S /GoTo >> /Border [0 0 0] /Contents (write_debug_probes) /M (D:20211013063105-08'00') /Rect [249 372.3999 325.1094 380.3999] /Subtype /Link /Type /Annot >> endobj 321 0 obj << /A << /D (unique_42) /S /GoTo >> /Border [0 0 0] /Contents (find_routing_path) /M (D:20211013063105-08'00') /Rect [93 317.9999 159.332 325.9999] /Subtype /Link /Type /Annot >> endobj 322 0 obj << /A << /D (unique_120) /S /GoTo >> /Border [0 0 0] /Contents (get_bel_pins) /M (D:20211013063105-08'00') /Rect [249 317.9999 295.1562 325.9999] /Subtype /Link /Type /Annot >> endobj 323 0 obj << /A << /D (unique_43) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20211013063105-08'00') /Rect [405 317.9999 435.9375 325.9999] /Subtype /Link /Type /Annot >> endobj 324 0 obj << /A << /D (unique_121) /S /GoTo >> /Border [0 0 0] /Contents (get_clock_regions) /M (D:20211013063105-08'00') /Rect [93 303.7999 159.0938 311.7999] /Subtype /Link /Type /Annot >> endobj 325 0 obj << /A << /D (unique_122) /S /GoTo >> /Border [0 0 0] /Contents (get_io_standards) /M (D:20211013063105-08'00') /Rect [249 303.7999 312.3438 311.7999] /Subtype /Link /Type /Annot >> endobj 326 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20211013063105-08'00') /Rect [405 303.7999 449.9727 311.7999] /Subtype /Link /Type /Annot >> endobj 327 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20211013063105-08'00') /Rect [93 289.5999 131.6602 297.5999] /Subtype /Link /Type /Annot >> endobj 328 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20211013063105-08'00') /Rect [249 289.5999 280.3477 297.5999] /Subtype /Link /Type /Annot >> endobj 329 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20211013063105-08'00') /Rect [405 289.5999 492.9531 297.5999] /Subtype /Link /Type /Annot >> endobj 330 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20211013063105-08'00') /Rect [93 275.3999 165.2031 283.3999] /Subtype /Link /Type /Annot >> endobj 331 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20211013063105-08'00') /Rect [249 275.3999 296.9531 283.3999] /Subtype /Link /Type /Annot >> endobj 332 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pips) /M (D:20211013063105-08'00') /Rect [405 275.3999 452.9297 283.3999] /Subtype /Link /Type /Annot >> endobj 333 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [93 261.1999 125.7344 269.1999] /Subtype /Link /Type /Annot >> endobj 334 0 obj << /A << /D (unique_131) /S /GoTo >> /Border [0 0 0] /Contents (get_slrs) /M (D:20211013063105-08'00') /Rect [249 261.1999 277.6406 269.1999] /Subtype /Link /Type /Annot >> endobj 335 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (get_speed_models) /M (D:20211013063105-08'00') /Rect [405 261.1999 474.2461 269.1999] /Subtype /Link /Type /Annot >> endobj 336 0 obj << /A << /D (unique_133) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20211013063105-08'00') /Rect [93 246.9999 123.9648 254.9999] /Subtype /Link /Type /Annot >> endobj 337 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20211013063105-08'00') /Rect [249 246.9999 284.5312 254.9999] /Subtype /Link /Type /Annot >> endobj 338 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20211013063105-08'00') /Rect [93 192.6 151.1406 200.6] /Subtype /Link /Type /Annot >> endobj 339 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20211013063105-08'00') /Rect [249 192.6 312.4414 200.6] /Subtype /Link /Type /Annot >> endobj 340 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [405 192.6 479.4023 200.6] /Subtype /Link /Type /Annot >> endobj 341 0 obj << /A << /D (unique_138) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20211013063105-08'00') /Rect [93 178.4 167.2812 186.4] /Subtype /Link /Type /Annot >> endobj 342 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [249 178.4 300.4727 186.4] /Subtype /Link /Type /Annot >> endobj 343 0 obj << /A << /D (unique_139) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_check) /M (D:20211013063105-08'00') /Rect [405 178.4 468.3828 186.4] /Subtype /Link /Type /Annot >> endobj 344 0 obj << /A << /D (unique_140) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [93 164.2 167.3438 172.2] /Subtype /Link /Type /Annot >> endobj 345 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20211013063105-08'00') /Rect [249 164.2 305.1289 172.2] /Subtype /Link /Type /Annot >> endobj 346 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20211013063105-08'00') /Rect [405 164.2 472.0898 172.2] /Subtype /Link /Type /Annot >> endobj 347 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [93 149.9999 159.9688 157.9999] /Subtype /Link /Type /Annot >> endobj 348 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (remove_drc_checks) /M (D:20211013063105-08'00') /Rect [249 149.9999 321.3633 157.9999] /Subtype /Link /Type /Annot >> endobj 349 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [405 149.9999 443.9688 157.9999] /Subtype /Link /Type /Annot >> endobj 350 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc) /M (D:20211013063105-08'00') /Rect [93 135.7999 127.2461 143.7999] /Subtype /Link /Type /Annot >> endobj 351 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20211013063105-08'00') /Rect [249 135.7999 307.9453 143.7999] /Subtype /Link /Type /Annot >> endobj 352 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 353 0 obj << /Filter /FlateDecode /Length 2236 >> stream x[K6WdX{- rdBO`)~nwH.[T꩒ߨwzIihE~TvUq[}}Ĺp9ЗWI+Ka2~Hqe$P?] tyBåX6ЁL_h!caDA ӀT3.DR2"r%䰢kf,N)2 (MY$Z'΂LeETX̶,#ZMF5ihj%~DҸmuxhF c䤇poalZq֧sIx?f_M+$o#[S3R8u,Ry[V"3HhϠbǧED%dx 4&&cR'݄;BJαE/ @r` .Ȥ4B'GM!l,+_ <9Nzeneܑ{X8 ܿ jKc1躔';&Y rydHqMA &U11&r/&Z0NsTW9p ?i UE|;?l B9H>mMcaćQhMVf[ApIJHEdyKY#;Lmfm"aV6 ջÜ}[9D5} ?^.`wguZ\k$[\\E\"ytݘiޔ_F7{ 4k3E)0mL.U3/+YY7yid8U^2ߟ:13QMdjAo]u]9;fE >fcd:1%IC8F;Sۭ~)s vrSmEZ`Gv.# %VnFCo>|bQMep`&VPcoIj1g爰L7_yiGvTNf98$G9CB<4w!r=HIw [t`:i3Ց_úGc4Zav$n0]I|3*q2Juy4#HF]vۚ9K%CKGX*i-u[Dh1u(*Г_oDF3 CDJ4SlYqzd{-@0t~Fb>bX+/JXmv.Q xAq/5EK؍$) /;E.#?=î MxSܤq !۸\k^Nk1σa6m"a22J((iӖe.+`iUY ע.*d+A +F5jҭm'žqyg22::AΤT_-Bn- ӄ?ty+Ah0{׫|69vΡ,.>gzHY{I{L{Jןs %5JWᙡA>a3⨞ӻJ3 j5ki~lxO#:{N 2պwISVt!O7 endstream endobj 354 0 obj << /Annots 356 0 R /BleedBox [0 0 612 792] /Contents [455 0 R 454 0 R 357 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4269 358 0 R >> >> /Type /Page >> endobj 355 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062922-08'00') /Rect [484.3623 28.0001 558 38.0001] /Subtype /Link /Type /Annot >> endobj 356 0 obj [355 0 R 360 0 R 361 0 R 362 0 R 363 0 R 364 0 R 365 0 R 366 0 R 367 0 R 368 0 R 369 0 R 370 0 R 371 0 R 372 0 R 373 0 R 374 0 R 375 0 R 376 0 R 377 0 R 378 0 R 379 0 R 380 0 R 381 0 R 382 0 R 383 0 R 384 0 R 385 0 R 386 0 R 387 0 R 388 0 R 389 0 R 390 0 R 391 0 R 392 0 R 393 0 R 394 0 R 395 0 R 396 0 R 397 0 R 398 0 R 399 0 R 400 0 R 401 0 R 402 0 R 403 0 R 404 0 R 405 0 R 406 0 R 407 0 R 408 0 R 409 0 R 410 0 R 411 0 R 412 0 R 413 0 R 414 0 R 415 0 R 416 0 R 417 0 R 418 0 R 419 0 R 420 0 R 421 0 R 422 0 R 423 0 R 424 0 R 425 0 R 426 0 R 427 0 R 428 0 R 429 0 R 430 0 R 431 0 R 432 0 R 433 0 R 434 0 R 435 0 R 436 0 R 437 0 R 438 0 R 439 0 R 440 0 R 441 0 R 442 0 R 443 0 R 444 0 R 445 0 R 446 0 R 447 0 R 448 0 R 449 0 R 450 0 R 451 0 R 452 0 R 453 0 R 359 0 R] endobj 357 0 obj << /Length 18 >> stream q /Iabc4269 Do Q endstream endobj 358 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4271 20830 0 R /Gabc4289 20835 0 R >> /Font << /Fabc4291 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶Gu#x?$_l˜!E_@9?ɜ F0p|sLѨ\u$sx2W4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 360 0 obj << /A << /D (unique_148) /S /GoTo >> /Border [0 0 0] /Contents (delete_qor_suggestions) /M (D:20211013063105-08'00') /Rect [93 675.2 181.582 683.2] /Subtype /Link /Type /Annot >> endobj 361 0 obj << /A << /D (unique_149) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20211013063105-08'00') /Rect [249 675.2 326.4961 683.2] /Subtype /Link /Type /Annot >> endobj 362 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20211013063105-08'00') /Rect [405 675.2 487.3242 683.2] /Subtype /Link /Type /Annot >> endobj 363 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20211013063105-08'00') /Rect [93 661 180.4883 669] /Subtype /Link /Type /Annot >> endobj 364 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [249 661 337.8672 669] /Subtype /Link /Type /Annot >> endobj 365 0 obj << /A << /D (unique_53) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20211013063105-08'00') /Rect [405 661 489.2305 669] /Subtype /Link /Type /Annot >> endobj 366 0 obj << /A << /D (unique_151) /S /GoTo >> /Border [0 0 0] /Contents (auto_detect_xpm) /M (D:20211013063105-08'00') /Rect [93 606.6001 156.9297 614.6001] /Subtype /Link /Type /Annot >> endobj 367 0 obj << /A << /D (unique_152) /S /GoTo >> /Border [0 0 0] /Contents (create_port_on_reconfigurable_module) /M (D:20211013063105-08'00') /Rect [249 606.6001 395.3789 614.6001] /Subtype /Link /Type /Annot >> endobj 368 0 obj << /A << /D (unique_153) /S /GoTo >> /Border [0 0 0] /Contents (decrypt_bitstream) /M (D:20211013063105-08'00') /Rect [405 606.6001 473.0508 614.6001] /Subtype /Link /Type /Annot >> endobj 369 0 obj << /A << /D (unique_154) /S /GoTo >> /Border [0 0 0] /Contents (encrypt) /M (D:20211013063105-08'00') /Rect [93 592.4001 121.4883 600.4001] /Subtype /Link /Type /Annot >> endobj 370 0 obj << /A << /D (unique_155) /S /GoTo >> /Border [0 0 0] /Contents (generate_base_platform) /M (D:20211013063105-08'00') /Rect [249 592.4001 340.0742 600.4001] /Subtype /Link /Type /Annot >> endobj 371 0 obj << /A << /D (unique_156) /S /GoTo >> /Border [0 0 0] /Contents (generate_mem_files) /M (D:20211013063105-08'00') /Rect [405 592.4001 480.2773 600.4001] /Subtype /Link /Type /Annot >> endobj 372 0 obj << /A << /D (unique_157) /S /GoTo >> /Border [0 0 0] /Contents (generate_pblock) /M (D:20211013063105-08'00') /Rect [93 578.2001 155.1523 586.2001] /Subtype /Link /Type /Annot >> endobj 373 0 obj << /A << /D (unique_158) /S /GoTo >> /Border [0 0 0] /Contents (generate_rl_platform) /M (D:20211013063105-08'00') /Rect [249 578.2001 327.6875 586.2001] /Subtype /Link /Type /Annot >> endobj 374 0 obj << /A << /D (unique_159) /S /GoTo >> /Border [0 0 0] /Contents (generate_shx_platform) /M (D:20211013063105-08'00') /Rect [405 578.2001 491.3281 586.2001] /Subtype /Link /Type /Annot >> endobj 375 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (infer_diff_pairs) /M (D:20211013063105-08'00') /Rect [93 564.0001 148.2578 572.0001] /Subtype /Link /Type /Annot >> endobj 376 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20211013063105-08'00') /Rect [249 564.0001 318.7695 572.0001] /Subtype /Link /Type /Annot >> endobj 377 0 obj << /A << /D (unique_162) /S /GoTo >> /Border [0 0 0] /Contents (pr_recombine) /M (D:20211013063105-08'00') /Rect [405 564.0001 456.8555 572.0001] /Subtype /Link /Type /Annot >> endobj 378 0 obj << /A << /D (unique_163) /S /GoTo >> /Border [0 0 0] /Contents (pr_subdivide) /M (D:20211013063105-08'00') /Rect [93 549.8001 140.7578 557.8001] /Subtype /Link /Type /Annot >> endobj 379 0 obj << /A << /D (unique_164) /S /GoTo >> /Border [0 0 0] /Contents (pr_verify) /M (D:20211013063105-08'00') /Rect [249 549.8001 281.2891 557.8001] /Subtype /Link /Type /Annot >> endobj 380 0 obj << /A << /D (unique_165) /S /GoTo >> /Border [0 0 0] /Contents (read_bd) /M (D:20211013063105-08'00') /Rect [405 549.8001 435.2812 557.8001] /Subtype /Link /Type /Annot >> endobj 381 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [93 535.6001 154.5039 543.6001] /Subtype /Link /Type /Annot >> endobj 382 0 obj << /A << /D (unique_166) /S /GoTo >> /Border [0 0 0] /Contents (read_csv) /M (D:20211013063105-08'00') /Rect [249 535.6001 281.1719 543.6001] /Subtype /Link /Type /Annot >> endobj 383 0 obj << /A << /D (unique_167) /S /GoTo >> /Border [0 0 0] /Contents (read_edif) /M (D:20211013063105-08'00') /Rect [405 535.6001 439.6875 543.6001] /Subtype /Link /Type /Annot >> endobj 384 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20211013063105-08'00') /Rect [93 521.4001 120.4219 529.4001] /Subtype /Link /Type /Annot >> endobj 385 0 obj << /A << /D (unique_169) /S /GoTo >> /Border [0 0 0] /Contents (read_mem) /M (D:20211013063105-08'00') /Rect [249 521.4001 288.9102 529.4001] /Subtype /Link /Type /Annot >> endobj 386 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20211013063105-08'00') /Rect [405 521.4001 487.3242 529.4001] /Subtype /Link /Type /Annot >> endobj 387 0 obj << /A << /D (unique_170) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20211013063105-08'00') /Rect [93 507.2 126.5742 515.2001] /Subtype /Link /Type /Annot >> endobj 388 0 obj << /A << /D (unique_171) /S /GoTo >> /Border [0 0 0] /Contents (read_schematic) /M (D:20211013063105-08'00') /Rect [249 507.2 307.3242 515.2001] /Subtype /Link /Type /Annot >> endobj 389 0 obj << /A << /D (unique_172) /S /GoTo >> /Border [0 0 0] /Contents (read_twx) /M (D:20211013063105-08'00') /Rect [405 507.2 438.8438 515.2001] /Subtype /Link /Type /Annot >> endobj 390 0 obj << /A << /D (unique_173) /S /GoTo >> /Border [0 0 0] /Contents (read_verilog) /M (D:20211013063105-08'00') /Rect [93 493.0001 139.2031 501.0001] /Subtype /Link /Type /Annot >> endobj 391 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (read_vhdl) /M (D:20211013063105-08'00') /Rect [249 493.0001 285.4297 501.0001] /Subtype /Link /Type /Annot >> endobj 392 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (read_xdc) /M (D:20211013063105-08'00') /Rect [405 493.0001 438.3516 501.0001] /Subtype /Link /Type /Annot >> endobj 393 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (refresh_meminit) /M (D:20211013063105-08'00') /Rect [93 478.8001 154.7266 486.8001] /Subtype /Link /Type /Annot >> endobj 394 0 obj << /A << /D (unique_177) /S /GoTo >> /Border [0 0 0] /Contents (write_abstract_shell) /M (D:20211013063105-08'00') /Rect [249 478.8001 322.6172 486.8001] /Subtype /Link /Type /Annot >> endobj 395 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_layout) /M (D:20211013063105-08'00') /Rect [405 478.8001 463.7773 486.8001] /Subtype /Link /Type /Annot >> endobj 396 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [93 464.6001 151.6406 472.6001] /Subtype /Link /Type /Annot >> endobj 397 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (write_bmm) /M (D:20211013063105-08'00') /Rect [249 464.6001 291.2266 472.6001] /Subtype /Link /Type /Annot >> endobj 398 0 obj << /A << /D (unique_181) /S /GoTo >> /Border [0 0 0] /Contents (write_bsdl) /M (D:20211013063105-08'00') /Rect [405 464.6001 443.082 472.6001] /Subtype /Link /Type /Annot >> endobj 399 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20211013063105-08'00') /Rect [93 450.4001 146.332 458.4001] /Subtype /Link /Type /Annot >> endobj 400 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [249 450.4001 312.4102 458.4001] /Subtype /Link /Type /Annot >> endobj 401 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (write_csv) /M (D:20211013063105-08'00') /Rect [405 450.4001 439.0781 458.4001] /Subtype /Link /Type /Annot >> endobj 402 0 obj << /A << /D (unique_119) /S /GoTo >> /Border [0 0 0] /Contents (write_debug_probes) /M (D:20211013063105-08'00') /Rect [93 436.2001 169.1094 444.2001] /Subtype /Link /Type /Annot >> endobj 403 0 obj << /A << /D (unique_184) /S /GoTo >> /Border [0 0 0] /Contents (write_device_image) /M (D:20211013063105-08'00') /Rect [249 436.2001 321.9297 444.2001] /Subtype /Link /Type /Annot >> endobj 404 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [405 436.2001 441.5938 444.2001] /Subtype /Link /Type /Annot >> endobj 405 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20211013063105-08'00') /Rect [93 422.0001 162.6055 430.0001] /Subtype /Link /Type /Annot >> endobj 406 0 obj << /A << /D (unique_187) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform_metadata) /M (D:20211013063105-08'00') /Rect [249 422.0001 358.0469 430.0001] /Subtype /Link /Type /Annot >> endobj 407 0 obj << /A << /D (unique_188) /S /GoTo >> /Border [0 0 0] /Contents (write_ibis) /M (D:20211013063105-08'00') /Rect [405 422.0001 440.2227 430.0001] /Subtype /Link /Type /Annot >> endobj 408 0 obj << /A << /D (unique_189) /S /GoTo >> /Border [0 0 0] /Contents (write_inferred_xdc) /M (D:20211013063105-08'00') /Rect [93 407.8001 161.7852 415.8001] /Subtype /Link /Type /Annot >> endobj 409 0 obj << /A << /D (unique_190) /S /GoTo >> /Border [0 0 0] /Contents (write_mem_info) /M (D:20211013063105-08'00') /Rect [249 407.8001 308.707 415.8001] /Subtype /Link /Type /Annot >> endobj 410 0 obj << /A << /D (unique_53) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20211013063105-08'00') /Rect [405 407.8001 489.2305 415.8001] /Subtype /Link /Type /Annot >> endobj 411 0 obj << /A << /D (unique_191) /S /GoTo >> /Border [0 0 0] /Contents (write_schematic) /M (D:20211013063105-08'00') /Rect [93 393.6001 153.2305 401.6001] /Subtype /Link /Type /Annot >> endobj 412 0 obj << /A << /D (unique_192) /S /GoTo >> /Border [0 0 0] /Contents (write_sdf) /M (D:20211013063105-08'00') /Rect [249 393.6001 282.8516 401.6001] /Subtype /Link /Type /Annot >> endobj 413 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [405 393.6001 453.1094 401.6001] /Subtype /Link /Type /Annot >> endobj 414 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [93 379.4001 131.3359 387.4001] /Subtype /Link /Type /Annot >> endobj 415 0 obj << /A << /D (unique_54) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20211013063105-08'00') /Rect [249 379.4001 284.2578 387.4001] /Subtype /Link /Type /Annot >> endobj 416 0 obj << /A << /D (unique_195) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20211013063105-08'00') /Rect [93 325.0001 166.0938 333.0001] /Subtype /Link /Type /Annot >> endobj 417 0 obj << /A << /D (unique_8) /S /GoTo >> /Border [0 0 0] /Contents (add_to_power_rail) /M (D:20211013063105-08'00') /Rect [249 325.0001 316.5547 333.0001] /Subtype /Link /Type /Annot >> endobj 418 0 obj << /A << /D (unique_196) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20211013063105-08'00') /Rect [405 325.0001 456.6133 333.0001] /Subtype /Link /Type /Annot >> endobj 419 0 obj << /A << /D (unique_11) /S /GoTo >> /Border [0 0 0] /Contents (create_power_rail) /M (D:20211013063105-08'00') /Rect [93 310.8001 158.6719 318.8001] /Subtype /Link /Type /Annot >> endobj 420 0 obj << /A << /D (unique_197) /S /GoTo >> /Border [0 0 0] /Contents (delete_pblocks) /M (D:20211013063105-08'00') /Rect [249 310.8001 304.3867 318.8001] /Subtype /Link /Type /Annot >> endobj 421 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (delete_power_rails) /M (D:20211013063105-08'00') /Rect [405 310.8001 474.4453 318.8001] /Subtype /Link /Type /Annot >> endobj 422 0 obj << /A << /D (unique_199) /S /GoTo >> /Border [0 0 0] /Contents (delete_rpm) /M (D:20211013063105-08'00') /Rect [93 296.6001 135.4023 304.6001] /Subtype /Link /Type /Annot >> endobj 423 0 obj << /A << /D (unique_200) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20211013063105-08'00') /Rect [249 296.6001 293.3008 304.6001] /Subtype /Link /Type /Annot >> endobj 424 0 obj << /A << /D (unique_201) /S /GoTo >> /Border [0 0 0] /Contents (get_power_rails) /M (D:20211013063105-08'00') /Rect [405 296.6001 463.3594 304.6001] /Subtype /Link /Type /Annot >> endobj 425 0 obj << /A << /D (unique_202) /S /GoTo >> /Border [0 0 0] /Contents (place_cell) /M (D:20211013063105-08'00') /Rect [93 282.4001 128.5898 290.4001] /Subtype /Link /Type /Annot >> endobj 426 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (remove_cells_from_pblock) /M (D:20211013063105-08'00') /Rect [249 282.4001 346.8906 290.4001] /Subtype /Link /Type /Annot >> endobj 427 0 obj << /A << /D (unique_204) /S /GoTo >> /Border [0 0 0] /Contents (remove_from_power_rail) /M (D:20211013063105-08'00') /Rect [405 282.4001 497.3516 290.4001] /Subtype /Link /Type /Annot >> endobj 428 0 obj << /A << /D (unique_205) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20211013063105-08'00') /Rect [93 268.2001 143.0547 276.2001] /Subtype /Link /Type /Annot >> endobj 429 0 obj << /A << /D (unique_206) /S /GoTo >> /Border [0 0 0] /Contents (swap_locs) /M (D:20211013063105-08'00') /Rect [249 268.2001 286.3945 276.2001] /Subtype /Link /Type /Annot >> endobj 430 0 obj << /A << /D (unique_207) /S /GoTo >> /Border [0 0 0] /Contents (unplace_cell) /M (D:20211013063105-08'00') /Rect [405 268.2001 450.4805 276.2001] /Subtype /Link /Type /Annot >> endobj 431 0 obj << /A << /D (unique_208) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20211013063105-08'00') /Rect [93 213.8001 204.082 221.8001] /Subtype /Link /Type /Annot >> endobj 432 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20211013063105-08'00') /Rect [249 213.8001 376.0469 221.8001] /Subtype /Link /Type /Annot >> endobj 433 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (endgroup) /M (D:20211013063105-08'00') /Rect [405 213.8001 442.2344 221.8001] /Subtype /Link /Type /Annot >> endobj 434 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [93 199.6001 212.7344 207.6001] /Subtype /Link /Type /Annot >> endobj 435 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [249 199.6001 352.7695 207.6001] /Subtype /Link /Type /Annot >> endobj 436 0 obj << /A << /D (unique_213) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20211013063105-08'00') /Rect [405 199.6001 493.9805 207.6001] /Subtype /Link /Type /Annot >> endobj 437 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20211013063105-08'00') /Rect [93 185.4001 167.6953 193.4001] /Subtype /Link /Type /Annot >> endobj 438 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [249 185.4001 325.8711 193.4001] /Subtype /Link /Type /Annot >> endobj 439 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20211013063105-08'00') /Rect [405 185.4001 468.9375 193.4001] /Subtype /Link /Type /Annot >> endobj 440 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20211013063105-08'00') /Rect [93 171.2001 142.7305 179.2001] /Subtype /Link /Type /Annot >> endobj 441 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (redo) /M (D:20211013063105-08'00') /Rect [249 171.2001 266.5 179.2001] /Subtype /Link /Type /Annot >> endobj 442 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [405 171.2001 540.9688 179.2001] /Subtype /Link /Type /Annot >> endobj 443 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [93 157.0001 213.0039 165.0001] /Subtype /Link /Type /Annot >> endobj 444 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20211013063105-08'00') /Rect [249 157.0001 300.8281 165.0001] /Subtype /Link /Type /Annot >> endobj 445 0 obj << /A << /D (unique_222) /S /GoTo >> /Border [0 0 0] /Contents (show_objects) /M (D:20211013063105-08'00') /Rect [405 157.0001 455.0117 165.0001] /Subtype /Link /Type /Annot >> endobj 446 0 obj << /A << /D (unique_223) /S /GoTo >> /Border [0 0 0] /Contents (show_schematic) /M (D:20211013063105-08'00') /Rect [93 142.8001 154.0039 150.8001] /Subtype /Link /Type /Annot >> endobj 447 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (start_gui) /M (D:20211013063105-08'00') /Rect [249 142.8001 281.6172 150.8001] /Subtype /Link /Type /Annot >> endobj 448 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (startgroup) /M (D:20211013063105-08'00') /Rect [405 142.8001 445.2539 150.8001] /Subtype /Link /Type /Annot >> endobj 449 0 obj << /A << /D (unique_226) /S /GoTo >> /Border [0 0 0] /Contents (stop_gui) /M (D:20211013063105-08'00') /Rect [93 128.6001 124.6992 136.6001] /Subtype /Link /Type /Annot >> endobj 450 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (undo) /M (D:20211013063105-08'00') /Rect [249 128.6001 268.6523 136.6001] /Subtype /Link /Type /Annot >> endobj 451 0 obj << /A << /D (unique_228) /S /GoTo >> /Border [0 0 0] /Contents (unhighlight_objects) /M (D:20211013063105-08'00') /Rect [405 128.6001 478.8281 136.6001] /Subtype /Link /Type /Annot >> endobj 452 0 obj << /A << /D (unique_229) /S /GoTo >> /Border [0 0 0] /Contents (unmark_objects) /M (D:20211013063105-08'00') /Rect [93 114.4001 152.6211 122.4001] /Subtype /Link /Type /Annot >> endobj 453 0 obj << /A << /D (unique_230) /S /GoTo >> /Border [0 0 0] /Contents (unselect_objects) /M (D:20211013063105-08'00') /Rect [249 114.4001 310.7188 122.4001] /Subtype /Link /Type /Annot >> endobj 454 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 455 0 obj << /Filter /FlateDecode /Length 2859 >> stream x\͏Wpd0#F>H9D9{/Q4iHQ|϶%RvcCcE2؋6~|S%mrNKv}XG9k^w}˜0>1wU>wvg+yN]OjO,kiUQCFI CaL5f]+4 (_m?ӞNۖۺNz~|-B9FpDFv\lFb!_ N2B@M}"YE =<B]h4SS&&Y_iD)M0*,6&3vOA}'zщ2];^bhea*HEO*՗[EFUMʊab vjλVUZ1B.tk\raLX§L= I* Iz̀$y^p7%~ Rxy~F[ɒJVmԵ>mǵh+ݯ9' 9_ȷcڛ_q WutFemw W$=ܧR͓O*ڏl枳$֞ s*>9 )ip %nSxR~ȑ.(B˨(dVe-{?Ҭ`y뮏p7$m9tCSx oMž{ Ek>z?@F7 Mz.+0 :]3Xfxl6ò$3̈vQ>`R nm=yZz^#׭id3>Egv5!A;nk](PYnCn.6΃$DL\`$f}es'i{;0iw(}F>8zoxY<zF'LԸ !3r?LKxr"J/%*['lXe|}wnss=io:X;X#߳3g$b:Qʾn7bVSJ[a TTZFv9{cl[ sZFQy}Á Xut%R{y = z~&n4ڌvhnd6O'g!ȺlFlv!V vgyV{8#YieNx299 2{N&} d_2v ל/d:ٗ ~NA0q*3mKz޲^ eNy I39VT*r89enyrFsһ/?>]?|\D<{83j̡\-QNYFf87b-uʠ3r8f^k֠cI4/5#gS g9[j]xb^bel$b2uZRAaxE}>Gow c/6$,cB7xnu4F:Ȳ9 M{8WBW ȱ6s=宏o9!X޳l[/yYEQ8`%R<,Nx>uwBsf]dz#'V+o;k@o)-r| G-/-FJO8=X{U?@ץz4w'ȱiX;'tS1vKvM'Gz`xo! w[뒲gH<(pꟿr4Ꜩ/u~.6uf:*xr/Te{?g:d=5kymݗM.T8%P#tUZQ!Z-W?k6J jTeUFV/[K5tn(bcvs:ֽa$Tgz~5 HƟǂnP7޳f%x-2(kw(wu˄"I$uO'%x׊ѭZӚ};6a$w}t_7Ǝ v0v4待kyם!Ȋo/ 690Kָkvt&GK}jw%[#Zk|"sb 5jr2-l|KZԐL0T:Wh]q(HYVQiΕ){BSXSaM+&u(?VF5N!KMPWhdU֡_FXc%[p v1 +ر >У+j< rgbu=G٧/5Yq':+&ԻV2Q 3, ߑh;aTy)!8(a#q_("qao8TϠTFS!teb(&J9rJ컾^[_q'\pdt"7U?y9 O×~{-\QفzNi Ycqo~Gc~6P<Ѧ7(Hd> Cǧ/=}~ +#qJL#0H!Aji> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4454 460 0 R >> >> /Type /Page >> endobj 457 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062923-08'00') /Rect [484.3623 28.0001 558 38.0001] /Subtype /Link /Type /Annot >> endobj 458 0 obj [457 0 R 462 0 R 463 0 R 464 0 R 465 0 R 466 0 R 467 0 R 468 0 R 469 0 R 470 0 R 471 0 R 472 0 R 473 0 R 474 0 R 475 0 R 476 0 R 477 0 R 478 0 R 479 0 R 480 0 R 481 0 R 482 0 R 483 0 R 484 0 R 485 0 R 486 0 R 487 0 R 488 0 R 489 0 R 490 0 R 491 0 R 492 0 R 493 0 R 494 0 R 495 0 R 496 0 R 497 0 R 498 0 R 499 0 R 500 0 R 501 0 R 502 0 R 503 0 R 504 0 R 505 0 R 506 0 R 507 0 R 508 0 R 509 0 R 510 0 R 511 0 R 512 0 R 513 0 R 514 0 R 515 0 R 516 0 R 517 0 R 518 0 R 519 0 R 520 0 R 521 0 R 522 0 R 523 0 R 524 0 R 525 0 R 526 0 R 527 0 R 528 0 R 529 0 R 530 0 R 531 0 R 532 0 R 533 0 R 534 0 R 535 0 R 536 0 R 537 0 R 538 0 R 539 0 R 540 0 R 541 0 R 542 0 R 543 0 R 544 0 R 545 0 R 546 0 R 547 0 R 548 0 R 549 0 R 550 0 R 551 0 R 552 0 R 553 0 R 554 0 R 555 0 R 556 0 R 557 0 R 558 0 R 559 0 R 560 0 R 561 0 R 562 0 R 563 0 R 564 0 R 565 0 R 566 0 R 567 0 R 568 0 R 569 0 R 570 0 R 571 0 R 572 0 R 573 0 R 574 0 R 575 0 R 576 0 R 577 0 R 578 0 R 579 0 R 580 0 R 581 0 R 582 0 R 583 0 R 584 0 R 585 0 R 586 0 R 587 0 R 588 0 R 589 0 R 590 0 R 461 0 R] endobj 459 0 obj << /Length 18 >> stream q /Iabc4454 Do Q endstream endobj 460 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4456 20830 0 R /Gabc4466 20835 0 R >> /Font << /Fabc4476 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=knT-=%CO4yrCodE#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 462 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [93 675.2001 154.543 683.2001] /Subtype /Link /Type /Annot >> endobj 463 0 obj << /A << /D (unique_232) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_probe_enum) /M (D:20211013063105-08'00') /Rect [249 675.2001 328.7383 683.2001] /Subtype /Link /Type /Annot >> endobj 464 0 obj << /A << /D (unique_233) /S /GoTo >> /Border [0 0 0] /Contents (boot_hw_device) /M (D:20211013063105-08'00') /Rect [405 675.2001 464.1328 683.2001] /Subtype /Link /Type /Annot >> endobj 465 0 obj << /A << /D (unique_234) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_manager) /M (D:20211013063105-08'00') /Rect [93 661.0001 164.0391 669.0001] /Subtype /Link /Type /Annot >> endobj 466 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_target) /M (D:20211013063105-08'00') /Rect [249 661.0001 308.8594 669.0001] /Subtype /Link /Type /Annot >> endobj 467 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20211013063105-08'00') /Rect [405 661.0001 468.75 669.0001] /Subtype /Link /Type /Annot >> endobj 468 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20211013063105-08'00') /Rect [93 646.8001 153.8672 654.8001] /Subtype /Link /Type /Annot >> endobj 469 0 obj << /A << /D (unique_238) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sio) /M (D:20211013063105-08'00') /Rect [249 646.8001 306.1367 654.8001] /Subtype /Link /Type /Annot >> endobj 470 0 obj << /A << /D (unique_239) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sysmon) /M (D:20211013063105-08'00') /Rect [405 646.8001 480.4102 654.8001] /Subtype /Link /Type /Annot >> endobj 471 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20211013063105-08'00') /Rect [93 632.6001 150.3672 640.6001] /Subtype /Link /Type /Annot >> endobj 472 0 obj << /A << /D (unique_241) /S /GoTo >> /Border [0 0 0] /Contents (config_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [249 632.6001 315.8398 640.6001] /Subtype /Link /Type /Annot >> endobj 473 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [405 632.6001 476.1484 640.6001] /Subtype /Link /Type /Annot >> endobj 474 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [93 618.4001 160.4102 626.4001] /Subtype /Link /Type /Annot >> endobj 475 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_bitstream) /M (D:20211013063105-08'00') /Rect [249 618.4001 326.5742 626.4001] /Subtype /Link /Type /Annot >> endobj 476 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [405 618.4001 477.2656 626.4001] /Subtype /Link /Type /Annot >> endobj 477 0 obj << /A << /D (unique_38) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20211013063105-08'00') /Rect [93 604.2001 158.1094 612.2001] /Subtype /Link /Type /Annot >> endobj 478 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_probe) /M (D:20211013063105-08'00') /Rect [249 604.2001 312.6992 612.2001] /Subtype /Link /Type /Annot >> endobj 479 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20211013063105-08'00') /Rect [405 604.2001 473.6445 612.2001] /Subtype /Link /Type /Annot >> endobj 480 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [93 590.0001 184.5 598.0001] /Subtype /Link /Type /Annot >> endobj 481 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [249 590.0001 321.4062 598.0001] /Subtype /Link /Type /Annot >> endobj 482 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [405 590.0001 484.3672 598.0001] /Subtype /Link /Type /Annot >> endobj 483 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20211013063105-08'00') /Rect [93 575.8001 157.2383 583.8001] /Subtype /Link /Type /Annot >> endobj 484 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [249 575.8001 325.4609 583.8001] /Subtype /Link /Type /Annot >> endobj 485 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [405 575.8001 474.3047 583.8001] /Subtype /Link /Type /Annot >> endobj 486 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [93 561.6001 147.0859 569.6001] /Subtype /Link /Type /Annot >> endobj 487 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20211013063105-08'00') /Rect [249 561.6001 323.1602 569.6001] /Subtype /Link /Type /Annot >> endobj 488 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20211013063105-08'00') /Rect [405 561.6001 474 569.6001] /Subtype /Link /Type /Annot >> endobj 489 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [93 547.4001 161.4336 555.4001] /Subtype /Link /Type /Annot >> endobj 490 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [249 547.4001 316.3516 555.4001] /Subtype /Link /Type /Annot >> endobj 491 0 obj << /A << /D (unique_259) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_bitstream) /M (D:20211013063105-08'00') /Rect [405 547.4001 482.5156 555.4001] /Subtype /Link /Type /Annot >> endobj 492 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [93 533.2001 165.207 541.2001] /Subtype /Link /Type /Annot >> endobj 493 0 obj << /A << /D (unique_261) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_probe) /M (D:20211013063105-08'00') /Rect [249 533.2001 312.6406 541.2001] /Subtype /Link /Type /Annot >> endobj 494 0 obj << /A << /D (unique_262) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_target) /M (D:20211013063105-08'00') /Rect [405 533.2001 469.1797 541.2001] /Subtype /Link /Type /Annot >> endobj 495 0 obj << /A << /D (unique_263) /S /GoTo >> /Border [0 0 0] /Contents (detect_hw_sio_links) /M (D:20211013063105-08'00') /Rect [93 519.0001 165.5703 527.0001] /Subtype /Link /Type /Annot >> endobj 496 0 obj << /A << /D (unique_264) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20211013063105-08'00') /Rect [249 519.0001 330.9648 527.0001] /Subtype /Link /Type /Annot >> endobj 497 0 obj << /A << /D (unique_265) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20211013063105-08'00') /Rect [405 519.0001 477.8672 527.0001] /Subtype /Link /Type /Annot >> endobj 498 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [93 504.8001 168.3086 512.8001] /Subtype /Link /Type /Annot >> endobj 499 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (execute_hw_svf) /M (D:20211013063105-08'00') /Rect [249 504.8001 306.7422 512.8001] /Subtype /Link /Type /Annot >> endobj 500 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20211013063105-08'00') /Rect [405 504.8001 474.3203 512.8001] /Subtype /Link /Type /Annot >> endobj 501 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20211013063105-08'00') /Rect [93 490.6001 153.0977 498.6001] /Subtype /Link /Type /Annot >> endobj 502 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [249 490.6001 293.7461 498.6001] /Subtype /Link /Type /Annot >> endobj 503 0 obj << /A << /D (unique_271) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20211013063105-08'00') /Rect [405 490.6001 469.9531 498.6001] /Subtype /Link /Type /Annot >> endobj 504 0 obj << /A << /D (unique_272) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ddrmcs) /M (D:20211013063105-08'00') /Rect [93 476.4 151.4336 484.4] /Subtype /Link /Type /Annot >> endobj 505 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [249 476.4 306.7969 484.4] /Subtype /Link /Type /Annot >> endobj 506 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [405 476.4 456.3125 484.4] /Subtype /Link /Type /Annot >> endobj 507 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [93 462.2001 155.6523 470.2001] /Subtype /Link /Type /Annot >> endobj 508 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [249 462.2001 291.5781 470.2001] /Subtype /Link /Type /Annot >> endobj 509 0 obj << /A << /D (unique_277) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20211013063105-08'00') /Rect [405 462.2001 453.4297 470.2001] /Subtype /Link /Type /Annot >> endobj 510 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20211013063105-08'00') /Rect [93 448.0001 142.3008 456.0001] /Subtype /Link /Type /Annot >> endobj 511 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [249 448.0001 305.3867 456.0001] /Subtype /Link /Type /Annot >> endobj 512 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [405 448.0001 462.4922 456.0001] /Subtype /Link /Type /Annot >> endobj 513 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [93 433.8001 174.4141 441.8001] /Subtype /Link /Type /Annot >> endobj 514 0 obj << /A << /D (unique_282) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gtgroups) /M (D:20211013063105-08'00') /Rect [249 433.8001 327.6523 441.8001] /Subtype /Link /Type /Annot >> endobj 515 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [405 433.8001 460.7969 441.8001] /Subtype /Link /Type /Annot >> endobj 516 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [93 419.6001 158.6758 427.6001] /Subtype /Link /Type /Annot >> endobj 517 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20211013063105-08'00') /Rect [249 419.6001 333.1875 427.6001] /Subtype /Link /Type /Annot >> endobj 518 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [405 419.6001 466.332 427.6001] /Subtype /Link /Type /Annot >> endobj 519 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [93 405.4001 150.0352 413.4001] /Subtype /Link /Type /Annot >> endobj 520 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [249 405.4001 304.5234 413.4001] /Subtype /Link /Type /Annot >> endobj 521 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [405 405.4001 470.0938 413.4001] /Subtype /Link /Type /Annot >> endobj 522 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [93 391.2001 165.0547 399.2001] /Subtype /Link /Type /Annot >> endobj 523 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [249 391.2001 304.1055 399.2001] /Subtype /Link /Type /Annot >> endobj 524 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_softmcs) /M (D:20211013063105-08'00') /Rect [405 391.2001 464.5977 399.2001] /Subtype /Link /Type /Annot >> endobj 525 0 obj << /A << /D (unique_293) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmon_reg) /M (D:20211013063105-08'00') /Rect [93 377.0001 168.0898 385.0001] /Subtype /Link /Type /Annot >> endobj 526 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20211013063105-08'00') /Rect [249 377.0001 311.9727 385.0001] /Subtype /Link /Type /Annot >> endobj 527 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [405 377.0001 461.9258 385.0001] /Subtype /Link /Type /Annot >> endobj 528 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [93 362.8 137.9297 370.8] /Subtype /Link /Type /Annot >> endobj 529 0 obj << /A << /D (unique_297) /S /GoTo >> /Border [0 0 0] /Contents (list_hw_samples) /M (D:20211013063105-08'00') /Rect [249 362.8 308.7852 370.8] /Subtype /Link /Type /Annot >> endobj 530 0 obj << /A << /D (unique_298) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_manager) /M (D:20211013063105-08'00') /Rect [405 362.8 476.1719 370.8] /Subtype /Link /Type /Annot >> endobj 531 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [93 348.6 152.9922 356.6] /Subtype /Link /Type /Annot >> endobj 532 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [249 348.6 331.9023 356.6] /Subtype /Link /Type /Annot >> endobj 533 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [405 348.6 486.9062 356.6] /Subtype /Link /Type /Annot >> endobj 534 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [93 334.4 171.582 342.4] /Subtype /Link /Type /Annot >> endobj 535 0 obj << /A << /D (unique_302) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_ila_data) /M (D:20211013063105-08'00') /Rect [249 334.4 312.6484 342.4] /Subtype /Link /Type /Annot >> endobj 536 0 obj << /A << /D (unique_303) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [405 334.4 471.0898 342.4] /Subtype /Link /Type /Annot >> endobj 537 0 obj << /A << /D (unique_304) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [93 320.2 166.0508 328.2] /Subtype /Link /Type /Annot >> endobj 538 0 obj << /A << /D (unique_305) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [249 320.2 332.4727 328.2] /Subtype /Link /Type /Annot >> endobj 539 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_device) /M (D:20211013063105-08'00') /Rect [405 320.2 481.3164 328.2] /Subtype /Link /Type /Annot >> endobj 540 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20211013063105-08'00') /Rect [93 306 148.6016 314] /Subtype /Link /Type /Annot >> endobj 541 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_ddrmc) /M (D:20211013063105-08'00') /Rect [249 306 318.2891 314] /Subtype /Link /Type /Annot >> endobj 542 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_device) /M (D:20211013063105-08'00') /Rect [405 306 473.6523 314] /Subtype /Link /Type /Annot >> endobj 543 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20211013063105-08'00') /Rect [93 291.8 155.168 299.8] /Subtype /Link /Type /Annot >> endobj 544 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20211013063105-08'00') /Rect [249 291.8 308.2852 299.8] /Subtype /Link /Type /Annot >> endobj 545 0 obj << /A << /D (unique_312) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_pcie) /M (D:20211013063105-08'00') /Rect [405 291.8 465.1562 299.8] /Subtype /Link /Type /Annot >> endobj 546 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20211013063105-08'00') /Rect [93 277.6 161.3477 285.6] /Subtype /Link /Type /Annot >> endobj 547 0 obj << /A << /D (unique_314) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sio) /M (D:20211013063105-08'00') /Rect [249 277.6 304.5547 285.6] /Subtype /Link /Type /Annot >> endobj 548 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_softmc) /M (D:20211013063105-08'00') /Rect [405 277.6 475.4531 285.6] /Subtype /Link /Type /Annot >> endobj 549 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sysmon) /M (D:20211013063105-08'00') /Rect [93 263.4 166.8281 271.4] /Subtype /Link /Type /Annot >> endobj 550 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_target) /M (D:20211013063105-08'00') /Rect [249 263.4 316.7812 271.4] /Subtype /Link /Type /Annot >> endobj 551 0 obj << /A << /D (unique_318) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20211013063105-08'00') /Rect [405 263.4 460.7852 271.4] /Subtype /Link /Type /Annot >> endobj 552 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [93 249.2 168.7656 257.2] /Subtype /Link /Type /Annot >> endobj 553 0 obj << /A << /D (unique_320) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_probe_enum) /M (D:20211013063105-08'00') /Rect [249 249.2 342.9609 257.2] /Subtype /Link /Type /Annot >> endobj 554 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20211013063105-08'00') /Rect [405 249.2 478.7344 257.2] /Subtype /Link /Type /Annot >> endobj 555 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [93 234.9999 189.5898 242.9999] /Subtype /Link /Type /Annot >> endobj 556 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [249 234.9999 326.4961 242.9999] /Subtype /Link /Type /Annot >> endobj 557 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [405 234.9999 489.457 242.9999] /Subtype /Link /Type /Annot >> endobj 558 0 obj << /A << /D (unique_325) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [93 220.7999 160.6367 228.7999] /Subtype /Link /Type /Annot >> endobj 559 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_ddrmc) /M (D:20211013063105-08'00') /Rect [249 220.7999 314.9727 228.7999] /Subtype /Link /Type /Annot >> endobj 560 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20211013063105-08'00') /Rect [405 220.7999 460.9688 228.7999] /Subtype /Link /Type /Annot >> endobj 561 0 obj << /A << /D (unique_328) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_pcie) /M (D:20211013063105-08'00') /Rect [93 206.5999 149.8398 214.5999] /Subtype /Link /Type /Annot >> endobj 562 0 obj << /A << /D (unique_329) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_softmc) /M (D:20211013063105-08'00') /Rect [249 206.5999 316.1367 214.5999] /Subtype /Link /Type /Annot >> endobj 563 0 obj << /A << /D (unique_330) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_targets) /M (D:20211013063105-08'00') /Rect [405 206.5999 473.2969 214.5999] /Subtype /Link /Type /Annot >> endobj 564 0 obj << /A << /D (unique_331) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20211013063105-08'00') /Rect [93 192.3999 140.5625 200.3999] /Subtype /Link /Type /Annot >> endobj 565 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_ila) /M (D:20211013063105-08'00') /Rect [249 192.3999 294.3945 200.3999] /Subtype /Link /Type /Annot >> endobj 566 0 obj << /A << /D (unique_333) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_pcie) /M (D:20211013063105-08'00') /Rect [405 192.3999 457.1172 200.3999] /Subtype /Link /Type /Annot >> endobj 567 0 obj << /A << /D (unique_334) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20211013063105-08'00') /Rect [93 178.1999 170.4023 186.1999] /Subtype /Link /Type /Annot >> endobj 568 0 obj << /A << /D (unique_335) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20211013063105-08'00') /Rect [249 178.1999 329.293 186.1999] /Subtype /Link /Type /Annot >> endobj 569 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [405 178.1999 493.7109 186.1999] /Subtype /Link /Type /Annot >> endobj 570 0 obj << /A << /D (unique_337) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_axi) /M (D:20211013063105-08'00') /Rect [93 163.9999 134.7891 171.9999] /Subtype /Link /Type /Annot >> endobj 571 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [249 163.9999 322.3984 171.9999] /Subtype /Link /Type /Annot >> endobj 572 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [405 163.9999 444.6211 171.9999] /Subtype /Link /Type /Annot >> endobj 573 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [93 149.7999 155.1367 157.7999] /Subtype /Link /Type /Annot >> endobj 574 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [249 149.7999 318.0977 157.7999] /Subtype /Link /Type /Annot >> endobj 575 0 obj << /A << /D (unique_342) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20211013063105-08'00') /Rect [405 149.7999 472.2617 157.7999] /Subtype /Link /Type /Annot >> endobj 576 0 obj << /A << /D (unique_343) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20211013063105-08'00') /Rect [93 135.5999 152.4844 143.5999] /Subtype /Link /Type /Annot >> endobj 577 0 obj << /A << /D (unique_344) /S /GoTo >> /Border [0 0 0] /Contents (scan_dr_hw_jtag) /M (D:20211013063105-08'00') /Rect [249 135.5999 309.793 143.5999] /Subtype /Link /Type /Annot >> endobj 578 0 obj << /A << /D (unique_345) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20211013063105-08'00') /Rect [405 135.5999 462.9336 143.5999] /Subtype /Link /Type /Annot >> endobj 579 0 obj << /A << /D (unique_346) /S /GoTo >> /Border [0 0 0] /Contents (set_hw_sysmon_reg) /M (D:20211013063105-08'00') /Rect [93 121.3999 167 129.3999] /Subtype /Link /Type /Annot >> endobj 580 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [249 121.3999 325.6836 129.3999] /Subtype /Link /Type /Annot >> endobj 581 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [405 121.3999 470.4219 129.3999] /Subtype /Link /Type /Annot >> endobj 582 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [93 107.1999 165.3828 115.1999] /Subtype /Link /Type /Annot >> endobj 583 0 obj << /A << /D (unique_350) /S /GoTo >> /Border [0 0 0] /Contents (update_hw_firmware) /M (D:20211013063105-08'00') /Rect [249 107.1999 327.6055 115.1999] /Subtype /Link /Type /Annot >> endobj 584 0 obj << /A << /D (unique_351) /S /GoTo >> /Border [0 0 0] /Contents (update_hw_gpio) /M (D:20211013063105-08'00') /Rect [405 107.1999 466.2344 115.1999] /Subtype /Link /Type /Annot >> endobj 585 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20211013063105-08'00') /Rect [93 92.9999 165.6797 100.9999] /Subtype /Link /Type /Annot >> endobj 586 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (verify_hw_devices) /M (D:20211013063105-08'00') /Rect [249 92.9999 315.25 100.9999] /Subtype /Link /Type /Annot >> endobj 587 0 obj << /A << /D (unique_354) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_ila) /M (D:20211013063105-08'00') /Rect [405 92.9999 460.2266 100.9999] /Subtype /Link /Type /Annot >> endobj 588 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [93 78.7998 170.7422 86.7998] /Subtype /Link /Type /Annot >> endobj 589 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [249 78.7998 333.7031 86.7998] /Subtype /Link /Type /Annot >> endobj 590 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20211013063105-08'00') /Rect [405 78.7998 470.5547 86.7998] /Subtype /Link /Type /Annot >> endobj 591 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 592 0 obj << /Filter /FlateDecode /Length 3367 >> stream x]K(+8d/`0T*)+밷JjO=ӳZU<1l3$#"Q'#?DXppݤU:㠛$q:L7)K Fct SMB&2AgtpTtFbRM;`X:d'C'A8 cUv`PA%0t ;0 a:F=A&|wt^7i\(4jҒ1\5+ADwݣDyXz8Ku vcїl`jx]tnR8ct]tIaH0L5)Ԑdhd$^5/A߷heo9{hmo){WEw_ĤEןYopv Un&/]o"(|7Y c h"dT?1v(Än]ChO\TLqgjz\geSt$3) GC!\ F:Sߎ >c+q$ڃ 7ZwXDHTl@g.Ts(Q.2|LTZuPn2m١21!'&Η4v,.) A;r\iWVCk<Qg:_6M@bQX 3~8eܖ7'#PP]DFE,wQ vR\өG),K_8`9s y)F;#FŽԞ;KڐЖ%^ɄpKvWI4$]90\̘mf?+ }v*OIO :Vr{yr=7Pv!/ ʆo<ֲ!܈Rf^۞Dźzp5f**>.l!WZ@ݣ(,оp۫6La#eKiMutTӼ>-u{IA~Љ@IZI0{,8Ƅ?GykAQoB IzEkJW`*.坽vUº`7'HwVGx+B(lFNAMRiccAԾmk,$1&MK$KRkzpVPfvu.bZTP]*BnM!y.Y-UTzfsw֮XEJ`*VZ ?m-QoB.!#,4f.->zA%<׌1\5%φ>HE1Wk o']G̼E4WH=s7#mFs|ʛ+lwqw y^K]Fn?x8,/,8Ӯq~]cڊ9?~k_^'ZYm.TI4ypmQfܸrz9fKtjD3hA3 G}cȱݴ;&)#\v 0w;r }6?\!:H;0y}aRu00W(Shxrl=2!.:^Att5\k]ՍCaԚ;PCFٮ_rk3.OZ2p*#@ށ = -(q+[ZQV㷴[. H(;jT%%O坕+9eA[Ҋ;Ҋvl]E(6gniEɍ@oiEyJQJ܊~VEKR8\71G[Ҋs /iPܾ刂Z kOC` J&??~⮕rlй [2(!zjv tٞ3&˼1R Fe9_0j 3zRX ZB-^$/iIG;A.Z=pb*{J ˙H#Gk8_< M.aG\7hvL/Ler/ >,r/;]P̔meE[?@%tqZ׫)a- T4AVō%TZF:Y0!œ*T6.IзMBw4Svb-muٹ@VM牉}۶'&&fq-r(?܏P2Xsz022$P+i`9v 2./p.P'?/&Е)TCjQN>q8~쿘7qO'S^pJӂ |nMb*fF>_5W//o73P w)~+ OI=Q3`AM?}cnY7El`Cf.n4IhvsCA¶y˗&j[o;xHCϨD%1lKڀ)6̧h<0%> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4653 597 0 R >> >> /Type /Page >> endobj 594 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062923-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 595 0 obj [594 0 R 599 0 R 600 0 R 601 0 R 602 0 R 603 0 R 604 0 R 605 0 R 606 0 R 607 0 R 608 0 R 609 0 R 610 0 R 611 0 R 612 0 R 613 0 R 614 0 R 615 0 R 616 0 R 617 0 R 618 0 R 619 0 R 620 0 R 621 0 R 622 0 R 623 0 R 624 0 R 625 0 R 626 0 R 627 0 R 628 0 R 629 0 R 630 0 R 631 0 R 632 0 R 633 0 R 634 0 R 635 0 R 636 0 R 637 0 R 638 0 R 639 0 R 640 0 R 641 0 R 642 0 R 643 0 R 644 0 R 645 0 R 646 0 R 647 0 R 648 0 R 649 0 R 650 0 R 651 0 R 652 0 R 653 0 R 654 0 R 655 0 R 656 0 R 657 0 R 658 0 R 659 0 R 660 0 R 661 0 R 662 0 R 663 0 R 664 0 R 665 0 R 666 0 R 667 0 R 668 0 R 669 0 R 670 0 R 671 0 R 672 0 R 673 0 R 674 0 R 675 0 R 676 0 R 677 0 R 678 0 R 679 0 R 680 0 R 681 0 R 682 0 R 683 0 R 684 0 R 685 0 R 686 0 R 687 0 R 688 0 R 689 0 R 690 0 R 691 0 R 692 0 R 598 0 R] endobj 596 0 obj << /Length 18 >> stream q /Iabc4653 Do Q endstream endobj 597 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4655 20830 0 R /Gabc4663 20835 0 R >> /Font << /Fabc4683 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 599 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [93 700.4 160.9961 708.4] /Subtype /Link /Type /Annot >> endobj 600 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [249 700.4 323.957 708.4] /Subtype /Link /Type /Annot >> endobj 601 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20211013063105-08'00') /Rect [405 700.4 452.5156 708.4] /Subtype /Link /Type /Annot >> endobj 602 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20211013063105-08'00') /Rect [93 646 186.1719 654] /Subtype /Link /Type /Annot >> endobj 603 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (compile_c) /M (D:20211013063105-08'00') /Rect [249 646 285.8477 654] /Subtype /Link /Type /Annot >> endobj 604 0 obj << /A << /D (unique_36) /S /GoTo >> /Border [0 0 0] /Contents (config_ip_cache) /M (D:20211013063105-08'00') /Rect [405 646 463.5508 654] /Subtype /Link /Type /Annot >> endobj 605 0 obj << /A << /D (unique_362) /S /GoTo >> /Border [0 0 0] /Contents (convert_ips) /M (D:20211013063105-08'00') /Rect [93 631.8 135.4961 639.8] /Subtype /Link /Type /Annot >> endobj 606 0 obj << /A << /D (unique_363) /S /GoTo >> /Border [0 0 0] /Contents (copy_ip) /M (D:20211013063105-08'00') /Rect [249 631.8 276.875 639.8] /Subtype /Link /Type /Annot >> endobj 607 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [405 631.8 438.7383 639.8] /Subtype /Link /Type /Annot >> endobj 608 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20211013063105-08'00') /Rect [93 617.6 143.2227 625.6] /Subtype /Link /Type /Annot >> endobj 609 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20211013063105-08'00') /Rect [249 617.6 314.7109 625.6] /Subtype /Link /Type /Annot >> endobj 610 0 obj << /A << /D (unique_366) /S /GoTo >> /Border [0 0 0] /Contents (delete_ip_run) /M (D:20211013063105-08'00') /Rect [405 617.6 455.1641 625.6] /Subtype /Link /Type /Annot >> endobj 611 0 obj << /A << /D (unique_367) /S /GoTo >> /Border [0 0 0] /Contents (extract_files) /M (D:20211013063105-08'00') /Rect [93 603.4 137.5039 611.4] /Subtype /Link /Type /Annot >> endobj 612 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20211013063105-08'00') /Rect [249 603.4 325.25 611.4] /Subtype /Link /Type /Annot >> endobj 613 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [405 603.4 465.2539 611.4] /Subtype /Link /Type /Annot >> endobj 614 0 obj << /A << /D (unique_369) /S /GoTo >> /Border [0 0 0] /Contents (get_ip_upgrade_results) /M (D:20211013063105-08'00') /Rect [93 589.2 179.4062 597.2] /Subtype /Link /Type /Annot >> endobj 615 0 obj << /A << /D (unique_370) /S /GoTo >> /Border [0 0 0] /Contents (get_ipdefs) /M (D:20211013063105-08'00') /Rect [249 589.2 287.6133 597.2] /Subtype /Link /Type /Annot >> endobj 616 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [405 589.2 431.4258 597.2] /Subtype /Link /Type /Annot >> endobj 617 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [93 575 128.7695 583] /Subtype /Link /Type /Annot >> endobj 618 0 obj << /A << /D (unique_373) /S /GoTo >> /Border [0 0 0] /Contents (open_example_project) /M (D:20211013063105-08'00') /Rect [249 575 333.2148 583] /Subtype /Link /Type /Annot >> endobj 619 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20211013063105-08'00') /Rect [405 575 432.4219 583] /Subtype /Link /Type /Annot >> endobj 620 0 obj << /A << /D (unique_374) /S /GoTo >> /Border [0 0 0] /Contents (report_ip_status) /M (D:20211013063105-08'00') /Rect [93 560.8 153.125 568.8] /Subtype /Link /Type /Annot >> endobj 621 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (reset_target) /M (D:20211013063105-08'00') /Rect [249 560.8 294.2188 568.8] /Subtype /Link /Type /Annot >> endobj 622 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (synth_ip) /M (D:20211013063105-08'00') /Rect [405 560.8 435.9609 568.8] /Subtype /Link /Type /Annot >> endobj 623 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (update_ip_catalog) /M (D:20211013063105-08'00') /Rect [93 546.6 160.7656 554.6] /Subtype /Link /Type /Annot >> endobj 624 0 obj << /A << /D (unique_378) /S /GoTo >> /Border [0 0 0] /Contents (update_module_reference) /M (D:20211013063105-08'00') /Rect [249 546.6 347.0547 554.6] /Subtype /Link /Type /Annot >> endobj 625 0 obj << /A << /D (unique_379) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_ip) /M (D:20211013063105-08'00') /Rect [405 546.6 447.2109 554.6] /Subtype /Link /Type /Annot >> endobj 626 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (validate_ip) /M (D:20211013063105-08'00') /Rect [93 532.4 132.7578 540.4] /Subtype /Link /Type /Annot >> endobj 627 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (write_ip_tcl) /M (D:20211013063105-08'00') /Rect [249 532.4 290.4062 540.4] /Subtype /Link /Type /Annot >> endobj 628 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20211013063105-08'00') /Rect [405 532.4 466.3008 540.4] /Subtype /Link /Type /Annot >> endobj 629 0 obj << /A << /D (unique_382) /S /GoTo >> /Border [0 0 0] /Contents (apply_bd_automation) /M (D:20211013063105-08'00') /Rect [93 478 173.7578 486] /Subtype /Link /Type /Annot >> endobj 630 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (apply_board_connection) /M (D:20211013063105-08'00') /Rect [249 478 340.1055 486] /Subtype /Link /Type /Annot >> endobj 631 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20211013063105-08'00') /Rect [405 478 475.2383 486] /Subtype /Link /Type /Annot >> endobj 632 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20211013063105-08'00') /Rect [93 463.8 153.7031 471.8] /Subtype /Link /Type /Annot >> endobj 633 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (compile_c) /M (D:20211013063105-08'00') /Rect [249 463.8 285.8477 471.8] /Subtype /Link /Type /Annot >> endobj 634 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [405 463.8 479.5117 471.8] /Subtype /Link /Type /Annot >> endobj 635 0 obj << /A << /D (unique_386) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20211013063105-08'00') /Rect [93 449.6 151.5742 457.6] /Subtype /Link /Type /Annot >> endobj 636 0 obj << /A << /D (unique_387) /S /GoTo >> /Border [0 0 0] /Contents (copy_bd_objs) /M (D:20211013063105-08'00') /Rect [249 449.6 298.6797 457.6] /Subtype /Link /Type /Annot >> endobj 637 0 obj << /A << /D (unique_388) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [405 449.6 479.0781 457.6] /Subtype /Link /Type /Annot >> endobj 638 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [93 435.4 145.3633 443.4] /Subtype /Link /Type /Annot >> endobj 639 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [249 435.4 314.082 443.4] /Subtype /Link /Type /Annot >> endobj 640 0 obj << /A << /D (unique_391) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20211013063105-08'00') /Rect [405 435.4 473.168 443.4] /Subtype /Link /Type /Annot >> endobj 641 0 obj << /A << /D (unique_392) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20211013063105-08'00') /Rect [93 421.2 160.7539 429.2] /Subtype /Link /Type /Annot >> endobj 642 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20211013063105-08'00') /Rect [249 421.2 320.7773 429.2] /Subtype /Link /Type /Annot >> endobj 643 0 obj << /A << /D (unique_394) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_tlm_port) /M (D:20211013063105-08'00') /Rect [405 421.2 492.4961 429.2] /Subtype /Link /Type /Annot >> endobj 644 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20211013063105-08'00') /Rect [93 407 145.2305 415] /Subtype /Link /Type /Annot >> endobj 645 0 obj << /A << /D (unique_396) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20211013063105-08'00') /Rect [249 407 300.8164 415] /Subtype /Link /Type /Annot >> endobj 646 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [405 407 460.8398 415] /Subtype /Link /Type /Annot >> endobj 647 0 obj << /A << /D (unique_398) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_tlm_port) /M (D:20211013063105-08'00') /Rect [93 392.8 164.5586 400.8] /Subtype /Link /Type /Annot >> endobj 648 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [249 392.8 318.2773 400.8] /Subtype /Link /Type /Annot >> endobj 649 0 obj << /A << /D (unique_400) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_instance) /M (D:20211013063105-08'00') /Rect [405 392.8 480.5938 400.8] /Subtype /Link /Type /Annot >> endobj 650 0 obj << /A << /D (unique_401) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20211013063105-08'00') /Rect [93 378.6 148.4844 386.6] /Subtype /Link /Type /Annot >> endobj 651 0 obj << /A << /D (unique_402) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [249 378.6 334.3281 386.6] /Subtype /Link /Type /Annot >> endobj 652 0 obj << /A << /D (unique_403) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_bd_net) /M (D:20211013063105-08'00') /Rect [405 378.6 474.3906 386.6] /Subtype /Link /Type /Annot >> endobj 653 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [93 364.4 172.4805 372.4] /Subtype /Link /Type /Annot >> endobj 654 0 obj << /A << /D (unique_405) /S /GoTo >> /Border [0 0 0] /Contents (export_as_example_design) /M (D:20211013063105-08'00') /Rect [249 364.4 349.1289 372.4] /Subtype /Link /Type /Annot >> endobj 655 0 obj << /A << /D (unique_406) /S /GoTo >> /Border [0 0 0] /Contents (find_bd_objs) /M (D:20211013063105-08'00') /Rect [405 364.4 451.7617 372.4] /Subtype /Link /Type /Annot >> endobj 656 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [93 350.2 153.2539 358.2] /Subtype /Link /Type /Annot >> endobj 657 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20211013063105-08'00') /Rect [249 350.2 315.7656 358.2] /Subtype /Link /Type /Annot >> endobj 658 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20211013063105-08'00') /Rect [405 350.2 480.0938 358.2] /Subtype /Link /Type /Annot >> endobj 659 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [93 336 138.0508 344] /Subtype /Link /Type /Annot >> endobj 660 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20211013063105-08'00') /Rect [249 336 306.7695 344] /Subtype /Link /Type /Annot >> endobj 661 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_nets) /M (D:20211013063105-08'00') /Rect [405 336 465.8555 344] /Subtype /Link /Type /Annot >> endobj 662 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [93 321.8 153.4414 329.8] /Subtype /Link /Type /Annot >> endobj 663 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20211013063105-08'00') /Rect [249 321.8 313.4648 329.8] /Subtype /Link /Type /Annot >> endobj 664 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [405 321.8 449.918 329.8] /Subtype /Link /Type /Annot >> endobj 665 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [93 307.6 137.5039 315.6] /Subtype /Link /Type /Annot >> endobj 666 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20211013063105-08'00') /Rect [249 307.6 297.5273 315.6] /Subtype /Link /Type /Annot >> endobj 667 0 obj << /A << /D (unique_417) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_regs) /M (D:20211013063105-08'00') /Rect [405 307.6 450.2344 315.6] /Subtype /Link /Type /Annot >> endobj 668 0 obj << /A << /D (unique_418) /S /GoTo >> /Border [0 0 0] /Contents (get_example_designs) /M (D:20211013063105-08'00') /Rect [93 293.4 173.0547 301.4] /Subtype /Link /Type /Annot >> endobj 669 0 obj << /A << /D (unique_419) /S /GoTo >> /Border [0 0 0] /Contents (get_template_bd_designs) /M (D:20211013063105-08'00') /Rect [249 293.4 343.8086 301.4] /Subtype /Link /Type /Annot >> endobj 670 0 obj << /A << /D (unique_420) /S /GoTo >> /Border [0 0 0] /Contents (group_bd_cells) /M (D:20211013063105-08'00') /Rect [405 293.4 460.5859 301.4] /Subtype /Link /Type /Annot >> endobj 671 0 obj << /A << /D (unique_421) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [93 279.2 170.9023 287.2] /Subtype /Link /Type /Annot >> endobj 672 0 obj << /A << /D (unique_422) /S /GoTo >> /Border [0 0 0] /Contents (instantiate_example_design) /M (D:20211013063105-08'00') /Rect [249 279.2 352.8984 287.2] /Subtype /Link /Type /Annot >> endobj 673 0 obj << /A << /D (unique_423) /S /GoTo >> /Border [0 0 0] /Contents (instantiate_template_bd_design) /M (D:20211013063105-08'00') /Rect [405 279.2 523.6523 287.2] /Subtype /Link /Type /Annot >> endobj 674 0 obj << /A << /D (unique_424) /S /GoTo >> /Border [0 0 0] /Contents (make_bd_intf_pins_external) /M (D:20211013063105-08'00') /Rect [93 265 195.9492 273] /Subtype /Link /Type /Annot >> endobj 675 0 obj << /A << /D (unique_425) /S /GoTo >> /Border [0 0 0] /Contents (make_bd_pins_external) /M (D:20211013063105-08'00') /Rect [249 265 336.0117 273] /Subtype /Link /Type /Annot >> endobj 676 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (move_bd_cells) /M (D:20211013063105-08'00') /Rect [405 265 458.5469 273] /Subtype /Link /Type /Annot >> endobj 677 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [93 250.8 153.8359 258.8] /Subtype /Link /Type /Annot >> endobj 678 0 obj << /A << /D (unique_165) /S /GoTo >> /Border [0 0 0] /Contents (read_bd) /M (D:20211013063105-08'00') /Rect [249 250.8 279.2812 258.8] /Subtype /Link /Type /Annot >> endobj 679 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (regenerate_bd_layout) /M (D:20211013063105-08'00') /Rect [405 250.8 486.4648 258.8] /Subtype /Link /Type /Annot >> endobj 680 0 obj << /A << /D (unique_429) /S /GoTo >> /Border [0 0 0] /Contents (replace_bd_cell) /M (D:20211013063105-08'00') /Rect [93 236.6 149.4609 244.6] /Subtype /Link /Type /Annot >> endobj 681 0 obj << /A << /D (unique_430) /S /GoTo >> /Border [0 0 0] /Contents (report_bd_diffs) /M (D:20211013063105-08'00') /Rect [249 236.6 305.4375 244.6] /Subtype /Link /Type /Annot >> endobj 682 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20211013063105-08'00') /Rect [405 236.6 463.5117 244.6] /Subtype /Link /Type /Annot >> endobj 683 0 obj << /A << /D (unique_51) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design_as) /M (D:20211013063105-08'00') /Rect [93 222.4 163.1211 230.4] /Subtype /Link /Type /Annot >> endobj 684 0 obj << /A << /D (unique_432) /S /GoTo >> /Border [0 0 0] /Contents (ungroup_bd_cells) /M (D:20211013063105-08'00') /Rect [249 222.4 314.4766 230.4] /Subtype /Link /Type /Annot >> endobj 685 0 obj << /A << /D (unique_433) /S /GoTo >> /Border [0 0 0] /Contents (update_bd_boundaries) /M (D:20211013063105-08'00') /Rect [405 222.4 490.8711 230.4] /Subtype /Link /Type /Annot >> endobj 686 0 obj << /A << /D (unique_434) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_bd_cells) /M (D:20211013063105-08'00') /Rect [93 208.2 157.668 216.2] /Subtype /Link /Type /Annot >> endobj 687 0 obj << /A << /D (unique_52) /S /GoTo >> /Border [0 0 0] /Contents (validate_bd_design) /M (D:20211013063105-08'00') /Rect [249 208.2 320.1016 216.2] /Subtype /Link /Type /Annot >> endobj 688 0 obj << /A << /D (unique_26) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_tcl) /M (D:20211013063105-08'00') /Rect [405 208.2 449.2656 216.2] /Subtype /Link /Type /Annot >> endobj 689 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [93 153.8001 144.4727 161.8001] /Subtype /Link /Type /Annot >> endobj 690 0 obj << /A << /D (unique_44) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20211013063105-08'00') /Rect [93 99.4001 175.1562 107.4001] /Subtype /Link /Type /Annot >> endobj 691 0 obj << /A << /D (unique_45) /S /GoTo >> /Border [0 0 0] /Contents (implement_xphy_cores) /M (D:20211013063105-08'00') /Rect [249 99.4001 334.8672 107.4001] /Subtype /Link /Type /Annot >> endobj 692 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (refresh_meminit) /M (D:20211013063105-08'00') /Rect [405 99.4001 466.7266 107.4001] /Subtype /Link /Type /Annot >> endobj 693 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 694 0 obj << /Filter /FlateDecode /Length 2896 >> stream x\͏W`0iwJ#4//Q46nvW=n0.~Ecw;W:)*W1Np27w}ܽ(2(:J޽D|T:; ryT yo8LO^?m#B00~=(@ݲՅ $#:0ұtp8G4^:F?Y,Ko$BI1|5ϫ4J#0%Ur椑"*Œ&i,7*,øaq־Z9ϵ.9˵.95u~Z{c,j,o4g^<>fQ7bD̓m}5ICAs7]x|̎DwvtGD;!HYJBnP=a+3,=J!"qgi\ƚl&]o9"kvmM+VPjN_oY`5Lo*shi^ [ H``2~Pe[UU-_S㈍ Eʨ,Sd`ΉAYYZnĜrQM#{qNm3SAuאmuokʡV(SAeNP ɧRGRSRrɴ&sZFPj< [̑xxվ!׶I>`mqsOΉykzfqMa-pL5 1%`N 2 C>"ʩ2s["yfb6)8eQҀ% R-2iPj!uI&Α.kU'tD$ʒ2q1NmpγcjIn&rZ5Y4kgybmB=S"{_4iC=S gqH*m*+pkr6Lrz\JRz>-8)a?n:WLM /e:eөϧim PF PhU.{|?ϧK@0d§YN^#{VrJUsa0nYẨa>Šˮ^TC8/G6AFl{ _$!KwQ>-ΧV2EEI.T-esho4U?)؆m )TO,qe-Zs#yϗ#mr=$+6P6jZU;昳aS=[/!_^';aA<:CO :OXzpR)[&ɉu"s#Cinחl'PV[iшMwFrB]wHn",?j, j]tEt5#3BS<ƁNS=#BI_;{0bek!y95y'l4| 9dQ{rAN}x>ѲdzΛVmnd#/lm5]vėLI/v-ұ*ؗA\}̢mCb!nd8v\"ҩt%j;+3NFd_z@:|S5ZK`Nv'G5<:gy9O+cm_X[3vĄxY!DԿVj=~a}O= oƳja öF+Pa hݛ#of1lb]n7p}(ṅiѳZL_+ܒ{mX^@#\ߑ&ռ5|\Ol$Aq7AE[ :@2Y+'f 9F]7^V @a`|P10 .U3h/6މ"w`N/H(|(KсlTYBZpv%ODT4Phr9) ONuVe1T.h. ?e- B]/BGXٲ6%ƶ!\5KLeo(ZA%j6%z* M%v55ql~f`ENQp:/*ňF5F!>̗1$J- ]j@AXEۯNlpߑAC` )(EWtנ葦HJz,Z3oG9$gb ȩpzpY̕q<Hs QE kZtxT|:O&: !R@拾+NL2[zBƥQ=c0Zѐ0 lMPr> :hFeAt"HDvT27e :āT_W-tF"3%X]h nE3 &Y-bnǾ V^0;fhtl(t^̣zFN-gC߿C㠪`tZE6 GXi^0z{ »_"㮯Q;7ЬspM~ⲧ'X;MTqkN^\|4z9>\"̽>PAhlҎfB*E!Yk٧VGd#TEYO_KA}C}і@0G endstream endobj 695 0 obj << /Annots 697 0 R /BleedBox [0 0 612 792] /Contents [801 0 R 800 0 R 698 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc4837 699 0 R >> >> /Type /Page >> endobj 696 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062923-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 697 0 obj [696 0 R 701 0 R 702 0 R 703 0 R 704 0 R 705 0 R 706 0 R 707 0 R 708 0 R 709 0 R 710 0 R 711 0 R 712 0 R 713 0 R 714 0 R 715 0 R 716 0 R 717 0 R 718 0 R 719 0 R 720 0 R 721 0 R 722 0 R 723 0 R 724 0 R 725 0 R 726 0 R 727 0 R 728 0 R 729 0 R 730 0 R 731 0 R 732 0 R 733 0 R 734 0 R 735 0 R 736 0 R 737 0 R 738 0 R 739 0 R 740 0 R 741 0 R 742 0 R 743 0 R 744 0 R 745 0 R 746 0 R 747 0 R 748 0 R 749 0 R 750 0 R 751 0 R 752 0 R 753 0 R 754 0 R 755 0 R 756 0 R 757 0 R 758 0 R 759 0 R 760 0 R 761 0 R 762 0 R 763 0 R 764 0 R 765 0 R 766 0 R 767 0 R 768 0 R 769 0 R 770 0 R 771 0 R 772 0 R 773 0 R 774 0 R 775 0 R 776 0 R 777 0 R 778 0 R 779 0 R 780 0 R 781 0 R 782 0 R 783 0 R 784 0 R 785 0 R 786 0 R 787 0 R 788 0 R 789 0 R 790 0 R 791 0 R 792 0 R 793 0 R 794 0 R 795 0 R 796 0 R 797 0 R 798 0 R 799 0 R 700 0 R] endobj 698 0 obj << /Length 18 >> stream q /Iabc4837 Do Q endstream endobj 699 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc4852 20830 0 R /Gabc4860 20835 0 R >> /Font << /Fabc4875 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=knT-=%CO4yrCodE#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 701 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (refresh_meminit) /M (D:20211013063105-08'00') /Rect [93 675.2 154.7266 683.2] /Subtype /Link /Type /Annot >> endobj 702 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [93 620.8001 144.4727 628.8001] /Subtype /Link /Type /Annot >> endobj 703 0 obj << /A << /D (unique_435) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20211013063105-08'00') /Rect [249 620.8001 343.4688 628.8001] /Subtype /Link /Type /Annot >> endobj 704 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20211013063105-08'00') /Rect [405 620.8001 510.3086 628.8001] /Subtype /Link /Type /Annot >> endobj 705 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [93 606.6 170.3086 614.6] /Subtype /Link /Type /Annot >> endobj 706 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology) /M (D:20211013063105-08'00') /Rect [249 606.6 321.5859 614.6] /Subtype /Link /Type /Annot >> endobj 707 0 obj << /A << /D (unique_438) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology_check) /M (D:20211013063105-08'00') /Rect [405 606.6 502.2852 614.6] /Subtype /Link /Type /Annot >> endobj 708 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [93 552.2 138.4414 560.2] /Subtype /Link /Type /Annot >> endobj 709 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [249 552.2 288.2305 560.2] /Subtype /Link /Type /Annot >> endobj 710 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [405 552.2 444.0977 560.2] /Subtype /Link /Type /Annot >> endobj 711 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [93 538 131.6836 546] /Subtype /Link /Type /Annot >> endobj 712 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20211013063105-08'00') /Rect [249 538 305.2578 546] /Subtype /Link /Type /Annot >> endobj 713 0 obj << /A << /D (unique_444) /S /GoTo >> /Border [0 0 0] /Contents (get_net_delays) /M (D:20211013063105-08'00') /Rect [405 538 460.1367 546] /Subtype /Link /Type /Annot >> endobj 714 0 obj << /A << /D (unique_445) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20211013063105-08'00') /Rect [93 523.8 137.3203 531.8] /Subtype /Link /Type /Annot >> endobj 715 0 obj << /A << /D (unique_446) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20211013063105-08'00') /Rect [249 523.8 293.1875 531.8] /Subtype /Link /Type /Annot >> endobj 716 0 obj << /A << /D (unique_447) /S /GoTo >> /Border [0 0 0] /Contents (remove_pin) /M (D:20211013063105-08'00') /Rect [405 523.8 448.7734 531.8] /Subtype /Link /Type /Annot >> endobj 717 0 obj << /A << /D (unique_448) /S /GoTo >> /Border [0 0 0] /Contents (rename_cell) /M (D:20211013063105-08'00') /Rect [93 509.6 137.9297 517.6] /Subtype /Link /Type /Annot >> endobj 718 0 obj << /A << /D (unique_449) /S /GoTo >> /Border [0 0 0] /Contents (rename_net) /M (D:20211013063105-08'00') /Rect [249 509.6 293.7969 517.6] /Subtype /Link /Type /Annot >> endobj 719 0 obj << /A << /D (unique_450) /S /GoTo >> /Border [0 0 0] /Contents (rename_pin) /M (D:20211013063105-08'00') /Rect [405 509.6 449.3828 517.6] /Subtype /Link /Type /Annot >> endobj 720 0 obj << /A << /D (unique_451) /S /GoTo >> /Border [0 0 0] /Contents (rename_port) /M (D:20211013063105-08'00') /Rect [93 495.4 141.4062 503.4] /Subtype /Link /Type /Annot >> endobj 721 0 obj << /A << /D (unique_452) /S /GoTo >> /Border [0 0 0] /Contents (rename_ref) /M (D:20211013063105-08'00') /Rect [249 495.4 291.9453 503.4] /Subtype /Link /Type /Annot >> endobj 722 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20211013063105-08'00') /Rect [405 495.4 459.5273 503.4] /Subtype /Link /Type /Annot >> endobj 723 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (resize_pin_bus) /M (D:20211013063105-08'00') /Rect [93 481.2 147.1133 489.2] /Subtype /Link /Type /Annot >> endobj 724 0 obj << /A << /D (unique_455) /S /GoTo >> /Border [0 0 0] /Contents (tie_unused_pins) /M (D:20211013063105-08'00') /Rect [249 481.2 308.9023 489.2] /Subtype /Link /Type /Annot >> endobj 725 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20211013063105-08'00') /Rect [93 426.8 151.1406 434.8] /Subtype /Link /Type /Annot >> endobj 726 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (apply_board_connection) /M (D:20211013063105-08'00') /Rect [249 426.8 340.1055 434.8] /Subtype /Link /Type /Annot >> endobj 727 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (can_resolve_reference) /M (D:20211013063105-08'00') /Rect [405 426.8 487.9375 434.8] /Subtype /Link /Type /Annot >> endobj 728 0 obj << /A << /D (unique_36) /S /GoTo >> /Border [0 0 0] /Contents (config_ip_cache) /M (D:20211013063105-08'00') /Rect [93 412.6 151.5508 420.6] /Subtype /Link /Type /Annot >> endobj 729 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20211013063105-08'00') /Rect [249 412.6 312.4414 420.6] /Subtype /Link /Type /Annot >> endobj 730 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [405 412.6 479.4023 420.6] /Subtype /Link /Type /Annot >> endobj 731 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [93 398.4 167.6289 406.4] /Subtype /Link /Type /Annot >> endobj 732 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [249 398.4 338.0273 406.4] /Subtype /Link /Type /Annot >> endobj 733 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20211013063105-08'00') /Rect [405 398.4 494.9062 406.4] /Subtype /Link /Type /Annot >> endobj 734 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20211013063105-08'00') /Rect [93 384.2 170.0977 392.2] /Subtype /Link /Type /Annot >> endobj 735 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [249 384.2 300.4727 392.2] /Subtype /Link /Type /Annot >> endobj 736 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (current_board) /M (D:20211013063105-08'00') /Rect [405 384.2 458.3477 392.2] /Subtype /Link /Type /Annot >> endobj 737 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [93 370 165.2383 378] /Subtype /Link /Type /Annot >> endobj 738 0 obj << /A << /D (unique_461) /S /GoTo >> /Border [0 0 0] /Contents (current_pr_configuration) /M (D:20211013063105-08'00') /Rect [249 370 342.2227 378] /Subtype /Link /Type /Annot >> endobj 739 0 obj << /A << /D (unique_139) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_check) /M (D:20211013063105-08'00') /Rect [405 370 468.3828 378] /Subtype /Link /Type /Annot >> endobj 740 0 obj << /A << /D (unique_140) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [93 355.8 167.3438 363.8] /Subtype /Link /Type /Annot >> endobj 741 0 obj << /A << /D (unique_259) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_bitstream) /M (D:20211013063105-08'00') /Rect [249 355.8 326.5156 363.8] /Subtype /Link /Type /Annot >> endobj 742 0 obj << /A << /D (unique_148) /S /GoTo >> /Border [0 0 0] /Contents (delete_qor_suggestions) /M (D:20211013063105-08'00') /Rect [405 355.8 493.582 363.8] /Subtype /Link /Type /Annot >> endobj 743 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20211013063105-08'00') /Rect [93 341.6 173.8711 349.6] /Subtype /Link /Type /Annot >> endobj 744 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20211013063105-08'00') /Rect [249 341.6 304.2461 349.6] /Subtype /Link /Type /Annot >> endobj 745 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [405 341.6 422.582 349.6] /Subtype /Link /Type /Annot >> endobj 746 0 obj << /A << /D (unique_42) /S /GoTo >> /Border [0 0 0] /Contents (find_routing_path) /M (D:20211013063105-08'00') /Rect [93 327.4 159.332 335.4] /Subtype /Link /Type /Annot >> endobj 747 0 obj << /A << /D (unique_465) /S /GoTo >> /Border [0 0 0] /Contents (generate_reports) /M (D:20211013063105-08'00') /Rect [249 327.4 313.8164 335.4] /Subtype /Link /Type /Annot >> endobj 748 0 obj << /A << /D (unique_120) /S /GoTo >> /Border [0 0 0] /Contents (get_bel_pins) /M (D:20211013063105-08'00') /Rect [405 327.4 451.1562 335.4] /Subtype /Link /Type /Annot >> endobj 749 0 obj << /A << /D (unique_43) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20211013063105-08'00') /Rect [93 313.2 123.9375 321.2] /Subtype /Link /Type /Annot >> endobj 750 0 obj << /A << /D (unique_82) /S /GoTo >> /Border [0 0 0] /Contents (get_board_bus_nets) /M (D:20211013063105-08'00') /Rect [249 313.2 323.4609 321.2] /Subtype /Link /Type /Annot >> endobj 751 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [405 313.2 468.3398 321.2] /Subtype /Link /Type /Annot >> endobj 752 0 obj << /A << /D (unique_84) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_interfaces) /M (D:20211013063105-08'00') /Rect [93 299 217.9336 307] /Subtype /Link /Type /Annot >> endobj 753 0 obj << /A << /D (unique_85) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_modes) /M (D:20211013063105-08'00') /Rect [249 299 362.3828 307] /Subtype /Link /Type /Annot >> endobj 754 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_pins) /M (D:20211013063105-08'00') /Rect [405 299 508.5586 307] /Subtype /Link /Type /Annot >> endobj 755 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20211013063105-08'00') /Rect [93 284.8 181.3398 292.8] /Subtype /Link /Type /Annot >> endobj 756 0 obj << /A << /D (unique_88) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20211013063105-08'00') /Rect [249 284.8 346.6758 292.8] /Subtype /Link /Type /Annot >> endobj 757 0 obj << /A << /D (unique_89) /S /GoTo >> /Border [0 0 0] /Contents (get_board_ip_preferences) /M (D:20211013063105-08'00') /Rect [405 284.8 501.3633 292.8] /Subtype /Link /Type /Annot >> endobj 758 0 obj << /A << /D (unique_90) /S /GoTo >> /Border [0 0 0] /Contents (get_board_jumpers) /M (D:20211013063105-08'00') /Rect [93 270.6 165.3555 278.6] /Subtype /Link /Type /Annot >> endobj 759 0 obj << /A << /D (unique_91) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parameters) /M (D:20211013063105-08'00') /Rect [249 270.6 333.9492 278.6] /Subtype /Link /Type /Annot >> endobj 760 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [405 270.6 502.3242 278.6] /Subtype /Link /Type /Annot >> endobj 761 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20211013063105-08'00') /Rect [93 256.4 168.9492 264.4] /Subtype /Link /Type /Annot >> endobj 762 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [249 256.4 309.7305 264.4] /Subtype /Link /Type /Annot >> endobj 763 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [405 256.4 446.8398 264.4] /Subtype /Link /Type /Annot >> endobj 764 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (get_cdc_violations) /M (D:20211013063105-08'00') /Rect [93 242.2 160.582 250.2] /Subtype /Link /Type /Annot >> endobj 765 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [249 242.2 280.918 250.2] /Subtype /Link /Type /Annot >> endobj 766 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20211013063105-08'00') /Rect [405 242.2 474.3203 250.2] /Subtype /Link /Type /Annot >> endobj 767 0 obj << /A << /D (unique_121) /S /GoTo >> /Border [0 0 0] /Contents (get_clock_regions) /M (D:20211013063105-08'00') /Rect [93 228 159.0938 236] /Subtype /Link /Type /Annot >> endobj 768 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [249 228 287.2969 236] /Subtype /Link /Type /Annot >> endobj 769 0 obj << /A << /D (unique_30) /S /GoTo >> /Border [0 0 0] /Contents (get_constant_paths) /M (D:20211013063105-08'00') /Rect [405 228 477.6367 236] /Subtype /Link /Type /Annot >> endobj 770 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20211013063105-08'00') /Rect [93 213.8 182.9688 221.8] /Subtype /Link /Type /Annot >> endobj 771 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20211013063105-08'00') /Rect [249 213.8 312.3711 221.8] /Subtype /Link /Type /Annot >> endobj 772 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20211013063105-08'00') /Rect [405 213.8 467.9062 221.8] /Subtype /Link /Type /Annot >> endobj 773 0 obj << /A << /D (unique_469) /S /GoTo >> /Border [0 0 0] /Contents (get_designs) /M (D:20211013063105-08'00') /Rect [93 199.6 137.6367 207.6] /Subtype /Link /Type /Annot >> endobj 774 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20211013063105-08'00') /Rect [249 199.6 305.1289 207.6] /Subtype /Link /Type /Annot >> endobj 775 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20211013063105-08'00') /Rect [405 199.6 472.0898 207.6] /Subtype /Link /Type /Annot >> endobj 776 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [93 185.4 159.9688 193.4] /Subtype /Link /Type /Annot >> endobj 777 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [249 185.4 279.832 193.4] /Subtype /Link /Type /Annot >> endobj 778 0 obj << /A << /D (unique_471) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20211013063105-08'00') /Rect [405 185.4 447.0625 193.4] /Subtype /Link /Type /Annot >> endobj 779 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_generated_clocks) /M (D:20211013063105-08'00') /Rect [93 171.2 173.5117 179.2] /Subtype /Link /Type /Annot >> endobj 780 0 obj << /A << /D (unique_213) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20211013063105-08'00') /Rect [249 171.2 337.9805 179.2] /Subtype /Link /Type /Annot >> endobj 781 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20211013063105-08'00') /Rect [405 171.2 465.0977 179.2] /Subtype /Link /Type /Annot >> endobj 782 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [93 157 137.7461 165] /Subtype /Link /Type /Annot >> endobj 783 0 obj << /A << /D (unique_271) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20211013063105-08'00') /Rect [249 157 313.9531 165] /Subtype /Link /Type /Annot >> endobj 784 0 obj << /A << /D (unique_272) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ddrmcs) /M (D:20211013063105-08'00') /Rect [405 157 463.4336 165] /Subtype /Link /Type /Annot >> endobj 785 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [93 142.8 150.7969 150.8] /Subtype /Link /Type /Annot >> endobj 786 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [249 142.8 300.3125 150.8] /Subtype /Link /Type /Annot >> endobj 787 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [405 142.8 467.6523 150.8] /Subtype /Link /Type /Annot >> endobj 788 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [93 128.6 135.5781 136.6] /Subtype /Link /Type /Annot >> endobj 789 0 obj << /A << /D (unique_277) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20211013063105-08'00') /Rect [249 128.6 297.4297 136.6] /Subtype /Link /Type /Annot >> endobj 790 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20211013063105-08'00') /Rect [405 128.6 454.3008 136.6] /Subtype /Link /Type /Annot >> endobj 791 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [93 114.4 149.3867 122.4] /Subtype /Link /Type /Annot >> endobj 792 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [249 114.4 306.4922 122.4] /Subtype /Link /Type /Annot >> endobj 793 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [405 114.4 486.4141 122.4] /Subtype /Link /Type /Annot >> endobj 794 0 obj << /A << /D (unique_282) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gtgroups) /M (D:20211013063105-08'00') /Rect [93 100.2 171.6523 108.2] /Subtype /Link /Type /Annot >> endobj 795 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [249 100.2 304.7969 108.2] /Subtype /Link /Type /Annot >> endobj 796 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [405 100.2 470.6758 108.2] /Subtype /Link /Type /Annot >> endobj 797 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20211013063105-08'00') /Rect [93 86 177.1875 94] /Subtype /Link /Type /Annot >> endobj 798 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [249 86 310.332 94] /Subtype /Link /Type /Annot >> endobj 799 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [405 86 462.0352 94] /Subtype /Link /Type /Annot >> endobj 800 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 801 0 obj << /Filter /FlateDecode /Length 3002 >> stream x\͏Wpd0[ݳ}mrrh60x {v]` ( 0rc.zy*Inҿ%圾>-czucr`LU aLss*%.Ŧ3|W!v=$ j)? g@dA1P=\⋥S"gȟ?b<\p4>Yt\$E29=ibC 5 ]zA䙪ǶOZ8SNJrHTL<܋G> N*3F#WKd[-,O/Em!`sͨRuȭOK[U,֭.+.pJ>PV!|Yq]SIȴBȵ^!LD;Xю_}0_($wX\ VEZOFfeHoIME (U,!s)v# =2RFzdd:0 #j|I.t'NCV8m;/kUtȟkչ|.9avY/|4'$-jn0HNf#- 00̐'J!I^~D!?]L>TWe7Avuf%@`.JL},+l,9JJSDu[ [ rHmq+A#+AαI9n%hl%)V"Aǭ9JA`%hl%H.IhpjCg|8cz"EeeEOXV"'Q4 qG/&!<Ι Tm+;Q&b8Pe,tkZf1Vp@fsf{ ^&l!9,q u2@ޑ m`b,g}^QHe0brˍyD(xg~p Hb4X9BV -L9Oj/ċ!CvD'v>_ayd<[c3ã_AC!Wϥn<~m-ª\!ލS%,v<]Js:@3%{R7G+ؕLTٞ[XΚH4=QTf2(!GQRyL/ծia1;ٜuC+r>`|W{ c;3Ѳa4Wŵ{A^}ܷb0,q̋Ȣ/59:Z :Xː5^^'{=O)h]M 0}"l[~Tт/&r{Eҭ?ž=BJ6xT[^$gQ*Oͳ('HY&GD[ Rp%2&ސS4> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5059 806 0 R >> >> /Type /Page >> endobj 803 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062923-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 804 0 obj [803 0 R 808 0 R 809 0 R 810 0 R 811 0 R 812 0 R 813 0 R 814 0 R 815 0 R 816 0 R 817 0 R 818 0 R 819 0 R 820 0 R 821 0 R 822 0 R 823 0 R 824 0 R 825 0 R 826 0 R 827 0 R 828 0 R 829 0 R 830 0 R 831 0 R 832 0 R 833 0 R 834 0 R 835 0 R 836 0 R 837 0 R 838 0 R 839 0 R 840 0 R 841 0 R 842 0 R 843 0 R 844 0 R 845 0 R 846 0 R 847 0 R 848 0 R 849 0 R 850 0 R 851 0 R 852 0 R 853 0 R 854 0 R 855 0 R 856 0 R 857 0 R 858 0 R 859 0 R 860 0 R 861 0 R 862 0 R 863 0 R 864 0 R 865 0 R 866 0 R 867 0 R 868 0 R 869 0 R 870 0 R 871 0 R 872 0 R 873 0 R 874 0 R 875 0 R 876 0 R 877 0 R 878 0 R 879 0 R 880 0 R 881 0 R 882 0 R 883 0 R 884 0 R 885 0 R 886 0 R 887 0 R 888 0 R 889 0 R 890 0 R 891 0 R 892 0 R 893 0 R 894 0 R 895 0 R 896 0 R 897 0 R 898 0 R 899 0 R 900 0 R 901 0 R 902 0 R 807 0 R] endobj 805 0 obj << /Length 18 >> stream q /Iabc5059 Do Q endstream endobj 806 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5067 20830 0 R /Gabc5082 20835 0 R >> /Font << /Fabc5090 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7h( w/gx(R\T1Br4n~AG/cXLB.FPQEq¨9 }' LDԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ? 5Ժ@A꣔xJ9iK[Zߖj?ɞӡ'<ʡ|~72THL_%ee8>϶GՂwf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rE[z7} endstream endobj 807 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=27) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 808 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [93 700.4 148.5234 708.4] /Subtype /Link /Type /Annot >> endobj 809 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [249 700.4 314.0938 708.4] /Subtype /Link /Type /Annot >> endobj 810 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [405 700.4 477.0547 708.4] /Subtype /Link /Type /Annot >> endobj 811 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [93 686.2 148.1055 694.2] /Subtype /Link /Type /Annot >> endobj 812 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_softmcs) /M (D:20211013063105-08'00') /Rect [249 686.2 308.5977 694.2] /Subtype /Link /Type /Annot >> endobj 813 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20211013063105-08'00') /Rect [405 686.2 467.9727 694.2] /Subtype /Link /Type /Annot >> endobj 814 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [93 672 149.9258 680] /Subtype /Link /Type /Annot >> endobj 815 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [249 672 293.9297 680] /Subtype /Link /Type /Annot >> endobj 816 0 obj << /A << /D (unique_473) /S /GoTo >> /Border [0 0 0] /Contents (get_interfaces) /M (D:20211013063105-08'00') /Rect [405 672 457.7461 680] /Subtype /Link /Type /Annot >> endobj 817 0 obj << /A << /D (unique_122) /S /GoTo >> /Border [0 0 0] /Contents (get_io_standards) /M (D:20211013063105-08'00') /Rect [93 657.8001 156.3438 665.8001] /Subtype /Link /Type /Annot >> endobj 818 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20211013063105-08'00') /Rect [249 657.8001 293.9727 665.8001] /Subtype /Link /Type /Annot >> endobj 819 0 obj << /A << /D (unique_369) /S /GoTo >> /Border [0 0 0] /Contents (get_ip_upgrade_results) /M (D:20211013063105-08'00') /Rect [405 657.8001 491.4062 665.8001] /Subtype /Link /Type /Annot >> endobj 820 0 obj << /A << /D (unique_370) /S /GoTo >> /Border [0 0 0] /Contents (get_ipdefs) /M (D:20211013063105-08'00') /Rect [93 643.6 131.6133 651.6] /Subtype /Link /Type /Annot >> endobj 821 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [249 643.6 275.4258 651.6] /Subtype /Link /Type /Annot >> endobj 822 0 obj << /A << /D (unique_474) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20211013063105-08'00') /Rect [405 643.6 449.2539 651.6] /Subtype /Link /Type /Annot >> endobj 823 0 obj << /A << /D (unique_475) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_pins) /M (D:20211013063105-08'00') /Rect [93 629.4 136.707 637.4] /Subtype /Link /Type /Annot >> endobj 824 0 obj << /A << /D (unique_476) /S /GoTo >> /Border [0 0 0] /Contents (get_libs) /M (D:20211013063105-08'00') /Rect [249 629.4 277.4883 637.4] /Subtype /Link /Type /Annot >> endobj 825 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_macros) /M (D:20211013063105-08'00') /Rect [405 629.4 448.3164 637.4] /Subtype /Link /Type /Annot >> endobj 826 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20211013063105-08'00') /Rect [93 615.2 167.6953 623.2] /Subtype /Link /Type /Annot >> endobj 827 0 obj << /A << /D (unique_435) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20211013063105-08'00') /Rect [249 615.2 343.4688 623.2] /Subtype /Link /Type /Annot >> endobj 828 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20211013063105-08'00') /Rect [405 615.2 510.3086 623.2] /Subtype /Link /Type /Annot >> endobj 829 0 obj << /A << /D (unique_444) /S /GoTo >> /Border [0 0 0] /Contents (get_net_delays) /M (D:20211013063105-08'00') /Rect [93 601 148.1367 609] /Subtype /Link /Type /Annot >> endobj 830 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [249 601 280.7852 609] /Subtype /Link /Type /Annot >> endobj 831 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20211013063105-08'00') /Rect [405 601 443.6602 609] /Subtype /Link /Type /Annot >> endobj 832 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20211013063105-08'00') /Rect [93 586.8001 159.1055 594.8001] /Subtype /Link /Type /Annot >> endobj 833 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20211013063105-08'00') /Rect [249 586.8001 316.3164 594.8001] /Subtype /Link /Type /Annot >> endobj 834 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20211013063105-08'00') /Rect [405 586.8001 440.043 594.8001] /Subtype /Link /Type /Annot >> endobj 835 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20211013063105-08'00') /Rect [93 572.6 155.8281 580.6] /Subtype /Link /Type /Annot >> endobj 836 0 obj << /A << /D (unique_200) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20211013063105-08'00') /Rect [249 572.6 293.3008 580.6] /Subtype /Link /Type /Annot >> endobj 837 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [405 572.6 436.3711 580.6] /Subtype /Link /Type /Annot >> endobj 838 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20211013063105-08'00') /Rect [93 558.4 124.3477 566.4] /Subtype /Link /Type /Annot >> endobj 839 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20211013063105-08'00') /Rect [249 558.4 336.9531 566.4] /Subtype /Link /Type /Annot >> endobj 840 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20211013063105-08'00') /Rect [405 558.4 477.2031 566.4] /Subtype /Link /Type /Annot >> endobj 841 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [93 544.2001 128.3945 552.2001] /Subtype /Link /Type /Annot >> endobj 842 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20211013063105-08'00') /Rect [249 544.2001 330.7148 552.2001] /Subtype /Link /Type /Annot >> endobj 843 0 obj << /A << /D (unique_486) /S /GoTo >> /Border [0 0 0] /Contents (get_primitives) /M (D:20211013063105-08'00') /Rect [405 544.2001 457.7969 552.2001] /Subtype /Link /Type /Annot >> endobj 844 0 obj << /A << /D (unique_487) /S /GoTo >> /Border [0 0 0] /Contents (get_projects) /M (D:20211013063105-08'00') /Rect [93 530.0001 138.7305 538.0001] /Subtype /Link /Type /Annot >> endobj 845 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [249 530.0001 297.3008 538.0001] /Subtype /Link /Type /Annot >> endobj 846 0 obj << /A << /D (unique_149) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20211013063105-08'00') /Rect [405 530.0001 482.4961 538.0001] /Subtype /Link /Type /Annot >> endobj 847 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20211013063105-08'00') /Rect [93 515.8001 175.5938 523.8001] /Subtype /Link /Type /Annot >> endobj 848 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20211013063105-08'00') /Rect [249 515.8001 318.7852 523.8001] /Subtype /Link /Type /Annot >> endobj 849 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20211013063105-08'00') /Rect [405 515.8001 437.6367 523.8001] /Subtype /Link /Type /Annot >> endobj 850 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [93 501.6 169.8711 509.6] /Subtype /Link /Type /Annot >> endobj 851 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20211013063105-08'00') /Rect [249 501.6 296.9531 509.6] /Subtype /Link /Type /Annot >> endobj 852 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pips) /M (D:20211013063105-08'00') /Rect [405 501.6 452.9297 509.6] /Subtype /Link /Type /Annot >> endobj 853 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [93 487.4 125.7344 495.4] /Subtype /Link /Type /Annot >> endobj 854 0 obj << /A << /D (unique_131) /S /GoTo >> /Border [0 0 0] /Contents (get_slrs) /M (D:20211013063105-08'00') /Rect [249 487.4 277.6406 495.4] /Subtype /Link /Type /Annot >> endobj 855 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (get_speed_models) /M (D:20211013063105-08'00') /Rect [405 487.4 474.2461 495.4] /Subtype /Link /Type /Annot >> endobj 856 0 obj << /A << /D (unique_133) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20211013063105-08'00') /Rect [93 473.2 123.9648 481.2] /Subtype /Link /Type /Annot >> endobj 857 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_arcs) /M (D:20211013063105-08'00') /Rect [249 473.2 307.6445 481.2] /Subtype /Link /Type /Annot >> endobj 858 0 obj << /A << /D (unique_493) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20211013063105-08'00') /Rect [405 473.2 469.332 481.2] /Subtype /Link /Type /Annot >> endobj 859 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20211013063105-08'00') /Rect [93 459 137.1602 467] /Subtype /Link /Type /Annot >> endobj 860 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20211013063105-08'00') /Rect [249 459 284.5312 467] /Subtype /Link /Type /Annot >> endobj 861 0 obj << /A << /D (unique_297) /S /GoTo >> /Border [0 0 0] /Contents (list_hw_samples) /M (D:20211013063105-08'00') /Rect [405 459 464.7852 467] /Subtype /Link /Type /Annot >> endobj 862 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [93 444.8 139.8242 452.8] /Subtype /Link /Type /Annot >> endobj 863 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20211013063105-08'00') /Rect [249 444.8 319.1836 452.8] /Subtype /Link /Type /Annot >> endobj 864 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (remove_drc_checks) /M (D:20211013063105-08'00') /Rect [405 444.8 477.3633 452.8] /Subtype /Link /Type /Annot >> endobj 865 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [93 430.6 152.6719 438.6] /Subtype /Link /Type /Annot >> endobj 866 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [249 430.6 337.8672 438.6] /Subtype /Link /Type /Annot >> endobj 867 0 obj << /A << /D (unique_498) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20211013063105-08'00') /Rect [405 430.6 460.5312 438.6] /Subtype /Link /Type /Annot >> endobj 868 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20211013063105-08'00') /Rect [93 416.4 151.9453 424.4] /Subtype /Link /Type /Annot >> endobj 869 0 obj << /A << /D (unique_438) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology_check) /M (D:20211013063105-08'00') /Rect [249 416.4 346.2852 424.4] /Subtype /Link /Type /Annot >> endobj 870 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20211013063105-08'00') /Rect [405 416.4 459.9492 424.4] /Subtype /Link /Type /Annot >> endobj 871 0 obj << /A << /D (unique_342) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20211013063105-08'00') /Rect [93 402.2 160.2617 410.2] /Subtype /Link /Type /Annot >> endobj 872 0 obj << /A << /D (unique_343) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20211013063105-08'00') /Rect [249 402.2 308.4844 410.2] /Subtype /Link /Type /Annot >> endobj 873 0 obj << /A << /D (unique_344) /S /GoTo >> /Border [0 0 0] /Contents (scan_dr_hw_jtag) /M (D:20211013063105-08'00') /Rect [405 402.2 465.793 410.2] /Subtype /Link /Type /Annot >> endobj 874 0 obj << /A << /D (unique_345) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20211013063105-08'00') /Rect [93 388 150.9336 396] /Subtype /Link /Type /Annot >> endobj 875 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [249 388 296.2109 396] /Subtype /Link /Type /Annot >> endobj 876 0 obj << /A << /D (unique_96) /S /GoTo >> /Border [0 0 0] /Contents (validate_board_files) /M (D:20211013063105-08'00') /Rect [405 388 478.6836 396] /Subtype /Link /Type /Annot >> endobj 877 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (write_ip_tcl) /M (D:20211013063105-08'00') /Rect [93 373.8 134.4062 381.8] /Subtype /Link /Type /Annot >> endobj 878 0 obj << /A << /D (unique_501) /S /GoTo >> /Border [0 0 0] /Contents (write_waivers) /M (D:20211013063105-08'00') /Rect [249 373.8 299.8945 381.8] /Subtype /Link /Type /Annot >> endobj 879 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [93 319.4 167.6289 327.4] /Subtype /Link /Type /Annot >> endobj 880 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [249 319.4 338.0273 327.4] /Subtype /Link /Type /Annot >> endobj 881 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20211013063105-08'00') /Rect [405 319.4 494.9062 327.4] /Subtype /Link /Type /Annot >> endobj 882 0 obj << /A << /D (unique_461) /S /GoTo >> /Border [0 0 0] /Contents (current_pr_configuration) /M (D:20211013063105-08'00') /Rect [93 305.2 186.2227 313.2] /Subtype /Link /Type /Annot >> endobj 883 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (delete_partition_defs) /M (D:20211013063105-08'00') /Rect [249 305.2 327.4023 313.2] /Subtype /Link /Type /Annot >> endobj 884 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20211013063105-08'00') /Rect [405 305.2 497.8008 313.2] /Subtype /Link /Type /Annot >> endobj 885 0 obj << /A << /D (unique_504) /S /GoTo >> /Border [0 0 0] /Contents (delete_reconfig_modules) /M (D:20211013063105-08'00') /Rect [93 291 186.6797 299] /Subtype /Link /Type /Annot >> endobj 886 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20211013063105-08'00') /Rect [249 291 316.3164 299] /Subtype /Link /Type /Annot >> endobj 887 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20211013063105-08'00') /Rect [405 291 486.7148 299] /Subtype /Link /Type /Annot >> endobj 888 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20211013063105-08'00') /Rect [93 276.8 175.5938 284.8] /Subtype /Link /Type /Annot >> endobj 889 0 obj << /A << /D (unique_505) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20211013063105-08'00') /Rect [249 276.8 339.4922 284.8] /Subtype /Link /Type /Annot >> endobj 890 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20211013063105-08'00') /Rect [93 222.3999 153.0586 230.3999] /Subtype /Link /Type /Annot >> endobj 891 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [249 222.3999 291.707 230.3999] /Subtype /Link /Type /Annot >> endobj 892 0 obj << /A << /D (unique_508) /S /GoTo >> /Border [0 0 0] /Contents (delete_interface) /M (D:20211013063105-08'00') /Rect [405 222.3999 465 230.3999] /Subtype /Link /Type /Annot >> endobj 893 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [93 208.1999 170.5977 216.1999] /Subtype /Link /Type /Annot >> endobj 894 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20211013063105-08'00') /Rect [249 208.1999 291.8984 216.1999] /Subtype /Link /Type /Annot >> endobj 895 0 obj << /A << /D (unique_511) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20211013063105-08'00') /Rect [405 208.1999 452.7969 216.1999] /Subtype /Link /Type /Annot >> endobj 896 0 obj << /A << /D (unique_512) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20211013063105-08'00') /Rect [93 193.9999 151.1367 201.9999] /Subtype /Link /Type /Annot >> endobj 897 0 obj << /A << /D (unique_513) /S /GoTo >> /Border [0 0 0] /Contents (set_package_pin_val) /M (D:20211013063105-08'00') /Rect [249 193.9999 324.0859 201.9999] /Subtype /Link /Type /Annot >> endobj 898 0 obj << /A << /D (unique_514) /S /GoTo >> /Border [0 0 0] /Contents (split_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [405 193.9999 477.6875 201.9999] /Subtype /Link /Type /Annot >> endobj 899 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20211013063105-08'00') /Rect [93 139.6 162.7695 147.6] /Subtype /Link /Type /Annot >> endobj 900 0 obj << /A << /D (unique_515) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20211013063105-08'00') /Rect [249 139.6 329.0352 147.6] /Subtype /Link /Type /Annot >> endobj 901 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20211013063105-08'00') /Rect [405 139.6 474.6055 147.6] /Subtype /Link /Type /Annot >> endobj 902 0 obj << /A << /D (unique_187) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform_metadata) /M (D:20211013063105-08'00') /Rect [93 125.4 202.0469 133.4] /Subtype /Link /Type /Annot >> endobj 903 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 904 0 obj << /Filter /FlateDecode /Length 2827 >> stream xnί9"E 0 ! &Xx!bQ(E='AfKTU|\r+e{?hI /fR*P@uiҪnٴM;Lsifïnzt )R6^Kek랁eLYHN9V K3zY<\d>%RI, &dKm=W K]{#K38s^Y,jOl@`9]7[!Lv{|۳nnw=˻=?,|۳ݞ-=,۳nܞw{|۳=ul(INcU_ ߊ>~>~o7bq>en*=V=RݏC&KPyee0= *vke)Wc9 iv;:ʕn n]8cbe,V{äx'sBZ&l,3\aMRBTFGr}יd:\,'ðsw9D{Cu6 ߋfa&}"a(R`=Cw~C0kQb&+2)Ta}\R!ݛRTP$(V*2REqe$#ꂭy-Pӳuu#,Ql"t(} (n5I9ѯOD]DIޑ1s`SF8wKH+WD|!ąm{͆bt:S%3W[7RȿW8Q!E6OM]THKQ S-ㄣ=D]JMT)oܺdJI&mWDHo LZnL隩wˮK6<.PX ‚cg 1C!M}TJƆKzxao{:-!h̕}u7#rg2,LX!:O QLiV N!"u*"ll+G!9QweB<2gij^h*2gR߹K~faeUSվѣ5#ٳ[ߵhn'@~c|#)|r. oixv,l!ܐi| <Ĥs 儙de'qSsr(rd~(= vOKٱ7' nQKHְ3oa{Y3?<7?<H3`zh p֔wi܂N>[l|4͎Dps,n:wpQ9ew#XH@ԮmyPLf; }tNKyszGHv'~mEG3pG$NɳsE7,gùrGeun.Ұϴ퐄^]Ϯ_9ZІiX>bӔD7p?:K?+'}UacnU蕏Su_*Z{I7_bw:x]ϸםvd{圼l;mx y)@_nk D(~suqA5\1@جSQД:'2s@&c^.ZJM챸>#2:^(?Zi:VV;G̺Ie4:cJش==@+U[e7ljk{:\vu_i6:j7BcL}w75W@-Ŏ߹{B/.U-5_W- كj:ʠ)ԦnA7!9F9S6l}/L;KE~Q{\60SZ)!BO7w#F٠MJڄې*? RXZG_gSgr DđX5"tC3cfJzKkדQh %Bע^GIc~!05DZ)l#7I7&i8Wɲ¦&H񑩝TT~RRGBfGNvDOr^~:FŮފ?E`?* 85o z3K2K . d-׈wc[>6|/ߨVa0 OR*}T^ж]/jUQ -|hdl|pG ૷'F~z NuK).*j5>#Ɓ鐇M)9 > J>mVc'MW#1 ;+I7LvDa i"5ضCB6gwocLJ*&=c<'mg".L}XVT\kZ$-[k]1lӈ}:ѯ-`2 bIVųWx@Lzڀ%&R\ޯyC.h | |,]+FA^Lυ>q{ 'nvr݀yN?~ qtL ¢TlՈ3 3Hz8eAL/pxy}f[42֏aJt89 duoI ڋ endstream endobj 905 0 obj << /Annots 907 0 R /BleedBox [0 0 612 792] /Contents [1008 0 R 1007 0 R 908 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5258 909 0 R >> >> /Type /Page >> endobj 906 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062923-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 907 0 obj [906 0 R 911 0 R 912 0 R 913 0 R 914 0 R 915 0 R 916 0 R 917 0 R 918 0 R 919 0 R 920 0 R 921 0 R 922 0 R 923 0 R 924 0 R 925 0 R 926 0 R 927 0 R 928 0 R 929 0 R 930 0 R 931 0 R 932 0 R 933 0 R 934 0 R 935 0 R 936 0 R 937 0 R 938 0 R 939 0 R 940 0 R 941 0 R 942 0 R 943 0 R 944 0 R 945 0 R 946 0 R 947 0 R 948 0 R 949 0 R 950 0 R 951 0 R 952 0 R 953 0 R 954 0 R 955 0 R 956 0 R 957 0 R 958 0 R 959 0 R 960 0 R 961 0 R 962 0 R 963 0 R 964 0 R 965 0 R 966 0 R 967 0 R 968 0 R 969 0 R 970 0 R 971 0 R 972 0 R 973 0 R 974 0 R 975 0 R 976 0 R 977 0 R 978 0 R 979 0 R 980 0 R 981 0 R 982 0 R 983 0 R 984 0 R 985 0 R 986 0 R 987 0 R 988 0 R 989 0 R 990 0 R 991 0 R 992 0 R 993 0 R 994 0 R 995 0 R 996 0 R 997 0 R 998 0 R 999 0 R 1000 0 R 1001 0 R 1002 0 R 1003 0 R 1004 0 R 1005 0 R 1006 0 R 910 0 R] endobj 908 0 obj << /Length 18 >> stream q /Iabc5258 Do Q endstream endobj 909 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5266 20830 0 R /Gabc5286 20835 0 R >> /Font << /Fabc5288 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nP. >)W^.P/ Jcȅd2n~A1H[&!#((`aԜiJso"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]hߟ}j]֠zfQJxpfE3Rӳ6{ik_KaRGcb>tD'W9F&J[㫤̵R̃sV7Өm?k!H5h}'d("_@Osu ܟBd#S:q|sLjT:I*dxUWb>ΡDH)vhw%‰pv҂bwo; endstream endobj 910 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=28) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 911 0 obj << /A << /D (unique_516) /S /GoTo >> /Border [0 0 0] /Contents (delete_power_results) /M (D:20211013063105-08'00') /Rect [93 675.2 172.0703 683.2] /Subtype /Link /Type /Annot >> endobj 912 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [249 675.2 317.2109 683.2] /Subtype /Link /Type /Annot >> endobj 913 0 obj << /A << /D (unique_170) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20211013063105-08'00') /Rect [405 675.2 438.5742 683.2] /Subtype /Link /Type /Annot >> endobj 914 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [93 661 143.7695 669] /Subtype /Link /Type /Annot >> endobj 915 0 obj << /A << /D (unique_519) /S /GoTo >> /Border [0 0 0] /Contents (report_power_opt) /M (D:20211013063105-08'00') /Rect [249 661 315.707 669] /Subtype /Link /Type /Annot >> endobj 916 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (reset_operating_conditions) /M (D:20211013063105-08'00') /Rect [405 661 506.5273 669] /Subtype /Link /Type /Annot >> endobj 917 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20211013063105-08'00') /Rect [93 646.8 180.6992 654.8] /Subtype /Link /Type /Annot >> endobj 918 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20211013063105-08'00') /Rect [249 646.8 342.7891 654.8] /Subtype /Link /Type /Annot >> endobj 919 0 obj << /A << /D (unique_523) /S /GoTo >> /Border [0 0 0] /Contents (set_power_opt) /M (D:20211013063105-08'00') /Rect [405 646.8 459.2461 654.8] /Subtype /Link /Type /Annot >> endobj 920 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20211013063105-08'00') /Rect [93 632.6 172.9609 640.6] /Subtype /Link /Type /Annot >> endobj 921 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [93 578.2 125.8438 586.2] /Subtype /Link /Type /Annot >> endobj 922 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20211013063105-08'00') /Rect [249 578.2 342.1719 586.2] /Subtype /Link /Type /Annot >> endobj 923 0 obj << /A << /D (unique_79) /S /GoTo >> /Border [0 0 0] /Contents (apply_board_connection) /M (D:20211013063105-08'00') /Rect [405 578.2 496.1055 586.2] /Subtype /Link /Type /Annot >> endobj 924 0 obj << /A << /D (unique_526) /S /GoTo >> /Border [0 0 0] /Contents (archive_project) /M (D:20211013063105-08'00') /Rect [93 564 149.7148 572] /Subtype /Link /Type /Annot >> endobj 925 0 obj << /A << /D (unique_151) /S /GoTo >> /Border [0 0 0] /Contents (auto_detect_xpm) /M (D:20211013063105-08'00') /Rect [249 564 312.9297 572] /Subtype /Link /Type /Annot >> endobj 926 0 obj << /A << /D (unique_456) /S /GoTo >> /Border [0 0 0] /Contents (can_resolve_reference) /M (D:20211013063105-08'00') /Rect [405 564 487.9375 572] /Subtype /Link /Type /Annot >> endobj 927 0 obj << /A << /D (unique_527) /S /GoTo >> /Border [0 0 0] /Contents (check_syntax) /M (D:20211013063105-08'00') /Rect [93 549.8 142.1602 557.8] /Subtype /Link /Type /Annot >> endobj 928 0 obj << /A << /D (unique_528) /S /GoTo >> /Border [0 0 0] /Contents (close_design) /M (D:20211013063105-08'00') /Rect [249 549.8 296.5703 557.8] /Subtype /Link /Type /Annot >> endobj 929 0 obj << /A << /D (unique_529) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20211013063105-08'00') /Rect [405 549.8 453.6641 557.8] /Subtype /Link /Type /Annot >> endobj 930 0 obj << /A << /D (unique_361) /S /GoTo >> /Border [0 0 0] /Contents (compile_c) /M (D:20211013063105-08'00') /Rect [93 535.6 129.8477 543.6] /Subtype /Link /Type /Annot >> endobj 931 0 obj << /A << /D (unique_28) /S /GoTo >> /Border [0 0 0] /Contents (copy_constraints) /M (D:20211013063105-08'00') /Rect [249 535.6 311.6758 543.6] /Subtype /Link /Type /Annot >> endobj 932 0 obj << /A << /D (unique_363) /S /GoTo >> /Border [0 0 0] /Contents (copy_ip) /M (D:20211013063105-08'00') /Rect [405 535.6 432.875 543.6] /Subtype /Link /Type /Annot >> endobj 933 0 obj << /A << /D (unique_530) /S /GoTo >> /Border [0 0 0] /Contents (create_dashboard_gadget) /M (D:20211013063105-08'00') /Rect [93 521.4 190.2812 529.4] /Subtype /Link /Type /Annot >> endobj 934 0 obj << /A << /D (unique_531) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20211013063105-08'00') /Rect [249 521.4 298.375 529.4] /Subtype /Link /Type /Annot >> endobj 935 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20211013063105-08'00') /Rect [405 521.4 455.2227 529.4] /Subtype /Link /Type /Annot >> endobj 936 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20211013063105-08'00') /Rect [93 507.2 158.7109 515.2] /Subtype /Link /Type /Annot >> endobj 937 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [249 507.2 302.043 515.2] /Subtype /Link /Type /Annot >> endobj 938 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [405 507.2 444.9492 515.2] /Subtype /Link /Type /Annot >> endobj 939 0 obj << /A << /D (unique_29) /S /GoTo >> /Border [0 0 0] /Contents (create_single_pass_run) /M (D:20211013063105-08'00') /Rect [93 493 178.9375 501] /Subtype /Link /Type /Annot >> endobj 940 0 obj << /A << /D (unique_534) /S /GoTo >> /Border [0 0 0] /Contents (create_xps) /M (D:20211013063105-08'00') /Rect [249 493 288.7383 501] /Subtype /Link /Type /Annot >> endobj 941 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [405 493 477.2383 501] /Subtype /Link /Type /Annot >> endobj 942 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [93 478.8 146.5703 486.8] /Subtype /Link /Type /Annot >> endobj 943 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [249 478.8 306.2383 486.8] /Subtype /Link /Type /Annot >> endobj 944 0 obj << /A << /D (unique_537) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20211013063105-08'00') /Rect [405 478.8 449.1445 486.8] /Subtype /Link /Type /Annot >> endobj 945 0 obj << /A << /D (unique_538) /S /GoTo >> /Border [0 0 0] /Contents (delete_dashboard_gadgets) /M (D:20211013063105-08'00') /Rect [93 464.6 194.0547 472.6] /Subtype /Link /Type /Annot >> endobj 946 0 obj << /A << /D (unique_539) /S /GoTo >> /Border [0 0 0] /Contents (delete_fileset) /M (D:20211013063105-08'00') /Rect [249 464.6 298.3164 472.6] /Subtype /Link /Type /Annot >> endobj 947 0 obj << /A << /D (unique_366) /S /GoTo >> /Border [0 0 0] /Contents (delete_ip_run) /M (D:20211013063105-08'00') /Rect [405 464.6 455.1641 472.6] /Subtype /Link /Type /Annot >> endobj 948 0 obj << /A << /D (unique_540) /S /GoTo >> /Border [0 0 0] /Contents (delete_runs) /M (D:20211013063105-08'00') /Rect [93 450.4 136.7227 458.4] /Subtype /Link /Type /Annot >> endobj 949 0 obj << /A << /D (unique_541) /S /GoTo >> /Border [0 0 0] /Contents (find_top) /M (D:20211013063105-08'00') /Rect [249 450.4 279.6211 458.4] /Subtype /Link /Type /Annot >> endobj 950 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20211013063105-08'00') /Rect [405 450.4 481.25 458.4] /Subtype /Link /Type /Annot >> endobj 951 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [93 436.2 153.2539 444.2] /Subtype /Link /Type /Annot >> endobj 952 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [249 436.2 309.7305 444.2] /Subtype /Link /Type /Annot >> endobj 953 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [405 436.2 446.8398 444.2] /Subtype /Link /Type /Annot >> endobj 954 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20211013063105-08'00') /Rect [93 422 182.9688 430] /Subtype /Link /Type /Annot >> endobj 955 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [249 422 279.832 430] /Subtype /Link /Type /Annot >> endobj 956 0 obj << /A << /D (unique_471) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20211013063105-08'00') /Rect [405 422 447.0625 430] /Subtype /Link /Type /Annot >> endobj 957 0 obj << /A << /D (unique_369) /S /GoTo >> /Border [0 0 0] /Contents (get_ip_upgrade_results) /M (D:20211013063105-08'00') /Rect [93 407.8 179.4062 415.8] /Subtype /Link /Type /Annot >> endobj 958 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [249 407.8 275.4258 415.8] /Subtype /Link /Type /Annot >> endobj 959 0 obj << /A << /D (unique_487) /S /GoTo >> /Border [0 0 0] /Contents (get_projects) /M (D:20211013063105-08'00') /Rect [405 407.8 450.7305 415.8] /Subtype /Link /Type /Annot >> endobj 960 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20211013063105-08'00') /Rect [93 393.6 125.6367 401.6] /Subtype /Link /Type /Annot >> endobj 961 0 obj << /A << /D (unique_542) /S /GoTo >> /Border [0 0 0] /Contents (help) /M (D:20211013063105-08'00') /Rect [249 393.6 265.4414 401.6] /Subtype /Link /Type /Annot >> endobj 962 0 obj << /A << /D (unique_543) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20211013063105-08'00') /Rect [405 393.6 449.0078 401.6] /Subtype /Link /Type /Annot >> endobj 963 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [93 379.4 128.7695 387.4] /Subtype /Link /Type /Annot >> endobj 964 0 obj << /A << /D (unique_544) /S /GoTo >> /Border [0 0 0] /Contents (import_synplify) /M (D:20211013063105-08'00') /Rect [249 379.4 306.5195 387.4] /Subtype /Link /Type /Annot >> endobj 965 0 obj << /A << /D (unique_545) /S /GoTo >> /Border [0 0 0] /Contents (import_xise) /M (D:20211013063105-08'00') /Rect [405 379.4 448.4219 387.4] /Subtype /Link /Type /Annot >> endobj 966 0 obj << /A << /D (unique_546) /S /GoTo >> /Border [0 0 0] /Contents (import_xst) /M (D:20211013063105-08'00') /Rect [93 365.2 132.7344 373.2] /Subtype /Link /Type /Annot >> endobj 967 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [249 365.2 294.543 373.2] /Subtype /Link /Type /Annot >> endobj 968 0 obj << /A << /D (unique_548) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20211013063105-08'00') /Rect [405 365.2 445.9258 373.2] /Subtype /Link /Type /Annot >> endobj 969 0 obj << /A << /D (unique_549) /S /GoTo >> /Border [0 0 0] /Contents (lock_design) /M (D:20211013063105-08'00') /Rect [93 351 136.5 359] /Subtype /Link /Type /Annot >> endobj 970 0 obj << /A << /D (unique_550) /S /GoTo >> /Border [0 0 0] /Contents (make_wrapper) /M (D:20211013063105-08'00') /Rect [249 351 304.6289 359] /Subtype /Link /Type /Annot >> endobj 971 0 obj << /A << /D (unique_551) /S /GoTo >> /Border [0 0 0] /Contents (move_dashboard_gadget) /M (D:20211013063105-08'00') /Rect [405 351 499.6328 359] /Subtype /Link /Type /Annot >> endobj 972 0 obj << /A << /D (unique_552) /S /GoTo >> /Border [0 0 0] /Contents (move_files) /M (D:20211013063105-08'00') /Rect [93 336.8 132.3281 344.8] /Subtype /Link /Type /Annot >> endobj 973 0 obj << /A << /D (unique_553) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20211013063105-08'00') /Rect [249 336.8 312.5742 344.8] /Subtype /Link /Type /Annot >> endobj 974 0 obj << /A << /D (unique_373) /S /GoTo >> /Border [0 0 0] /Contents (open_example_project) /M (D:20211013063105-08'00') /Rect [405 336.8 489.2148 344.8] /Subtype /Link /Type /Annot >> endobj 975 0 obj << /A << /D (unique_554) /S /GoTo >> /Border [0 0 0] /Contents (open_io_design) /M (D:20211013063105-08'00') /Rect [93 322.6 150.8945 330.6] /Subtype /Link /Type /Annot >> endobj 976 0 obj << /A << /D (unique_555) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20211013063105-08'00') /Rect [249 322.6 297.7969 330.6] /Subtype /Link /Type /Annot >> endobj 977 0 obj << /A << /D (unique_556) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20211013063105-08'00') /Rect [405 322.6 440.7031 330.6] /Subtype /Link /Type /Annot >> endobj 978 0 obj << /A << /D (unique_557) /S /GoTo >> /Border [0 0 0] /Contents (refresh_design) /M (D:20211013063105-08'00') /Rect [93 308.4 148.4922 316.4] /Subtype /Link /Type /Annot >> endobj 979 0 obj << /A << /D (unique_176) /S /GoTo >> /Border [0 0 0] /Contents (refresh_meminit) /M (D:20211013063105-08'00') /Rect [249 308.4 310.7266 316.4] /Subtype /Link /Type /Annot >> endobj 980 0 obj << /A << /D (unique_558) /S /GoTo >> /Border [0 0 0] /Contents (reimport_files) /M (D:20211013063105-08'00') /Rect [405 308.4 456.7461 316.4] /Subtype /Link /Type /Annot >> endobj 981 0 obj << /A << /D (unique_559) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20211013063105-08'00') /Rect [93 294.2 140.0664 302.2] /Subtype /Link /Type /Annot >> endobj 982 0 obj << /A << /D (unique_560) /S /GoTo >> /Border [0 0 0] /Contents (reorder_files) /M (D:20211013063105-08'00') /Rect [249 294.2 296.0547 302.2] /Subtype /Link /Type /Annot >> endobj 983 0 obj << /A << /D (unique_561) /S /GoTo >> /Border [0 0 0] /Contents (report_compile_order) /M (D:20211013063105-08'00') /Rect [405 294.2 485.793 302.2] /Subtype /Link /Type /Annot >> endobj 984 0 obj << /A << /D (unique_562) /S /GoTo >> /Border [0 0 0] /Contents (reset_project) /M (D:20211013063105-08'00') /Rect [93 280 141.5469 288] /Subtype /Link /Type /Annot >> endobj 985 0 obj << /A << /D (unique_563) /S /GoTo >> /Border [0 0 0] /Contents (reset_runs) /M (D:20211013063105-08'00') /Rect [249 280 288.2852 288] /Subtype /Link /Type /Annot >> endobj 986 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (reset_target) /M (D:20211013063105-08'00') /Rect [405 280 450.2188 288] /Subtype /Link /Type /Annot >> endobj 987 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (save_constraints) /M (D:20211013063105-08'00') /Rect [93 265.8 154.9688 273.8] /Subtype /Link /Type /Annot >> endobj 988 0 obj << /A << /D (unique_565) /S /GoTo >> /Border [0 0 0] /Contents (save_constraints_as) /M (D:20211013063105-08'00') /Rect [249 265.8 322.5781 273.8] /Subtype /Link /Type /Annot >> endobj 989 0 obj << /A << /D (unique_566) /S /GoTo >> /Border [0 0 0] /Contents (save_project_as) /M (D:20211013063105-08'00') /Rect [405 265.8 463.082 273.8] /Subtype /Link /Type /Annot >> endobj 990 0 obj << /A << /D (unique_567) /S /GoTo >> /Border [0 0 0] /Contents (set_part) /M (D:20211013063105-08'00') /Rect [93 251.6 123.1211 259.6] /Subtype /Link /Type /Annot >> endobj 991 0 obj << /A << /D (unique_568) /S /GoTo >> /Border [0 0 0] /Contents (set_speed_grade) /M (D:20211013063105-08'00') /Rect [249 251.6 311.5781 259.6] /Subtype /Link /Type /Annot >> endobj 992 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (synth_ip) /M (D:20211013063105-08'00') /Rect [405 251.6 435.9609 259.6] /Subtype /Link /Type /Annot >> endobj 993 0 obj << /A << /D (unique_6) /S /GoTo >> /Border [0 0 0] /Contents (terminate_runs) /M (D:20211013063105-08'00') /Rect [93 237.4 150.3945 245.4] /Subtype /Link /Type /Annot >> endobj 994 0 obj << /A << /D (unique_569) /S /GoTo >> /Border [0 0 0] /Contents (update_compile_order) /M (D:20211013063105-08'00') /Rect [249 237.4 332.7773 245.4] /Subtype /Link /Type /Annot >> endobj 995 0 obj << /A << /D (unique_570) /S /GoTo >> /Border [0 0 0] /Contents (update_design) /M (D:20211013063105-08'00') /Rect [405 237.4 460.1602 245.4] /Subtype /Link /Type /Annot >> endobj 996 0 obj << /A << /D (unique_571) /S /GoTo >> /Border [0 0 0] /Contents (update_files) /M (D:20211013063105-08'00') /Rect [93 223.2 138.1875 231.2] /Subtype /Link /Type /Annot >> endobj 997 0 obj << /A << /D (unique_572) /S /GoTo >> /Border [0 0 0] /Contents (update_sw_parameters) /M (D:20211013063105-08'00') /Rect [249 223.2 336.0273 231.2] /Subtype /Link /Type /Annot >> endobj 998 0 obj << /A << /D (unique_33) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_project) /M (D:20211013063105-08'00') /Rect [405 223.2 466.5156 231.2] /Subtype /Link /Type /Annot >> endobj 999 0 obj << /A << /D (unique_96) /S /GoTo >> /Border [0 0 0] /Contents (validate_board_files) /M (D:20211013063105-08'00') /Rect [93 208.9999 166.6836 216.9999] /Subtype /Link /Type /Annot >> endobj 1000 0 obj << /A << /D (unique_7) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_runs) /M (D:20211013063105-08'00') /Rect [249 208.9999 298.1172 216.9999] /Subtype /Link /Type /Annot >> endobj 1001 0 obj << /A << /D (unique_381) /S /GoTo >> /Border [0 0 0] /Contents (write_ip_tcl) /M (D:20211013063105-08'00') /Rect [405 208.9999 446.4062 216.9999] /Subtype /Link /Type /Annot >> endobj 1002 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20211013063105-08'00') /Rect [93 194.7999 154.3008 202.7999] /Subtype /Link /Type /Annot >> endobj 1003 0 obj << /A << /D (unique_573) /S /GoTo >> /Border [0 0 0] /Contents (convert_ngc) /M (D:20211013063105-08'00') /Rect [93 140.4 138.3867 148.4] /Subtype /Link /Type /Annot >> endobj 1004 0 obj << /A << /D (unique_574) /S /GoTo >> /Border [0 0 0] /Contents (copy_run) /M (D:20211013063105-08'00') /Rect [249 140.4 283.0859 148.4] /Subtype /Link /Type /Annot >> endobj 1005 0 obj << /A << /D (unique_575) /S /GoTo >> /Border [0 0 0] /Contents (export_bd_synth) /M (D:20211013063105-08'00') /Rect [405 140.4 466.7266 148.4] /Subtype /Link /Type /Annot >> endobj 1006 0 obj << /A << /D (unique_576) /S /GoTo >> /Border [0 0 0] /Contents (write_project_tcl) /M (D:20211013063105-08'00') /Rect [93 126.2 153.7109 134.2] /Subtype /Link /Type /Annot >> endobj 1007 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1008 0 obj << /Filter /FlateDecode /Length 3063 >> stream x\O)8G-F9H9D9l}?%Ï*O)yӳ?q$!1;뛤_36Pi@|/@zeLN؝M/o;} #< ^2tkzPTH֤^w; =/s٠gԎo w>o2|^Gxa+5%?D?9}+hWc1h^P_#<kIJ0ݭu<)˜ɸwlNv o Bp?uY ͱ4k8j=Η$mbNaj|+H(uv_CM96÷xݗ itwfh6c9vw~?^uH[~E[?_.i_#IU2<4Ϫi/u 1`mbЫB@4F^\rLVkfq $VFItݒW̧R2]̐-MurܠqCCC-AU@_g٠B gs+Mu`Pe0 #= \bEN,eIE&,Z5Yd&,Z7Yd&,Z5Yd&,Z7Yd&,Z5Yd&,Z7YrZƒ;/KCG|4utt8D+<8G?^NE60sG0 =_mzوc" ~(?s|^;B<̝A\b醸X~]\2c`q*M9h2o8_oIN3V8jٳ\| ~HD@Wd&ՈF1QS.}/4:K{(G15wJR h%5lXtЗ~I4di_NPW s@@)]LnFk6\8'H} R|Nc;V n)܅M (T#xL,c@5^.<3^UjCJMYd%OhFvǚu'@Ye 'gco7C* q]h=8<ƜEv.]|8'0'<Z\xlՏ%GtC*Y~ =~c(ovq $QsZ?pbx큔FwH5А+ ~ ?3GHՏ>#8UDu8x7|}vFT/ѳģ/$ήWaRIDJeH`B**MөGz*SJISIQSCJ֚Wnj,Jv&~0*őluVuZ>tݓЖU h>`W ky{cFg=?&,y1|jB_M;ߢ+|KE08;cm+}bK/r {)axv<#xlʵ6A'7!m1I# ӱhYTi)"CW؞TiiЋXEC0l\Qg .>YQA4C"&6 SZ%ߍQH&@]*EB'u9p_a03қ4X'=H_ 2w|<㦻:i}r~6ǯsa@ /8wzHclt`H¤9»v cfяck熯{kD&%k>ؤr#28p[.nXV!N\ ޟa1"qZl5vF੔F/ u0lV_L/Jk+ endstream endobj 1009 0 obj << /Annots 1011 0 R /BleedBox [0 0 612 792] /Contents [1125 0 R 1124 0 R 1012 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5455 1013 0 R >> >> /Type /Page >> endobj 1010 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062923-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1011 0 obj [1010 0 R 1015 0 R 1016 0 R 1017 0 R 1018 0 R 1019 0 R 1020 0 R 1021 0 R 1022 0 R 1023 0 R 1024 0 R 1025 0 R 1026 0 R 1027 0 R 1028 0 R 1029 0 R 1030 0 R 1031 0 R 1032 0 R 1033 0 R 1034 0 R 1035 0 R 1036 0 R 1037 0 R 1038 0 R 1039 0 R 1040 0 R 1041 0 R 1042 0 R 1043 0 R 1044 0 R 1045 0 R 1046 0 R 1047 0 R 1048 0 R 1049 0 R 1050 0 R 1051 0 R 1052 0 R 1053 0 R 1054 0 R 1055 0 R 1056 0 R 1057 0 R 1058 0 R 1059 0 R 1060 0 R 1061 0 R 1062 0 R 1063 0 R 1064 0 R 1065 0 R 1066 0 R 1067 0 R 1068 0 R 1069 0 R 1070 0 R 1071 0 R 1072 0 R 1073 0 R 1074 0 R 1075 0 R 1076 0 R 1077 0 R 1078 0 R 1079 0 R 1080 0 R 1081 0 R 1082 0 R 1083 0 R 1084 0 R 1085 0 R 1086 0 R 1087 0 R 1088 0 R 1089 0 R 1090 0 R 1091 0 R 1092 0 R 1093 0 R 1094 0 R 1095 0 R 1096 0 R 1097 0 R 1098 0 R 1099 0 R 1100 0 R 1101 0 R 1102 0 R 1103 0 R 1104 0 R 1105 0 R 1106 0 R 1107 0 R 1108 0 R 1109 0 R 1110 0 R 1111 0 R 1112 0 R 1113 0 R 1114 0 R 1115 0 R 1116 0 R 1117 0 R 1118 0 R 1119 0 R 1120 0 R 1121 0 R 1122 0 R 1123 0 R 1014 0 R] endobj 1012 0 obj << /Length 18 >> stream q /Iabc5455 Do Q endstream endobj 1013 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5463 20830 0 R /Gabc5478 20835 0 R >> /Font << /Fabc5486 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7D>.W^6qS$4\Hf:|/(zQhu2 ALF  c̙h;IHt(SBD* ^Sl\9 " /5"]zPL.O5HA?hxfxWBD>.PkPݳ(E϶G$#x0$IԢxy /sYsshf^2 GsB&s.S0Erձ*U+j; Jt١PϡS[z7}I endstream endobj 1014 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=29) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1015 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20211013063105-08'00') /Rect [93 675.2 152.4453 683.2] /Subtype /Link /Type /Annot >> endobj 1016 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [249 675.2 266.582 683.2] /Subtype /Link /Type /Annot >> endobj 1017 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20211013063105-08'00') /Rect [405 675.2 445.2148 683.2] /Subtype /Link /Type /Annot >> endobj 1018 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [93 661 141.3008 669] /Subtype /Link /Type /Annot >> endobj 1019 0 obj << /A << /D (unique_579) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20211013063105-08'00') /Rect [249 661 287.7383 669] /Subtype /Link /Type /Annot >> endobj 1020 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [405 661 451.8242 669] /Subtype /Link /Type /Annot >> endobj 1021 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20211013063105-08'00') /Rect [93 646.8 163.1836 654.8] /Subtype /Link /Type /Annot >> endobj 1022 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20211013063105-08'00') /Rect [249 646.8 300.5859 654.8] /Subtype /Link /Type /Annot >> endobj 1023 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [405 646.8 464.6719 654.8] /Subtype /Link /Type /Annot >> endobj 1024 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20211013063105-08'00') /Rect [93 632.6 139.8633 640.6] /Subtype /Link /Type /Annot >> endobj 1025 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20211013063105-08'00') /Rect [249 632.6 303.9492 640.6] /Subtype /Link /Type /Annot >> endobj 1026 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20211013063105-08'00') /Rect [405 632.6 444.125 640.6] /Subtype /Link /Type /Annot >> endobj 1027 0 obj << /A << /D (unique_567) /S /GoTo >> /Border [0 0 0] /Contents (set_part) /M (D:20211013063105-08'00') /Rect [93 618.4 123.1211 626.4] /Subtype /Link /Type /Annot >> endobj 1028 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [249 618.4 296.2109 626.4] /Subtype /Link /Type /Annot >> endobj 1029 0 obj << /A << /D (unique_78) /S /GoTo >> /Border [0 0 0] /Contents (calc_config_time) /M (D:20211013063105-08'00') /Rect [93 564 154.1133 572] /Subtype /Link /Type /Annot >> endobj 1030 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20211013063105-08'00') /Rect [249 564 298.0586 572] /Subtype /Link /Type /Annot >> endobj 1031 0 obj << /A << /D (unique_138) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20211013063105-08'00') /Rect [405 564 479.2812 572] /Subtype /Link /Type /Annot >> endobj 1032 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20211013063105-08'00') /Rect [93 549.8 170.0977 557.8] /Subtype /Link /Type /Annot >> endobj 1033 0 obj << /A << /D (unique_39) /S /GoTo >> /Border [0 0 0] /Contents (create_slack_histogram) /M (D:20211013063105-08'00') /Rect [249 549.8 336.2227 557.8] /Subtype /Link /Type /Annot >> endobj 1034 0 obj << /A << /D (unique_584) /S /GoTo >> /Border [0 0 0] /Contents (delete_clock_networks_results) /M (D:20211013063105-08'00') /Rect [405 549.8 517.3086 557.8] /Subtype /Link /Type /Annot >> endobj 1035 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20211013063105-08'00') /Rect [93 535.6 173.8711 543.6] /Subtype /Link /Type /Annot >> endobj 1036 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20211013063105-08'00') /Rect [249 535.6 328.6406 543.6] /Subtype /Link /Type /Annot >> endobj 1037 0 obj << /A << /D (unique_586) /S /GoTo >> /Border [0 0 0] /Contents (delete_utilization_results) /M (D:20211013063105-08'00') /Rect [405 535.6 497.2852 543.6] /Subtype /Link /Type /Annot >> endobj 1038 0 obj << /A << /D (unique_465) /S /GoTo >> /Border [0 0 0] /Contents (generate_reports) /M (D:20211013063105-08'00') /Rect [93 521.4 157.8164 529.4] /Subtype /Link /Type /Annot >> endobj 1039 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20211013063105-08'00') /Rect [249 521.4 307.4961 529.4] /Subtype /Link /Type /Annot >> endobj 1040 0 obj << /A << /D (unique_588) /S /GoTo >> /Border [0 0 0] /Contents (get_pplocs) /M (D:20211013063105-08'00') /Rect [405 521.4 445.0273 529.4] /Subtype /Link /Type /Annot >> endobj 1041 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20211013063105-08'00') /Rect [93 507.2 162.7852 515.2] /Subtype /Link /Type /Annot >> endobj 1042 0 obj << /A << /D (unique_589) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20211013063105-08'00') /Rect [249 507.2 295.1992 515.2] /Subtype /Link /Type /Annot >> endobj 1043 0 obj << /A << /D (unique_590) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20211013063105-08'00') /Rect [405 507.2 467.7188 515.2] /Subtype /Link /Type /Annot >> endobj 1044 0 obj << /A << /D (unique_591) /S /GoTo >> /Border [0 0 0] /Contents (report_carry_chains) /M (D:20211013063105-08'00') /Rect [93 493 166.3984 501] /Subtype /Link /Type /Annot >> endobj 1045 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [249 493 288.582 501] /Subtype /Link /Type /Annot >> endobj 1046 0 obj << /A << /D (unique_48) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20211013063105-08'00') /Rect [405 493 494.8203 501] /Subtype /Link /Type /Annot >> endobj 1047 0 obj << /A << /D (unique_593) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20211013063105-08'00') /Rect [93 478.8 177.0078 486.8] /Subtype /Link /Type /Annot >> endobj 1048 0 obj << /A << /D (unique_594) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_utilization) /M (D:20211013063105-08'00') /Rect [249 478.8 335.1289 486.8] /Subtype /Link /Type /Annot >> endobj 1049 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20211013063105-08'00') /Rect [405 478.8 454.668 486.8] /Subtype /Link /Type /Annot >> endobj 1050 0 obj << /A << /D (unique_596) /S /GoTo >> /Border [0 0 0] /Contents (report_config_implementation) /M (D:20211013063105-08'00') /Rect [93 464.6 206.7188 472.6] /Subtype /Link /Type /Annot >> endobj 1051 0 obj << /A << /D (unique_597) /S /GoTo >> /Border [0 0 0] /Contents (report_config_timing) /M (D:20211013063105-08'00') /Rect [249 464.6 326.9922 472.6] /Subtype /Link /Type /Annot >> endobj 1052 0 obj << /A << /D (unique_31) /S /GoTo >> /Border [0 0 0] /Contents (report_constant_paths) /M (D:20211013063105-08'00') /Rect [405 464.6 489.0078 472.6] /Subtype /Link /Type /Annot >> endobj 1053 0 obj << /A << /D (unique_598) /S /GoTo >> /Border [0 0 0] /Contents (report_control_sets) /M (D:20211013063105-08'00') /Rect [93 450.4 164.9727 458.4] /Subtype /Link /Type /Annot >> endobj 1054 0 obj << /A << /D (unique_599) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20211013063105-08'00') /Rect [249 450.4 313.4531 458.4] /Subtype /Link /Type /Annot >> endobj 1055 0 obj << /A << /D (unique_118) /S /GoTo >> /Border [0 0 0] /Contents (report_debug_core) /M (D:20211013063105-08'00') /Rect [405 450.4 475.9102 458.4] /Subtype /Link /Type /Annot >> endobj 1056 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20211013063105-08'00') /Rect [93 436.2 178.2539 444.2] /Subtype /Link /Type /Annot >> endobj 1057 0 obj << /A << /D (unique_600) /S /GoTo >> /Border [0 0 0] /Contents (report_disable_timing) /M (D:20211013063105-08'00') /Rect [249 436.2 330.4297 444.2] /Subtype /Link /Type /Annot >> endobj 1058 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [405 436.2 443.9688 444.2] /Subtype /Link /Type /Annot >> endobj 1059 0 obj << /A << /D (unique_601) /S /GoTo >> /Border [0 0 0] /Contents (report_environment) /M (D:20211013063105-08'00') /Rect [93 422 168.3984 430] /Subtype /Link /Type /Annot >> endobj 1060 0 obj << /A << /D (unique_49) /S /GoTo >> /Border [0 0 0] /Contents (report_exceptions) /M (D:20211013063105-08'00') /Rect [249 422 316.4062 430] /Subtype /Link /Type /Annot >> endobj 1061 0 obj << /A << /D (unique_602) /S /GoTo >> /Border [0 0 0] /Contents (report_high_fanout_nets) /M (D:20211013063105-08'00') /Rect [405 422 496.4688 430] /Subtype /Link /Type /Annot >> endobj 1062 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_ddrmc) /M (D:20211013063105-08'00') /Rect [93 407.8 158.9727 415.8] /Subtype /Link /Type /Annot >> endobj 1063 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20211013063105-08'00') /Rect [249 407.8 304.9688 415.8] /Subtype /Link /Type /Annot >> endobj 1064 0 obj << /A << /D (unique_329) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_softmc) /M (D:20211013063105-08'00') /Rect [405 407.8 472.1367 415.8] /Subtype /Link /Type /Annot >> endobj 1065 0 obj << /A << /D (unique_603) /S /GoTo >> /Border [0 0 0] /Contents (report_incremental_reuse) /M (D:20211013063105-08'00') /Rect [93 393.6 189.2578 401.6] /Subtype /Link /Type /Annot >> endobj 1066 0 obj << /A << /D (unique_604) /S /GoTo >> /Border [0 0 0] /Contents (report_io) /M (D:20211013063105-08'00') /Rect [249 393.6 282.8828 401.6] /Subtype /Link /Type /Annot >> endobj 1067 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [405 393.6 482.3086 401.6] /Subtype /Link /Type /Annot >> endobj 1068 0 obj << /A << /D (unique_605) /S /GoTo >> /Border [0 0 0] /Contents (report_operating_conditions) /M (D:20211013063105-08'00') /Rect [93 379.4 199.25 387.4] /Subtype /Link /Type /Annot >> endobj 1069 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20211013063105-08'00') /Rect [249 379.4 300.5859 387.4] /Subtype /Link /Type /Annot >> endobj 1070 0 obj << /A << /D (unique_606) /S /GoTo >> /Border [0 0 0] /Contents (report_phys_opt) /M (D:20211013063105-08'00') /Rect [405 379.4 465.6953 387.4] /Subtype /Link /Type /Annot >> endobj 1071 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [93 365.2 143.7695 373.2] /Subtype /Link /Type /Annot >> endobj 1072 0 obj << /A << /D (unique_607) /S /GoTo >> /Border [0 0 0] /Contents (report_pr_configuration_analysis) /M (D:20211013063105-08'00') /Rect [249 365.2 371.332 373.2] /Subtype /Link /Type /Annot >> endobj 1073 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [405 365.2 464.6719 373.2] /Subtype /Link /Type /Annot >> endobj 1074 0 obj << /A << /D (unique_608) /S /GoTo >> /Border [0 0 0] /Contents (report_pulse_width) /M (D:20211013063105-08'00') /Rect [93 351 164.6484 359] /Subtype /Link /Type /Annot >> endobj 1075 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20211013063105-08'00') /Rect [249 351 336.4883 359] /Subtype /Link /Type /Annot >> endobj 1076 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [405 351 493.8672 359] /Subtype /Link /Type /Annot >> endobj 1077 0 obj << /A << /D (unique_609) /S /GoTo >> /Border [0 0 0] /Contents (report_ram_utilization) /M (D:20211013063105-08'00') /Rect [93 336.8 175.4688 344.8] /Subtype /Link /Type /Annot >> endobj 1078 0 obj << /A << /D (unique_610) /S /GoTo >> /Border [0 0 0] /Contents (report_route_status) /M (D:20211013063105-08'00') /Rect [249 336.8 322.5508 344.8] /Subtype /Link /Type /Annot >> endobj 1079 0 obj << /A << /D (unique_611) /S /GoTo >> /Border [0 0 0] /Contents (report_sim_device) /M (D:20211013063105-08'00') /Rect [405 336.8 472.4766 344.8] /Subtype /Link /Type /Annot >> endobj 1080 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (report_sim_version) /M (D:20211013063105-08'00') /Rect [93 322.6 164.2031 330.6] /Subtype /Link /Type /Annot >> endobj 1081 0 obj << /A << /D (unique_612) /S /GoTo >> /Border [0 0 0] /Contents (report_ssn) /M (D:20211013063105-08'00') /Rect [249 322.6 288.5898 330.6] /Subtype /Link /Type /Annot >> endobj 1082 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (report_switching_activity) /M (D:20211013063105-08'00') /Rect [405 322.6 497.4219 330.6] /Subtype /Link /Type /Annot >> endobj 1083 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (report_synchronizer_mtbf) /M (D:20211013063105-08'00') /Rect [93 308.4 189.6055 316.4] /Subtype /Link /Type /Annot >> endobj 1084 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [249 308.4 300.3398 316.4] /Subtype /Link /Type /Annot >> endobj 1085 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [405 308.4 495.2383 316.4] /Subtype /Link /Type /Annot >> endobj 1086 0 obj << /A << /D (unique_617) /S /GoTo >> /Border [0 0 0] /Contents (report_transformed_primitives) /M (D:20211013063105-08'00') /Rect [93 294.2 207.6484 302.2] /Subtype /Link /Type /Annot >> endobj 1087 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (report_utilization) /M (D:20211013063105-08'00') /Rect [249 294.2 312.9844 302.2] /Subtype /Link /Type /Annot >> endobj 1088 0 obj << /A << /D (unique_498) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20211013063105-08'00') /Rect [405 294.2 460.5312 302.2] /Subtype /Link /Type /Annot >> endobj 1089 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc) /M (D:20211013063105-08'00') /Rect [93 280 127.2461 288] /Subtype /Link /Type /Annot >> endobj 1090 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology) /M (D:20211013063105-08'00') /Rect [249 280 321.5859 288] /Subtype /Link /Type /Annot >> endobj 1091 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (reset_msg_config) /M (D:20211013063105-08'00') /Rect [405 280 470.1445 288] /Subtype /Link /Type /Annot >> endobj 1092 0 obj << /A << /D (unique_620) /S /GoTo >> /Border [0 0 0] /Contents (reset_msg_count) /M (D:20211013063105-08'00') /Rect [93 265.8 156.2383 273.8] /Subtype /Link /Type /Annot >> endobj 1093 0 obj << /A << /D (unique_621) /S /GoTo >> /Border [0 0 0] /Contents (reset_ssn) /M (D:20211013063105-08'00') /Rect [249 265.8 283.8672 273.8] /Subtype /Link /Type /Annot >> endobj 1094 0 obj << /A << /D (unique_622) /S /GoTo >> /Border [0 0 0] /Contents (reset_timing) /M (D:20211013063105-08'00') /Rect [405 265.8 451.6172 273.8] /Subtype /Link /Type /Annot >> endobj 1095 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20211013063105-08'00') /Rect [93 251.6 150.4062 259.6] /Subtype /Link /Type /Annot >> endobj 1096 0 obj << /A << /D (unique_624) /S /GoTo >> /Border [0 0 0] /Contents (version) /M (D:20211013063105-08'00') /Rect [249 251.6 276.5586 259.6] /Subtype /Link /Type /Annot >> endobj 1097 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [93 197.2 127.5898 205.2] /Subtype /Link /Type /Annot >> endobj 1098 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20211013063105-08'00') /Rect [249 197.2 284.4961 205.2] /Subtype /Link /Type /Annot >> endobj 1099 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (all_outputs) /M (D:20211013063105-08'00') /Rect [405 197.2 446.1602 205.2] /Subtype /Link /Type /Annot >> endobj 1100 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20211013063105-08'00') /Rect [93 183 137.9922 191] /Subtype /Link /Type /Annot >> endobj 1101 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [249 183 294.6094 191] /Subtype /Link /Type /Annot >> endobj 1102 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [405 183 492.8242 191] /Subtype /Link /Type /Annot >> endobj 1103 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [93 168.8 149.1445 176.8] /Subtype /Link /Type /Annot >> endobj 1104 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [249 168.8 311.4609 176.8] /Subtype /Link /Type /Annot >> endobj 1105 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [405 168.8 436.918 176.8] /Subtype /Link /Type /Annot >> endobj 1106 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [93 154.6 131.2969 162.6] /Subtype /Link /Type /Annot >> endobj 1107 0 obj << /A << /D (unique_633) /S /GoTo >> /Border [0 0 0] /Contents (get_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [249 154.6 339.7227 162.6] /Subtype /Link /Type /Annot >> endobj 1108 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [405 154.6 436.7852 162.6] /Subtype /Link /Type /Annot >> endobj 1109 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [93 140.4 124.3711 148.4] /Subtype /Link /Type /Annot >> endobj 1110 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [249 140.4 284.3945 148.4] /Subtype /Link /Type /Annot >> endobj 1111 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20211013063105-08'00') /Rect [405 140.4 448.3867 148.4] /Subtype /Link /Type /Annot >> endobj 1112 0 obj << /A << /D (unique_635) /S /GoTo >> /Border [0 0 0] /Contents (set_case_analysis) /M (D:20211013063105-08'00') /Rect [93 126.2 157.2695 134.2] /Subtype /Link /Type /Annot >> endobj 1113 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20211013063105-08'00') /Rect [249 126.2 312.3516 134.2] /Subtype /Link /Type /Annot >> endobj 1114 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_latency) /M (D:20211013063105-08'00') /Rect [405 126.2 468.4766 134.2] /Subtype /Link /Type /Annot >> endobj 1115 0 obj << /A << /D (unique_638) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_sense) /M (D:20211013063105-08'00') /Rect [93 112 151.2969 120] /Subtype /Link /Type /Annot >> endobj 1116 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20211013063105-08'00') /Rect [249 112 328.5586 120] /Subtype /Link /Type /Annot >> endobj 1117 0 obj << /A << /D (unique_640) /S /GoTo >> /Border [0 0 0] /Contents (set_data_check) /M (D:20211013063105-08'00') /Rect [405 112 461.0039 120] /Subtype /Link /Type /Annot >> endobj 1118 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_disable_timing) /M (D:20211013063105-08'00') /Rect [93 97.8 161.9688 105.8] /Subtype /Link /Type /Annot >> endobj 1119 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [249 97.8 301.6992 105.8] /Subtype /Link /Type /Annot >> endobj 1120 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [405 97.8 494.6328 105.8] /Subtype /Link /Type /Annot >> endobj 1121 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [93 83.6 150.6328 91.6] /Subtype /Link /Type /Annot >> endobj 1122 0 obj << /A << /D (unique_645) /S /GoTo >> /Border [0 0 0] /Contents (set_load) /M (D:20211013063105-08'00') /Rect [249 83.6 279.832 91.6] /Subtype /Link /Type /Annot >> endobj 1123 0 obj << /A << /D (unique_646) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_dc) /M (D:20211013063105-08'00') /Rect [405 83.6 449.2969 91.6] /Subtype /Link /Type /Annot >> endobj 1124 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1125 0 obj << /Filter /FlateDecode /Length 3159 >> stream xɎܺί9"m!74/C"E-FOlRL1R߱XpN(a)+{&dn2 nR_?7$f7.𲧛wIAE|8e1ӼK~,.t&ÄJ[sWd˄=-7w/߿WR_SxoI遲E, s1'8LB#>4eV~rي[I1bY͞8Y*4ĽL1 8,^2V6Y+n뢵x`hSyۗN]L& a-nN0݅H;>nL2]ͶktPh^!.azl㎬95 va,9KQc0&яBs^aEyʎqv+8M5|z7C/*C}2իz?)I HBB?UĠTx]/ܠ4ү]i4(rxQk?hmx4J׬ÙRrN%7i F E-LPŞPZ3!f eP,}ZEơ3 ]Lv/A_g4BdeE1\&My mJ\؞5SJp9ژp̼< >]w{rUߍҙ{B~1skZ7qtZLr) V̵_vZ[> CV9ˋsx}㞸ex7`~MÐo>GvO[|Br[xuL;Fk7%{ ^9-J\SwRw$ ^?TSxm׼6\LOXNDY]*N_S1u;؃΅F?YJ1[0}/7'?>yKWhsc<6k}2V]Ϫ?<jCv'hsͩZ. 'k@GōnlxG2q/7ʛg}29o!a oxrIjEZ.%[Cr2Ou\>Dp,Mo@CcQ0P{#'Ad>_FciN&)cU>*=;cCK )C$Uibn0"#faXO+;|opnseIh`4.ur2:0>3z`X^PHlq@\L7O`n>?r_jIc*jz g?ooEZϯm~^U.5/~*3iI:B I 2mx@S!PB' 2mx@ꨣs*C+ϼVq4t,kB CV?O(8NSRz7u )y))C<̗8RRY4 Fkg"캔9sUX' O)Qt-?鬺&n$?E׈T}SF;^ֱJ% w3̖96ZgUS".+?iE~TyǸ^m|R9KQSIuS]Օdʁ=[$V)sDdR{6OԹGUfyYhqG,M&륆l =? ekےUm++]2 =o렭#kT3j@ɺ y״TT X?_q蕚ͨSOF-7"ԽLr16Fap66FEo≤ġ\4DfwI0z?B+ tP2hbjK۷(L{CvCf/L <_ߎ"0}Ź@d(BXZtR&a1=+%z(Ж=|N<7ٻY4s#m#=O$~dvn` H=Zˆ,,FƌBfXϕ:Y%(먖 PkW#3玛/v *zRbSm'=n b "MP5Tdԉ,rӞIEÒ @׉g?Z(F P+} nZy;0ɬ{% hO}_ e .QlQa28ℝQj̜8)VIe[=Z]T2+#x70|MJOx 3LدN:BX> Qg==ąݱ'.V,4R C("m *k2;KтfחXaZ8jE6NhH+\TnP"MVqT_NBJFgo^ @`V4`c4LlwzI' ЦM?ks.';3A&o7eB,b椗&1ҡjZ@(P8,= <"iaNzV^_(w,ɹ$)~t'^|KZ9}߸kHM-Þ`ӻ8kb94%Iф#/Zqı endstream endobj 1126 0 obj << /Annots 1128 0 R /BleedBox [0 0 612 792] /Contents [1227 0 R 1226 0 R 1129 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5658 1130 0 R >> >> /Type /Page >> endobj 1127 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062923-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1128 0 obj [1127 0 R 1132 0 R 1133 0 R 1134 0 R 1135 0 R 1136 0 R 1137 0 R 1138 0 R 1139 0 R 1140 0 R 1141 0 R 1142 0 R 1143 0 R 1144 0 R 1145 0 R 1146 0 R 1147 0 R 1148 0 R 1149 0 R 1150 0 R 1151 0 R 1152 0 R 1153 0 R 1154 0 R 1155 0 R 1156 0 R 1157 0 R 1158 0 R 1159 0 R 1160 0 R 1161 0 R 1162 0 R 1163 0 R 1164 0 R 1165 0 R 1166 0 R 1167 0 R 1168 0 R 1169 0 R 1170 0 R 1171 0 R 1172 0 R 1173 0 R 1174 0 R 1175 0 R 1176 0 R 1177 0 R 1178 0 R 1179 0 R 1180 0 R 1181 0 R 1182 0 R 1183 0 R 1184 0 R 1185 0 R 1186 0 R 1187 0 R 1188 0 R 1189 0 R 1190 0 R 1191 0 R 1192 0 R 1193 0 R 1194 0 R 1195 0 R 1196 0 R 1197 0 R 1198 0 R 1199 0 R 1200 0 R 1201 0 R 1202 0 R 1203 0 R 1204 0 R 1205 0 R 1206 0 R 1207 0 R 1208 0 R 1209 0 R 1210 0 R 1211 0 R 1212 0 R 1213 0 R 1214 0 R 1215 0 R 1216 0 R 1217 0 R 1218 0 R 1219 0 R 1220 0 R 1221 0 R 1222 0 R 1223 0 R 1224 0 R 1225 0 R 1131 0 R] endobj 1129 0 obj << /Length 18 >> stream q /Iabc5658 Do Q endstream endobj 1130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5670 20830 0 R /Gabc5672 20835 0 R >> /Font << /Fabc5688 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7h w/gx(R\T1Br4n~AG/cXLB.FPQEq¨9 }' LDԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ? 5Ժ@A꣔xJ9iK[Zߖj?ɞӡ'<ʡ|~72THL_Z ip3 endstream endobj 1131 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=30) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1132 0 obj << /A << /D (unique_647) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20211013063105-08'00') /Rect [93 700.4 142.832 708.4] /Subtype /Link /Type /Annot >> endobj 1133 0 obj << /A << /D (unique_648) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20211013063105-08'00') /Rect [249 700.4 300.875 708.4] /Subtype /Link /Type /Annot >> endobj 1134 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [405 700.4 459.0703 708.4] /Subtype /Link /Type /Annot >> endobj 1135 0 obj << /A << /D (unique_650) /S /GoTo >> /Border [0 0 0] /Contents (set_max_time_borrow) /M (D:20211013063105-08'00') /Rect [93 686.2 174.582 694.2] /Subtype /Link /Type /Annot >> endobj 1136 0 obj << /A << /D (unique_651) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20211013063105-08'00') /Rect [249 686.2 301.3594 694.2] /Subtype /Link /Type /Annot >> endobj 1137 0 obj << /A << /D (unique_652) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20211013063105-08'00') /Rect [405 686.2 477.8203 694.2] /Subtype /Link /Type /Annot >> endobj 1138 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20211013063105-08'00') /Rect [93 672 186.7891 680] /Subtype /Link /Type /Annot >> endobj 1139 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [249 672 312.2969 680] /Subtype /Link /Type /Annot >> endobj 1140 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (set_propagated_clock) /M (D:20211013063105-08'00') /Rect [405 672 485.793 680] /Subtype /Link /Type /Annot >> endobj 1141 0 obj << /A << /D (unique_655) /S /GoTo >> /Border [0 0 0] /Contents (set_units) /M (D:20211013063105-08'00') /Rect [93 657.8001 126.1914 665.8001] /Subtype /Link /Type /Annot >> endobj 1142 0 obj << /A << /D (unique_656) /S /GoTo >> /Border [0 0 0] /Contents (add_bp) /M (D:20211013063105-08'00') /Rect [93 603.4 120.4648 611.4] /Subtype /Link /Type /Annot >> endobj 1143 0 obj << /A << /D (unique_657) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20211013063105-08'00') /Rect [249 603.4 301.9648 611.4] /Subtype /Link /Type /Annot >> endobj 1144 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [405 603.4 437.8438 611.4] /Subtype /Link /Type /Annot >> endobj 1145 0 obj << /A << /D (unique_658) /S /GoTo >> /Border [0 0 0] /Contents (add_force) /M (D:20211013063105-08'00') /Rect [93 589.2 129.793 597.2] /Subtype /Link /Type /Annot >> endobj 1146 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (checkpoint_vcd) /M (D:20211013063105-08'00') /Rect [249 589.2 306.1797 597.2] /Subtype /Link /Type /Annot >> endobj 1147 0 obj << /A << /D (unique_659) /S /GoTo >> /Border [0 0 0] /Contents (close_saif) /M (D:20211013063105-08'00') /Rect [405 589.2 440.5117 597.2] /Subtype /Link /Type /Annot >> endobj 1148 0 obj << /A << /D (unique_660) /S /GoTo >> /Border [0 0 0] /Contents (close_sim) /M (D:20211013063105-08'00') /Rect [93 575 128.75 583] /Subtype /Link /Type /Annot >> endobj 1149 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20211013063105-08'00') /Rect [249 575 284.1992 583] /Subtype /Link /Type /Annot >> endobj 1150 0 obj << /A << /D (unique_35) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20211013063105-08'00') /Rect [405 575 460.4297 583] /Subtype /Link /Type /Annot >> endobj 1151 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (config_compile_simlib) /M (D:20211013063105-08'00') /Rect [93 560.8001 175.082 568.8001] /Subtype /Link /Type /Annot >> endobj 1152 0 obj << /A << /D (unique_531) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20211013063105-08'00') /Rect [249 560.8001 298.375 568.8001] /Subtype /Link /Type /Annot >> endobj 1153 0 obj << /A << /D (unique_2) /S /GoTo >> /Border [0 0 0] /Contents (create_testbench) /M (D:20211013063105-08'00') /Rect [405 560.8001 469.0352 568.8001] /Subtype /Link /Type /Annot >> endobj 1154 0 obj << /A << /D (unique_662) /S /GoTo >> /Border [0 0 0] /Contents (current_frame) /M (D:20211013063105-08'00') /Rect [93 546.6 146.4102 554.6] /Subtype /Link /Type /Annot >> endobj 1155 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20211013063105-08'00') /Rect [249 546.6 301.8945 554.6] /Subtype /Link /Type /Annot >> endobj 1156 0 obj << /A << /D (unique_664) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20211013063105-08'00') /Rect [405 546.6 449.3242 554.6] /Subtype /Link /Type /Annot >> endobj 1157 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20211013063105-08'00') /Rect [93 532.4 140.8906 540.4] /Subtype /Link /Type /Annot >> endobj 1158 0 obj << /A << /D (unique_666) /S /GoTo >> /Border [0 0 0] /Contents (current_vcd) /M (D:20211013063105-08'00') /Rect [249 532.4 292.7734 540.4] /Subtype /Link /Type /Annot >> endobj 1159 0 obj << /A << /D (unique_539) /S /GoTo >> /Border [0 0 0] /Contents (delete_fileset) /M (D:20211013063105-08'00') /Rect [405 532.4 454.3164 540.4] /Subtype /Link /Type /Annot >> endobj 1160 0 obj << /A << /D (unique_667) /S /GoTo >> /Border [0 0 0] /Contents (describe) /M (D:20211013063105-08'00') /Rect [93 518.2 124.9062 526.2] /Subtype /Link /Type /Annot >> endobj 1161 0 obj << /A << /D (unique_668) /S /GoTo >> /Border [0 0 0] /Contents (export_ip_user_files) /M (D:20211013063105-08'00') /Rect [249 518.2 322.2852 526.2] /Subtype /Link /Type /Annot >> endobj 1162 0 obj << /A << /D (unique_41) /S /GoTo >> /Border [0 0 0] /Contents (export_simulation) /M (D:20211013063105-08'00') /Rect [405 518.2 472.5117 526.2] /Subtype /Link /Type /Annot >> endobj 1163 0 obj << /A << /D (unique_3) /S /GoTo >> /Border [0 0 0] /Contents (export_xsim_coverage) /M (D:20211013063105-08'00') /Rect [93 504 176.125 512] /Subtype /Link /Type /Annot >> endobj 1164 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20211013063105-08'00') /Rect [249 504 283.6523 512] /Subtype /Link /Type /Annot >> endobj 1165 0 obj << /A << /D (unique_669) /S /GoTo >> /Border [0 0 0] /Contents (generate_hier_access) /M (D:20211013063105-08'00') /Rect [405 504 484.75 512] /Subtype /Link /Type /Annot >> endobj 1166 0 obj << /A << /D (unique_156) /S /GoTo >> /Border [0 0 0] /Contents (generate_mem_files) /M (D:20211013063105-08'00') /Rect [93 489.8 168.2773 497.8] /Subtype /Link /Type /Annot >> endobj 1167 0 obj << /A << /D (unique_4) /S /GoTo >> /Border [0 0 0] /Contents (generate_vcd_ports) /M (D:20211013063105-08'00') /Rect [249 489.8 322.1914 497.8] /Subtype /Link /Type /Annot >> endobj 1168 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [405 489.8 447.5039 497.8] /Subtype /Link /Type /Annot >> endobj 1169 0 obj << /A << /D (unique_671) /S /GoTo >> /Border [0 0 0] /Contents (get_scopes) /M (D:20211013063105-08'00') /Rect [93 475.6 134.3867 483.6] /Subtype /Link /Type /Annot >> endobj 1170 0 obj << /A << /D (unique_672) /S /GoTo >> /Border [0 0 0] /Contents (get_simulators) /M (D:20211013063105-08'00') /Rect [249 475.6 304.3438 483.6] /Subtype /Link /Type /Annot >> endobj 1171 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (get_stacks) /M (D:20211013063105-08'00') /Rect [405 475.6 443.7617 483.6] /Subtype /Link /Type /Annot >> endobj 1172 0 obj << /A << /D (unique_674) /S /GoTo >> /Border [0 0 0] /Contents (get_value) /M (D:20211013063105-08'00') /Rect [93 461.4 128.6797 469.4] /Subtype /Link /Type /Annot >> endobj 1173 0 obj << /A << /D (unique_543) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20211013063105-08'00') /Rect [249 461.4 293.0078 469.4] /Subtype /Link /Type /Annot >> endobj 1174 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [405 461.4 473.1211 469.4] /Subtype /Link /Type /Annot >> endobj 1175 0 obj << /A << /D (unique_14) /S /GoTo >> /Border [0 0 0] /Contents (limit_vcd) /M (D:20211013063105-08'00') /Rect [93 447.2 125.668 455.2] /Subtype /Link /Type /Annot >> endobj 1176 0 obj << /A << /D (unique_675) /S /GoTo >> /Border [0 0 0] /Contents (log_saif) /M (D:20211013063105-08'00') /Rect [249 447.2 277.25 455.2] /Subtype /Link /Type /Annot >> endobj 1177 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (log_vcd) /M (D:20211013063105-08'00') /Rect [405 447.2 432.9375 455.2] /Subtype /Link /Type /Annot >> endobj 1178 0 obj << /A << /D (unique_676) /S /GoTo >> /Border [0 0 0] /Contents (log_wave) /M (D:20211013063105-08'00') /Rect [93 433 127.4648 441] /Subtype /Link /Type /Annot >> endobj 1179 0 obj << /A << /D (unique_677) /S /GoTo >> /Border [0 0 0] /Contents (ltrace) /M (D:20211013063105-08'00') /Rect [249 433 270.0156 441] /Subtype /Link /Type /Annot >> endobj 1180 0 obj << /A << /D (unique_552) /S /GoTo >> /Border [0 0 0] /Contents (move_files) /M (D:20211013063105-08'00') /Rect [405 433 444.3281 441] /Subtype /Link /Type /Annot >> endobj 1181 0 obj << /A << /D (unique_678) /S /GoTo >> /Border [0 0 0] /Contents (open_saif) /M (D:20211013063105-08'00') /Rect [93 418.8 128.6445 426.8] /Subtype /Link /Type /Annot >> endobj 1182 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [249 418.8 284.332 426.8] /Subtype /Link /Type /Annot >> endobj 1183 0 obj << /A << /D (unique_679) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_database) /M (D:20211013063105-08'00') /Rect [405 418.8 484.6875 426.8] /Subtype /Link /Type /Annot >> endobj 1184 0 obj << /A << /D (unique_680) /S /GoTo >> /Border [0 0 0] /Contents (ptrace) /M (D:20211013063105-08'00') /Rect [93 404.6 116.875 412.6] /Subtype /Link /Type /Annot >> endobj 1185 0 obj << /A << /D (unique_170) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20211013063105-08'00') /Rect [249 404.6 282.5742 412.6] /Subtype /Link /Type /Annot >> endobj 1186 0 obj << /A << /D (unique_681) /S /GoTo >> /Border [0 0 0] /Contents (relaunch_sim) /M (D:20211013063105-08'00') /Rect [405 404.6 454.6289 412.6] /Subtype /Link /Type /Annot >> endobj 1187 0 obj << /A << /D (unique_682) /S /GoTo >> /Border [0 0 0] /Contents (remove_bps) /M (D:20211013063105-08'00') /Rect [93 390.4 138.5195 398.4] /Subtype /Link /Type /Annot >> endobj 1188 0 obj << /A << /D (unique_683) /S /GoTo >> /Border [0 0 0] /Contents (remove_conditions) /M (D:20211013063105-08'00') /Rect [249 390.4 320.0195 398.4] /Subtype /Link /Type /Annot >> endobj 1189 0 obj << /A << /D (unique_559) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20211013063105-08'00') /Rect [405 390.4 452.0664 398.4] /Subtype /Link /Type /Annot >> endobj 1190 0 obj << /A << /D (unique_684) /S /GoTo >> /Border [0 0 0] /Contents (remove_forces) /M (D:20211013063105-08'00') /Rect [93 376.2 147.8477 384.2] /Subtype /Link /Type /Annot >> endobj 1191 0 obj << /A << /D (unique_685) /S /GoTo >> /Border [0 0 0] /Contents (report_bps) /M (D:20211013063105-08'00') /Rect [249 376.2 289.6562 384.2] /Subtype /Link /Type /Annot >> endobj 1192 0 obj << /A << /D (unique_686) /S /GoTo >> /Border [0 0 0] /Contents (report_conditions) /M (D:20211013063105-08'00') /Rect [405 376.2 471.1562 384.2] /Subtype /Link /Type /Annot >> endobj 1193 0 obj << /A << /D (unique_687) /S /GoTo >> /Border [0 0 0] /Contents (report_drivers) /M (D:20211013063105-08'00') /Rect [93 362 145.9805 370] /Subtype /Link /Type /Annot >> endobj 1194 0 obj << /A << /D (unique_688) /S /GoTo >> /Border [0 0 0] /Contents (report_frames) /M (D:20211013063105-08'00') /Rect [249 362 302.2734 370] /Subtype /Link /Type /Annot >> endobj 1195 0 obj << /A << /D (unique_689) /S /GoTo >> /Border [0 0 0] /Contents (report_objects) /M (D:20211013063105-08'00') /Rect [405 362 458.875 370] /Subtype /Link /Type /Annot >> endobj 1196 0 obj << /A << /D (unique_690) /S /GoTo >> /Border [0 0 0] /Contents (report_scopes) /M (D:20211013063105-08'00') /Rect [93 347.8 145.7578 355.8] /Subtype /Link /Type /Annot >> endobj 1197 0 obj << /A << /D (unique_691) /S /GoTo >> /Border [0 0 0] /Contents (report_simlib_info) /M (D:20211013063105-08'00') /Rect [249 347.8 316.293 355.8] /Subtype /Link /Type /Annot >> endobj 1198 0 obj << /A << /D (unique_692) /S /GoTo >> /Border [0 0 0] /Contents (report_stacks) /M (D:20211013063105-08'00') /Rect [405 347.8 455.1328 355.8] /Subtype /Link /Type /Annot >> endobj 1199 0 obj << /A << /D (unique_693) /S /GoTo >> /Border [0 0 0] /Contents (report_values) /M (D:20211013063105-08'00') /Rect [93 333.6 143.8828 341.6] /Subtype /Link /Type /Annot >> endobj 1200 0 obj << /A << /D (unique_694) /S /GoTo >> /Border [0 0 0] /Contents (reset_simulation) /M (D:20211013063105-08'00') /Rect [249 333.6 310.8633 341.6] /Subtype /Link /Type /Annot >> endobj 1201 0 obj << /A << /D (unique_695) /S /GoTo >> /Border [0 0 0] /Contents (restart) /M (D:20211013063105-08'00') /Rect [405 333.6 430.1367 341.6] /Subtype /Link /Type /Annot >> endobj 1202 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20211013063105-08'00') /Rect [93 319.4 106.1953 327.4] /Subtype /Link /Type /Annot >> endobj 1203 0 obj << /A << /D (unique_697) /S /GoTo >> /Border [0 0 0] /Contents (set_value) /M (D:20211013063105-08'00') /Rect [249 319.4 283.5898 327.4] /Subtype /Link /Type /Annot >> endobj 1204 0 obj << /A << /D (unique_698) /S /GoTo >> /Border [0 0 0] /Contents (setup_ip_static_library) /M (D:20211013063105-08'00') /Rect [405 319.4 487.0898 327.4] /Subtype /Link /Type /Annot >> endobj 1205 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (start_vcd) /M (D:20211013063105-08'00') /Rect [93 305.2 126.5117 313.2] /Subtype /Link /Type /Annot >> endobj 1206 0 obj << /A << /D (unique_699) /S /GoTo >> /Border [0 0 0] /Contents (step) /M (D:20211013063105-08'00') /Rect [249 305.2 265.1523 313.2] /Subtype /Link /Type /Annot >> endobj 1207 0 obj << /A << /D (unique_700) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20211013063105-08'00') /Rect [405 305.2 421.4805 313.2] /Subtype /Link /Type /Annot >> endobj 1208 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (stop_vcd) /M (D:20211013063105-08'00') /Rect [93 291 125.5938 299] /Subtype /Link /Type /Annot >> endobj 1209 0 obj << /A << /D (unique_192) /S /GoTo >> /Border [0 0 0] /Contents (write_sdf) /M (D:20211013063105-08'00') /Rect [249 291 282.8516 299] /Subtype /Link /Type /Annot >> endobj 1210 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [405 291 453.1094 299] /Subtype /Link /Type /Annot >> endobj 1211 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [93 276.8 131.3359 284.8] /Subtype /Link /Type /Annot >> endobj 1212 0 obj << /A << /D (unique_34) /S /GoTo >> /Border [0 0 0] /Contents (write_xsim_coverage) /M (D:20211013063105-08'00') /Rect [249 276.8 326.5625 284.8] /Subtype /Link /Type /Annot >> endobj 1213 0 obj << /A << /D (unique_55) /S /GoTo >> /Border [0 0 0] /Contents (xsim) /M (D:20211013063105-08'00') /Rect [405 276.8 422.6055 284.8] /Subtype /Link /Type /Annot >> endobj 1214 0 obj << /A << /D (unique_575) /S /GoTo >> /Border [0 0 0] /Contents (export_bd_synth) /M (D:20211013063105-08'00') /Rect [93 222.4 154.7266 230.4] /Subtype /Link /Type /Annot >> endobj 1215 0 obj << /A << /D (unique_701) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20211013063105-08'00') /Rect [93 168 145.875 176] /Subtype /Link /Type /Annot >> endobj 1216 0 obj << /A << /D (unique_550) /S /GoTo >> /Border [0 0 0] /Contents (make_wrapper) /M (D:20211013063105-08'00') /Rect [249 168 304.6289 176] /Subtype /Link /Type /Annot >> endobj 1217 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20211013063105-08'00') /Rect [93 113.6 142.0586 121.6] /Subtype /Link /Type /Annot >> endobj 1218 0 obj << /A << /D (unique_702) /S /GoTo >> /Border [0 0 0] /Contents (config_design_analysis) /M (D:20211013063105-08'00') /Rect [249 113.6 333.9258 121.6] /Subtype /Link /Type /Annot >> endobj 1219 0 obj << /A << /D (unique_703) /S /GoTo >> /Border [0 0 0] /Contents (config_timing_analysis) /M (D:20211013063105-08'00') /Rect [405 113.6 489.0898 121.6] /Subtype /Link /Type /Annot >> endobj 1220 0 obj << /A << /D (unique_704) /S /GoTo >> /Border [0 0 0] /Contents (config_timing_corners) /M (D:20211013063105-08'00') /Rect [93 99.4 175.8789 107.4] /Subtype /Link /Type /Annot >> endobj 1221 0 obj << /A << /D (unique_39) /S /GoTo >> /Border [0 0 0] /Contents (create_slack_histogram) /M (D:20211013063105-08'00') /Rect [249 99.4 336.2227 107.4] /Subtype /Link /Type /Annot >> endobj 1222 0 obj << /A << /D (unique_148) /S /GoTo >> /Border [0 0 0] /Contents (delete_qor_suggestions) /M (D:20211013063105-08'00') /Rect [405 99.4 493.582 107.4] /Subtype /Link /Type /Annot >> endobj 1223 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20211013063105-08'00') /Rect [93 85.2 172.6406 93.2] /Subtype /Link /Type /Annot >> endobj 1224 0 obj << /A << /D (unique_30) /S /GoTo >> /Border [0 0 0] /Contents (get_constant_paths) /M (D:20211013063105-08'00') /Rect [249 85.2 321.6367 93.2] /Subtype /Link /Type /Annot >> endobj 1225 0 obj << /A << /D (unique_444) /S /GoTo >> /Border [0 0 0] /Contents (get_net_delays) /M (D:20211013063105-08'00') /Rect [405 85.2 460.1367 93.2] /Subtype /Link /Type /Annot >> endobj 1226 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1227 0 obj << /Filter /FlateDecode /Length 2964 >> stream xˎί9>E  ݳsmrr1Y\$ITR76zլzHr=(ajE-w\_rҏ_3${ä cz`LYxvco x^sJ>G}82ׁ W™{Qӏcwq,b.Т2X z ^:ӝE`nٓ͞==ln'u͞=m4̖lj ]$8or3R?3D0b"|,e8gn5Ea0؏6"QZHtq,>CQ֓}֔"oV}+qD8BKa,aL\ѫLjy; dH%Dt^^ݸ7Ԯw}=AN+9Q*Vv .M*#wiQkQ*'DE񛖄Vz9N-kYmOڈ<ŞD.nALN*MɨEbw)pT>p2&r]y^M['S!7a!gk l#rqݼYG<9iJ2W[w: Krą?PGp7 7B?C7;({cF-d⪱gֱDD Š!NԹ[dbj?Q "fx XX|TB/Hz0F{'X ̆qY$QM>C8qI97=QNjo O x:`!M!x1@\9|wXM<JL'i({F ħtْfu!^ѐL01_,`۠C+ Z_Qe3BVt,ĤJ"4=mtې=~ d%>Je3[c9`l n1 vkF%K*?#6's}BYYI/>]J[."^~'4AeR0+$mn >0 )c3?e;{od#_C~7ዧ ],^o>O]ځE (q^ 9df pJH> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc5849 1232 0 R >> >> /Type /Page >> endobj 1229 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062923-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1230 0 obj [1229 0 R 1234 0 R 1235 0 R 1236 0 R 1237 0 R 1238 0 R 1239 0 R 1240 0 R 1241 0 R 1242 0 R 1243 0 R 1244 0 R 1245 0 R 1246 0 R 1247 0 R 1248 0 R 1249 0 R 1250 0 R 1251 0 R 1252 0 R 1253 0 R 1254 0 R 1255 0 R 1256 0 R 1257 0 R 1258 0 R 1259 0 R 1260 0 R 1261 0 R 1262 0 R 1263 0 R 1264 0 R 1265 0 R 1266 0 R 1267 0 R 1268 0 R 1269 0 R 1270 0 R 1271 0 R 1272 0 R 1273 0 R 1274 0 R 1275 0 R 1276 0 R 1277 0 R 1278 0 R 1279 0 R 1280 0 R 1281 0 R 1282 0 R 1283 0 R 1284 0 R 1285 0 R 1286 0 R 1287 0 R 1288 0 R 1289 0 R 1290 0 R 1291 0 R 1292 0 R 1293 0 R 1294 0 R 1295 0 R 1296 0 R 1297 0 R 1298 0 R 1299 0 R 1300 0 R 1301 0 R 1302 0 R 1303 0 R 1304 0 R 1305 0 R 1306 0 R 1307 0 R 1308 0 R 1233 0 R] endobj 1231 0 obj << /Length 18 >> stream q /Iabc5849 Do Q endstream endobj 1232 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc5858 20830 0 R /Gabc5868 20835 0 R >> /Font << /Fabc5877 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7$ w/gx(RIE\1%9h7r @K$V-T0EH(.$c"KSo"ɕL jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB3Wuœ}"juZՇj<4hڴ[쥭}-UaoKdOM\w>?lIHB_%e:3ϳ-nQ-~k~C2kh}'2g("_@9 ?ɜ Fp|s(dWLj$sx2UOcY@wP&]@p94C-Zݛ^ endstream endobj 1233 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=31) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1234 0 obj << /A << /D (unique_149) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20211013063105-08'00') /Rect [93 700.4 170.4961 708.4] /Subtype /Link /Type /Annot >> endobj 1235 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_arcs) /M (D:20211013063105-08'00') /Rect [249 700.4 307.6445 708.4] /Subtype /Link /Type /Annot >> endobj 1236 0 obj << /A << /D (unique_493) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20211013063105-08'00') /Rect [405 700.4 469.332 708.4] /Subtype /Link /Type /Annot >> endobj 1237 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20211013063105-08'00') /Rect [93 686.2 175.3242 694.2] /Subtype /Link /Type /Annot >> endobj 1238 0 obj << /A << /D (unique_590) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20211013063105-08'00') /Rect [249 686.2 311.7188 694.2] /Subtype /Link /Type /Annot >> endobj 1239 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [405 686.2 444.582 694.2] /Subtype /Link /Type /Annot >> endobj 1240 0 obj << /A << /D (unique_48) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20211013063105-08'00') /Rect [93 672 182.8203 680] /Subtype /Link /Type /Annot >> endobj 1241 0 obj << /A << /D (unique_593) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20211013063105-08'00') /Rect [249 672 333.0078 680] /Subtype /Link /Type /Annot >> endobj 1242 0 obj << /A << /D (unique_594) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_utilization) /M (D:20211013063105-08'00') /Rect [405 672 491.1289 680] /Subtype /Link /Type /Annot >> endobj 1243 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20211013063105-08'00') /Rect [93 657.8001 142.668 665.8001] /Subtype /Link /Type /Annot >> endobj 1244 0 obj << /A << /D (unique_597) /S /GoTo >> /Border [0 0 0] /Contents (report_config_timing) /M (D:20211013063105-08'00') /Rect [249 657.8001 326.9922 665.8001] /Subtype /Link /Type /Annot >> endobj 1245 0 obj << /A << /D (unique_31) /S /GoTo >> /Border [0 0 0] /Contents (report_constant_paths) /M (D:20211013063105-08'00') /Rect [405 657.8001 489.0078 665.8001] /Subtype /Link /Type /Annot >> endobj 1246 0 obj << /A << /D (unique_599) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20211013063105-08'00') /Rect [93 643.6 157.4531 651.6] /Subtype /Link /Type /Annot >> endobj 1247 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20211013063105-08'00') /Rect [249 643.6 334.2539 651.6] /Subtype /Link /Type /Annot >> endobj 1248 0 obj << /A << /D (unique_600) /S /GoTo >> /Border [0 0 0] /Contents (report_disable_timing) /M (D:20211013063105-08'00') /Rect [405 643.6 486.4297 651.6] /Subtype /Link /Type /Annot >> endobj 1249 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [93 629.4 131.9688 637.4] /Subtype /Link /Type /Annot >> endobj 1250 0 obj << /A << /D (unique_49) /S /GoTo >> /Border [0 0 0] /Contents (report_exceptions) /M (D:20211013063105-08'00') /Rect [249 629.4 316.4062 637.4] /Subtype /Link /Type /Annot >> endobj 1251 0 obj << /A << /D (unique_602) /S /GoTo >> /Border [0 0 0] /Contents (report_high_fanout_nets) /M (D:20211013063105-08'00') /Rect [405 629.4 496.4688 637.4] /Subtype /Link /Type /Annot >> endobj 1252 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [93 615.2 170.3086 623.2] /Subtype /Link /Type /Annot >> endobj 1253 0 obj << /A << /D (unique_608) /S /GoTo >> /Border [0 0 0] /Contents (report_pulse_width) /M (D:20211013063105-08'00') /Rect [249 615.2 320.6484 623.2] /Subtype /Link /Type /Annot >> endobj 1254 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20211013063105-08'00') /Rect [405 615.2 492.4883 623.2] /Subtype /Link /Type /Annot >> endobj 1255 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [93 601 181.8672 609] /Subtype /Link /Type /Annot >> endobj 1256 0 obj << /A << /D (unique_614) /S /GoTo >> /Border [0 0 0] /Contents (report_synchronizer_mtbf) /M (D:20211013063105-08'00') /Rect [249 601 345.6055 609] /Subtype /Link /Type /Annot >> endobj 1257 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [405 601 456.3398 609] /Subtype /Link /Type /Annot >> endobj 1258 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [93 586.8001 183.2383 594.8001] /Subtype /Link /Type /Annot >> endobj 1259 0 obj << /A << /D (unique_622) /S /GoTo >> /Border [0 0 0] /Contents (reset_timing) /M (D:20211013063105-08'00') /Rect [249 586.8001 295.6172 594.8001] /Subtype /Link /Type /Annot >> endobj 1260 0 obj << /A << /D (unique_705) /S /GoTo >> /Border [0 0 0] /Contents (set_delay_model) /M (D:20211013063105-08'00') /Rect [405 586.8001 466.6875 594.8001] /Subtype /Link /Type /Annot >> endobj 1261 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_disable_timing) /M (D:20211013063105-08'00') /Rect [93 572.6 161.9688 580.6] /Subtype /Link /Type /Annot >> endobj 1262 0 obj << /A << /D (unique_706) /S /GoTo >> /Border [0 0 0] /Contents (set_external_delay) /M (D:20211013063105-08'00') /Rect [249 572.6 317.7344 580.6] /Subtype /Link /Type /Annot >> endobj 1263 0 obj << /A << /D (unique_707) /S /GoTo >> /Border [0 0 0] /Contents (update_timing) /M (D:20211013063105-08'00') /Rect [405 572.6 459.3242 580.6] /Subtype /Link /Type /Annot >> endobj 1264 0 obj << /A << /D (unique_189) /S /GoTo >> /Border [0 0 0] /Contents (write_inferred_xdc) /M (D:20211013063105-08'00') /Rect [93 558.4 161.7852 566.4] /Subtype /Link /Type /Annot >> endobj 1265 0 obj << /A << /D (unique_53) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20211013063105-08'00') /Rect [249 558.4 333.2305 566.4] /Subtype /Link /Type /Annot >> endobj 1266 0 obj << /A << /D (unique_192) /S /GoTo >> /Border [0 0 0] /Contents (write_sdf) /M (D:20211013063105-08'00') /Rect [405 558.4 438.8516 566.4] /Subtype /Link /Type /Annot >> endobj 1267 0 obj << /A << /D (unique_54) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20211013063105-08'00') /Rect [93 544.2001 128.2578 552.2001] /Subtype /Link /Type /Annot >> endobj 1268 0 obj << /A << /D (unique_2) /S /GoTo >> /Border [0 0 0] /Contents (create_testbench) /M (D:20211013063105-08'00') /Rect [93 489.8 157.0352 497.8] /Subtype /Link /Type /Annot >> endobj 1269 0 obj << /A << /D (unique_4) /S /GoTo >> /Border [0 0 0] /Contents (generate_vcd_ports) /M (D:20211013063105-08'00') /Rect [249 489.8 322.1914 497.8] /Subtype /Link /Type /Annot >> endobj 1270 0 obj << /A << /D (unique_672) /S /GoTo >> /Border [0 0 0] /Contents (get_simulators) /M (D:20211013063105-08'00') /Rect [405 489.8 460.3438 497.8] /Subtype /Link /Type /Annot >> endobj 1271 0 obj << /A << /D (unique_708) /S /GoTo >> /Border [0 0 0] /Contents (launch_chipscope_analyzer) /M (D:20211013063105-08'00') /Rect [93 475.6 194.1602 483.6] /Subtype /Link /Type /Annot >> endobj 1272 0 obj << /A << /D (unique_709) /S /GoTo >> /Border [0 0 0] /Contents (launch_impact) /M (D:20211013063105-08'00') /Rect [249 475.6 303.1953 483.6] /Subtype /Link /Type /Annot >> endobj 1273 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [405 475.6 473.1211 483.6] /Subtype /Link /Type /Annot >> endobj 1274 0 obj << /A << /D (unique_46) /S /GoTo >> /Border [0 0 0] /Contents (iphys_opt_design) /M (D:20211013063105-08'00') /Rect [93 421.2 157.2617 429.2] /Subtype /Link /Type /Annot >> endobj 1275 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (link_design) /M (D:20211013063105-08'00') /Rect [249 421.2 290.8281 429.2] /Subtype /Link /Type /Annot >> endobj 1276 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (list_features) /M (D:20211013063105-08'00') /Rect [405 421.2 450.2891 429.2] /Subtype /Link /Type /Annot >> endobj 1277 0 obj << /A << /D (unique_712) /S /GoTo >> /Border [0 0 0] /Contents (load_features) /M (D:20211013063105-08'00') /Rect [93 407 143.7578 415] /Subtype /Link /Type /Annot >> endobj 1278 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [249 407 290.1328 415] /Subtype /Link /Type /Annot >> endobj 1279 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [405 407 467.1992 415] /Subtype /Link /Type /Annot >> endobj 1280 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [93 392.8 141.3086 400.8] /Subtype /Link /Type /Annot >> endobj 1281 0 obj << /A << /D (unique_47) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20211013063105-08'00') /Rect [249 392.8 317.293 400.8] /Subtype /Link /Type /Annot >> endobj 1282 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (register_proc) /M (D:20211013063105-08'00') /Rect [405 392.8 454.375 400.8] /Subtype /Link /Type /Annot >> endobj 1283 0 obj << /A << /D (unique_716) /S /GoTo >> /Border [0 0 0] /Contents (report_pipeline_analysis) /M (D:20211013063105-08'00') /Rect [93 378.6 183.0586 386.6] /Subtype /Link /Type /Annot >> endobj 1284 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [249 378.6 297.8945 386.6] /Subtype /Link /Type /Annot >> endobj 1285 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20211013063105-08'00') /Rect [405 378.6 454.1719 386.6] /Subtype /Link /Type /Annot >> endobj 1286 0 obj << /A << /D (unique_718) /S /GoTo >> /Border [0 0 0] /Contents (unregister_proc) /M (D:20211013063105-08'00') /Rect [93 364.4 152.2656 372.4] /Subtype /Link /Type /Annot >> endobj 1287 0 obj << /A << /D (unique_719) /S /GoTo >> /Border [0 0 0] /Contents (update_clock_routing) /M (D:20211013063105-08'00') /Rect [249 364.4 328.9375 372.4] /Subtype /Link /Type /Annot >> endobj 1288 0 obj << /A << /D (unique_720) /S /GoTo >> /Border [0 0 0] /Contents (update_noc_qos) /M (D:20211013063105-08'00') /Rect [405 364.4 465.4727 372.4] /Subtype /Link /Type /Annot >> endobj 1289 0 obj << /A << /D (unique_721) /S /GoTo >> /Border [0 0 0] /Contents (write_iphys_opt_tcl) /M (D:20211013063105-08'00') /Rect [93 350.2 163.1992 358.2] /Subtype /Link /Type /Annot >> endobj 1290 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20211013063105-08'00') /Rect [93 295.8 162.7695 303.8] /Subtype /Link /Type /Annot >> endobj 1291 0 obj << /A << /D (unique_515) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20211013063105-08'00') /Rect [249 295.8 329.0352 303.8] /Subtype /Link /Type /Annot >> endobj 1292 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20211013063105-08'00') /Rect [405 295.8 474.6055 303.8] /Subtype /Link /Type /Annot >> endobj 1293 0 obj << /A << /D (unique_187) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform_metadata) /M (D:20211013063105-08'00') /Rect [93 281.6 202.0469 289.6] /Subtype /Link /Type /Annot >> endobj 1294 0 obj << /A << /D (unique_722) /S /GoTo >> /Border [0 0 0] /Contents (current_vivado_preferences) /M (D:20211013063105-08'00') /Rect [93 227.2 196.4688 235.2] /Subtype /Link /Type /Annot >> endobj 1295 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [93 172.8 144.4727 180.8] /Subtype /Link /Type /Annot >> endobj 1296 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20211013063105-08'00') /Rect [249 172.8 304.2461 180.8] /Subtype /Link /Type /Annot >> endobj 1297 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20211013063105-08'00') /Rect [405 172.8 449.1602 180.8] /Subtype /Link /Type /Annot >> endobj 1298 0 obj << /A << /D (unique_498) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20211013063105-08'00') /Rect [93 158.6 148.5312 166.6] /Subtype /Link /Type /Annot >> endobj 1299 0 obj << /A << /D (unique_501) /S /GoTo >> /Border [0 0 0] /Contents (write_waivers) /M (D:20211013063105-08'00') /Rect [249 158.6 299.8945 166.6] /Subtype /Link /Type /Annot >> endobj 1300 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20211013063105-08'00') /Rect [93 104.2 129.9727 112.2] /Subtype /Link /Type /Annot >> endobj 1301 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20211013063105-08'00') /Rect [249 104.2 315.1094 112.2] /Subtype /Link /Type /Annot >> endobj 1302 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20211013063105-08'00') /Rect [405 104.2 468.1172 112.2] /Subtype /Link /Type /Annot >> endobj 1303 0 obj << /A << /D (unique_726) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20211013063105-08'00') /Rect [93 90 160.5469 98] /Subtype /Link /Type /Annot >> endobj 1304 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20211013063105-08'00') /Rect [249 90 330.0625 98] /Subtype /Link /Type /Annot >> endobj 1305 0 obj << /A << /D (unique_728) /S /GoTo >> /Border [0 0 0] /Contents (close_wave_config) /M (D:20211013063105-08'00') /Rect [405 90 473.3789 98] /Subtype /Link /Type /Annot >> endobj 1306 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20211013063105-08'00') /Rect [93 75.8 165.7578 83.8] /Subtype /Link /Type /Annot >> endobj 1307 0 obj << /A << /D (unique_730) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20211013063105-08'00') /Rect [249 75.8 325.9531 83.8] /Subtype /Link /Type /Annot >> endobj 1308 0 obj << /A << /D (unique_731) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20211013063105-08'00') /Rect [405 75.8 470.4453 83.8] /Subtype /Link /Type /Annot >> endobj 1309 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1310 0 obj << /Filter /FlateDecode /Length 2683 >> stream x[KoW@ I" ! 4`R,%[/IQů,%'}i+4q$5uŎa/& {E9‘u ɠyHAL(ͻtLpf]Ы~.t' oyzL~"{"?^ FG*UHVnS!yBMd ޿Co[^A 1yaLivc/-#B{ 3y c{&$gQ1 8 5@g™q? ).V"ڈG sm sl|_5~2yƦ2{xg.2'&N*P$5&kvE"5hք)/Kypxa! ~>[xHQ|=Ĺ "}QDtm^ķAk.A:=ۻ1NrXc!]ގM.F8C4)-1A2+,v~]8o6P,nv?-2kgE]ﱧqmLTَݑ}ĺx NQu-7U l0*$FWѶ be Y<ŗk%?'[u^inŒ%X *+kAUTS>Q~‘_O_Ґ#rz` 36Pn^zH9g:ȘN_HlBa~YSJkl+٠GV?6ѳ_#sZFx~ x,> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6039 1315 0 R >> >> /Type /Page >> endobj 1312 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062923-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1313 0 obj [1312 0 R 1317 0 R 1318 0 R 1319 0 R 1320 0 R 1321 0 R 1322 0 R 1323 0 R 1324 0 R 1325 0 R 1326 0 R 1327 0 R 1328 0 R 1329 0 R 1330 0 R 1331 0 R 1332 0 R 1333 0 R 1334 0 R 1335 0 R 1336 0 R 1337 0 R 1338 0 R 1339 0 R 1340 0 R 1341 0 R 1342 0 R 1343 0 R 1344 0 R 1345 0 R 1346 0 R 1347 0 R 1348 0 R 1349 0 R 1350 0 R 1351 0 R 1352 0 R 1353 0 R 1354 0 R 1355 0 R 1356 0 R 1357 0 R 1358 0 R 1359 0 R 1360 0 R 1361 0 R 1362 0 R 1363 0 R 1364 0 R 1365 0 R 1366 0 R 1367 0 R 1368 0 R 1369 0 R 1370 0 R 1371 0 R 1372 0 R 1373 0 R 1374 0 R 1375 0 R 1376 0 R 1377 0 R 1378 0 R 1379 0 R 1380 0 R 1381 0 R 1382 0 R 1383 0 R 1384 0 R 1385 0 R 1386 0 R 1387 0 R 1388 0 R 1389 0 R 1390 0 R 1391 0 R 1392 0 R 1393 0 R 1394 0 R 1395 0 R 1396 0 R 1397 0 R 1398 0 R 1399 0 R 1400 0 R 1401 0 R 1402 0 R 1403 0 R 1404 0 R 1405 0 R 1406 0 R 1407 0 R 1408 0 R 1409 0 R 1410 0 R 1411 0 R 1412 0 R 1413 0 R 1414 0 R 1415 0 R 1416 0 R 1417 0 R 1418 0 R 1419 0 R 1420 0 R 1421 0 R 1422 0 R 1423 0 R 1424 0 R 1425 0 R 1426 0 R 1427 0 R 1428 0 R 1429 0 R 1430 0 R 1316 0 R] endobj 1314 0 obj << /Length 18 >> stream q /Iabc6039 Do Q endstream endobj 1315 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6054 20830 0 R /Gabc6063 20835 0 R >> /Font << /Fabc6075 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;7o77 fڿc}Lhj[ߕ9pZ [n! |:S֯޽:H/ Ơ(査\=0ZcXLF.FPQ cR)N2&4%&S)!^\y( ^rj\9 " ٷǞ5" 9 J*qlP;b(RM%4 <~UxOBDM>5TϬ:JG\YI Y[{i0LT>Ѥɻ#-I*YZ-48יq|mau3,~k!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1317 0 obj << /A << /D (unique_732) /S /GoTo >> /Border [0 0 0] /Contents (get_waves) /M (D:20211013063105-08'00') /Rect [93 700.4 131.793 708.4] /Subtype /Link /Type /Annot >> endobj 1318 0 obj << /A << /D (unique_733) /S /GoTo >> /Border [0 0 0] /Contents (move_wave) /M (D:20211013063105-08'00') /Rect [249 700.4 292.457 708.4] /Subtype /Link /Type /Annot >> endobj 1319 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20211013063105-08'00') /Rect [405 700.4 473.5117 708.4] /Subtype /Link /Type /Annot >> endobj 1320 0 obj << /A << /D (unique_735) /S /GoTo >> /Border [0 0 0] /Contents (remove_wave) /M (D:20211013063105-08'00') /Rect [93 686.2 144.1953 694.2] /Subtype /Link /Type /Annot >> endobj 1321 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20211013063105-08'00') /Rect [249 686.2 315.1875 694.2] /Subtype /Link /Type /Annot >> endobj 1322 0 obj << /A << /D (unique_737) /S /GoTo >> /Border [0 0 0] /Contents (select_wave_objects) /M (D:20211013063105-08'00') /Rect [405 686.2 479.4688 694.2] /Subtype /Link /Type /Annot >> endobj 1323 0 obj << /A << /D (unique_195) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20211013063105-08'00') /Rect [93 631.8001 166.0938 639.8001] /Subtype /Link /Type /Annot >> endobj 1324 0 obj << /A << /D (unique_8) /S /GoTo >> /Border [0 0 0] /Contents (add_to_power_rail) /M (D:20211013063105-08'00') /Rect [249 631.8001 316.5547 639.8001] /Subtype /Link /Type /Annot >> endobj 1325 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [405 631.8001 439.5898 639.8001] /Subtype /Link /Type /Annot >> endobj 1326 0 obj << /A << /D (unique_738) /S /GoTo >> /Border [0 0 0] /Contents (all_cpus) /M (D:20211013063105-08'00') /Rect [93 617.6 122.4414 625.6] /Subtype /Link /Type /Annot >> endobj 1327 0 obj << /A << /D (unique_739) /S /GoTo >> /Border [0 0 0] /Contents (all_dsps) /M (D:20211013063105-08'00') /Rect [249 617.6 278.4102 625.6] /Subtype /Link /Type /Annot >> endobj 1328 0 obj << /A << /D (unique_740) /S /GoTo >> /Border [0 0 0] /Contents (all_fanin) /M (D:20211013063105-08'00') /Rect [405 617.6 436.0977 625.6] /Subtype /Link /Type /Annot >> endobj 1329 0 obj << /A << /D (unique_741) /S /GoTo >> /Border [0 0 0] /Contents (all_fanout) /M (D:20211013063105-08'00') /Rect [93 603.4 129.7617 611.4] /Subtype /Link /Type /Annot >> endobj 1330 0 obj << /A << /D (unique_742) /S /GoTo >> /Border [0 0 0] /Contents (all_ffs) /M (D:20211013063105-08'00') /Rect [249 603.4 270.2422 611.4] /Subtype /Link /Type /Annot >> endobj 1331 0 obj << /A << /D (unique_743) /S /GoTo >> /Border [0 0 0] /Contents (all_hsios) /M (D:20211013063105-08'00') /Rect [405 603.4 436.4141 611.4] /Subtype /Link /Type /Annot >> endobj 1332 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20211013063105-08'00') /Rect [93 589.2001 128.4961 597.2001] /Subtype /Link /Type /Annot >> endobj 1333 0 obj << /A << /D (unique_744) /S /GoTo >> /Border [0 0 0] /Contents (all_latches) /M (D:20211013063105-08'00') /Rect [249 589.2001 287.4688 597.2001] /Subtype /Link /Type /Annot >> endobj 1334 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (all_outputs) /M (D:20211013063105-08'00') /Rect [405 589.2001 446.1602 597.2001] /Subtype /Link /Type /Annot >> endobj 1335 0 obj << /A << /D (unique_745) /S /GoTo >> /Border [0 0 0] /Contents (all_rams) /M (D:20211013063105-08'00') /Rect [93 575.0001 123.9297 583.0001] /Subtype /Link /Type /Annot >> endobj 1336 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20211013063105-08'00') /Rect [249 575.0001 293.9922 583.0001] /Subtype /Link /Type /Annot >> endobj 1337 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_cores) /M (D:20211013063105-08'00') /Rect [405 575.0001 485.8594 583.0001] /Subtype /Link /Type /Annot >> endobj 1338 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [93 560.8001 169.5625 568.8001] /Subtype /Link /Type /Annot >> endobj 1339 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [249 560.8001 294.6094 568.8001] /Subtype /Link /Type /Annot >> endobj 1340 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [405 560.8001 475.6836 568.8001] /Subtype /Link /Type /Annot >> endobj 1341 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [93 546.6 163.2188 554.6] /Subtype /Link /Type /Annot >> endobj 1342 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [249 546.6 336.8242 554.6] /Subtype /Link /Type /Annot >> endobj 1343 0 obj << /A << /D (unique_746) /S /GoTo >> /Border [0 0 0] /Contents (create_macro) /M (D:20211013063105-08'00') /Rect [405 546.6 455.6289 554.6] /Subtype /Link /Type /Annot >> endobj 1344 0 obj << /A << /D (unique_196) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20211013063105-08'00') /Rect [93 532.4 144.6133 540.4] /Subtype /Link /Type /Annot >> endobj 1345 0 obj << /A << /D (unique_11) /S /GoTo >> /Border [0 0 0] /Contents (create_power_rail) /M (D:20211013063105-08'00') /Rect [249 532.4 314.6719 540.4] /Subtype /Link /Type /Annot >> endobj 1346 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20211013063105-08'00') /Rect [405 532.4 464.4453 540.4] /Subtype /Link /Type /Annot >> endobj 1347 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [93 518.2001 144.4727 526.2001] /Subtype /Link /Type /Annot >> endobj 1348 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [249 518.2001 305.1445 526.2001] /Subtype /Link /Type /Annot >> endobj 1349 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [405 518.2001 467.4609 526.2001] /Subtype /Link /Type /Annot >> endobj 1350 0 obj << /A << /D (unique_747) /S /GoTo >> /Border [0 0 0] /Contents (delete_macros) /M (D:20211013063105-08'00') /Rect [93 504 147.4023 512.0001] /Subtype /Link /Type /Annot >> endobj 1351 0 obj << /A << /D (unique_197) /S /GoTo >> /Border [0 0 0] /Contents (delete_pblocks) /M (D:20211013063105-08'00') /Rect [249 504 304.3867 512.0001] /Subtype /Link /Type /Annot >> endobj 1352 0 obj << /A << /D (unique_198) /S /GoTo >> /Border [0 0 0] /Contents (delete_power_rails) /M (D:20211013063105-08'00') /Rect [405 504 474.4453 512.0001] /Subtype /Link /Type /Annot >> endobj 1353 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [93 489.8 110.582 497.8] /Subtype /Link /Type /Annot >> endobj 1354 0 obj << /A << /D (unique_120) /S /GoTo >> /Border [0 0 0] /Contents (get_bel_pins) /M (D:20211013063105-08'00') /Rect [249 489.8 295.1562 497.8] /Subtype /Link /Type /Annot >> endobj 1355 0 obj << /A << /D (unique_43) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20211013063105-08'00') /Rect [405 489.8 435.9375 497.8] /Subtype /Link /Type /Annot >> endobj 1356 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [93 475.6 124.918 483.6] /Subtype /Link /Type /Annot >> endobj 1357 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [249 475.6 287.2969 483.6] /Subtype /Link /Type /Annot >> endobj 1358 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20211013063105-08'00') /Rect [405 475.6 468.3711 483.6] /Subtype /Link /Type /Annot >> endobj 1359 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20211013063105-08'00') /Rect [93 461.4 155.9062 469.4] /Subtype /Link /Type /Annot >> endobj 1360 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_generated_clocks) /M (D:20211013063105-08'00') /Rect [249 461.4 329.5117 469.4] /Subtype /Link /Type /Annot >> endobj 1361 0 obj << /A << /D (unique_633) /S /GoTo >> /Border [0 0 0] /Contents (get_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [405 461.4 495.7227 469.4] /Subtype /Link /Type /Annot >> endobj 1362 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20211013063105-08'00') /Rect [93 447.2 137.9727 455.2] /Subtype /Link /Type /Annot >> endobj 1363 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_macros) /M (D:20211013063105-08'00') /Rect [249 447.2 292.3164 455.2] /Subtype /Link /Type /Annot >> endobj 1364 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [405 447.2 436.7852 455.2] /Subtype /Link /Type /Annot >> endobj 1365 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20211013063105-08'00') /Rect [93 433 131.6602 441] /Subtype /Link /Type /Annot >> endobj 1366 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20211013063105-08'00') /Rect [249 433 315.1055 441] /Subtype /Link /Type /Annot >> endobj 1367 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20211013063105-08'00') /Rect [405 433 467.8281 441] /Subtype /Link /Type /Annot >> endobj 1368 0 obj << /A << /D (unique_200) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20211013063105-08'00') /Rect [93 418.8 137.3008 426.8] /Subtype /Link /Type /Annot >> endobj 1369 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [249 418.8 280.3711 426.8] /Subtype /Link /Type /Annot >> endobj 1370 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20211013063105-08'00') /Rect [405 418.8 436.3477 426.8] /Subtype /Link /Type /Annot >> endobj 1371 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20211013063105-08'00') /Rect [93 404.6001 180.9531 412.6001] /Subtype /Link /Type /Annot >> endobj 1372 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20211013063105-08'00') /Rect [249 404.6001 321.2031 412.6001] /Subtype /Link /Type /Annot >> endobj 1373 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [405 404.6001 440.3945 412.6001] /Subtype /Link /Type /Annot >> endobj 1374 0 obj << /A << /D (unique_201) /S /GoTo >> /Border [0 0 0] /Contents (get_power_rails) /M (D:20211013063105-08'00') /Rect [93 390.4 151.3594 398.4] /Subtype /Link /Type /Annot >> endobj 1375 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [249 390.4 297.3008 398.4] /Subtype /Link /Type /Annot >> endobj 1376 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20211013063105-08'00') /Rect [405 390.4 452.9531 398.4] /Subtype /Link /Type /Annot >> endobj 1377 0 obj << /A << /D (unique_129) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pips) /M (D:20211013063105-08'00') /Rect [93 376.2 140.9297 384.2] /Subtype /Link /Type /Annot >> endobj 1378 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [249 376.2 281.7344 384.2] /Subtype /Link /Type /Annot >> endobj 1379 0 obj << /A << /D (unique_131) /S /GoTo >> /Border [0 0 0] /Contents (get_slrs) /M (D:20211013063105-08'00') /Rect [405 376.2 433.6406 384.2] /Subtype /Link /Type /Annot >> endobj 1380 0 obj << /A << /D (unique_132) /S /GoTo >> /Border [0 0 0] /Contents (get_speed_models) /M (D:20211013063105-08'00') /Rect [93 362.0001 162.2461 370.0001] /Subtype /Link /Type /Annot >> endobj 1381 0 obj << /A << /D (unique_133) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20211013063105-08'00') /Rect [249 362.0001 279.9648 370.0001] /Subtype /Link /Type /Annot >> endobj 1382 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_arcs) /M (D:20211013063105-08'00') /Rect [405 362.0001 463.6445 370.0001] /Subtype /Link /Type /Annot >> endobj 1383 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20211013063105-08'00') /Rect [93 347.8 128.5312 355.8] /Subtype /Link /Type /Annot >> endobj 1384 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20211013063105-08'00') /Rect [249 347.8 292.3867 355.8] /Subtype /Link /Type /Annot >> endobj 1385 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [405 347.8 482.5977 355.8] /Subtype /Link /Type /Annot >> endobj 1386 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (remove_cells_from_pblock) /M (D:20211013063105-08'00') /Rect [93 333.6 190.8906 341.6] /Subtype /Link /Type /Annot >> endobj 1387 0 obj << /A << /D (unique_204) /S /GoTo >> /Border [0 0 0] /Contents (remove_from_power_rail) /M (D:20211013063105-08'00') /Rect [249 333.6 341.3516 341.6] /Subtype /Link /Type /Annot >> endobj 1388 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (reset_operating_conditions) /M (D:20211013063105-08'00') /Rect [405 333.6 506.5273 341.6] /Subtype /Link /Type /Annot >> endobj 1389 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20211013063105-08'00') /Rect [93 319.4 180.6992 327.4] /Subtype /Link /Type /Annot >> endobj 1390 0 obj << /A << /D (unique_205) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20211013063105-08'00') /Rect [249 319.4 299.0547 327.4] /Subtype /Link /Type /Annot >> endobj 1391 0 obj << /A << /D (unique_748) /S /GoTo >> /Border [0 0 0] /Contents (set_bus_skew) /M (D:20211013063105-08'00') /Rect [405 319.4 455.2578 327.4] /Subtype /Link /Type /Annot >> endobj 1392 0 obj << /A << /D (unique_635) /S /GoTo >> /Border [0 0 0] /Contents (set_case_analysis) /M (D:20211013063105-08'00') /Rect [93 305.2 157.2695 313.2] /Subtype /Link /Type /Annot >> endobj 1393 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20211013063105-08'00') /Rect [249 305.2 312.3516 313.2] /Subtype /Link /Type /Annot >> endobj 1394 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_latency) /M (D:20211013063105-08'00') /Rect [405 305.2 468.4766 313.2] /Subtype /Link /Type /Annot >> endobj 1395 0 obj << /A << /D (unique_638) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_sense) /M (D:20211013063105-08'00') /Rect [93 291 151.2969 299] /Subtype /Link /Type /Annot >> endobj 1396 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20211013063105-08'00') /Rect [249 291 328.5586 299] /Subtype /Link /Type /Annot >> endobj 1397 0 obj << /A << /D (unique_640) /S /GoTo >> /Border [0 0 0] /Contents (set_data_check) /M (D:20211013063105-08'00') /Rect [405 291 461.0039 299] /Subtype /Link /Type /Annot >> endobj 1398 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_disable_timing) /M (D:20211013063105-08'00') /Rect [93 276.8 161.9688 284.8] /Subtype /Link /Type /Annot >> endobj 1399 0 obj << /A << /D (unique_706) /S /GoTo >> /Border [0 0 0] /Contents (set_external_delay) /M (D:20211013063105-08'00') /Rect [249 276.8 317.7344 284.8] /Subtype /Link /Type /Annot >> endobj 1400 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [405 276.8 457.6992 284.8] /Subtype /Link /Type /Annot >> endobj 1401 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [93 262.6 182.6328 270.6] /Subtype /Link /Type /Annot >> endobj 1402 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [249 262.6 306.6328 270.6] /Subtype /Link /Type /Annot >> endobj 1403 0 obj << /A << /D (unique_749) /S /GoTo >> /Border [0 0 0] /Contents (set_input_jitter) /M (D:20211013063105-08'00') /Rect [405 262.6 460.2852 270.6] /Subtype /Link /Type /Annot >> endobj 1404 0 obj << /A << /D (unique_645) /S /GoTo >> /Border [0 0 0] /Contents (set_load) /M (D:20211013063105-08'00') /Rect [93 248.4 123.832 256.4] /Subtype /Link /Type /Annot >> endobj 1405 0 obj << /A << /D (unique_646) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_dc) /M (D:20211013063105-08'00') /Rect [249 248.4 293.2969 256.4] /Subtype /Link /Type /Annot >> endobj 1406 0 obj << /A << /D (unique_647) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20211013063105-08'00') /Rect [405 248.4 454.832 256.4] /Subtype /Link /Type /Annot >> endobj 1407 0 obj << /A << /D (unique_750) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_unconnected) /M (D:20211013063105-08'00') /Rect [93 234.2 177.668 242.2] /Subtype /Link /Type /Annot >> endobj 1408 0 obj << /A << /D (unique_648) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20211013063105-08'00') /Rect [249 234.2 300.875 242.2] /Subtype /Link /Type /Annot >> endobj 1409 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [405 234.2 459.0703 242.2] /Subtype /Link /Type /Annot >> endobj 1410 0 obj << /A << /D (unique_650) /S /GoTo >> /Border [0 0 0] /Contents (set_max_time_borrow) /M (D:20211013063105-08'00') /Rect [93 219.9999 174.582 227.9999] /Subtype /Link /Type /Annot >> endobj 1411 0 obj << /A << /D (unique_651) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20211013063105-08'00') /Rect [249 219.9999 301.3594 227.9999] /Subtype /Link /Type /Annot >> endobj 1412 0 obj << /A << /D (unique_652) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20211013063105-08'00') /Rect [405 219.9999 477.8203 227.9999] /Subtype /Link /Type /Annot >> endobj 1413 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20211013063105-08'00') /Rect [93 205.7999 186.7891 213.7999] /Subtype /Link /Type /Annot >> endobj 1414 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [249 205.7999 312.2969 213.7999] /Subtype /Link /Type /Annot >> endobj 1415 0 obj << /A << /D (unique_513) /S /GoTo >> /Border [0 0 0] /Contents (set_package_pin_val) /M (D:20211013063105-08'00') /Rect [405 205.7999 480.0859 213.7999] /Subtype /Link /Type /Annot >> endobj 1416 0 obj << /A << /D (unique_523) /S /GoTo >> /Border [0 0 0] /Contents (set_power_opt) /M (D:20211013063105-08'00') /Rect [93 191.5999 147.2461 199.5999] /Subtype /Link /Type /Annot >> endobj 1417 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (set_propagated_clock) /M (D:20211013063105-08'00') /Rect [249 191.5999 329.793 199.5999] /Subtype /Link /Type /Annot >> endobj 1418 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [405 191.5999 452.2109 199.5999] /Subtype /Link /Type /Annot >> endobj 1419 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20211013063105-08'00') /Rect [93 177.3999 172.9609 185.3999] /Subtype /Link /Type /Annot >> endobj 1420 0 obj << /A << /D (unique_751) /S /GoTo >> /Border [0 0 0] /Contents (set_system_jitter) /M (D:20211013063105-08'00') /Rect [249 177.3999 311.1445 185.3999] /Subtype /Link /Type /Annot >> endobj 1421 0 obj << /A << /D (unique_655) /S /GoTo >> /Border [0 0 0] /Contents (set_units) /M (D:20211013063105-08'00') /Rect [405 177.3999 438.1914 185.3999] /Subtype /Link /Type /Annot >> endobj 1422 0 obj << /A << /D (unique_752) /S /GoTo >> /Border [0 0 0] /Contents (update_macro) /M (D:20211013063105-08'00') /Rect [93 163.1999 146.8398 171.1999] /Subtype /Link /Type /Annot >> endobj 1423 0 obj << /A << /D (unique_573) /S /GoTo >> /Border [0 0 0] /Contents (convert_ngc) /M (D:20211013063105-08'00') /Rect [93 108.7999 138.3867 116.7999] /Subtype /Link /Type /Annot >> endobj 1424 0 obj << /A << /D (unique_574) /S /GoTo >> /Border [0 0 0] /Contents (copy_run) /M (D:20211013063105-08'00') /Rect [249 108.7999 283.0859 116.7999] /Subtype /Link /Type /Annot >> endobj 1425 0 obj << /A << /D (unique_575) /S /GoTo >> /Border [0 0 0] /Contents (export_bd_synth) /M (D:20211013063105-08'00') /Rect [405 108.7999 466.7266 116.7999] /Subtype /Link /Type /Annot >> endobj 1426 0 obj << /A << /D (unique_668) /S /GoTo >> /Border [0 0 0] /Contents (export_ip_user_files) /M (D:20211013063105-08'00') /Rect [93 94.5999 166.2852 102.5999] /Subtype /Link /Type /Annot >> endobj 1427 0 obj << /A << /D (unique_41) /S /GoTo >> /Border [0 0 0] /Contents (export_simulation) /M (D:20211013063105-08'00') /Rect [249 94.5999 316.5117 102.5999] /Subtype /Link /Type /Annot >> endobj 1428 0 obj << /A << /D (unique_669) /S /GoTo >> /Border [0 0 0] /Contents (generate_hier_access) /M (D:20211013063105-08'00') /Rect [405 94.5999 484.75 102.5999] /Subtype /Link /Type /Annot >> endobj 1429 0 obj << /A << /D (unique_698) /S /GoTo >> /Border [0 0 0] /Contents (setup_ip_static_library) /M (D:20211013063105-08'00') /Rect [93 80.3999 175.0898 88.3999] /Subtype /Link /Type /Annot >> endobj 1430 0 obj << /A << /D (unique_576) /S /GoTo >> /Border [0 0 0] /Contents (write_project_tcl) /M (D:20211013063105-08'00') /Rect [249 80.3999 309.7109 88.3999] /Subtype /Link /Type /Annot >> endobj 1431 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1432 0 obj << /Filter /FlateDecode /Length 3368 >> stream xM$ί+@Q%F9H9D/(?|tQ=+Ejb/fFx-#b,ZT?o O{i(~ ȍS9kci1o- M/+j%(~}}ߋkI\p8կaA TPk?v պ0|FŐUS 8͗=ǣ2Z >+Gc77ݝ=.&N4"EE喬ϥr#C=l4k 7.̈Ď>iuV,]^,=9le]LXᄓV5^Mխ[Osx`iM )uJqx;Ν}X㾊3QRױ|nViw 9;ǰ{[YKk,s,0j50DW>Dm#:ʅ1 g?g)Dj` ~Bي\n9 =5lv+ܒ`,cܡuHF ʯ/wR2rIN::dt#2Mܰd.d2%}%F[Bvf <wXb9ZjҡOº;ҝ5FEsJԯ1ue#ZgzQDo`a1>3MPQ]{?K.0%5!18{~?cR/sB%18Vj I߳ہ3ӸL$?) ;1~"=$5Ѭ-^ 碚nzY\gz'ZD3[ "g!'i0!gjJxOIr۩R;H6N&'7w6z9?L4d7bo~q_mOϊ7"tq<)t$d ql'2}\Yh9-a|p孹 )̥8%_RAG/s)o@Goh73Zeh ~:p@#5MDoЁAmV<(@oЁ7@@nXU&0U`\d851:Z_? p35C 5'YP |Ԝ3[1&=ѦTϱyu֩d-2z<5 R Bi;']I-ɐHaE[N:YK <573WWbC<]W5Lw\, &cxzLAܷ]lųm@9Ž1&m_ K ~\gR <@Xxq{@UA1'_qPjl2B!2i.\kla\L]]Y3@%gxH&XL}^2/`?0,0߾`[tT3(42MA%|۾n0-1ݘgȣyy[$Tl Hn@1diΨC=ϗYzУt(O< Ţkf(1^0_wabm;#4WaJ`Q֜ԃڅ \YIAwK4ImeHgiBjڡ`('ٜ@m¨!qBH`T#NԼ)O!5IE3$Zͯ Tרq,a8"/F LU+엋Q˔!oV0nS$Nf W@jЁn\I{Tǂe FoQ~аLJ0#Z҇+]K5z6oR8BBGϕxfz1m dJ(툲cQ7;3.RČH+~7b=.Ҩ"󯳈 2Є)fb-V>p BËllШX3&llo22#akI5.kVC++%Sr$7jE Iͣ~+E7 ~- d=1GG%6fbwq{ jPfFbGQ^q7ӛ:\ny5]lv>Gcd,^d\'-@. $%|VNh+GS1t@~=< . endstream endobj 1433 0 obj << /Annots 1435 0 R /BleedBox [0 0 612 792] /Contents [1442 0 R 1441 0 R 1436 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6255 1437 0 R >> >> /Type /Page >> endobj 1434 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1435 0 obj [1434 0 R 1439 0 R 1440 0 R 1438 0 R] endobj 1436 0 obj << /Length 18 >> stream q /Iabc6255 Do Q endstream endobj 1437 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6263 20830 0 R /Gabc6278 20835 0 R >> /Font << /Fabc6286 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [nP>)W^P$eA4\Hf;|/(%c QbEP"$,)gD1)7JIx5s剢xyRٸs@61 Ej92;0' g5kDN 9.J}GZ\ #QB3Wuœ}"juZG)ȃ;6+)C+p|֦b/mk: S[${JLh*Ȩ%#5fe8>϶Gwf^_ DXl˜sshf^2 'LsB&s.p|sdT:I*dx)櫶_yݝCJH]@QXy Ph|=B/}C endstream endobj 1438 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=33) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1439 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [93 675.2 153.7305 683.2] /Subtype /Link /Type /Annot >> endobj 1440 0 obj << /A << /D (unique_96) /S /GoTo >> /Border [0 0 0] /Contents (validate_board_files) /M (D:20211013063105-08'00') /Rect [249 675.2 322.6836 683.2] /Subtype /Link /Type /Annot >> endobj 1441 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1442 0 obj << /Filter /FlateDecode /Length 1183 >> stream xڭWK#7W9 E,{f}mCɛI?lԭ&!YS|wyau??#8ukΚKp@-`\5CnPL!yD7UkSAg'{#ZE2˜ 6.̪)?5glO$EkO')6xCuC%-Cp2Ua*A)> .WxoO'Ғm5);I*k|NAjvU,B9boV{m4Ѵ/1nPGK,_eXJ ILFOc K]swWUjm3XGyO]k=4nj~ww֭KA}J,L{Ic: ۫l)Ȱ&NL{ٜ~_jkQktr_@'^.wc &5x^kA1jbk#Z1DSxjo49rean3xVjGJԞ%xTvMY%QsҖmƙjd3Ѷep}^-i怣 =) h)'ĕzBH+ JΒeh'|%8Va%xZV@=ev"-jr[ mS7DA6%^D\SVu&{c&F܅2y;X9?G{*XvdˆLY\Dy'GyiBِ~l2M#3=mK[H&LasnJC~ G5T/h#|N+SɰZؗJw~kiq3V')wR Smvػ>>(|"cŜ{.!j9S3rFr{^ Z8 ~,z[qC\d$" GRtv*8OFVdٌn=D<Ms6 o,%bO'+s^>Wqi]NӣݗN<Rx;]hDH/;@gv$Il}Re/c~98o[* *Wq}c+SAEIO7&b endstream endobj 1443 0 obj << /Annots [1446 0 R 1449 0 R] /BleedBox [0 0 612 792] /Contents [1455 0 R 1450 0 R 20807 0 R 1444 0 R 1447 0 R 20807 0 R 1451 0 R 20807 0 R 1453 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc143 1445 0 R /Iabc21336 1452 0 R /Iabc23447 1454 0 R /Iabc6460 1448 0 R >> >> /Type /Page >> endobj 1444 0 obj << /Length 17 >> stream q /Iabc143 Do Q endstream endobj 1445 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc151 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 1446 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1447 0 obj << /Length 18 >> stream q /Iabc6460 Do Q endstream endobj 1448 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6475 20830 0 R /Gabc6483 20835 0 R >> /Font << /Fabc6498 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1450 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1451 0 obj << /Length 19 >> stream q /Iabc21336 Do Q endstream endobj 1452 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21337 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 1453 0 obj << /Length 19 >> stream q /Iabc23447 Do Q endstream endobj 1454 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23448 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 1455 0 obj << /Filter /FlateDecode /Length 3827 >> stream xڽ[Ko9p ɳޜ!`!/S~yd+M ]U]U_?b N]>,flizSoZbʚBNeyzZ3\^Jp7hlbI؜]v.OZ\[NNÝ"w%>VmOSryhњ8_ZƹKö<3 =^h=тksIZeo?Y4?_n1 5]u%.ZGuojuih`upu'v ,C~O..å \=2~ mZI_cKf5M` w*KOnW{lאr V?أa.|dm?JM_,xk(I"a݃-r#||R_!5PJy rؔs+^R_ƒ^.5%?/vsVߖOvO)P p?\[qzigPD5~,Q%:L˶zTqO(KB;Ѱqy-d - 2R EAEx5#sF@f4[eA"(#َ8xp^+։52v8zܵIRd{+˨z6$Cy$(ӻ:Im9F0viESHbx߆;@e}f//X//P<ZMYy# ƒޭ@#\`,X A7JRH !yM7 \ᇤh DCUp0Ӿ@ ");TbC9hc쏉z,^TYuRD@91̍{M j;"1i :>{TV: 酸t,g'.TbC6y6IOZJQko7Zq!CU8|gxѯ&gbfX$CyZ͒d"h[j/={:2[ҝ".C(m"9#?ZC$۷zdʸZe,6\Ugv M]u2@؝'RIiuLelPݚ@,cpDJm<68YiϪ4sM[!s BT&^Mj.Hda,uE.{uy Xprfbn ncJ][OiGXf +,,:, 9"3P'=ids+Uı,۱2Ԝdg#^+NJ ,ݽ8nd= Ndp[8oNjpR3഼]:v NjEz?8C4;ӭ'UߧF7#cGc/9 tJ| F £w)I!X^[LGJ0Ci~Uv@N!zjACvueVϒ=;l ͯ%`#Bo8vA`3!8V#W2=Vhǣ[Rix^φ1q=OP;'w 1| Ր=5-ԃf9L~It$ƣ I{OF:F%"mt %~|zO|N~|>%7ٷ_;Hƈ9@STH(cMY(2j QIl^I/$} pN{"Tɘm0{z44Oa0#NaLa# ZBʫ%)s LYo2xud -#*j,Ig&Yk~C+>Pj)Q[q}7-3l7_lOYTrv9J*Y$!A2ߞ hxa;y=f'Sps}Xz_ L &_巍_TTk*2`Q| CvPj]1\kqј2f{i_K wv5\xFIw+kE6k:sX[ʲXs|Y*|\KWª*/uOUcvd'au1;#AP⢣Pn&wSo ޥ:] Z&cNG?1\ToNf%CISS嫥M!#H-(ujj^ܞա$n , 'yg#S刲I`HS ҳyv J" R Mԟ«N=)$斲3/͂F5{K^DU|PCk֊Mo|,ԋ ϥ+ Ȣj1pM:G wC3!ߺMvYU ) q`:Z}RA?% L3vrэIlSq7M >l"\=o3zg/Oe8ɖUiEi@wY5%"u$K~\W,Ӓx}Őն1`x@ 砌b(A|9UJr/Iiʋ$9fs"k,7~iꗥsC Jop.C0WsʋB>/%pӖ!%O)kJ4s%fT<'xFb:_i{%{Afz_6|JY: endstream endobj 1456 0 obj << /Annots 1458 0 R /BleedBox [0 0 612 792] /Contents [1465 0 R 1464 0 R 1459 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6666 1460 0 R >> >> /Type /Page >> endobj 1457 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1458 0 obj [1457 0 R 1462 0 R 1463 0 R 1461 0 R] endobj 1459 0 obj << /Length 18 >> stream q /Iabc6666 Do Q endstream endobj 1460 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6676 20830 0 R /Gabc6684 20835 0 R >> /Font << /Fabc6699 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {vȹD|h|x=;t C4i_rƠh査ɛn~AG/1H[&##((BBq˜Ti,M $WJ2%ī+OSQk9dP!5_>Y#rU (v?f1P?Jhxfdߟ}j]֠zfQJ%CO4yrCod%#5JVe8>/G` ^_ DD<<9C9j9? ) ;d#9/_1s2*Lj$sx2W.S(RΠQ8N!h|;B}W endstream endobj 1461 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=35) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1462 0 obj << /A << /D (unique_682) /S /GoTo >> /Border [0 0 0] /Contents (remove_bps) /M (D:20211013063105-08'00') /Rect [104.1732 205.0297 162.3577 216.0297] /Subtype /Link /Type /Annot >> endobj 1463 0 obj << /A << /D (unique_685) /S /GoTo >> /Border [0 0 0] /Contents (report_bps) /M (D:20211013063105-08'00') /Rect [104.1732 188.8297 156.2472 199.8297] /Subtype /Link /Type /Annot >> endobj 1464 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1465 0 obj << /Filter /FlateDecode /Length 4150 >> stream xڵ[Io,7W乁*Ԁo0Ɯ46z "[fUI*rL2`06B/-f;#XZY_.C^Ř1Uk5谼X~ڞ0N-hFF<;K;ei;aep = -A<;%eR+I]E7,#^h`Oq;5isx'gKyȝ?'aL8\aa@F f{ƌg/헳61IKP:10ۄ<Kѯ1%mqRP:xDȹ(0)? @;!8ӮOo{ti(-=*W_䖪XݴEDWv~b}a1]l3=6`@̄UX/ K'W@7%^*_6`Ҋ'T .!e)vF@0n%H0˴ažOۭu2wl#Eۮ:w\50d|I0JZ#]mv͹nn _ An`F&y`Fnq[#:ҽ!^ q)੯ r]%SD$ a!5bI֟2 6cz9j?j2K;÷nAHP L bj^K.9Z^ @ էt*:ie11)8_YF^l CC}[GSYz-A M 9[<@mP4```{j#ua o >' g- NC, ƚ5a} M}N(k!/Շ" h&LÁ-<Is5x'GK, CeI՚..3Fv,ul em] S!HªKߗ_@ ~\K&!$J9:Tk: u* L-$ĩmEotiVY4c@5jiОf_z@YmF@_ L_xk.8zBy?ύzM%V$AD2y8ÏB=Qe͎d\'d7<\2B*ؐEiW)K--% ݪ# )PIa h:G$S O{ 7nhZĵ PL%Ⱥ8J:Fv=RN$ RDmq4(<@˴iiѩ`Q;$$?72,G}$T wLQEE"9!h͡NR& U O2pl賨KrdbCm״cywwYLB+ҿJictbi5@яCNC=JӨ={_Ɉsz+D$cХ7ɘH, <o<"l\Rg'fu(wSEF|9}3%)kWd޿v۸NNxV@X!Vsԟ+ S2h6]q>˗o^5g*%Pfb7u}^khT栗ÉQb&6O0*RҒ#yfG  pGIѓ]G"cX\ bNo!@4<>oJ%;/ r[0Etst+0`5Ma il2pR50D't,`/hO#ݥH'$Rb|6x {9D~!Uיd3x3=6\Ĵ>Ir #e> ]ϥJ"ZTQ&JǑK뢵ORJz?U1 M+ lwb %j_#[Oı)mIZ:b4gESh)@Z aSN 褵Z憦?(wxp۝HR!y$PW4m}#I #s>ץ~$ Z%R:M)±JmƱ98J\Pt/tO ^,L}Ll5{S73SJ|-t΄J2[䵚?6;rMєAWެ$+hX<]1``%R%2?9yX%e8քS8 2ܙƈ :c,7?j E-b;iWĴ+Ùl Y.WDЂ ۊ}o''[vCnb3a7mn:iDlw$I~5% _k%_T; 49|;lKoRd[隁x %˵3;5"~v4h)CSK!Pn#ro!t?Iq]4ӒK/.7n?7a\=&ѭ+&NvoyirhQ}" UQ1PxR?.AxCd%44݋4x==AXEsrKREzwȤ4sFMY Ua/b9-7y(y,yt!slet F^JKd7w{up h*vW,a߈ GO &AET-4kew*ԃ8J̮z}ŹB˝钮]R@M:ė%\@&C 6McWGc}ȮF˚[<Ѥ Ny+ h/i|}W/ A(t-F%ŒK baV)hn7Qna.1_ |#|y'ՇTlZn‚;=4 kh.<MV~J881CS=9Hi;sFq9s!!m\MTJȾ(^u ݡa^PdT}>o7D"8&q<ȟ^.W<מne& 6*S BSžbNAXOz"#h}I+c/C4H )0 endstream endobj 1466 0 obj << /Annots 1468 0 R /BleedBox [0 0 612 792] /Contents [1475 0 R 1474 0 R 1469 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc6863 1470 0 R >> >> /Type /Page >> endobj 1467 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1468 0 obj [1467 0 R 1472 0 R 1473 0 R 1471 0 R] endobj 1469 0 obj << /Length 18 >> stream q /Iabc6863 Do Q endstream endobj 1470 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc6871 20830 0 R /Gabc6886 20835 0 R >> /Font << /Fabc6893 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[Q@)hG_OI٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qT5ŐL$E d_ )2̼d9 持 F0p|sLѨ\u$sx2W4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1472 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [90 329.625 135.562 340.625] /Subtype /Link /Type /Annot >> endobj 1473 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [140.875 329.625 163.7275 340.625] /Subtype /Link /Type /Annot >> endobj 1474 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1475 0 obj << /Filter /FlateDecode /Length 4571 >> stream xnί9@+)w=@n< ~j.ۓE*Rߤ '.F~}NK䬱Jѿ?F/?Z7E__rQ»;iS£Hws;|X dOuj^߀@ ӗGWF##_WW?N IYm#-03iiä]LU|)Q"utY<= ™5Vq{|n5Ήx//SƂXlK#Q{|_X6-k .?AR+=Ee˟~'Db]zvӲ`fr3Јi/ߑt,^(|:ρs3p gK)\_b^-I JSةMLX% r"Az(x!N:X<72@PMG g`'NWB&5s̴Sq30`D:'DZn_0` 9m0"ã H)ݵfЪyPw\gX3^ힺ3v[;#z%ª@5";=99M=e>M5iQH׷25Ⱚ`DmU+KCo'5*c bSY.f +[ZiJђRjۦ1rsj14gO.U(*QvAUMaxKԖA4 ]n^&i :mਜG :rps4[pus,8evD8*06=;C֛u%<'v]L\vg.W9 Mt̖<P`1 YD|熘úe +!Ia |~=y~I='JSe2Ӹ0ӧ*~ B&ggDɁQ+v JtG<}6nEm$Qf>UO޶ML'4٩6Tg7dߙ{F4x.npC-+}z@Sʯ*dܧM?_tf 'tq!/ \ӍԇĴ3Hy'(]Sl4&V6|^gБ]2uUYl丳qe2ׄ9,n0&ؾj8{_R sJ&Vak3!tU>ՙd6*3]nU l4h_.9y\ U%^ٲWe|!ߛ k V"VbĪ︅mԦR*JblmolfD ZHRebӮRtvGUpyKo#jەӦ%Nb hҜcŕ97-9h͡k+hCUhȵf!Mli>ES\q 3G(uuj٠B,U--[iz 5 g4-kemm1T麕]n++ƜDb0w#ŪەZW)9]pjjڙy9il?o9ޜZ 9#ә5\&D/{;95 ሷf8SfNΆ95`6s"hNMWGd9bnsjI)I6TxteQ*D#뒀WPɜn=p9T>s+s؜ fOot=]ߙɤ:Iw"XE|dRb{{wԶ|̤ڤGMJ&%?äDkRm]hV$qil )FUխBVRm~5BmFa|R[S+K[U hcIf#?2,C~ݺ&UؔEDx"]H+~BZ9|Sќ^Gm7k@blHmBs:bQ >rB?7;-c0lg:&Ql,Ü\N2'tsМCJ$`s><Rs#4G_yn'h28)H􆉓u!cM%N>³6Й6d>J{ a3ur\l),)y_TG~'ߓaS:=;6">0!3 ewŊ+܏O c:}˩`95L쥆i>Dzk_R ƌ"xnvIJ\()|8LMրFQHa.CbO#d@p+>3Էb `b} d,k:?z?y N (E 3%KtAFrEUFCtY^ /vTi*zTv0á]aɊtdmջ_k5Χ1o+Uq )mK!/ۺ 1dp>q\ ĦE.ΔnëOqOO1!_bXYNZ$?1;8WYFSKr"c!%)B8[궅Iɟ2e)ۼ wN̽S蓼KN"-r)WMVO>?f!mR!6ŧ`&ͻv:6SaLHL՚ jhw9YxںA`Qf|w1!R܄F4>\=yb}_[3ZGK Gޡ|{}Ue *Lc=~EuѩdMMY_tz"R0tpUz1#gf- Hҽ `sy&=+]r,!C4iW5=e, F0_ ̥TbBysn>s)LĩD xauHT'lLNeczX;"⵾jZD3p^4Y)LH۽*ޖlEQ:)&  9΅"D ΋J*lH.FLv὞57vkͺ+(~-]"5ӈ۔~ x]]cکu70^g.g<33պ^R?M5MsJ9MxOݔMŜh[RJO)nمc !y3<0F* MO QOpd))I:'Mw{g] J𜿌('~)o 5X}<՞]Le|csKL<% ZkYP endstream endobj 1476 0 obj << /Annots 1478 0 R /BleedBox [0 0 612 792] /Contents [1486 0 R 1485 0 R 1479 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7062 1480 0 R >> >> /Type /Page >> endobj 1477 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1478 0 obj [1477 0 R 1482 0 R 1483 0 R 1484 0 R 1481 0 R] endobj 1479 0 obj << /Length 18 >> stream q /Iabc7062 Do Q endstream endobj 1480 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7078 20830 0 R /Gabc7086 20835 0 R >> /Font << /Fabc7102 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nP2|:S֯޽:H/ JcBr4n~AG/1H[&##((BBq˜Ti,M $WJ2%ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 <~UW<'!fZ5Y}> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1482 0 obj << /A << /D (unique_200) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20211013063105-08'00') /Rect [104.1732 251.1116 161.3292 262.1116] /Subtype /Link /Type /Annot >> endobj 1483 0 obj << /A << /D (unique_203) /S /GoTo >> /Border [0 0 0] /Contents (remove_cells_from_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 234.9116 231.2012 245.9116] /Subtype /Link /Type /Annot >> endobj 1484 0 obj << /A << /D (unique_205) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 218.7116 168.8862 229.7116] /Subtype /Link /Type /Annot >> endobj 1485 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1486 0 obj << /Filter /FlateDecode /Length 3281 >> stream xڵnί9@W/ @vrrr 92q.-eF,7U[m ru7欎ASE=mulN`FIW1pr?P3[? /DzJ]x~;xD<0So%XaUz0~q>lɍ ~.Z룪D<7Hߗgqp]V5s>;^9׹$O' L,-9:'.3`T39 _ؑ@f$;"M6<V%YUl}睍Q;'ݰrŖƦ!o)da2-'Lry/C#eY|fitegk4b&"_3˃b^SF\&GX1, ^MMQ,&Ã4!„ttxs@0wB8Z[*hhUM65cnfJ)Fu5վQcP6CJQ{1b(!n 𞔒riw2xq#t @%͛NEt)t ce+ad H+>+-^bJ=i 'V}ē1 /-t/:0Ժsep :u̧CmlM2p6h׃l 7j/xY ؔep(ncyǸ7_bj77es-ήK\:|S{?sL3lr2(Ob]jTݘ&~ =9U8'$fޑ CVG| H8fxŘs@~H(YAG[ bra2YB=|icvQc1~Rbԋ4Y</ǮKYW u=ĩͻp~П8?g zNP.Km8O?؋UۢOyC3+ nʀJ쒶k)=َkԉ! B P/lﭨjf]ݼ+&2piB{3eZ8 Z@ɴ`+`5<ՑSht$t~HbU";<^W8% ɍVǦ]ݔ q{f8{LLJ2WWw]Jn:oN^ l^tֹ)uoӪ=CG V [f1O՞mYTѺy4]U^NǛXkߵGim{p`\_"u#?U6[̌z.J:ozjoƩaP%Ev"]q,s2.z>K<%@P+q=͂Nrs,ⱁNgn]< u"lHFkc0mNCtɦ͍mMvr:w$<ȷ`hxn}rс?'_agOsЪe0;GrdbxY;*>٪xYpÅtjR^u~jL_W_Մ q1PE`\ߍ |A^@W w&ۺWaw{j Ph37QmOw~A7`CO: Xm=\jo;5,ci9ư|}V+EC7m^NU |m! Z;Q ju kIF3,95 >};3I66^ԘtĸQrH0yR#dQRze0iZ5UfM"GR7%-YUt4-e76gM2覡(cxy 힀}f'='yT`4Dܫ?mFt49ԲWZHEFiXڈ^S%ٙ_S?1Tl=A ǹ፬_3ec"M8f5) VN q ]{ d) &f&KK룗 E'1YBɋ9NRCW<AJh?eU$/C}]msKoO #Yzjc/KMZ#liu&!Q% "ҳ3̗^}-?<_K? Qp'hy*z^۹Lr`=#Z,dd03\童/p';&;& Bfuykwx 3/{y> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7264 1490 0 R >> >> /Type /Page >> endobj 1488 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1489 0 obj << /Length 18 >> stream q /Iabc7264 Do Q endstream endobj 1490 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7272 20830 0 R /Gabc7289 20835 0 R >> /Font << /Fabc7291 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nP.w/gx(&-@Bsw4_Pыb N`6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1492 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1493 0 obj << /Filter /FlateDecode /Length 4586 >> stream xɎί9/`^ fKtS*n{zK̼XI$H-;!f#? ;4Tq,{rhxuKt!Z*A)>ʓQx7g4X(7;>+xA~z_/+O4U\RF8?}/qV?'A'/9KT2F) O{TF830uW~*HwOvI90ϿNh?4`Lev6K(.W4*c%)9)JgVH|Kfk<'^Ķ o0@qPD P33K@32~陋_QO4wO{]RPH# Q,pCƴldၲJS JYt^V.zI@woa{# b ;xݡ1<$nsD"A-n }0.-Bc

Yw?0,lfbALSB36 )f>O~CFRMb[Ͱq9xT.\PG''<}^FFH Oe= *4ܔhZ҅?BʱqiUgUzePvEݱ&Uf31 z_h h@.YV],'tlr,gAFeXc#Ccjsj>iϷtGaBNŸ+-{!̝_nux`}:]Fyp+MZ]1uhs?5(qDe {788J^f*ϻlM.yH׫0Nw1.;|+V]ad -i!x~[ k˹.%SC"[VY [}_ƕ;Ɔ0F+5M-E͢1~^gL(1XOz\G"jo}o!~I\Ч[~~|Y]54P-{*O? Y:cYn,NPe_p:v[t|30J:``<[DTibk%ZCR[n lh{X)"i {ӑzIuAVjwm3 8Dg:4kkPܢn&2Jx\RyieLh>blAF8\ZK+7>d?* #?ݫ7}l˓,@M&Y|Jb4Yr(:}dg1,s,+Moz@Hb;kG6Y `/Yhyc6 B`D˪bŮS3tY`>܄RJQ:ݖˆ `]' 0f!@p48`;: N Hfh҄P]b׺ 7z`b7 $Y4ɸ. x{7;n'17'q&<Ǟƾ= ?lOw؆ɤ*Dߤ&D}#;LJlR>[5)6)-LJ&uӖF%nYF(ql%oXF#(y2J\Fɣ(qt%.eq,m%.m(yu%n[Fx$޲b&>wFT݄Ԙq=1ipx)Ul2-(wOOnC:Ƿ#v3kx&87ېSÇ[mg7:.xr?dzsXτ&q<ۻJ,uEx] ,SV:ڰzsvߔ-ZWym\| ++4$Qoa&XN 1r;vx]31mnhdiguvpz@=lЧ;֫[.5䋄4қݎrؼvWQڗ #/ldvsۘ=^=wfy9+ꔵf0)}l؛ ֯TL%,Kg>ǩƓ+OT#,.ALv^Dgc/'Q8?qaT*zt̀q=?CeG$h*y9qa^đ3>~1|az@l?Vq;5f9rJ0,܇=>`ԮfĘ;XXg8w9W*HMc6BF 4Ȩ` N!0ı’zWN>`P5gkjs+B&E&?|"ͅ19K? _@:X<3Oa醂oV5h$ endstream endobj 1494 0 obj << /Annots [1495 0 R 1498 0 R] /BleedBox [0 0 612 792] /Contents [1500 0 R 1499 0 R 1496 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7472 1497 0 R >> >> /Type /Page >> endobj 1495 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1496 0 obj << /Length 18 >> stream q /Iabc7472 Do Q endstream endobj 1497 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7474 20830 0 R /Gabc7482 20835 0 R >> /Font << /Fabc7497 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1499 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1500 0 obj << /Filter /FlateDecode /Length 4625 >> stream xɮ$93R%WU j0 ԃ4}oagh`FUδmE-?WHzR%*|$/ovQjsj*Y\,_~YtR[){[;GoV o˗X% \MaT\.R3|* meD̪(BLd˔i JDwۢ Q%woeJR+|}6XޖB)mX ,aS47,@.XbN;@lfvSܟ njfpaZ;5t+@* cDc'Q8yo2ʁt-"XO W`Xf8nAF֔v$C[Mt).իAZq䕯4յEn;X# G"Ȝ$$|R\p+s*H|I<6b-u(QL#z8SAkzPέځ +0t,%;vI#-`CZX>P[mo(m4qGkŎZ4!%pkfڗෳ:K[QCIJEd|}Dj"ĹN2L)#/&Հm M> B+lVT MzgjLhUg|@(NglSz-_MT6o:5 =N(I@{!xH1܀qaGYu` ^Ʃv!^} wtX@t[;{l|g8 1`C#T *I/Y ɱcCoDei,Wa^֪TBgA e5bdS;4w*ݓ2r ,+ZF:i|t>32x\?1QTA'pNIrG:HHJ,-cC*&za1JDwDO%jSѭ2n/%_RX.9swoڙ'"[ 6Cj*XV& 3-k,ޮ/]ȦXt^[bB$cHAkR%s^Lᠧphŏ _CmC@a}_\ȫ9@ ?ru-,}kϳIwozx攚jmffԟ1ߋ`+[Jۈ 4I8lǀ5 ^qQ>_nq[x cv *WySb"=RwѴ4ԕࣻPWXw6YB-gm | h\(A9mVj 7!j55Us{s-}qYLk[?0%elIˮX .f7Y0g3Q,pbpfxV{|?ޒr`P5?zݮfmV#csˡ%[?(!4){O`M=y<z({6WFQ 6)y4S`fi?zVw@\`$@֠[*shh rraO\(XߊgvŊ;mc$zݜxTA~xŝ\2'?MxTk%|keIG^xZ5RƖUTK䪭s]>р ;l]l0`Rտ>vh֚ S c#b6Hs YʒܧQn>UgkaFQ]7w7AypeзK??K:F6[kRRX;pڏx3`nWaQ3Uz$`NgEv4ISFslVv`FQa/^[i3e֨Eu++E:!+[vJYp!ܞ[F2=+o]\;QGU09;ETB!]l;R]YtN 䑅M}(NZ^3q6mD/Sf(U;/Ȱ5sǝ Yʰ/(<2G9kl2\(?i\rIi=`lX1d&B@lW\VYֱc i/ANM#Av0bC|傉*qDM;g2ɳz?|QJj]7P&+5i,$m+Rq|[ߩݺ˰qX|gOy oӹӹ<eM8k,o)pKGgWvҥ^d19;Gd,rɔx$oD;hט=yĞwq7h_f"Ĕ\ 7edcԫ8s\,1v`>||.rM)6[-_߰O۶[qqM{ CXD1/ݢ/^ue?[3yծ-!a8HݾL7de?U3MtNJޥØ5#&D#v` *~8Vubi\ $YUDc5'ItlnJ2\U:FۄӪE  zPpaqLDžj'/|K>՝ә#ַ' AQ)I8{6KrVU_;,k՟ TSI*HٻnTԍj.^Lf y K֕}f^=8|ݸՆ?wr_UpXڡAo!;i҇lZe4D}_[M&4D<툹5`FE)ڹT;@5o=*7o so`Sw!Cr<1 jvxqk6p]nb./Oc΁ȋ^.r=ctnbE9P vpo4$ʭ >,<_Gui@eIB W˺ ;Sa2;-τ4tMpte@xŐa=8(e 1If,6WCA?kұ`+s$I"pG֌?;7 ]3 \&Q,t^WTm}iKDXE?_Q\TyLkM]?*#_CC+r!cGbmnj5& `)PF27Uk*DX 9Te$ʭo Ԍl*JokC$V۱.=)_WkP7J˄z%Dn>qݛNF1k6.QLM& ) \^|xn|kVsE$Lv=+utw~OD? hv$>~':it&JfT>ཁJe'ez  ҽ⑋¼sk9ζ+N<e!ebl4SCcsT6+TYX6_"`egy3=ȈM*\s`@ endstream endobj 1501 0 obj << /Annots 1503 0 R /BleedBox [0 0 612 792] /Contents [1512 0 R 1511 0 R 1504 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7664 1505 0 R >> >> /Type /Page >> endobj 1502 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1503 0 obj [1502 0 R 1507 0 R 1508 0 R 1509 0 R 1510 0 R 1506 0 R] endobj 1504 0 obj << /Length 18 >> stream q /Iabc7664 Do Q endstream endobj 1505 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7679 20830 0 R /Gabc7687 20835 0 R >> /Font << /Fabc7702 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n\>)W^P$MZ\1h!9h7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI Yv0Lm)1:}ɓ#-IUrm.\gyMUVl?k!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1507 0 obj << /A << /D (unique_658) /S /GoTo >> /Border [0 0 0] /Contents (add_force) /M (D:20211013063105-08'00') /Rect [104.1732 521.2076 151.6602 532.2076] /Subtype /Link /Type /Annot >> endobj 1508 0 obj << /A << /D (unique_683) /S /GoTo >> /Border [0 0 0] /Contents (remove_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 505.0076 195.5117 516.0076] /Subtype /Link /Type /Annot >> endobj 1509 0 obj << /A << /D (unique_686) /S /GoTo >> /Border [0 0 0] /Contents (report_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 488.8076 189.4012 499.8076] /Subtype /Link /Type /Annot >> endobj 1510 0 obj << /A << /D (unique_700) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20211013063105-08'00') /Rect [104.1732 472.6076 125.2547 483.6076] /Subtype /Link /Type /Annot >> endobj 1511 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1512 0 obj << /Filter /FlateDecode /Length 2224 >> stream xڵYKoW)Fp/rrd6Ɨ)u3ؐ%zJ_rqnsVǠKS~S/O15s4Q=A՘`=trY1rsؓ0 99?@2^}7N݇؏bwA+i6Y,+-G#&Y0˴*L[Kg$5p["mW'G"ܦ;杚}UwMT89cY `Tp̗' bc]*Bl 5Y. !={)?'J_9d]I5&ΰu$@eN[rܩ帣g_kˎ+Gƣ`U1rP"mr_NB4EX4JybtѦSs3*Re5l?h$r)s YF?2F)mqB ăjSRM6WwN~L3,vYi(5 5_4Q>A9EĬ/U/6s -o!Pw?aeb3{Y_fXRiR]b{/j_0v>_%(;%3H5b".gcH,TamLu"pI ,̬fexV},P P!IZ]gZ<-=KN` Awf/'zs\.Mi$ 9o+NRB)w2=M}aIHx&5LE]Ћh;3n5Xc0F~7J"y^9[Il̇8 3F)jKIxnrxjF2G\H*r5Ru:@I5C2J#"PMs]?QjRA%Pz%%"A6> @@`×)|rEAh5QL("A]+51JY Uik4SE 𮡕 oS-.CV'!Hm)DcƽC{)Q0ąE_A橧v0B+lѳ'[~z!xԺysoK|ti2WqG:guT/}Z:!eDAA6Ê>2 JZ1鈾1F'nl]a# xxeC Z҂;5irn$ 7J=BoT>p28͸Oα-4Na84p2s#^~^qEJ_k~g@& DjC*ed67 %AQ2WhE ;~f*|[GN}7g1F$g7 DztR>0*g|fMuŬp+(UlowbG0b%KOm[ ne;AN+q5F߮Vbx1a-u#5SSA>(>mv@F._1qyV_2}68UP(KJK 񷃋|Ua?XS;pσ|нAε?|bŘxo|4ҫ6F{ Q+0D ErAcvœg\ t~G\>;;"eJTq; Q2CSOk<> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc7859 1517 0 R >> >> /Type /Page >> endobj 1514 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1515 0 obj [1514 0 R 1519 0 R 1520 0 R 1518 0 R] endobj 1516 0 obj << /Length 18 >> stream q /Iabc7859 Do Q endstream endobj 1517 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc7866 20830 0 R /Gabc7881 20835 0 R >> /Font << /Fabc7887 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n(w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3S~Y2c e / §9 ?ɒ F|QH:I*dx)ϟrݝCr<3/*_'ŶW  endstream endobj 1518 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=41) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1519 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 289.525 112.528 300.525] /Subtype /Link /Type /Annot >> endobj 1520 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 289.525 150.346 300.525] /Subtype /Link /Type /Annot >> endobj 1521 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1522 0 obj << /Filter /FlateDecode /Length 4657 >> stream x\K8W<@x$Ȉh`n={ٞ sK%Ee;"YշDwVXLQ$?RLRK'&#}RwrXK]4Z?j]V}<)ܳ<WquuҦt} B:֫ykY||}hp#ߥW]huPWf&ekt ZaLccҜf/"R %2=^9;R0 :T. ݋' L,ò9#e$*rLSZOi}y-\lK SX6A_QzA; WhӤN2a(ٿ"|u'Np4J/0◙ T D_h|B}J o 6JNºmk&܍N. ,ꬰv9#kِlih8# +ScDO1#3sa qɥg G쮵k@H8ɤ ɚbO`UlFG"2P&t ŦPl)1_aSH4r;R'g{ݢ+ $I;:$#k`~S;@5)HOLY<_Ϟ.0+efm : 7>jw7c?B Lp_]ocaU)U !܇eaҥi^Q*kÈF<49ؔ*R[njZES8Ez/w ^-x_! (\U JtC+3$`zoi-HA:Huo&;BG9|Y|A=\ eEB]=S Js0EFF;ΉLq+#fi[o\ۼTYq 8ԹKwBPHmknd:!t_F'inUN*O.!F cCz_Y7G~}IlR B5) ߯f4 šp]P[d ̍S{}#i ʝ~}4,?7Uu}ލ?GUXAYhs $.7X^0+/dZ:w1KSw)2Rw_u?Ȉhf6{-2 K㤣~P̠mW$ZC!ժSchP(4lqv9J'u̡ fR-R05CWbk c+^um-kpy6V-&FШn,է:aN)EX n[7V…x254!j6cBuh:w7/)탋\О;SZX ݳm{)FP$ Td8XbFhĶ .œKS(>3rmӘbDĒf&s]:E#>ډPK@TШf\)lѺhU^p>8vYI2*^0W+WT_ea N\(䐍ICߦTvԮRu(c^pق`!t@,, k]'JXWd`-}f8A@+I~6>+I@|[Ix|$R| ħOjG|AG{?#>N?'>;_ԝ-/<} OGuwx JD0 R+iFڼd!,BI%7nGXoc8o6q 0z [oP-]ǧ$=)+QwzqdOHl׻y1ʇA&tB#B=CմK+LrRG(en(}aӘ7sɶđ-MUIK](y-/2n0EM*K.#Aqc}r(1U-/ ;0RkMG+\T``s/gKǘy3?TN=y4o9g sZ~ڮTQ4Q)&zLfA$HJ镸*a@)&7J(\8ʞ,ga4ܫ07jaIfq/=<Ӯ59u!xsqKtX:q:~0@38.]A_~A'32D,#h5 @?=tVC,# }]G`hipv~ NfSMOi&N6&d G53(US=< isEX vrhe;ocb.c,+XpN,hS5t*LuMcT#*fޑ^MBj^|=sr-g b8oAYh؝aad8KaDՏߘC ;ӊ#4AKk3,Sef֓u w_F ْ5QeÈzS"G,AAPnR]Wkss tRYz\;Wpέ/ tՌ_M(p&0@{jҿ1##'YGOdh@htɘw<Nzn8e@K*W)_JbsVg궦,MyXuJh.޷}E0a]f iL5ke$|::B[NY\1%';_YI[óPY2H;;)'-F;k*suM6kTMiz1>SfLXP;S@^#Oiܬ ~9&xGe$SG{Wl;jD0͍ V7 j9kr;桭OH LZUn]-TUda>PcыkJ:L:xTzSzпsiR3}|HLp~ 665'6%&]zg|WF'nV4仌A[~UAV iIVhu::b͹yO|`^,`ZwqS-LX; {(nw'JZfi^v oCG6r-)bgɷϯFCyp?̴Rp6tڼ=i.M*[ D]J3:|\$s@.2o,iuMwny]#:A *0W"7kޏ%5~.`w Oc|QkC*>^cZ2H̞97~oh.sS78:Ofb  ]<=R%]N'k,DuIߚIg=Chx_f˜j/8ڪ,w.@E.ݰ/Rs[T|}p2IyYK6lHKQG:foxoϨ1?݊Ht+]qV+dc+YC1M@$N7\Vmd`vvjrͶT rC}w/l16֜W븃ꆳԜ#6m屟:& xɦn{v̳ƙ*EwķߌuO'5܌#\.sOם,tCw ͅXMp0%^΀Q]Bw5缋qRZ/'{9^W~>M7@tח+=< fTTMun?{ʧ7uG>ХStP!SMrb3Sb=PϜC#=#D,yyNfb܃yi=),|`ԹY;^Yث~$n endstream endobj 1523 0 obj << /Annots [1524 0 R 1525 0 R 1526 0 R 1529 0 R] /BleedBox [0 0 612 792] /Contents [1531 0 R 1530 0 R 1527 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8048 1528 0 R >> >> /Type /Page >> endobj 1524 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062929-08'00') /Rect [218.8375 402.85 381.3955 413.85] /Subtype /Link /Type /Annot >> endobj 1525 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062929-08'00') /Rect [178.99 350.1808 396.03 360.1808] /Subtype /Link /Type /Annot >> endobj 1526 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1527 0 obj << /Length 18 >> stream q /Iabc8048 Do Q endstream endobj 1528 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8063 20830 0 R /Gabc8070 20835 0 R >> /Font << /Fabc8080 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7h(>)W^P/ Jc(Jr4n~ƣ1H[&#`6P\PS)4ECIDD+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fgѯ'$DS3xi*J9iK[Zߖj?ɞӡ'<ʡ|~72ْԑȅ5J.\[-: ufg[X݌;/d"ר`O6OaePD%9 /s9p!9`yQH:I*dx)ϟƲΡLH9.;2Fsh8JE[z7} endstream endobj 1529 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=42) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1530 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1531 0 obj << /Filter /FlateDecode /Length 4494 >> stream xɊِrD(VH ** 4`|joX^\jƘF}WͿzVB2rMQ%E~t6Z^]\USnR*(np?Z)ᒇ\s8}(~{>ŇfmB ze6+yyϲ,ak dVW޿6ܔy:FLVo ^i65.c>ͯy<Jߒz]YLp"ۜS m NkT*db־,S.p+ִ1k"jz-J797`s0|ό Aw#>x|{˸a8+Ӵb5KL=`k.Y w/L&5v,PY6n,/7+:{ x?"31gLW\'?UgVoXbI7VVUŁRѢġ݄D66H Hzd׸ ut[xU)eR,=3s4Y7,MD  6!sc }ˠYI-(i]2n*I^CaytZmXU)ΝVMXV6]w tY,o;C>cS}ڴOFfYI?XJ8͊^hO?z+׮@Z?B>&BmKŚ$ptf1ckAo76߯{YH4xkvp/#)Z*ofY ]O>? .TUZSٜ ]%|6y} QA_{M3tp Ua,PXl|ǘ٬}o0jϜq@ aݫ͙fVFx&D7F+Y% x"(j9&j1BU\!-hs;ɡr ](ID (oJEWR$^(B^]BNr2NշS vdmԞ{$w[ 25 nTkF@AΛ.{kF[Rw3BHnSⱭv;:EmL+(g,MF4KˆbGB56I D E#MIJ ֘F1f It/ b<)crpdYBumj6ل=]fO8!,u. (JS<}E;Z`noLBHsn>nK1 qemȴK4 xqwQz[ýB_;,F43 ېi yN5݆>eMAZ?|ia#V^Xю>'\ M C17bQ6bאd<Dt2GݎZbP#.JE/V~[K^/oK*Z@Zq@'0%$22~݊WjwLn6B*Wf+A8 |=e" Ѻ`IT}qSSR$͐3W+E5dgDl61鋋2A2CjH%I/ЬM?{2`HSƮE5\!>;SEH55Ql#X7(AzzzS\[9 p!CiݽդW TuH)F*[I{\Tf9;;b!DXBȋgkw*$0lrǢ Pf[:TzνlUKAyݑYv:,IQ~i_ֵ0IPCF 3Bj~/Ls[K e_dtĬutuqAtR=/f0^ߩs)ulT[,msr0}s1}l)¦.L|:.VoZN@S-o8] ټD+1_nQ*tjtגa /8eGTXyjHuj:$Әgj>O}nҋH8 ?4bsB`4%*3ĕءbwK} ULKcG%23nhLbXdr̔;'M Ā 6B%J B+KbTDGm{YF&z˚5'&zF͊d1QtpG<[)`8,& f(ƭ9Ve_&^f=iargM:Z!ecKggKdIcR$muu9Ta7RS'oTq3``'r`f4 .hk^?ޞ1[RF uſҤޗzQ ݳ 0 % vКM 63gP]cf|2b?WpDTץ'Z-(Q.gNjc9|B>? \~AOgyI>8Zsicq%*GxT~J q/Wv1fm7Lx nW,܎D}fAJԶ/3^+>߳V.=/?G^s.B J@3aQ?ᴍypHvOr$ a$WHF :#zo!d*BW>B˽l 0A5g+u> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8254 1535 0 R >> >> /Type /Page >> endobj 1533 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1534 0 obj << /Length 18 >> stream q /Iabc8254 Do Q endstream endobj 1535 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8256 20830 0 R /Gabc8271 20835 0 R >> /Font << /Fabc8278 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nP.w/x(RIE\1%sw4_qR0qdd5F *c*np, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_I٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>?lIHB_%e:3ϳ-nQ-~C2kh}'d("_@Os%p!%`^u#UR,Wm'?;2!xڡ1 g^B9T/I NЋm߽?1 endstream endobj 1536 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=43) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1537 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1538 0 obj << /Filter /FlateDecode /Length 4134 >> stream x[Y$~_gCu4tUufOc3kyٿtee] ՕTB//( U_VQlZ_?2F}5kA}YEk}~UE:a;x:3K={}]zv%sGl/c5h/20Uhd`B&\LC^Nu/U6Wd=WX+Z2*&޲>C,s w*:Akh0Aw|UO_΢mfɋK Kܠ'rsnހ_LcO0mE:~P6B'm_fi"Vq9527 >l 01@BOZΞ*Q]WePes|;7K˥c7Y .d5INP7j2 `lH~8slZ&Hw Cb]lKU4ˮJb `]?VJЖ*ya:7x YQ$Z4(\.F83,݋x8Cg#g:uMD)#؄%Λfxg^$q{@<"Vo0~$22@*kcRqc01RY~Qz->>?OT1Va\~ `/ AB^K9֒uJ%Oʪ/U8½38HF՟ _[|^G%@+;f zB Vvހ]*E17_8^YӇֈC\{˴yxaAUFY_, κ5Ĝ$Av˯R<:h7Ls´}%KylFq,3BxaM[P.咁|T̝ p68 a15|{fx!m~#UGw*H1' $ p[`.ނh•m/$ j0"0NR)ۉ/bVb)g#Fu;b\Elqa3^ ;aA 3&D6@v 6:[%Bʼn<%#G +:aĊ;1jGXۋ[.ETYC+K|ĹFu>=icE%sO77Ճ`Am[1:9qJmK T0=`?Co o( ӰgkSc)kʿS> {nCB7 k8澷s :b:lM-zح/!gfCB"JS@sk/7!bK+hޤ/cIapNy^g2E\]- ^-KZaƒ`r$q2M̊;P+r5ڔ3\XmK{Y .H$Rf#%iӽmwu\ c1IWdvc-+7^oMd> {ƭ.R3lO&߳ݸjl ݖd۷Be/ն訍R^{ muݑ RYuJQdwVdk5У3QˡJ OKX9'KB+Oe䂜!ɼۺ(Ā;0<~~»aEFӧW@tZ>;]y.r\Ov y4xpsuȔ'[_*q "1u|ehڎŘd8Yn=(lֆ7> ~ ) K{}J'{bj=RvMld#v٤T;3}̄T{<걋1 gP)^q`PRcz!Js^9,ϛ^Uq^R"!9?:ݙ)kc!Z]%S`]=Ve|rWfՆg#<uMGrx{dVrZye/|CK6 ?[l^Sc v@$ȯn@чX:XZog b*$!\F Y!0Jt;Z5B2v5P֭pG t2 ?>9i"k:q*trɹze^}yޗRgn!J V>rOڑ( /9Ccetj:R֎`PpC:~`&(ӦKc;[e< i4LD_J7sX r ND|a`9fM`kϓ0S䰽 +co2@h60 vԓmG$>|-*_Rx=Bbҟ.FnZSW^|q m%"4tt@.x}$%08uRz^XnOm+Cr 2HP)XS l0sĩa|&#pq뛴x 50ddj|%I':Wi/P| ШχK"ni[;<^ݩ=wtXM$^>,Cs֚m(+7HPV0\ͥ ֟Tle,B>bƷn29Zv n71^cHax_6n'&a{scsR9IT\BPk}9<X{TK&]uq 'j9?\z=OQN\x'h *J"B90c4}q;1*@#||\[<  M0|v "*Sq>K1 kMċ̷œFQ m[",φUh$=]WdwyF%D([0BLFo}d#@QK_R 3X!k Z!08 endstream endobj 1539 0 obj << /Annots 1541 0 R /BleedBox [0 0 612 792] /Contents [1556 0 R 1555 0 R 1542 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8432 1543 0 R >> >> /Type /Page >> endobj 1540 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1541 0 obj [1540 0 R 1545 0 R 1546 0 R 1547 0 R 1548 0 R 1549 0 R 1550 0 R 1551 0 R 1552 0 R 1553 0 R 1554 0 R 1544 0 R] endobj 1542 0 obj << /Length 18 >> stream q /Iabc8432 Do Q endstream endobj 1543 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8442 20830 0 R /Gabc8449 20835 0 R >> /Font << /Fabc8459 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶G5#x?$_(o)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!Njڎ>E;!%]vhw%Tsh8r-ZݛS endstream endobj 1544 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=44) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1545 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 620.4 187.5312 631.4] /Subtype /Link /Type /Annot >> endobj 1546 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [104.1732 604.2 200.8412 615.2] /Subtype /Link /Type /Annot >> endobj 1547 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 588 177.6092 599] /Subtype /Link /Type /Annot >> endobj 1548 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20211013063105-08'00') /Rect [104.1732 571.8 190.9192 582.8] /Subtype /Link /Type /Annot >> endobj 1549 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 555.6 164.9372 566.6] /Subtype /Link /Type /Annot >> endobj 1550 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (remove_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 539.4 198.3112 550.4] /Subtype /Link /Type /Annot >> endobj 1551 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 523.1999 154.3497 534.1999] /Subtype /Link /Type /Annot >> endobj 1552 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 506.9999 180.9312 517.9999] /Subtype /Link /Type /Annot >> endobj 1553 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 490.7999 181.5637 501.7999] /Subtype /Link /Type /Annot >> endobj 1554 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 474.5999 165.4707 485.5999] /Subtype /Link /Type /Annot >> endobj 1555 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1556 0 obj << /Filter /FlateDecode /Length 1722 >> stream xڭXIkd7Wxo/`zq6!O2?_UIon;'6*$M[m?POY. ?UtZ}z4V3FIvg ]Q#v (mфC} JEmni|قD[|9I Ǖ6.䈾vCTf._+Z@p'(ĥ@c#}F|'xy`>O6c RBvaH'<>&E4XDYCE8XJMm($S>=ШB=4oK=蝴GڨXVT0Xk?8@;cɣ;Zxx\gW)p"ڣhOV \JN2ۆ{![j-fRLδPoچ01H VYɁ3ˤM+ W].ip;H޵m$[rɡWrnI*bھ>r˔"xh1f7o`. :dxˆzaΛ/V}*تW6- CV[#+QI+P8|{5 $Zd]/}jsحt6ǹs"`C\|tzגm9bbFij,X3cu5oM-T$ >0UǬں :^Dք$i'hqLD6C^\]K,|%^@xeW.ֱ8Jw qtn W'=T|Ʋ34cz1n9OI}S3ٰX K81,c,8uRka駖7]3 Z%R#hCHQzwQ+WpV P>?4o657-E_, fu݄[Y-1^P4Rʼ9A/4,[nEZG?"U-#R~2Xq놥:J_ /ۚ9 xăTfe&̦ЛI& a[̲[J6ŅB3Xig1x3!ZWqMpkhhbp,] plӾ'ԹQÂ,iYqf-3lYʉȬcFA+R XFur.[ycfmLxg !.Y2CQt V\ qI"]faZK7dq!Γߚ츉:y[kMaM6s\I])|@HF@GN`̶ev௞廋t\}է'zQ7$-b z~ Ql(#b~R#ț%31!;A2ݡGMrd2q> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8621 1561 0 R >> >> /Type /Page >> endobj 1558 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1559 0 obj [1558 0 R 1563 0 R 1562 0 R] endobj 1560 0 obj << /Length 18 >> stream q /Iabc8621 Do Q endstream endobj 1561 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8623 20830 0 R /Gabc8643 20835 0 R >> /Font << /Fabc8645 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s^)gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fѯG$DS384hgm-־0Z|fOr;L$u$r!o V΃sV7Ө-~C2kh}'d("_@Os%p!%`^u#UR,Wm'?;2!xڡ1 g^B9T/I NЋm߽?; endstream endobj 1562 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=45) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1563 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 245.925 124.1605 256.925] /Subtype /Link /Type /Annot >> endobj 1564 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1565 0 obj << /Filter /FlateDecode /Length 4757 >> stream xПE <sA2jAXSB:As탱кL,pIf~S&C~OuJxvq8sX#~ xO =ĉȟf& bLӉ) ma`" }a;GEH&=1t EZGr^ƺ#3,$T*d> Nj<= G>jx#-Fn(pD(~e&Xiv KRްM8?J+Y<䈿x(3ʞ8k?ŨX%`H!ZOĻ!_{!ľ36qBf"!aFL ~#ߤ0&Nu Ӏxk _cr6!āz{roêCrܧYB&}ΐy,8ĭ9 a鬓1[DnZq!%Cܺ4Bm &'IT''rRIur_#^w kۻڗ#4 uEVYݜ 6B%f`zd0Y{Klnn&缤xim)aKZ8=P !iu3޻$T/&90fv\ 13*13¹aGO0~̊ҽ_7漻l^3) _Gh(*3C$bցܛ>]S, Gt60n7+K${gH oI H,ozw)qLrL "x 6Y UI|OCd+9!MUޗ.|aqC^XWtpVٱ.l.dp^"'5Nz`p8}H: w]wiP1s`rv0/4ǻj$&z% 7G\ؖ=`KpjLZlqM w֤>fg+="{9yq[7m|Xsw14<8q=xn J/Yw1qq?;4~es;S?96ԙFrd"aX|Ra|.ı:r[^EwM޻ZrWeX_ޥYQ&k^e۷1$wVG,si.7:Um&^.9ca2K}XN& d&k'RT[6IcZ}o6[,OlfA Z@T,B%m SuvIGE*dKmXf'rҡvWP6пՇ9Y> isNM,Hgq}'%}6wؾUn"!}|3CL֣Ad|VlR+9Y߭V:.|\%vntMw=u{wJ#~"#-C$0 O<ͧO.ݏ?6 H)}o Ka&Vx՝_Z^5S>DT"i ;QlO\?r)1;A,(4٭*YhӺZ6A]A*})rԀ93$:8XZwʐ߬]I+Qn 2?Xe

lsP%Z[Ӻch܏^rE9$$GAWK`j Yr,+ȋT|畘?>>!_khN@oWz'X!,$<QYE5wxCsVbE虭G_~* Cl]?e )OKX`h/4KP<~y: s"Z<~i#[G5bjmS:dIڥ:d45ha endstream endobj 2143 0 obj << /Annots 2145 0 R /BleedBox [0 0 612 792] /Contents [2157 0 R 2153 0 R 2154 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24572 2155 0 R >> >> /Type /Page >> endobj 2144 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2145 0 obj [2144 0 R 2146 0 R 2147 0 R 2148 0 R 2149 0 R 2150 0 R 2151 0 R 2152 0 R 2156 0 R] endobj 2146 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 297.1462 149.5702 308.1462] /Subtype /Link /Type /Annot >> endobj 2147 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 280.9461 184.2532 291.9461] /Subtype /Link /Type /Annot >> endobj 2148 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 264.7461 126.7947 275.7461] /Subtype /Link /Type /Annot >> endobj 2149 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 248.5461 164.9372 259.5461] /Subtype /Link /Type /Annot >> endobj 2150 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 232.3461 180.9312 243.3461] /Subtype /Link /Type /Annot >> endobj 2151 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 216.1461 177.7027 227.1461] /Subtype /Link /Type /Annot >> endobj 2152 0 obj << /A << /D (unique_651) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20211013063105-08'00') /Rect [104.1732 199.9461 172.2742 210.9461] /Subtype /Link /Type /Annot >> endobj 2153 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2154 0 obj << /Length 19 >> stream q /Iabc24572 Do Q endstream endobj 2155 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24573 20830 0 R /Gabc24574 20835 0 R >> /Font << /Fabc24575 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2157 0 obj << /Filter /FlateDecode /Length 2916 >> stream xڽZɎ+x@2H$KQ9cU/"T6J"E/6*7mruˋn}VǠ.COoYi6kkh~z91׫1h9z;G_xIs,O:c`P8i`u(+,Q; ,Pp0!L$$WjBFQ>򜢓u" u>0l#( \/'™ o2 36>'mPd2FY ;uB21x%~?{E򉥰Pـl wV%B)#k@oy5$.<α&Qy;:F'C /*NG66гSB$'[V/3`}r}zD,hn:17؝,RwI(~ࡃd@A _F9i1Ə:gmX bVIsh|Ѹ*O\Xȩٻb-]`q.ǕtqQ3@ cWlD.8Yra FH Bɕ], O7 (/,c1-7E z M\Y`ccFaƄ-F%Y_X,տ.l5 [Pa7rHkFNԔs/u ZAd?[(/㺲&Esy՞ph7Ѵ;Í\e_d7k:[\r|ox'>]6K6ZO2D0&. =)$^Vs񳆾)]d%3ms ׏N?"˜{\oWAmt e{X&bl 4@deWq%3ᦵno H=5>8FP:Q$ NolD-9}a>L" r#PK#3 hиzk:!F& ArPttz 'JM{Xm!lW\uȞ-7?F{h7*\ ܽPn]zJSKl{砭h1wZJ#?aC,7l__AO@ؘ_pcrAFlrhM b;wlC7mH+b `?fۥsx]BMVRfaav 'pUOD"X-+sEhAe)oP NBbi7l<,51qE3vj-GPeS?ru]Z/48O az~۞эw*PM~q wXQwd?-{Ƀ;e\ cn~ ==Oi-, 6\ thatϪ߸89j0"9 Z5bSl&Yd 4XՀ>ښZL.(7!9gˌ+D]Pׄ哷>#gд iE@vk'nl`]-ݜW̫-(3^:s[z(}ݩqO3ٹh39Tqg:`MO^id-d5G `͆zi mUCNfpacڼr}QECvw_$. "94)jCfṭb.VLU'xp FL ڔ>f=E Z #g{>bܴvnGҔ5NسTFHU#R{k=-YUe`@C_aj}g_ITΰoj@̒q9$K?shDH 3 > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24591 2164 0 R >> >> /Type /Page >> endobj 2159 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2160 0 obj [2159 0 R 2161 0 R 2165 0 R] endobj 2161 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 304.725 147.728 315.725] /Subtype /Link /Type /Annot >> endobj 2162 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2163 0 obj << /Length 19 >> stream q /Iabc24591 Do Q endstream endobj 2164 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24592 20830 0 R /Gabc24593 20835 0 R >> /Font << /Fabc24594 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7Fth۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM>V endstream endobj 2165 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=101) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2166 0 obj << /Filter /FlateDecode /Length 4428 >> stream x\Ko$ W+zKܶ{f@AN`2?|]#@vTI(JlMjjYN&U}CON-~ZDO/o7J9w+F+wuw}pzWSR*S{v~OO~0Yzڅ/R-aMpK-j5h/fR~YWz%*_j@@4ƒR\VV| S }x`Nu}凬Ma!RuyI)ߥ(V<}G R>6G=\߁w| mP-z!,9}ZC<6A&V8|vmҾ8{lZR!:h8gǩ {6Sัʱ}O3VŽO9P<~BN $Ch!t@]-tXo#+0a_Xm0%v]u0s(\(.psw8hR2WCh3F-KⰩ ׉֪Uֆ0χW%m(vat1~_/Ʀ\9 H [5I5͵?5$]ZŬή Ţ.e^nxiAE#hHF#.MÑÅ 6Ҝ{`(K|x%h[7{9~. 4=(@І# Å)ƃW NG(܌Gǧ5ݸ`*LbȦ(ᩥV69E2߯cI75ۉ,c:?BQb_t';\6좱 ܊f4|usrzF Wp4&Yu8g٣Wf`H7ةdq:v1-ڡUГ ))[Ibr<;"O7˘MM75Y#Q&C}!IGSb[=f|z˷`rgG1-^77لDZumMx5''0qX!n}* "-r-%Qqrz E<C)>(>p6t}-/T6²:x ^i ],tTA,.; L" a]g)Ixȳ VP5ܕ{f}M$#:Fn];O^p>VkC*1^cfZ2Rb ^N{+dkjVRsO|?qBHBW49/覡EQ?KZl6R ̒ |+zByuwYC#I5 })\ *n-eTJjw] 3r!HYiۿs+lecFZᆪ֔ϭjsVDU8/R+++4%Tf~)b(Xq\G ].mg&|^/{a5c[}_ƃn h@~m(W q]@.mz~|'ax1%A؜txSB3ʙ&~R^T!1~m.ʑÒx^b:-z y:x# > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24610 2171 0 R >> >> /Type /Page >> endobj 2168 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2169 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2170 0 obj << /Length 19 >> stream q /Iabc24610 Do Q endstream endobj 2171 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24611 20830 0 R /Gabc24612 20835 0 R >> /Font << /Fabc24613 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ Z endstream endobj 2172 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=102) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2173 0 obj << /Filter /FlateDecode /Length 5706 >> stream xڽ<ˎ#w~E ( PU*۬؃FwfJΠZ"3 FŠoZ$u)}jqv $,J-_~oC_^:/_iIEB?˗_ZB._@18([p "έɅi"_4kT~i)^a2+ ܚDRi/*f4@\^`qPFGBќd 'hIPQ2U3Hh괝WGya| Z_]vv >ЌbiYA'1ժMk32f!uK=DdȞԫ&E`QꌅA(+Vm#f5^+ D"pNPtJIe3_[ycp H4Nd~*{UY5m^nFW-lƕ#arZ}Y<ٸClZ,E]y`I&ZsittnҒŚ >mSn+6sC:Ld 6t'6m1)9ީ[o|e[损=+uVcCwFb؂T?k&I~UZI#HR* Z/ D;4%!~W%H/^dNww75)'-;nѠ \V}D*RATf,5fѨFAhU ڙ4Xޢ|%SJG=DC(q@iQdƧP.w|:/FHtn32V!=iZ+3Ɉ{Gݟ"aR*lNuRn`. EWwu~ G^v2SF3quJrM|6iY*w~;:9: V.jy@M {H :V(2[VVW9:u_@}([]L)w&$&fKXz?jwVeVϗB2=Q%# srAI~я;伅#gv~P$tUvzi3te \, TjԲgWܖ e%R|U|ԡPZwn<`4ׅEѠ==BTQ`*UAEVbfWD_TV߱|EehAO?}q 92+!CcwA[Yoq1xYOVIrg̝ͲQ ׂ:V 20u@`\O8H%0A (! MA)Wںq Ha1~QF ?v) &B*6Ym`sL2k6~QJ)&L[ax( G`o$&S\tMSU1_ԧ4Xzǂ[[@vy.^Y=\vK^= +pYA&5FE,Yё"lRÅ;-bu#:/⑄]OƴGuDZ{fɘ$yZrw{ּX 8򫚌q,$1όdomM M_F]z/aD@&;#I`fåhu0VR7E]Vd lv1gݛZn8_GS_ 5^hsÐ ܙ؜gG؛wKgAѩNJө5!BcR`. fۦu"ɂt1F[ї)ykS_U/4~a r@ZAH1KU~Q&2 (`e]5k$=e((rV*cR5p+ |0;_RUk{cFhP``b=; !dN4;z3M~b)d;/^(sSU,~/vnqk} \,a1+X+`c܅:<Al](s! v@S\h{[ФfjK7z"_GOC 佸U`. D T4ʉ ^Riٺlu",T@'O'[WE^Vdc I:O -N:+DK' GQ9[Ț b>;xL5Htjϕ^ 0@ߵ"k]@AJ*46}1xݢ :`p )l*v`pnV%,_AM8]0/~ JdM$,%:.kj9lD \Gd "{YGp١ &|E޴*@ȰpDXF©xGJaЁ҇BӠ><$.$;5d%aoKx^0hiE.F<:a]'f*p,TĻʂ4:#HR['dޑ`X@&z3n=A ĝO|mǿ 2i Pu:E7ʹl۩|Q5b% TM?[V5rk402- `Viy8ګ܂x"H|`ףTeJwo[q bX^# tS]gjj^ 3@6]toͥ,wũ(\WAn#ߜPߵڗӼD|Ss־mPq>WH B@ZkRu0@h f(rk7vwGT{)+v t'|eQ*7}d_\؜ws=$?K}E72*Rr;K$[Ixmi\[ r˟Jbhzmܮl}n ĥwWt ^nk7`:۪D2s1jXl%ڗKשXwᠰC6TFk:]g>ƀ(RD!~݄ya:EA[ n5u2qC%'e(Nήݺ[)nuζL{Ea,\qFyiVMZhz3t)b0=y1#p7BXw Spul@i$ 2ډS ?8K-DJg4oc/un@1lŒSnatc Qƒ^6LΒ.mǃNi!.6:(m3S'jsy> 6e ?b-3ϐ@!#q c>$?}*= cƖ[H?`W }ço#|םggrJt>])?̳@Ĺ)a?1\WJGnB5cl x'J'!xBHItC9-2s[^{mlѭu:]aVu*;P:óoКN֞肦Z򖙏G[^1ΉTTFj:6&ە, {a|D>tT kC2 PÂ;kڿAZԪ_x1v6LJXyIl3FwԮs~f V.x͗ߣw_s`;80z& jl#!L3=RyAtxI,Ϡ̖g~G2MM \ @4Xjp\lO endstream endobj 2174 0 obj << /Annots 2176 0 R /BleedBox [0 0 612 792] /Contents [2187 0 R 2183 0 R 2184 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24629 2185 0 R >> >> /Type /Page >> endobj 2175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2176 0 obj [2175 0 R 2177 0 R 2178 0 R 2179 0 R 2180 0 R 2181 0 R 2182 0 R 2186 0 R] endobj 2177 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 162.5194 172.7747 173.5194] /Subtype /Link /Type /Annot >> endobj 2178 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 146.3195 187.8502 157.3195] /Subtype /Link /Type /Annot >> endobj 2179 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 130.1195 162.8527 141.1195] /Subtype /Link /Type /Annot >> endobj 2180 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 113.9196 182.9552 124.9196] /Subtype /Link /Type /Annot >> endobj 2181 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [104.1732 97.7196 161.6427 108.7196] /Subtype /Link /Type /Annot >> endobj 2182 0 obj << /A << /D (unique_88) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20211013063105-08'00') /Rect [104.1732 81.5196 230.2717 92.5196] /Subtype /Link /Type /Annot >> endobj 2183 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2184 0 obj << /Length 19 >> stream q /Iabc24629 Do Q endstream endobj 2185 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24630 20830 0 R /Gabc24631 20835 0 R >> /Font << /Fabc24632 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 2186 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=103) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2187 0 obj << /Filter /FlateDecode /Length 4018 >> stream xɎ@@WU4C$ 6.R1bXSD>vR~UFißTEgFG|eڿOuq)砃zMvZz_G}SYkX(sqE#̷>߀?Y9/^s:%mhy*<>3μ=gO: 4CNO\"LQ5؏AJaX+ Zy |QaZ JWcR_fm@&dtYPAH 2U3;FNxy zn8քf`9,ې}y,  M5"$W6ZO; 8Sedt\:($RNUDyyj~;"L$%o*I Hk'RDeV!`2h0C8C7k6y= v9RH&gGCչ.f["iB93{/X#3 !0j21cgkVɚ9?`z5 .Fx[{۪ ҥG="{is3)B["6`' x~F >Q}S9ie>ڙY#-'eWxboOVJ6yH}cHFX1l9fLOL_aZf t"dR}X00@,%+X(~ad]zgv]qN^2s 9H"B{A 8A eb:!;5V' . ;Ꚁ"0ΣbxgVJՙTvnƥk5^v 2t(qgm1/2hf6bd݂'}h_"*br^D I;m5 # [+95>Cc 5.*fO:#RƚAh=E)6Z߱"4oJs#I$?dJ^(7 l!V~Kה Aq{)k ͒SlU?]n-y0S,ĚwO T+ReF2$ٶLP<3hm۩(ȁnsg.ǚS=G+x-1t.rԈF=,|s}󼖒8%l &@eivX 羼jkorOEsz&'6K}"g՚6VthE᧮¿w5xԌu_UnY3[^KZguR>d>&R65o+Ɛ]ގT$EV%lJǩ xp@4ꏂ tOA3ku^dEj<%V6== >JM]4>wTᒹm%󍃋lPͺb~b>fWt7gSצz8@Yv`lPD^ /C^ȖNH1ĿY\.߆96#G;C`_asGU!e9I!e,RP'1Hq~FL+5hφ6֣hXzd[%w Wv&:/s:(i mt*~}w7cL,]ι{>?]}{hls|:ؿʪ'C(y~6i28rI{ITN{'sL͓nb2}  p# \8Cr3ȋo3δ^EwLp?LuY$wbcU[hP[X.f240葜S }}o 1h_}M6`Կ!zpsJ%L΃.9D#>d,:/v ܦO bGl4~(H'X3,:O@ ln˽lBE @pN"f4 Dvm]n 29nG 5s/=>]:c[rQ|]pGUc1C=?7tk~!C}yXG۩76(& !CwЗa,zM8紤(hI"|l8yZ/>m'YRβ@5H/ @Z#ϥ$gS__|!a]?V&+G <{NG%*t'1zRS?>ْi){H4Jꚶ ZuMX9ʐ&~B2Ā@|]}fx$m 覦YY"p<ЕzOp]bG܋;y`*DJ49԰UZHq]ZkM%S N!'i=N -K%,a?L}Du2zGlSYyOχҢipۑHMP1͛ןxo&µ{JhKel RžH Jsw!ϋ@#|| MVº.@DSq7r_og%/Ώ Xg,m /8}LJ& M-pQ}̓d2?_fx'o=,8ҍKzICLvB,d3Bֵ?z%' 4U_JroHg$ g/nPw*OrH(2k@^څx'aށ'o&ήj`wnPd9^*VQf{z% xyA:RY(֩aX-y=|G6O]I" ?h ,5hD endstream endobj 2188 0 obj << /Annots 2190 0 R /BleedBox [0 0 612 792] /Contents [2197 0 R 2193 0 R 2194 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24648 2195 0 R >> >> /Type /Page >> endobj 2189 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2190 0 obj [2189 0 R 2191 0 R 2192 0 R 2196 0 R] endobj 2191 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 229.5017 709.9] /Subtype /Link /Type /Annot >> endobj 2192 0 obj << /A << /D (unique_26) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 163.3642 693.7] /Subtype /Link /Type /Annot >> endobj 2193 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2194 0 obj << /Length 19 >> stream q /Iabc24648 Do Q endstream endobj 2195 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24649 20830 0 R /Gabc24650 20835 0 R >> /Font << /Fabc24651 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2197 0 obj << /Filter /FlateDecode /Length 1217 >> stream xڭWKk#9WՂ`a˞2Yg TUV;ΰӖT~N[6ӋFK!ʚ僿q2w}RCVlmD#nt`>clAc/'/q6y =0O#'8ГȠD/kȶngLbc}OH~5K6u'K@ 8q !{ca{<dGSx="sNN4Zo:3܅j,wb@6hS[헧aAؓGkqI%jϹ__J:Nc/,oZfr/}x.na hUsd(F4Ԙ${  U鿕{ endstream endobj 2198 0 obj << /Annots 2200 0 R /BleedBox [0 0 612 792] /Contents [2209 0 R 2205 0 R 2206 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24667 2207 0 R >> >> /Type /Page >> endobj 2199 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2200 0 obj [2199 0 R 2201 0 R 2202 0 R 2203 0 R 2204 0 R 2208 0 R] endobj 2201 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 325.925 122.505 336.925] /Subtype /Link /Type /Annot >> endobj 2202 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 325.925 161.9785 336.925] /Subtype /Link /Type /Annot >> endobj 2203 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [167.2915 325.925 195.974 336.925] /Subtype /Link /Type /Annot >> endobj 2204 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [201.287 325.925 259.015 336.925] /Subtype /Link /Type /Annot >> endobj 2205 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2206 0 obj << /Length 19 >> stream q /Iabc24667 Do Q endstream endobj 2207 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24668 20830 0 R /Gabc24669 20835 0 R >> /Font << /Fabc24670 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwoG endstream endobj 2208 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=105) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2209 0 obj << /Filter /FlateDecode /Length 4636 >> stream x&7O{`5V4kO};7L;3-럦Wl9m WWMPI1/HjMfON2 /ӗm7.Z즐CevZ'K?YznOP%|#`dG*aǖb9 H!%#6Ʀ9ƨ-$ÝuG %Fqzt>D/h3 6N1 Q$À(/`v "}dӯ@i*_wأ6_ O/\өԝ9g FPͬ' }!e2o`HΦ7y!bn>D*$L|v|.dC}'([ UU( 6]&q!bBdf"L5,d~rT"YRHJzƇ6v@-Yp6f A+h)U+k+{ģNyU؆2WWwpkٓ}o>0V65f-uꕭwkp{q DMEhmUf}lPcR}O Of(ɹh;@ݜǀnA=ےg7lb8;RDVkR>PZML#2j (I fI74[nv0t֘҆*]`O|yK_N:js]"ӱ~Gu i"-D+?f" 9m4Ĭ6R :RZISU̸Ę3s1RH.F.$V-.`ڨm$\VsZԝnf`:n])]^.0kfWzI7 s`?mFļ~|YY-C17H&?4P};/)peydw,#Y-!,g.vϬ}]T }onu1.|MV7nz7߀Y7c߶%VP$C'\_;>(O!*!d s;PBXtꋀ5}/QHB#ֽjC9tZToqzUi@tVFmqɨi{qsȠ煈$b,XX[Ђ+T:NW02od0BK/Ko#iRkRkTʵKז9 P/,Z 㣟M ΏK1dHYbB (hļ5M̘0Zlb՞mը:"-kB{bSgJ+MRaG޼_mkbz0j*m=sPvW:}dg!;7˜X?gv)TlHePaBi W"UjMՈX¬Ej#սVuJǮmv$J@OD$Ҵ#Uk`nhy/)n˅s@Xǽqsprj*9t>vn smjvq4>hfhIf;!d*2Umb'2j6+9O0fUj.Vӯd.SJU}XLi$đM:UXNMˢ 0nj]V{9_僝r=ѕ3fػQ T;;`8bKii5K9lZnnDf 3˃x6X:IzwcHWYw-wĮx`}@eJPH1!㊬;zjp#"DlC o7- |!yck٧TYo P"_@eB!Pmpa_S?׶3iĬxsϥդ8s4~j$'G9hmCY8ćʸ-q1lh\NT/NGr3,zЬ(5kL:\JQ`"4Y`Rwz<+eHv.vݴQ"7 JLty@Oi}B j z95#=Ed 5豲 d$<'z';pFMfާzUHFӫuS؀bZiN,W兕n JWμ=0NPTO<3*in( 3!ЉułٛW\O?WV{j깚zy۲3k:[n*Hv q:Q$9 nœ0dl|v%avO1-ʣ0xTd3@CSB`74LnvA5-i ua/k3k.6:&ѱ:15yЮh֑UO.뭩"L{֫;%wVS nZާ07,){J޳=vu")#8Y"s"C GR>C+m+[\s ;̆]=902VkŒvm Qn x| Mn vVCAfS %C"Їŕx.xh ×PE9C̀~0D/yf 09Kn a TL/v 'm`}NT2®a!ۧ}Ֆf%x&~\/9_z P)܇,E !Rtʭ%c]-1`'%]D-evM,i4[ D_s1;`U: pD\qa95|_3`Bz]*˶F zkțɚNqcI `Ww+Q *`skyp5ɚ-7Zg'z$Щ܁*3YS?-퉞]إ R8Lm'哖8Hᓘx:OyPӋo۴mʜi0 늁s2,,#_m- "xƷnG{>lHMΑ' ynkɖM NU ~Hgcy֏ɶ&ʠ9PlBX8oAzTSĬ/&,P|B_yT|JY1{>>0ß/ۥFOq* endstream endobj 2210 0 obj << /Annots [2211 0 R 2215 0 R] /BleedBox [0 0 612 792] /Contents [2216 0 R 2212 0 R 2213 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24686 2214 0 R >> >> /Type /Page >> endobj 2211 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2212 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2213 0 obj << /Length 19 >> stream q /Iabc24686 Do Q endstream endobj 2214 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24687 20830 0 R /Gabc24688 20835 0 R >> /Font << /Fabc24689 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ^ endstream endobj 2215 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=106) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2216 0 obj << /Filter /FlateDecode /Length 4150 >> stream x\I#Wl@4(PR ̓0xp_'5)mn cb!\q O_zMqgyTxIg/J/GRU)t7ORj|+vp dP2ɿܙ.R]g,~pVGJkW83ilJx(bQ">q:ZSb|fJ="Z`H:7{>~*ā{M 4<b^לU8vP#%MGCAhC+\ʓTZl*f`dhke$5%F߭xg^…b5ª >A f vfĤzD Q~V}zg`zw":R'+LY\<("8ۙ_Tb P#TaG !xȫ5!!s9|F)$ըJ +4ObsLa?V0t(#zH8޵ 2v2UU_ſ\!_U[ \͛[cv3 BKq2g Q(XDأ/hjR |keBkB4%?'<"6C"4gly3D_!U|iz#dn7lF d&e]Z"8p JZ t]-.iWKYOwׯM<ٕ+C`S_cIί cDU)Jax:k\Q fm\Kt&Ey%M4?M͚α vxml(vK)7 N|:J5?P =fݻ\H(%zZ ˊbdg >^hУiiMBjiLEnlpE~<*NgV4Q\%Q*SNjQɬq`וXu$7%L>l1Yp8e))~Rՠ~i^kf]SWje~Zq;СH"MMGMU4YHT/ \O"h1Usm0ň"TN [eHzui0͝Q4/ۨ?ڌfc{d5co/w`?cy7ZXoł{7~ 1ne=b`΃HɦHyQʉ _L/mdI #V*Hw<9.6Zퟎ| Sy^Ȥ\>d_'+)J3)2Rqw] (Z޴l3W{[CA(+6z㠀ɶ Z~@3Ps*=x[z#b.խVf>kAԔl_SN؀' tQcW׻ЃQ5Adm= nZ)巅29ê X`)GHxd5B*jR,U |l*>ou΅Z1Lz6s-m &{K4b],@.1 SwkQ-ejNwvFd k[飙cM_-3;h B}*یVڱnݖk$WRy0U_Pe_CSas6! gV[\591]%[=6FQLt$] fBLQOz.; SQ+?1kQ9cTN6M}D!"86C*p vZ\MOZQ([UβA,3hlZ\&An:sV~V(*p6W ݵ/0lcH5,vߺJCskflb= 6Hv zK_XNp\8qEm<ϥ P˩,NP$G8˪u7bے&Z_ۼ2AI,Ģb\Z rwE"p_$>30(޼4$ 9@+" Y4ضYA*=-]ͽ%yF!o?9X/=,{yRaAcwt[ԎBYTud^KϗZp L=[K@׏vm `}Es]uR*N7w=Qxk8؝lhM}2]ii".JiV {',PAQRYpy#RBUĕ%4ԄR?_3$Ff-RjT6iHbLiXmeǒfx6Lyz ~NDւ/*Q^qlԝx=ZIf̸C۫x%(5mrXM\Ebl=ԋFJK-3J)&+z?dVd% "- Mٮ&<m;jpݸŧnj5JwuSs5lGx2p^劵e@l j1RűTG8GOP846FH͆%"ᮒL*L/szdūcڢFǩVuK d! ?&lV2tp=EUiΧ<#0[l6˸#p2P"7脭ǙX,goHNN姨 GReSʉ19UJ0lۈ{t^Nig1VTF'_xE6'|[p<'rhOZ/ 3-I)=^a.OS$^:gxɧm(]~ς҅u ө ˆVTmW87fŵj`Tc5Ѷ 6=JLН䤿H~9XYhpP1ǐLYC^jk_ЛG% R<竮(06A]:so&f-;]z6pAH(`;fϓ=O uҘH7)M6!v&x"3P@e# |2+$>ç~'cM4&_]s.{L> <@vkrt-K T]"g6:S(T( /h^X}t=<=TDgSaiWa22~#[FbdSKwqw$A'kM:= endstream endobj 2217 0 obj << /Annots 2219 0 R /BleedBox [0 0 612 792] /Contents [2230 0 R 2226 0 R 2227 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24705 2228 0 R >> >> /Type /Page >> endobj 2218 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2219 0 obj [2218 0 R 2220 0 R 2221 0 R 2222 0 R 2223 0 R 2224 0 R 2225 0 R 2229 0 R] endobj 2220 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 595.5077 159.6022 606.5077] /Subtype /Link /Type /Annot >> endobj 2221 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 579.3077 196.6447 590.3077] /Subtype /Link /Type /Annot >> endobj 2222 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 563.1077 229.5017 574.1077] /Subtype /Link /Type /Annot >> endobj 2223 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 546.9076 181.7727 557.9076] /Subtype /Link /Type /Annot >> endobj 2224 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 530.7076 187.3167 541.7076] /Subtype /Link /Type /Annot >> endobj 2225 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 514.5076 152.6942 525.5076] /Subtype /Link /Type /Annot >> endobj 2226 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2227 0 obj << /Length 19 >> stream q /Iabc24705 Do Q endstream endobj 2228 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24706 20830 0 R /Gabc24707 20835 0 R >> /Font << /Fabc24708 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2230 0 obj << /Filter /FlateDecode /Length 1942 >> stream xڭXˎ+P~;_4E$EO&CRR{l=Uԃ<<$'m:{1b?xm~\4V3GEd ;]c3&'F;tB{C<>gB'cr)gN@J{2ŌL_?:NWfY}y+;ɏf{kj=ES8boObxD]?K>gXeori7Whl L;;-ϓpwvawk-*fBsJ˜;1,96a6[(a5$aWhl,Y8 .2n_ɩZ֠4:n.6֪(dqVw. =i}OUR,$2E1o"_U}f!ZzHlNSKw/ b+̵%@V*'-N$l/;%cAV(2N;QE"*}9"F_aeg{,Ras韤 +lZʯޅJ]Ali;1S63N7M+l3h^I^XE.M"0'iXHQ(+G?S;`-'@ keSY:Fmp&}W8{<Jjݟl3H??Q9]QaP6`1G$rF1l+6+8g.jbqdispu2p"Μ(TjF*Մ'b3!hՊU9Ko+y6&r9rG2 Y8ԅ,s^թ&wVVDYOzdS*!ZN]#Zz" HJs=nMtR33(v]fC댢!<2bȺA~oQuY ٫Hʻ1nqVdŔkLTUy#>ݭ`'Z%Ʒ_#Rĭˁ[[OU!o+q n6e{1ǡ/A d,9oYo T/*W>GW>Wb=f( P3{+._\SL𱅾9z_ WGlʏ2w8kfԯ8Clc{m=ĖvaiSZWҸAtFOJx0nop13?\Q<ן{r{ww9Dd-Ʀ~aBeU]>8/یG8 }^`{,q_X>kr%i-⍄UjlI'+zO>ɤF]&jDMY_-|Dא{&>!5$kh  endstream endobj 2231 0 obj << /Annots 2233 0 R /BleedBox [0 0 612 792] /Contents [2239 0 R 2235 0 R 2236 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24724 2237 0 R >> >> /Type /Page >> endobj 2232 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2233 0 obj [2232 0 R 2234 0 R 2238 0 R] endobj 2234 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 422.025 122.109 433.025] /Subtype /Link /Type /Annot >> endobj 2235 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2236 0 obj << /Length 19 >> stream q /Iabc24724 Do Q endstream endobj 2237 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24725 20830 0 R /Gabc24726 20835 0 R >> /Font << /Fabc24727 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6OaeΐW<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1T endstream endobj 2238 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=108) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2239 0 obj << /Filter /FlateDecode /Length 4450 >> stream xU{=@-Y*{A$ c'c2'ZMLO`?Po\doo?q:Y Om=?*zz6=׷OԿ}BI;}Cd_/d)h|{:9q |[ӟ)&3Rօ9Pȫ֋_ uX~O:sxyTﰝ}'3~N{_IQ2tb  "/m@'$㔥i#مK{6( PL 1ZoQcPLP| h3/M~_/ ƥ<#3033O7&;}2 f˅?/Q{ ρa[*_ X{T0C=Ð^OƚY'@[d Ah+I-s3W+Bf-~O:x7k/:~~pm oM:P X">X_( 9KnQ e" ˜<#$ $ ?ba-3@_TV-8|0V65rjZemV>N{>i c^eCZ0i[13 u0 O*x ަ.XOhTfх{C1bIY {å<͢-B5E/Buˍ&b F{;6M0Q%]TsC4%YC`ۅB,/qÐ+PpOB ögv`_H4,0rsR}ٷڿ(\S``946r -gvaGE ҿE#}ygљ01i$#-y?=!EZI"à!7ϽƐ=m[~^ 0>S_ʎBڧNJ"}avgҨ_kX~:`엇ի5Upt q@oF"<(Oh6,CC!(JPo2B/EPi>sJ"0>9Dq6q+u_RũjUmL9!g u4Apeƭ¸9dQFb2-,:J%pQ$Uo-LVcQ:qaBK!T? #ЫKIQOԮ}D>CTQ[7T'Uo.W_HꭇGGo_R+bp=Qmj#ȏ8{}ٸkێ;RwOV܇QyRWk;Ӟe4H}~tr\ʉ(d#`a[ci\lEr;T +%zEdC9ꮧj '4|52e7 aBxTre1u}^@1?%( ڮ-뉮Qan&9sG`fx\\IP-mY ֔n(-Br*Er*H4m~8[*Z:ⓓF{ sFCHn=(-g"m#ӟSGʄ4$tQ-&/cC6DMQfJ|ͲQ4B4bi;^S HFYޓR+-F5YY48٭Z<Z-k\i:6_qQ$$J7&eeI$KKs4##>0A ^,snBׂi2#7iơcU܂A >C=rRkt(JH$e`I՛m\P6_;&QQq?Fbͪ ݋HS;2i{\ŋdk+?.E׌χ=iї*$I5 Ȱ<3y ni|;۝Nl)[I$6V%+ʵU `Ф:$[À$CH筨\QI&QSwʦu*X04vivmg^Sn5m! !FEm ~ խwm z__BH&6STsk=|NMf[2M_ȗ|@q9wxh_8wWQDe>Wylf>xLpՀ :60J/"2&\ؿp:0"?hp^Wf/9ڳbe޾_޲1h0q Z3>р՘4$Q TPa = 8AfkÄL5=Ԉݰa)tsviE*tJngʱ0.2X3mܚav*o#d :+}bf~%`a:'uj, Ibݹg6V{{*K3߬{6u[] ƍuTQ5",c^np(dY/vts;;hps&ic3Jo66[ {Z(wVk׍2:<8};K.ޯە'6w0^ @V<;܄$%#!͞.olqX|N7&t݂ۀWa)e&j5Uu»E!zg} gkACۆ>59oR;%(gb'h-v6\^e gN; "ЁҚ R:j+-͘V]]:c QSp=PH2_@ r-Ge'c .|,1`DsTԤ(ea,u/H̠ j".:(M1 Rw9/,\R@)a3.gHmDZ], &mkT1u)oEc(a>bxMMTg*0Rj@.py+\8ctDS7~^K]jI^%YT X[$h0ras RzOTQ1uӎPIFwT{CVxHܥ6_Rr[֎4DZSZ> ,[ՕfXR!Z%2[HkLT*lH&=Mv 5 Kw͖}U_Nj ~cs*[Xç|?gg$6 pDTαO;X]V|~Jݨ7nˏ]O]S)DPl[/wwCvtU0'[<{]nz[ OyNB:H+0p㵌ygM. "A4XjP{}=B endstream endobj 2240 0 obj << /Annots 2242 0 R /BleedBox [0 0 612 792] /Contents [2249 0 R 2245 0 R 2246 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24743 2247 0 R >> >> /Type /Page >> endobj 2241 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2242 0 obj [2241 0 R 2243 0 R 2244 0 R 2248 0 R] endobj 2243 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 104.5539 195.1267 115.5539] /Subtype /Link /Type /Annot >> endobj 2244 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 88.3539 174.7052 99.3539] /Subtype /Link /Type /Annot >> endobj 2245 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2246 0 obj << /Length 19 >> stream q /Iabc24743 Do Q endstream endobj 2247 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24744 20830 0 R /Gabc24745 20835 0 R >> /Font << /Fabc24746 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!Ey/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 2248 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=109) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2249 0 obj << /Filter /FlateDecode /Length 4068 >> stream xڭ[K#Wl@ `$ `6Yl?೻ѬmC+u7XIMj~lo;4R_MfkՆE)|&qT:[IQGL8IG 0(o$) hUfq$o+u.}UlƷ_bw sa$;0LYb;pR>6Vae ^ `τ=[|:@Mv@d >.::9= de*EHm^JC'U2"I`79Vί}\ ?E\O?IN, &-OaZhb=&q’]V+:v)@ڴe7~P[ 2_Iʡ-R xhX /ӴI⺠@jZUk h=+fX~)3Җgܨ*\ / [d Y2i!g@ٸ* SHUZ7Ϡd n+;!=" =ڠ!rp@ Kq*\(k\6¾ (5B]8}[onj #`q.e2/P(ehIxrTiNFHNh 9ta8{xծaEHE=4Bln5V*\u^4۹RNHٸzxj(YlK\<,q.b6wČ>Z>ڡF`gy2觑 >R*fF>;Nxl+^fE[g!; 5gZZpWƞb֒.ElE`: Qt-]ĝTI{0vNBs90Śd |1A?f4o[~\ŝ>D]uVEӥBHqQn"b.3IǕ*qmR1׮j5$^9,L~\jj16˰zsGOoMv؁ g=暊X쭲[L&9* >9N]4Zk8i?l+J `.6f4SԀŏ4 1?Zj*~q=i98|4$#כq> b*)D:짒p[* ʛOSCnTkeE14}>>2Z [o\/A+bRjLn!L޴5.ehđ۱+3-m3?͑.c Jm=4q#tiAX DكRcRK03 ׅiߵj̩ĤFX-IiY&O |о>~8 ጪu{chж@ؤ`c  &`K~((Iqú{wݒ'J}96ZPAO1A гc[(Zhq}Qb` iIiȏG}xk:rٱYYv1{ht1;80d=tQ u>YTm43H[4n)݉ IADh_4_wi.%Rc=0kiA=D/+zu-iGbͼyxBVr(|Cp1#jw%2&[ X]Ztx֓_ m#e ÃZ0ex.0m^eyE/Խom' "'\Y\dweb5ON#&'rDp FwWY_KN;V5R- Dܓ{N_.<OTL ?p;{H3R埁T1"u4Ӻ".a9R%ῂ~~Axr`@C_ǡ&k"M@-%DG WTP6ç~'߆IQXm9xQAfp(g(3Zz/|pRw)t5҇k$9?J>QEVcU(LG ":FD=P|УA6p,!_Zj@@QF̴. endstream endobj 2250 0 obj << /Annots 2252 0 R /BleedBox [0 0 612 792] /Contents [2261 0 R 2257 0 R 2258 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24762 2259 0 R >> >> /Type /Page >> endobj 2251 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2252 0 obj [2251 0 R 2253 0 R 2254 0 R 2255 0 R 2256 0 R 2260 0 R] endobj 2253 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 159.8332 709.9] /Subtype /Link /Type /Annot >> endobj 2254 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 205.2137 693.7] /Subtype /Link /Type /Annot >> endobj 2255 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 155.9997 677.5] /Subtype /Link /Type /Annot >> endobj 2256 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 179.7817 661.3] /Subtype /Link /Type /Annot >> endobj 2257 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2258 0 obj << /Length 19 >> stream q /Iabc24762 Do Q endstream endobj 2259 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24763 20830 0 R /Gabc24764 20835 0 R >> /Font << /Fabc24765 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>X endstream endobj 2260 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=110) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2261 0 obj << /Filter /FlateDecode /Length 1257 >> stream xڭWn6+. ^>EN..S Eg(Ea 3gH tN'WU~o񢿝vN_ץa4]LII_^#0oߵƍ˟;k'86<Gocz8?ׅ L3F͆gǓf-Q{VVFرsU9=ܬ wbV #Y1ԘI>!xڦIf坸˱f@ \9])+\led__UsI~Yb1v ![F'lcHL]%M%Ba-SmF:EG;\5Y]Ʊ:O,zq9[WF+3nV̈H4&} !sa=%<ϲwiԙ-7b/}̘=hqhK]0ь%Sk~L* ^}WjV?RUY, 24ya ZF&#JjX4t$Z$Jb'^FPL!AL6qr!4o,rn5꺮aY#EL2c|g8 ^LFm_(*4-ɯgMܥ-ҵ H&ti}mr5IM~ wT|&ovsp~է\B8F@# Ԅyy< Bƙڧ8q pȸDtb<ۂc7'Շ8Fs|"yqEϘcudOӬ(mַ'i\j`ks=Ox GSA{,}NNTjoƋ '3\0]dd*BS > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24781 2268 0 R >> >> /Type /Page >> endobj 2263 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2264 0 obj [2263 0 R 2265 0 R 2269 0 R] endobj 2265 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 245.925 124.1605 256.925] /Subtype /Link /Type /Annot >> endobj 2266 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2267 0 obj << /Length 19 >> stream q /Iabc24781 Do Q endstream endobj 2268 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24782 20830 0 R /Gabc24783 20835 0 R >> /Font << /Fabc24784 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2270 0 obj << /Filter /FlateDecode /Length 5713 >> stream x=n$7w~E <{ҌH /,UTs3 u%#`0&IOOfߌ?)mV MMO}5_/o|l5< O>֧S}zfk^LX_? m7UꥯN}WӸp@^}{0ï󤗘WxKkMfON/'7O.,90K; u(~:ZWrEĐ PL2'/1Z"Rw$/߅KOmrq2,i2~k// iNeyˌ_uȵ~d(@3 a~6=Ymwߞ7=iS积 F,B=$"3j+TŴ*He߈Z;X] 0jjӹKv=߄#Na]~]1 )j:$S-'K]hCrtDl#/: *$HÁF՞f:sf<1I菅'\: i:^*fDYi= Ս@#H5N}5,.]bE$\%*2byqK=(Syf_ z,ʄng逿ڱ(nIR9,mcGGYG|{9a~A - O0ĬK SV֊u ~c_ [cp^P5VfPaw;GpѸ3p@9vhPUD߶=YOJd5}RVj/.%~YPW 늳@g(8Qg1WVU 5Gߣψtcg0q\n͋l*N7'7a+a)>[S̽ni0t1ZׁXa}p !-@Ri:HO̞e{H1Y 52dgs|*,[YxBK _PC/3,20 o51w R;SW*IBNfDN MR:8~j1%Nژi=MsC=Fcc?tF$Ũ%A;0\#'碞ϝ >R_]95Hkgb2vց**KPM#N9|qo½3*nXQ2u%eiT,uT#!N3HT;]*3N.,Ty^|NjQi }?ڏVV==$_jW *p83m;)k@cB`a:$E+ )UWj>Bgb>k0z6sdo8~xSyMnqdc`VO3KӤM ;&bZ[8kfǖq/%byq)/3>=.7l%[ W+Y|avwI !_y}[ߠj_x wF_M5\,!eBz@c{,3K@-ђBكFQu+_J sJMDgeN[dg ЃIViɜy,CQc,E߸Zb˔BeʜDF-cyeN+R[Ǝ{bX:uVث0fZfD6P:v`t\az4[>2O;@KA\ a֭ ͠:'Yn8(N*@AjpS}vκFx߆dkfoz3ؕg؝YtCƎ{eAqkVYA=C?z0V5Ugt5;Һ/&]3w[FÀ3'UIs@l6<ӻ.UD6XsR|ԜhNӧI4ÜTgNӝI 8bNΝImR:ϘjN:yǜtAOSp&Ơ1 Jmܠm(l J{]6М e~gPG CTap)4); `PSץQ}4ꖸO]F]kӨ>u}uM[ҨklJݖF]miOݖF]61cmb0*uQ;Mrg&J}zmШԧ&J}|mب&+}.wrߥ]Owƴ >fD Ho H Ȁd7Fdpo! '@M~!M/[z/ڃH> R75j0.5:>e>U v(﷾ Ά/.▗v8SNdm.i7y `E*ugxH$}ۑp*7F9"f|dJI9_Y7g-xZOח]BpA| )r{l˗#@31^ՠ+g9:74 N)ɲ~s㡀 k, a:dgܚLm28udeUlEpw%:Z`]s&!^42NȱNNvf'tEfGh3bՎ+(aM R rVeM4 ?6u0PWdFcmrH2:"A|fQԬ=u|F/_l}Fc09dq;׭%X:X "]dcY7[*"A|aan_>CDH&\O`Xru"Ԗ0Ttd=}PmP:[dxJT~Wn]Y,d1GO)vϤ5,֔36YÒI?Ճ#'gm9nhЎΤzѨwzear]P;cV<(<' V%+֬DP+%א.6%DyU4D5h3›~kb'qÜ4u=F7iFpKIj;Os-=]K;S IЪ@ Baũ'PؐIo0j+Ĕ&.:RZ .v*Eimbm<>:I)(>MMcv f zNDûH_>BFO1}#3監S!Tdҿ- #ԕ^U4Cؔ8EAYw^|A囎O}B3ʧ$sY ZM(0k\TCϨDTe,0SZ NlNWp#_ ~_8ˤσф Z@Җϱo}3W-5`ľL\B2ZJsW\a \*=cb]̸F LɔSJֲ#.ە_vF׎mV:tFRkWѵy+44@20d#9yr+{m !M"ubndux!]<,(YkiQLPoִUMMʀS"fo0\oݮM5=¯xη {4\ˮ\w }> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24800 2275 0 R >> >> /Type /Page >> endobj 2272 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2273 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2274 0 obj << /Length 19 >> stream q /Iabc24800 Do Q endstream endobj 2275 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24801 20830 0 R /Gabc24802 20835 0 R >> /Font << /Fabc24803 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہχv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>U]Ѿ 5Ժ@A=JJ9ߵiK[ZNߖj}LLOO4yrCodE#2yk|Z ip.3jU~oC25ós~3ȚCC30y8e8bwr63pΘ)U|/B5_}t{ %BJ J‰p T[zw} endstream endobj 2276 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=112) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2277 0 obj << /Filter /FlateDecode /Length 4070 >> stream x[Ɏ$+xP4(4U%7 Զd=4#k2ؚAu&3"s%?%$߾LqgyTH+p믽BJx*~9J(J'ohk#/Ė?CEH4 ]n Rz2',|׿p ս?9W&τĔAPGW+k8S*kj4x_bGXJ>G)Tr"8"(\褥™\#s:byO8^pSeZH#ϩЫTdЕu&$`1j렬p+_Db1 ؉W)-DLTeGV.9Iiz^s-#i:~Y@Y2 -,ynR` $D$ư8hSupo HWav7R&Mr94<IӤ*Js.@)%J+.S66 L9d!:=tǬĄ  ӣQ!9NHɑ^gТŒ#ʘ'@vhI"HWA5o]xp(Wnk&3a&<ʍN'a],Fc>U!Y06ف$bƘ(aP7(M;=ae:Q|9 Y+z{V>kFtV]( 8ZmGk/ hqB%Ϝf]D-u44"9+ɡ4g(vѭn""P!eaSJF==ZE>Up8L6gbwV7QOgf}qhUVz ƫ]",ba+}XUW(6l36nW]q1r;qgk4~Cܙ,՞] H;nԀ[(/N}؉vZ)-< `mp%qd> b PP֏E5FH+?7giO~FwE{DuY=kfA)`wy%3O.;`lo5= :㯪UbjeA2ɚ[A$ek dq4$n):7#F#]V`B2tLx[}?ZnW3"" 'RRB?pO*4Akg/.`ಁc^+^{f'fif~cC>l%H7}V8@vg6eKX([  5AaiՀw:fz 66G4" >Da+ooH'u]'1^:)udOU?}%j_]uID7 TF!3=Э 4,̜ߊ. d-h2`,EPlv1 QTrtUmic9fT_gI0v\nLD;/6;L5dAX5#V=#sZ87c~^&)9(SDTй~v4d2UR`40X]q=ftW.\?[(9[{o)_lsZW>z=`*A`iFw=gv\:UZkaibIq;SFHj>AmE&{pƷ|y׳I(p(*?@wT|/.\8g?W *a[Ǧ0X٤o*q{(pl2bܻFO\=@k鐑{R^Zݞ6^,ǘ)ᶛMF1$4}˶v:yL d=zcNJdf-tqa#K S'g1;5awgt7aCIRxU5zdX-wsHRƽeiܒ* rrwv 1z+:ce9;8+:zPq%3'LAiS!'2?=Qwg  oV JXCs&AG=i@U`O24&7Ϳ+] @R2ս!Y}^n76כlO:asfQ6TeŻNjs!Kݫ(\quSs O,ĤN(0~ڀa--&շ*xU*դv3U㘍{;-gS<=7X+^Z| m?57ח;;pK!ljU}`1Uoмi2"rxĚzMR1mdNVc>™ԓCj5'1rkg'-hEiq/|F( fuh:f׻;fn;['h9-xt`( \M96vpzr:/u7Zv\}͝S{0* v(ߗǞyl=B3fc?Gն6XaJ/\\Y8c:~}#9A$䃧/m7(iS鰟xa/D0R?ht6UɓSWiS({i8E_^u /ކ~7V >O'Ex\_DxlwZg.khǷ%T D= c>YũzX{ O`ӏ(gtg{6o9,QH1緑A#9 ( SZm{WwW\g4A5"aYq|,[Rƥ"}O!YN--~vpOo´HnWc @4A!$G~@ CgƁ ^/@udU(*95tB|%C eOX `a"w'rs034W= ؀ÀęAQuJԾkz*Pwgζ3||5y6ObnR=վ@{3 )FF;vH8;{%%*V W_GֺAr߄'%M  mw^| i$u7-D^ C/]=}{auA)c)&)Y܅2"&c0FJkܑ5;.J[4}=g>.| __R kt,qr hZxMU3͡>S%W}@ס+Kr!0"Dr+0IKWBR;[u)LS|ؤp(bc o,eyr4S % W.R^(b]R oDFp$lփXO j._JɄBRzݗɊ[{Rk6\Z"v^ֱg֊m(]tϺdB/6X_43 I[x.7K";$o&e:+*w~Vtv0Olk#߾͡ :BoC2)ޯJ1.od )T b~`>qQ&pt e K TnЙB2OCnGzT\W$0F@=aJ:RN¼r~ 1R ~ Pu^yJ?˭'p rK~igap= ,{n?GS S~gJ([֍ ;j2X23W76> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24819 2285 0 R >> >> /Type /Page >> endobj 2279 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2280 0 obj [2279 0 R 2281 0 R 2282 0 R 2286 0 R] endobj 2281 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 438.8692 178.0272 449.8692] /Subtype /Link /Type /Annot >> endobj 2282 0 obj << /A << /D (unique_576) /S /GoTo >> /Border [0 0 0] /Contents (write_project_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 422.6692 184.8692 433.6692] /Subtype /Link /Type /Annot >> endobj 2283 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2284 0 obj << /Length 19 >> stream q /Iabc24819 Do Q endstream endobj 2285 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24820 20830 0 R /Gabc24821 20835 0 R >> /Font << /Fabc24822 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ` endstream endobj 2286 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=113) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2287 0 obj << /Filter /FlateDecode /Length 2584 >> stream xZIׯ@1@/rS0@FNA0 ]գ"Q&|X|Z(wWF|uN D8/,gZ} R)U+/?Jw &}= `2ܔ}\̥ aQ¦kp> \N0pQ*D URi-]Ͽh98߃FNVb 02is]݀ȃ")UiP\ۆ2\8,XMT P Q}6ZV!tasC#1\7 v)Հ3u).Y2:#`I4wd% JⲶʷO ~@?@!g T,I2VzOߏc`a&Ogdv^+_(6K5L_)>">`$!JQ\5i9gѪ:nۯˆ/0cğB2}6t~RX_Q/ϖBDV2:V{_4-Y_6&o)/ _ yHG~R:UHLڜx১.졹뵍i=h #wDM*Ys\UC4)!KsP ?0!'@V^F;#(ׅ>]d=xZh[#uCӘt4B˼%uL?J`&r yaGFC=z>'LOdek0a59pPg=LG*)=MPGMQjPd8s4Eh@ItGH*e,NP:8D2hUL-o;8m# "+u:D=ؔ=}TAW_$OS60GSʝ[M}sɚDɭ`RE[ƾwFI1X*ay6iJ^@xy+b3XB**Ƣ-yl5o2 0۬6rɇY*(`'#FTЮj`~f"5p0cW\Z 6t13Ggk,C<蚈m :T ˻*jEzb0|H0qtJJCo˂):&E(h!rèzKofsl {y/7SJvo[=pw@M'݅;X xHs7= ڎ/ZU}~FM4QzyD5W.?WەF<j 9X R@%z2qgiR {| 09xu8xJ|5̈$z_%P?j yQDTI{\|7vPgtLnj_ X7VM '$QAw}<$ ;`i]0/#K(rWŅك2.PzBL*4[W.exdK0( u#i/XҮġQ˽ݒ'ᗭ;Uj}y,m!-Qq*>M1#Z>n ׌_1y:yh m$WN)na AHUI7,xZLձlWe+">9qT{FHYߕ$4kfezAXu,. ۙ,Sm&).}f ʮ*= FI -3N8eFH]Fnu'{^W$%#4Ew//] ?:y'D2U#MCU6 ̒OWd2X*#l W`-)ukDk#I5g%ЅyGeTGm)V*iBjuLd*P>D3/ ˘bF θC$^фKm4lLN2ihvӅYhEL9_ yV%ǵkl'Hi7MnvѰko; +9H?r0KNY"ݞ6zB R,ء(uP{#ޗ+-;S$vz~^|\*Q(]@q.I(@.E_YGk/;tTZo+i(a hx YsZ9v牄? O}a%a%P!4VS:F *3rki\$]kjʡ:A}sy{Q|\(ֆXa qbK*{cO˷7ӹ\MXfL]9;|У6p(?үfpd`U: endstream endobj 2288 0 obj << /Annots [2289 0 R 2293 0 R] /BleedBox [0 0 612 792] /Contents [2294 0 R 2290 0 R 2291 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24838 2292 0 R >> >> /Type /Page >> endobj 2289 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2290 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2291 0 obj << /Length 19 >> stream q /Iabc24838 Do Q endstream endobj 2292 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24839 20830 0 R /Gabc24840 20835 0 R >> /Font << /Fabc24841 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!H d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 2293 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=114) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2294 0 obj << /Filter /FlateDecode /Length 4928 >> stream x]_䪱Smit̑vi(mݛ{G6e\T(l̿s;ϴ(GFY"I?o+~zFEpfL_W@Ht65UrfL,o/o컫+V;7B~5 ?q1eKb'Urgo;ev.e (F!f+M%!m_Ųe!W*1a`X?@fƞG0J.1tƩ 5[͊"]!f·mi)88Gf.AԻ3MpolVtU_ eݕʐYn;o[]87N1u&"`JiE`XΕ)#^lM;࣋GBLgQiѪ$*ͪei$$2Gz}YP5Ԉ\Nt5.BO^ T+{y'5\-rJ9N+/Igw/;d~ˎ/_v~ycw_o]e3CZ E8ɅwbcQo_|MEk|8!ϓ(ni2Eui8*Y?:k @!EX%/אE&i/ aF#4AJ'sR|tdVm,-%VM%ǐhT j ˗P?]Йq I:ercluO~9^m`oU) 'Bi3+@Pjk3 r!jCJNq6%$=H^)ITaS+b*z" 6 @ KrEG5ѰG~ wX R1&i\KQ#ڽJ:.zE&TlTpP <%;I%BCtka^Gۻ*.G.&"[|jFvu.\ۍ=T'b:2K?fTن#1멻]֛Tт=~cH)G^ݾYNXBَ]M]uFTmVϔTP?QQ&g7]"5 ㉿H-BFR9. {U[U:^S/m =wʖ2/pI_\B+ГA4Șr׸g(VP7p5Y?b}xޘPݹqDKPH8͝5Y2syMvdZ$*;2,]wJG8ľņ_ku;" 'ש9Fj*ٵ;2F' mwƕП+^wx_%nbµg m7Õp;tKh"In@ tNE`#jR)Zpt׺qH!2B0IS`Ճf%q3`5*K^C3 .^B tjNh=kRi:,Bk@\О)UlF{SY'<DvPΡ.4p ̬ Me7'dQХ%M}R@N8L 1Q*VKULy&lB茴wh£b"3f*a J\dBA M9rq$Kl0m7M !%0!X.{ŵ@"+^LY#(Opwd!pIFPDms2hF԰K‚+;49[•Sahyآ h"ke )̔X!936Yz ~eLB{&Z6&m!E8]UpZk .^qd'> #}X Rl7"qc|>v%"qǮF$X;"qǶ"7|jD6Hk}>cDï۠bG|b}a#b=a#banP0_7XTHTXoTXoTXo\vC!F-yXVKJ4jIIVKJ,)V-TG/Ly%% [tfcIkT`ZOjT#L(@Ȫ(ZRRRTGY(@U,H=Tlx'X*P5}j-H  RG@uׂTT *~;XTvP?q88SG_8Ŏ9;S;NStSS;)8;Sl}hnHS;b̧A>jC ERT;Hq|7Hq+#S E|j獚 g3HqTwXN-"r!y}!~B@$V H*YluVPLbL,IwX)@7 ̫]E+AuE#OnIL|hbM ]q̽6Z\56?0 E?zM6?Eafw[j/&0Q+p_f}c"ey 23bSO4=,_uJ hɿWw$bYRL8;m`)^JeDx~Wv/-@8j^`G(aɨ|ڠM3 Ne(hљrJa2ˀѓV$xC#r;t`̿2P7;wЀV(&uʤ8ɛ-y[B㇃ja '|,h*Xw}lE!2כLSZoր9ȑڝY4>6>v c*>^D t/HwF4^)d=ɵPWp2{/b6Wu9wٜa|;۔p|ӕS4 Qfx,rKq byTzIΤV %c}s-~}ѧ]}k蹦R%/ ( Lrx0GІd)R,95NER#!TEE1Yn7Y?*dU&N$DMva`g&Cf} N^'u,."f7bLuN9-Gy;,ًv,ō$~2||\ 7\!Iuqgo:#o64]m,&vy/ib.qOJ+4:7v-~ ;%ɰ+k-6ymfnwq2M'~^GG0Xdgvv2g`v}J _Y V=9Əi1M6"usޑ%nĎؓ)4^ĽbȶK`,9|cwft+~!;޿L[ bxU endstream endobj 2295 0 obj << /Annots 2297 0 R /BleedBox [0 0 612 792] /Contents [2303 0 R 2299 0 R 2300 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24857 2301 0 R >> >> /Type /Page >> endobj 2296 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2297 0 obj [2296 0 R 2298 0 R 2302 0 R] endobj 2298 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 675.15 147.728 686.15] /Subtype /Link /Type /Annot >> endobj 2299 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2300 0 obj << /Length 19 >> stream q /Iabc24857 Do Q endstream endobj 2301 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24858 20830 0 R /Gabc24859 20835 0 R >> /Font << /Fabc24860 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwoM endstream endobj 2302 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=115) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2303 0 obj << /Filter /FlateDecode /Length 4700 >> stream xn$sV~w{ M!&<v/G=NvgJ*(HV/?-v1\miuva) uKX]85ۃ1.11ýQ}?9]|NyZq)PNϏK{<. wc_|c4bwpOeFD@JLpx.Ev|d|6mm/266{AP!5S|3l ΄=+K/)gơN]y>4njlL հ~Atʔr[813όww 2߿&R0$1tLeZѬFQ;s}A)?@tcFLEjӄ9DIIWuKw$F;t?ىޓSQ72ڰ!`P+2Zj> ܴ/IM{W㰆+ČC0/'A S(Ÿ"!fK&}R'2D/cq'{ ˝{xDzThgt 􅟱<]Qz23=KmDv`z9T ;&l 0iK&:)]m$c4ʔvi .ů`ⶊ&W,Na.ted:3".̀&Z,[3 d : ocmuMּ3JڬY i֭1'`/_U;%fu.a՛%Ÿ8m#0vJ .Ŧs/[Y ] ,Dѱ#Z]1r^F,طp1UKqx,.rޡyV {" Xm=ZثVAC.`d%Zu %;F[lkuY74ij˽O"X1DibBC9rJPD7RFx|+`')`*R Eָ]^XN5y`ch[xw~FzI6=3PjC`5<MϚ%P쏝'Jnմ˞x=ߢћ}kX{ʬ 5[~F-:sW kb2+@c Jl92tД}[Nrlj˚}w$񐙝E|!B; bH_1ȕt8fzmXn.O>kyZAق8p>&+ S\˹IDjɃĸS+/ҿ:|Ft]}a/{#b;K,lSSGE1[Dl%8{*vh -8Sq?4g558C~FԀW(Fit}'z\,gaΛs0=aq.AwJ#Ovj4|1ΓsEfq=twoKnMIh+"k(m] [T\ԶaI@/M[eZ}ٸbV7Oͬե-Y입LJKyrlb 5h^~wܐ`hifH:vM~8*W;$ ev(L@Q}(]lchH6aMdPn8s|ޒ raZGkNޣ44exLvu#vsb uv^$F.?Ze.܋{akj9p j%}cS&ՍCu(feo#Jƍ6c3";}"׵PIȾM)W2 utu~87'W3eb0Lj*IV [N蘬ky.HNi:KƳEg2Hת7˅m&,MȆbx֎hE#}j`uEP5ng+s+3nR6 (}Sf8RO]Tq&eIg.zb^Vt^H_IaB1051zJTCj왜-qGC61Wba2C@NNI]=+BY/Fb%fn (WX:Jv_65jZ1̒F ؕGfs8uIMgpu;<}i̷|7}":Jƫ,2ORzTZSS^W~8:+=Ov([`@[(HGk)IyV7\uɅ*f3ScMo䋒k/8o:JoR**i)6*Tx%J7&.e\0@&i>,MJ> ၬki-F3ޣ*BTSi`֋}('D('BK>ꃍ22Fω\x&jLYv$1Lav=gE cӻt\h#59沆θJOcNqr9NBf#XN"DL>?8wm$Jv_1%g/9})m^H[+YE5 0,Dl`ޅxGnWgN5WPe9x6\^+O/vy'?OIHj#O ȁG# >X[ endstream endobj 2304 0 obj << /Annots [2305 0 R 2309 0 R] /BleedBox [0 0 612 792] /Contents [2310 0 R 2306 0 R 2307 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24876 2308 0 R >> >> /Type /Page >> endobj 2305 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2306 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2307 0 obj << /Length 19 >> stream q /Iabc24876 Do Q endstream endobj 2308 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24877 20830 0 R /Gabc24878 20835 0 R >> /Font << /Fabc24879 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2310 0 obj << /Filter /FlateDecode /Length 3546 >> stream x[I@c_)MScZ2o-+k-/^|o}ֳ;oҧg椱KMOg kâZ'CRpT)u6J=c+l^r_2JgaA%j;o@ګl49o“Ep4NE~nVuΕ"L ܧ{:23| fـ2Ka:Eǔc gxbAXWlڸIV XVMX21 s$Aӳ'>i A_ ^6UwO4YRK6N^[n@/熔 vLG#);`M>ʍ8@ٸ6af>99p{eNV׉<*,AWnkXn`}2q wџn]+dT !ܗJ`“f쉟=&7LDIQe ]`h}8"2SيL ɀ j%l ӂXpF8- l5 C1R0] 4ZyhO׋ -Cu@GS ˩R*]ҼǦԪ+E TۀtQ@(xΩ<& %0c4DcM[G(:wOT;ZJb;!^C٬_.XA}[bT6DJqݕ\/ *cbB/o] O`1w-meem"rIG r5b틯QF&d`EZS+NSlQ ŭW.v6;/SC W^FGt4IޛR˺QQ˪[r O[fHSjéY6=m#@Taةʵþ%S0%0+0EUvl$%?Aҥ2Gpauւm OrY Z[]u+h|Cy]\Ӕ7psyE-}98sN?r0\GkJUs;bmFae,6e:?ؐқҥsZt=@%5o`\Cbk]ȩ*QMQT[qC|VT!v&E2{"k$Cf &M+S FJԧJ'U"u=N3&Â% v,؃IdBz+6%5pgѲYǭ]Zl♩Y!ZFj~1]k]i%"}Å olls!xa',u$MN17c=RNYÈ,W2ЎW"#9b+(j:@wj-Yyfy?߹QZbc+buɆ.)Kl9ee(OğVTgv>6븩>Ӄ3!U9ؙzwdVwG=d Giv5$`{M?^tI/:%ISKX\oVʌ')yv~{6_g33Ծ9|kp*H&8qE.G[_?`etLgC$Xa\$ "\W-}3# ;$ ;W3N(k: ,(p$W뿦 1uh[ ZC SNSg@ kc*1 %p ^ϙ67햆˒>'4Ps~"X?v1{h;Yp-3.FJc1Dq&cb` ͘ 8 f.qz'|rc~d 4srSṞ,} 'Yhu:. \||~f~1qP0Yc-EaQ92j]W&ɚ=cִ@?`u)퀻=>-x#bK!\t^P7ٕ>-o¿&wȅG.XmE{N'"H5+Src'YOpW(\Sb'l0sĩfkA$ֻx5Sdfj+` B֓TCYnn~in#&2#`J9TC mO!~uJi b^(^xbJ4-X}ZLC$wV#)[)GcA`)H:?#G8{QCH!4kzgPjD+f̳]G^2*pE7Hkx V]:vz‡z o,.uţț͓DLr/2z٦ YWX`dgW$yqO$sPNB̀ץx#2م'\V贐Q_#z endstream endobj 2311 0 obj << /Annots 2313 0 R /BleedBox [0 0 612 792] /Contents [2323 0 R 2319 0 R 2320 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24895 2321 0 R >> >> /Type /Page >> endobj 2312 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2313 0 obj [2312 0 R 2314 0 R 2315 0 R 2316 0 R 2317 0 R 2318 0 R 2322 0 R] endobj 2314 0 obj << /A << /D (unique_388) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 406.6077 199.7522 417.6077] /Subtype /Link /Type /Annot >> endobj 2315 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 390.4077 206.6382 401.4077] /Subtype /Link /Type /Annot >> endobj 2316 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20211013063105-08'00') /Rect [104.1732 374.2077 189.8302 385.2077] /Subtype /Link /Type /Annot >> endobj 2317 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20211013063105-08'00') /Rect [104.1732 358.0077 200.8962 369.0077] /Subtype /Link /Type /Annot >> endobj 2318 0 obj << /A << /D (unique_421) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 341.8077 204.4492 352.8077] /Subtype /Link /Type /Annot >> endobj 2319 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2320 0 obj << /Length 19 >> stream q /Iabc24895 Do Q endstream endobj 2321 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24896 20830 0 R /Gabc24897 20835 0 R >> /Font << /Fabc24898 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4Gۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}k endstream endobj 2322 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=117) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2323 0 obj << /Filter /FlateDecode /Length 2348 >> stream xZKoW@~Y 7rrR Q?b=JmIz]U[m\~xԭteoj?VS}^3G=`loǭ :Ʉ*W*<Q:¤Lo5җ4/Ɏ-L-ŭvvÝH[夝ap aYe-0mkbMl(srڪ?k2%cx,^}UEhGdVkwps)UJ&Ms0(ҟG\ 3grrfpTsޱ/gA sD-F* T8 YWZC n` 9+9[ٮqIZ&"@ ` 8 V # (F(C06)Spn!ZKmWkɳ 7Jw6s -Oƥfs%S>CEmN/[mѬ V!so_O1N(ǣh(ggN޵y[.6Wt}ٟh₼|! buqnF|Zx! 74\ZG0FԶ9GƗ80״y/߂7$j&_qQb0LVl-[i,$6Y5;^#;30<_!‰5-.M 2Gsà#kt9Y/XII]߶<:V]8.-_~.yTIMeU89붲 ]˵V)6ҏJܐ̏Uz'PJ녒pɽ;^H4)Jz%q+[m-%i"^-L%svI)0pQ ^dP :f'^QUb\+Z1. Z#Ч2gH Շ&9PEz7{ _A*l]vñѭy])b p,ֳֵ:%9z_)"%cJM7ݽc1ݞ bv*{+`!^~]KQŁ(-ő-e69RzWmakw]>#,g*/â5 ֹ ?^OvGO>.R}:gy3caBW@`@H.$&fWr؆;fls{حO҆#1$OKL"oivٯC3T%ꐢw! dcZB& K3&^8p}hlM?m kަğކoqP FZ;J("&jEf*eUV]`xY0 R~Ze2U3MM# `%f@m`y="-l{1Pq3GE4 GH-J 6{:Kkh+dj<2bp%6SfZ!t27yF!(Aq2~!-WMצFQ LXbv8/~uqKB3m%.ۢ0[ԋ9c;`J^ JJyE:RƔ- xy +pķzC􈇡XnA mk6,b䂞{E> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24914 2331 0 R >> >> /Type /Page >> endobj 2325 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2326 0 obj [2325 0 R 2327 0 R 2328 0 R 2332 0 R] endobj 2327 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 438.125 118.9685 449.125] /Subtype /Link /Type /Annot >> endobj 2328 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [124.2815 438.125 158.442 449.125] /Subtype /Link /Type /Annot >> endobj 2329 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2330 0 obj << /Length 19 >> stream q /Iabc24914 Do Q endstream endobj 2331 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24915 20830 0 R /Gabc24916 20835 0 R >> /Font << /Fabc24917 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 2332 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=118) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2333 0 obj << /Filter /FlateDecode /Length 5053 >> stream xڽnί9avmrrf73ԋ/m.=KTX/Y-fgK*vyy[*,/ Hӟ↑ǯ˟~f[1;_V.vڝ'cj OM7:Nj _?Yo4/j%ho\=\5?-_Œ޴3.՚ן]~ߖ/'O.lĒPCevZuz_,` ~0!G>s3>x gc>hmᗹm4N~ɀÞ^^3.z./m DlbY<w_8V+{݇&i'i)޵W; ;LQQ2v 2$/iz LcFÝ6Apƕ-FH~Ff'fPdX}Gu+>D3.؂-iZcLL=`c2p|?-vu| ,O٨g?-39\!Ca?eN|3&TJL0)T| 25>o!:n=p'49 UΫfs&,3XJȊ , أE&YR᷅^@ 2L^:{zC |fPueP34W&' TWQߍx\޾ݱ#1p@}o\6ɴ,v>< =ޣS4#%<ꞖJF-(uRX2 );_fK|2\Aqs>E#DF8@\iE"'y2^ Sy=зϰ=7~H ?bZkDQe 䤄gS-DYU Aݫ"a8O^qUk [g0L^D B ݢ>vHayPoS( 7JГ;ymy IFpQ4 @C뫈5HK?X^vH$bq`RZQuP',FT8Wgm3znrkAS*sIP:Mz#l"AlW2In,hR k $i {ӑIuų _k޺d0P/,G"RXP[ 4|ibZϖl7b՞KЬ:2҅&ͦ.σ*49 #ӟt7n,` ГIV32B ,s.#;6M]i/7ALؒ.)m$/{;" {1v RT8mBYa4[he7m FY@Jz;6PMvpHGnhy("Ǿ9Ts,'\ܲ[8C۪{ q촲OMU{֓c`0=Z` 1l~\Z5A@+ФA)l{b:~h4:\j&,WT*F6VG*zlY 8,U{ { tsZ5.z"iX\d-{ {i.ھཋpWLYX['IZ؋xNp)CX3JU=`OLʒ~uۍg<%O=8p~l3lcKat6R21_kf'՘6Yd15,soGrh1Z [pц? -YCQzd[ 9Nz6S-kZ;eYiE,٪S8ߕ׬CoGtKy&6v a”⨶2~ gAV F\cW4-v0HVarܱYYVB\)(XſSbtQxxΡ%DBh+ץCu-0xKq,ˆ]T__{^GYRتlL҃N#Dƕ^+ . Wd`àTh vz/ٻ9m)yBlo)qeQؐP!EnNw)S@ck{5Ma8ljW_8wmLZHvJNe}+dʔ\xH?7 ǧ`3oxN+3sN&TN%s*Fcꉚ:ZCaE L>+;tT_Bl]udZ{΢gky`8'ZKq;=&uMRyQsnh=*vh 5(~;|[]UiD}+:݆۾r[y(THD07$+ [cwYlJߖ^pR3Cv7s=eR~ãCXug=r8)RITߗ <Ou`%:v—bqC Y8LΉ 4*~RU4d)NhOhڒM2`CV5n)vU[-gEnKt7tN<$tnIvebp22-*p̑Yjﮝa ALinbuO7- Kw]w0ˠpSWͧ( jQ*N{tuzuK+Mw`iI[M=aR\DF.GLaABrNRGKb]`ze|^GJKۥf4U^anZq&,u V#?ݷ#^TQMBT+k)ʒ[p~\] ^0a$$f߾j ᱩLh-JM;uiiQ!Ւr AQ[uѼqN{X<Z_.a /Ǯn s}Um|`y ͙5I>3Yyo |JzM1 S5Z>d'xny;$U6[P7̺SE6:+Jn,Klsaa + kE5?*ںܤMpruIaGjOs/=Юnr*.У rJ1N(9ޑBѠւr*sTF=&(&s|]dxQa1;kn[̠ n" ^l X1CT'vR'RwX0ӏՏ̐Cx8\$Ua]vXW{$A<7!:h?P^5ݎ|ǥeʷ|7~o"UY`%>qo_$#`5ueZaFijXSkSūZBFGxo7ol\{{Er{7ɍUeوpq)S ^N1zOTQ3ӎrIF q?ѡ6!ͧPiχ?J㋴\vK恌K{>|PCS֚m(+9'Ro6X.SL,Jui7^dr$TYe;Br b]V堨cHaxC/D_ԗ>cTחLWBM*W㜊+ G9Ig<⋻0u>e"|+$g^}iWq8i-_jGNF9z뗣g[8pe2v/';Gh,gJ?Xx~3x6Tk|L ]-R;Mw| tЩHbFYq#+a f 2fpCqlX 5^֏8g_RY8|Kc>=Jl0~ ˭k ZK8& endstream endobj 2334 0 obj << /Annots 2336 0 R /BleedBox [0 0 612 792] /Contents [2343 0 R 2339 0 R 2340 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24933 2341 0 R >> >> /Type /Page >> endobj 2335 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2336 0 obj [2335 0 R 2337 0 R 2338 0 R 2342 0 R] endobj 2337 0 obj << /A << /D (unique_173) /S /GoTo >> /Border [0 0 0] /Contents (read_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 533.0346 162.9352 544.0346] /Subtype /Link /Type /Annot >> endobj 2338 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (read_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 516.8346 151.0057 527.8346] /Subtype /Link /Type /Annot >> endobj 2339 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2340 0 obj << /Length 19 >> stream q /Iabc24933 Do Q endstream endobj 2341 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24934 20830 0 R /Gabc24935 20835 0 R >> /Font << /Fabc24936 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuXM"|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYMޚGFx?1$_0Ԣxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}>Z endstream endobj 2342 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=119) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2343 0 obj << /Filter /FlateDecode /Length 1880 >> stream xڵXIk%79Њ̃y BNNʋ?o'b⌎<~gS /r"}eEXpMs'cigB |xkIhQ.Z-֡l*<3>w˚kƑR>ڻ[ V(1`ܟ% /ƜhuoLjvƟ%j(NU x8F[eVWV)fӁ `"x*iע>!t9Er9Oͬ!Ɨc ñDXK4 P p6] H'xbDl`2hmQpxS :.4+!6kg|-jWV(Z-05:,sv::/zD2sG+=\ (gBʵ *\+t %*Om9J &!mJl`CKh2V |z~ɽvesyC 36+F'KJY]CH!',b ~C=>b )婼3{ՠ[Ţtf,ڟ?((/ w/XGP(AtJ\>|_0K/OwpC(12C0 ;BZmѿ/_-iVkE|ev߶7B)zx2m#>m$xtWW`f");y6O[9~Sjp ˈ}qwmP!鶬|Ick U!dyZz[s~0*p?@5'e*9 5@(>Bб%+" $H%tb7sbPՀ7)mU5:/eLm-cStQOPnrGB2vbQ3 mgxna;50M͗ךo|fQ~V^}B%^LQau;fJe#Î[@4e<( d0BE/H g܊!-e)B/+ʀx䗵Y^]&W5:DY@;(+%+(_hĬ x|*N4 e-VQ#M-W%uPDFѲE$x$OtЙF=a̭Cpn˰ &zd5fՃDM 8SC3#!r_3tm,4QR OX|871שK`He%)LaƶX(̺y1[AjJLNҏcR} '.YE6]>AG qW/ g;ֻx>tM. K}UѩWc鐨}VZx2"!S{s(ȇۣz(:f^Gd=Hw/mZN:;t^CÅly{<<;8cXy_{ݱޱh:A~3PAgft` Wj1뻣8zxNAUήǿ *`k8 VII[R\/ׅ\(WDXaΙ!9#>5;q~~%5!54B endstream endobj 2344 0 obj << /Annots 2346 0 R /BleedBox [0 0 612 792] /Contents [2352 0 R 2348 0 R 2349 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24952 2350 0 R >> >> /Type /Page >> endobj 2345 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2346 0 obj [2345 0 R 2347 0 R 2351 0 R] endobj 2347 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 396.825 137.278 407.825] /Subtype /Link /Type /Annot >> endobj 2348 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2349 0 obj << /Length 19 >> stream q /Iabc24952 Do Q endstream endobj 2350 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24953 20830 0 R /Gabc24954 20835 0 R >> /Font << /Fabc24955 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 2351 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=120) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2352 0 obj << /Filter /FlateDecode /Length 4349 >> stream x\Ko9W<@$AJr507`N^,K_YYɺͶI& F fh 9%Zgu :[2M)hgǮ>,)ooƄ]t;wI{T\XgO.$3!7*ޅvU~lp_u4X fYKGf1Wgj~SN)4+,,Rb):%XEdnʿ ?48xU©(/ˣF1$0If= J+3;_a=q9|6Qyi(4s16H;8`p Hh<H Ֆe]Ol 30~hWDKK e _KXv_(d`]`cf d@.@ú%d6*aߴ~p%bl<;c]'P9B9cQL ~@˒0pt@$P]u]Xm` 5L/@pȴ<3/+sU9D_^L2ݿ ņ3@L3[gFO4kuɩtؖqlTe!/!EK]HyD_L+xoDxmH$"fAt+#(3/ޒN dH =033CS,(2${ sxx>K~+fEN6HQϧI|`̋^.wjz#uތf uB~RݙRaIh;cZwvG|;Qm}XpUWؚY3>Rx4XX7hZJ8hKo+F y.Rڋ-KCd7d…F1<+TZ\$l7*aT?Hxo@q2+XRšU} D355>D 0)ϕ%8.8؅9B*9gLuz'z#jQ;E /P KWXizi55gm4_kz gDh*"UYK5,v>̕a,ZPk4|MAZ^DgKX텵Zyl:2ҕ&f.hσ)m,9#nf3۾o0$+'T#8\bNi*sC%KҲV$șء%#Y|Pȕr5DRƅQ;w+YCE׋ u>-mq5ŕx48mj@RyS |N7el7Xp{p,eXHsہEEv`B],ΫF2RG(63Ud;V  wڕ0QDb]NNtY Nn 'pR3/Ipҟ'5IDS:v> NjE<8] Դ:}Ԡρӽ_c 'uau0ԅWVOڮN?8zo@ `:B<6R2[3YL^ "H> @ u 4O]ClC;z7:b!||^SH8`0 6 dfv`$_@߱gzL$ǐӅ dn>A/IҸ$`Q~Q5[eu֑:K9x|ޓnwR8rwe1,!@<|8N?dxVI&$'W%l8uI잙 qw \)Eyڞv濝Pvzi;CGI?'&C7'"nyP("d.m( JS|:$=2lYk,./%ըaZI ࢔/PpŗضtĤV %ozkJP2"4G^цtU՘J?ǚ!^l"-~wT' SͽsҖhc.Z`,6<%ѐl3MLΚ!i:H6 Pm2Rux0ѡ1USޛ#FsBRKG|(\ZrˏJCHC෭nm]KUD0k +¶kŠb3+;j7Ł\[Q;'V0}u ~jkp겉2IJ~M±qegqWf.g& -oV$ ޹qݕd!t1~7Z'\G<9֖Q_6 vng`3vA+w8 YzδnO29TM2Fv'r߹ȧ=>/W{[pz'vLԲDz$僴`E nԅ!-kL)Gh)ehܞ[Ҟn~%fԻ5m:N^3B<8/@`!O/M akWDة "|/)2 P1W+gRPԶ3ScPPg "ґ_*ZLܦ-rsuιļ2?<2ԙ۲w{ ?Q DL@\Pp@i1 ɔ[y]&%>RpNCN65 }=%u mgq4dFC61ba nT rpKHlD\(se "h%]Fz]l&רAc0FF ̕GfcM8ƹ&3ص:|9݆}4O0>^S>bZ2Yt?0_\T)k;jpJHJHZBGG>÷b_{Er{b0vi yYg#Cc O ΅S"jUJE$^PꜵbI$>|Ee* Ȣ Mo29R\T}l ~5nMvzP08<[ =c/&ΩaL33c +9_Č$g9m-d?5k/Y Orvӝ "g1ξIgÝ6=I GO!6}8B`Ĭ]> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24971 2364 0 R >> >> /Type /Page >> endobj 2354 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2355 0 obj [2354 0 R 2356 0 R 2357 0 R 2358 0 R 2359 0 R 2360 0 R 2361 0 R 2365 0 R] endobj 2356 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 161.0578 197.6017 172.0578] /Subtype /Link /Type /Annot >> endobj 2357 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 144.8579 197.7282 155.8579] /Subtype /Link /Type /Annot >> endobj 2358 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 128.6579 195.1267 139.6579] /Subtype /Link /Type /Annot >> endobj 2359 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 112.458 192.6352 123.458] /Subtype /Link /Type /Annot >> endobj 2360 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 96.258 180.2547 107.258] /Subtype /Link /Type /Annot >> endobj 2361 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 80.058 177.7632 91.058] /Subtype /Link /Type /Annot >> endobj 2362 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2363 0 obj << /Length 19 >> stream q /Iabc24971 Do Q endstream endobj 2364 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24972 20830 0 R /Gabc24973 20835 0 R >> /Font << /Fabc24974 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoK^ endstream endobj 2365 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=121) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2366 0 obj << /Filter /FlateDecode /Length 4066 >> stream xɎί9]̩NTmdyAR E||&Z6o_yq7]Y-2?Ulկ_Z&cf&o{cl ̴јI xiI^=sƆgiI-S^,7͓vÓ^;Â7bL:0N&Y5)E," 3?d7< <>'~r'1v@,h#`wgYVu@a3U''EL3P ~/{g>2f9Ѽ'5}D1# W7ȳY[]ll*ua.!҅@|S(M= 4PN2 HKwg޻g&1zg /ĽB݁XIM"r;V5"w%}Pņsrzd`ok}oK(b"p391Vs~ZXX(#d"1A \QǁX_>/RmfS]<|5R"$XW}^< ga0,7X{q=i/Zk:?Im0ԃ;&9Sl\$1r n$^YuqK8WmeёEJqa ;2.30%Lɀ_b͇i]@ gffn• F31r1*obSb"PY.J\JPlJ~$sS[v^RՉ{Ht TBBEԹGrsنr-o.B'iEI6`heE-eQVz?aRŚW\ stSR#Y/X:垌g6`u8J =sSΣ= ժdAy9gK8<#Qhʒ HYL8a]sFS0˰?'gw+r`zjaT% Lm=4uFFzHJc^n7&$hԐ5.uv21J89ՄfrLsiPhJhT1iFը K~Op^ȺA_ӱj}J z op]LEq /rt &hnBNƉr1-6d%Y7 $pϒtFG+&Vέ{ r~ H qo-g@Dra^1>bt^XRdFFv yBAiгg!>gekժhXiӘFά|ƹb7č]Σy]Aĭ)~ׂk|ob]?hZDzj@]ZZAfEfH6/HZƌdG(lĬ)[XyM&q%@jy:C̍H1+agzLVLUYrW,U@U[]n׭7OZ8GQ:Lf7JWXGDQR)GDjz+׻ 4B]4gIe7m;CcΗ,ڌ-=K=jW Sm&;w.Dd]ϐ[F^KɎ]&G$^l8ZIZDL[6fyi-NWJ([RglE{wiMfb)8#)&IJHJ} %ͽ<-&-H%UN#nLtBL!N紭X{<9ʒUe䷬4$ 2|ܴtߨL3ޛ WkiU`X]XYvJBhƱ]"삋; k()Y2"5JnwkՆfq+b?В}~a_W }'&p0ٔ?<4mp75;eB!LI;N:H!*wk}<>OݾO-l( p6E:e51/JuD㘆}=%ݦe 0#=p< gBvgTAі:+d'Z+MZ3xij@7һA Nl ֺ]Re^";esM}ۙLj 3_5 ض#$ ~a@Xa&zF8ܘIez`ZQT'^~7 H], w(v0zv:8,@@A)UJ ñ*Ã'EkH:yen:sXD 0A1b EXtf]XPU!u `rGP Z q1/^oW-|C>0p !s& O!xt#K AMeLjSOۯfV7/~#$E'f݁<ԞgJ^C55nZ"`;+KVk; 2ёڤu+5:/o[}6 O~P(sOj>KQ".flNVخ:~*ʴjW~[ݺm0jէ`E4ivhxTxH[Pe1/imq'16gJPk'O azsk}n.c-Di8wwAؾ ˚B,*ws(ꆢ)車:EIQ~HS8F:N-YVZ"z8v2sr#3}$)91p?cn3a "&P> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24990 2373 0 R >> >> /Type /Page >> endobj 2368 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2369 0 obj [2368 0 R 2370 0 R 2374 0 R] endobj 2370 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 208.0022 709.9] /Subtype /Link /Type /Annot >> endobj 2371 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2372 0 obj << /Length 19 >> stream q /Iabc24990 Do Q endstream endobj 2373 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24991 20830 0 R /Gabc24992 20835 0 R >> /Font << /Fabc24993 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2375 0 obj << /Filter /FlateDecode /Length 1165 >> stream xڭWMk+7Wh]=}$ۉ ]ѽW3)ͣtԌߴSruqMd:S˺5ڠ)ui wqs:}6{#7dMsM dSƖ5ivSkS KjQ讎%)!0҆_uDꪝ7l<"ٺ:cDZCnZu232ѹ;ec?{>oWp׬hOGܝ9c2ޏr4۠^6WN`13 9rfr ْ5qPyS'z{ܩ%KM{86)qB}NGDCkp״CR endstream endobj 2376 0 obj << /Annots 2378 0 R /BleedBox [0 0 612 792] /Contents [2385 0 R 2381 0 R 2382 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25009 2383 0 R >> >> /Type /Page >> endobj 2377 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2378 0 obj [2377 0 R 2379 0 R 2380 0 R 2384 0 R] endobj 2379 0 obj << /A << /D (unique_77_Connect_42_bitgen_commands) /S /GoTo >> /Border [0 0 0] /Contents (Bitgen) /M (D:20211013063105-08'00') /Rect [90 255.925 121.1575 266.925] /Subtype /Link /Type /Annot >> endobj 2380 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [126.4705 255.925 159.1625 266.925] /Subtype /Link /Type /Annot >> endobj 2381 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2382 0 obj << /Length 19 >> stream q /Iabc25009 Do Q endstream endobj 2383 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25010 20830 0 R /Gabc25011 20835 0 R >> /Font << /Fabc25012 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7"thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛE endstream endobj 2384 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=123) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2385 0 obj << /Filter /FlateDecode /Length 5234 >> stream x\K$9W<>z?(Gz`Þr{2.zzxdDdDd\2dLrIR l뻬4-I#I?~o/N-6n.D+˿Qʅr1 \{ziO6_bT›[ܞJ<̥#r&jeW_7ZM[|^˯_Eǟ/R!'xVektZa³{J=k>ݦRIW*~pyUhlmJJ$B喩/ԟj_Tc-^LM:jW IsW׆j!_oj6kҾTzP5@tڪQ(`(:Bu1\)׬<>!kY mhRh$h{ !Ɵb(1m۟䯨K 8 CլN._F~$ >zi h=>'N?`;aW氦dUIT eyvuauP==D%M{VosyT5 BxڰZ{9Cꫧ;1ŒU z/ MkH`3CJ_r`w]^[¾((|j#:eCMA)fx/ _Ɠe"D u- Ocټp2JAef5Q%T}__|e(V 6ncOpǶPP=\?.gW*e@#`\cp aT:~&,G ^0M\2Be8Ė1Uq#&@81dcɴ%/'z[!YlW&rߡCuHTQ}9}I_%&}%%?/qB_J}D`H$l!CjZe2U;v|{/eC,tQse@V mk3x"g=5=jU;k c331Oq? OM! &溿~~3/yq4|f2 { tfYu.'zB㠏SU0br7R!Ëvj6|6>lf{OTL)we#ohr oטy/ͨ}RMK6Nՙd캝8E6jAOaa4<gC*It,A\a0W517ȉ`G*иF.C8K/Ԁl=LMy%\3;}߸!+~'Xpi |(i] TWxi"IӪPB}V\5N2V;kG}'»k( zA՘=RYuR~NpKgnj* [[ ڮzD'uDtQ¬*ꔣEs,PLNܼįƋ7 '$'ZYJA!yjK[ HSb#mRR)[$|s:C~E55[7"j2Po h!8j?՞zI&X]KW{I6sA{Lic~4ll?˖jdʰsi˘l!l 7d(گ.)9]#O+;[G <#:+M4btJcU"#@йݎɆBvM,s;hc@.t tƅ N>@̟cz?0āɡ: cpك`!O @,,*m5&`qLٜJyT =۱2df=#}Wv&Db]N鴂Nf 'qg$f8ɛ$f8{I pwxxNcNp)$v1v 4:Nb}t+$NN81:'7:Nb;:?ܧkeܚ@MɊ]ⵀl(V;j7o5?TxY-6@ l5|3hnLV@dTZVOd]t@ ,>RT .|D'SS10%S0%NM>}܆)q)y+S#~Tbw"u3e׀x`T> *qŠT` T⒁P+@%..\/7-scoN;,mS};)qb߭}7/Jܴط?_۟N/]v>3E6q3OS"u" I!ld+& uI0&7 QO iZwŬG.A8(ѥ-6]}V8 ɤia& Հi A.zi{tYll*;+x(~>gjWIWvh}2[QEf 8QNO1=J89M) @k/"CcE=Xkp[Kre"Ԟ?Ħ?Jj`ܴiqVt Ji(-Ӎ K"Ȫ{baLz7^<4Mk@1v ' uGw2foc.8hXeor>2D\η5a%J7١*'WQc1Z"xJ^(͵H8hΤJ!=ŒKR-tֱU.#oWsBZ7KyL3\*GkxR,E I5l Zd|R3&pBQ U=B>9?%ekK3R\6C˨Vqfʈ꒵d0AP)+4T1YDǕ)@Ul!9h5ѶK <.&D]4^LMO7)Z(3)I$hby|r(P|oN$4.ltqO⇹̣h"vn:>ݮ0/b~W_385tǏ[9ӊHBny%G<=%@0l+.91uQ)S~- V52\wwh{~Fߥynfr/7 6CU?Q=J&kiƵkۗ#k.&;OmvK;x V_'@ endstream endobj 2386 0 obj << /Annots [2387 0 R 2391 0 R] /BleedBox [0 0 612 792] /Contents [2392 0 R 2388 0 R 2389 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25028 2390 0 R >> >> /Type /Page >> endobj 2387 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2388 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2389 0 obj << /Length 19 >> stream q /Iabc25028 Do Q endstream endobj 2390 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25029 20830 0 R /Gabc25030 20835 0 R >> /Font << /Fabc25031 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7 ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2392 0 obj << /Filter /FlateDecode /Length 4645 >> stream x\n#W˹/ @"o4Sc10ǒke,`eFFE¿ I/[98D$_w(|=j*%n')~^ 9W '/xDFk`o.RM,<ߍ)|OG 8AOE#Th@{ӟsBWLAc,t?/kLXkQ*Z<+~[]xU7YVc| 8Xhf5)4pb1&OynFcʜL- ,T};e,'ܚ|Oʮgz_ʼl`n,sЁJ?#X >Zʳo YUH:o}[xԪ 1^ w0a*LbTLQJGFQxhmbPȈ,IqU 1#Kbp)`?[A-;qPv2 U ljPضc8q&5XU煿D2k%>Kƥ1=(-ԍLfQ1EԯؓjJ#0:3{y?V(TH^fVBKh{-"/VN~&NWd^g7fa7D y.qCtJ=YWbgaڍ'%fGzؼjVFwC@]#cP_cmob',\3vʲ+I!5+#;i*4΋%r@h.L<5" ZM/M[ 1m&xە hr4}Z30d>"#BΡuA$zҐ*Ke %jkErBM&Us u.vEE> O[Jd$Ear x[u|e@`[VqwLc|=O,ۣW&N&hB>IKC!(XJrQP I 2Ei"IW0 e .޹fxhyYFb]8 !qQ;X(e#  폓nUll~j?0c|(L/o!\wldj& 7szaT5!" ٤FOC%i>:%| «Fnӵ'Հ~ >5Udކ3bzaMa{% ܃e!lX^)tYLJqОs򕵋~& sG7衻xlAf'TOtB!44rS3QI&L7tuE8ZI]!^Mbe}Ls;^Kőu!'zA @#;mj>;W.-?|R͐k3>^cl^4ЎRr5nSʏG(Y/p+û'aJҔS;Mp6<hU6ȣ ڎ>-`9dji+f,GSf͘J=&6_|Yt{zdTn(+^'꠮21;0 gݍV9If?˒:]A >̐ -U%7mكEM)M9Bk͡':%<<!9j9>D=TBaEİEJR""a ");< f5,k޺!`GԽY2ցV.yzX"fr Ώ%{b{2@*j㔠)`ŻL kQ۸']F@tUۀ|dmڔUFjTwlp_C$ƶ(WN@z)QMZ .VP3{Z;hA;]qEK#o)3\ܤHgQ\a]}նEtIC]zٸkAh`*nPHG 1}MX ,>4VK@()jeIoMJw qwήxhm֔BLaTuԈi89P7X0A.{u @sD竖v\P0|E [K`}]`r9'N> @Yp>5;0;x.PQN-aVM ,C.m\ 9;d9@ rqNMK玚`k:7lQmZJ?ټA"ː'p"v\31AX>a+G*$^PSy-KVԁ;EJv9ӱf [ȋ6x( +ʤLo9hp_.l5IF8 2Eh DH\:&0ufJ,p alLjC'}ͷO W@~'[7vw'Gu;% i HENjw~ ܺ+]EY5'w1K7lBl[AgVun+dԅ9*($XM&VfHAbsnu.mk7ֳ'Df5JJ47VL5 QdW;~j%ڨUz}wӚ6!ߧ ZWiY.퉝"|H#k:X\ʊ+Ქ7Vko\{_}-yF=pi ͬ{~_<LK^g'掖:;Jc1O3z[0WkEZ}X7jAg#g]:X-\jjx65Vۚ,vO/XOL}K1P9 rQ/Gz#k{:;vAsd>!p+9heꇊRs5urԐ\t_&ݠ7έ.׽t^qZ &C!Ft۟(JG0֯#6/ KfҤ~,ފ|ygsb?OU FԾm*e$-l#6R]Akt}K{+ rXzmHUQ@oD0ƣ]mr UH5hZ+~_̊vZGk8;ٴhȫ"r޺hD76H֤W%2э-vEn?Wˏ} lz?r0H:𾔱іpULr~x%~|h7&jJ p T(ӺU~Pz2ځ x& |h\7q!:xlR=catϚ5jWa?B,+A7J !e8 ߇2&1+,&2C[LB&k+6${LعH-~]aS܀ =x|mIE+D`";E$k9uke\ 4f<kW *9 ,+r5zS)_,~SQ6|8e28T~ Qqя| te{WgĩvEhapTm=t?Ȅ|8䉔c3&OCLF'Q֩t>Ay<#ڡy) ;^|w|-/D[*C H*}5lv= ]Ykϥd$?JY~M5oObGIt9Bq8KU\ B !,.%^02ܝI;4-uh{qg:ufi,i]1s4_=g׾w s%zT-&eljX+"3|ވWLG_S'i4/Vu@y͟ <*tR͋zCƽ!kfbstVϸmvmD8x5,+g{xxY"?YgSaaKk0 g/mf3FI?edf_ ?bʺ8 endstream endobj 2393 0 obj << /Annots 2395 0 R /BleedBox [0 0 612 792] /Contents [2403 0 R 2399 0 R 2400 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25047 2401 0 R >> >> /Type /Page >> endobj 2394 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2395 0 obj [2394 0 R 2396 0 R 2397 0 R 2398 0 R 2402 0 R] endobj 2396 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 417.9077 208.0022 428.9077] /Subtype /Link /Type /Annot >> endobj 2397 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 401.7077 165.4707 412.7077] /Subtype /Link /Type /Annot >> endobj 2398 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 385.5077 179.7817 396.5077] /Subtype /Link /Type /Annot >> endobj 2399 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2400 0 obj << /Length 19 >> stream q /Iabc25047 Do Q endstream endobj 2401 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25048 20830 0 R /Gabc25049 20835 0 R >> /Font << /Fabc25050 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n` ӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7} endstream endobj 2402 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=125) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2403 0 obj << /Filter /FlateDecode /Length 3559 >> stream xڭZIo$W@/ @ͱ8 `_KZc5,"ǷDMi~{uRܪ#mo97ڰ9'wjC}zk񴳵uIa V`] 2_WPxh{͘yY޺@#l{qGm?d70=ޛ`B}6 61o؞_Dz.a$s ?qDVuEZX0[4 4﷦ N| s%j/[5<#ZVtZ{%{ê9Vv[+x{j定w$F%$MːPt`lvwĢ. А!=4rKink7"7Wv,>&mx6XBJ[{,gٽ5^]ʍZ=vOM eHT듡Pv h"5/[nio0( 01(K21hGc^ ŀneImoVT:-BH`8!n)̜u:!dDj; =4eꊹ T-vZR{}Gg}*6XtFBdI7K ㏤]:1AB{W汍+ TeJw\K}\Y*dZDJ6p d`S vSOS؈cX"GڠlJ- ]ywe3 i"|eV:D WIhƕ Bt*o؂ge,ǃM%ۣdTQZ&< wvWz+W/o`<%lh7wӘEJ(+EƈhN`{w҇8WJw?Շ4F`!n\J`#<4}P3K=I=Wr"U\&I} {^3y3!ws!] MRJƚlF F!iz'qcϛldaIV :>.|"WU1ႛ_8h)?3%K kD 1TwL']zAYĚ7mb'79ptEY-K߳ۙ/̢FaN.qQ,R޻EA\,hrUBL 歽<.i,2Jm'%eL- Ewwkwk `3V3KqZCx.`xA\9vB3ZT#Q}!!9]FHe#ÅB`,ei\#@5$"pe`4 ^hiAr*U;XIEݬ໭M֬O͙vGvUB ; 5}< r(voEX=G?^-̮Ƶ!2˸ ~YtD/(QD|qube`;=ՈYޗI$cL5r w 3s}馻^CUu||`ۂ<{52HwKl-fWiF\4[jjVKG@oV2nk 'qɯ/|m`6*"/s2r%quܵ:#Ue+Z;#ڣEّQ9ꕣ1ogJtQ{U{[{ՒR kj_@p1o4NJ<3 *M(xeKb^; ~c@LkorF~gEy }64e[ZeKu 7zd'Bv+i,MX)*!^*i"u&Ìyp+02rGDⲪïuJF굴Ob?EjH:Ņ)ϽU10P:㈙@tP USV)JߏL|Ƥ<t=߸Z:י4qsؖnTHfPڡ谏Og> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25066 2411 0 R >> >> /Type /Page >> endobj 2405 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2406 0 obj [2405 0 R 2407 0 R 2408 0 R 2412 0 R] endobj 2407 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 437.225 122.505 448.225] /Subtype /Link /Type /Annot >> endobj 2408 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 437.225 161.9785 448.225] /Subtype /Link /Type /Annot >> endobj 2409 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2410 0 obj << /Length 19 >> stream q /Iabc25066 Do Q endstream endobj 2411 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25067 20830 0 R /Gabc25068 20835 0 R >> /Font << /Fabc25069 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Tӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2413 0 obj << /Filter /FlateDecode /Length 4119 >> stream xڭn$ί.s' hP 6&@ 0smjiI3Ƿsd& N;}yJ*M.7?Y3=u~N>&7=N8kt|y Fޞ7uAI ufX[mrPZWFZk<`(aԺطszuO';LAbïiT:9.q ~Y~EOY`mnnB-OAȁiDw;8`O˴iفlAV~OiJ,Y+Osə7d&e30ԑ6x0V65&ϋ:z_\ם*2 Į;:R n]DߥJYvdl<3%rzO'z#2 3{ ]^flYt?E-ZɉkwKB(akZ%6Tj@ϟ2C@R`GF(eSCkbqc[[C^,K湳OҞ)[)j\5kOWjpX7_^XS&^a[^=ot}y[L`qd2XrR5` mp9P }m O89!Y|@lHZS5"aVۄ"魳ԽZ:{-ط͊D6 Z@JzH`nUi)yB/w+]E u@Xý1oVc\,7W@۸ٙCߧTvCUT}A;moc2 2 t,Y,cY ,Yˮut_(b[Ճ9yXTum Ȏd n t%l4 ;sR`&̞9ٵ9YjNddX|9fJڌτk^ޘW&dE4&nHόzT511'oېi` XovG}}iԊ!R?dfR师'~|#+>؁xΦ +1`d;gR3)ux&% \}t4VOI~7m"}qzS&/pʱ^|_Nar'/ ,qneN+{dLbo"2`B/ RG-}PqUeA(ƍ`Sֈɘ d9A]lc/tiYr= K[k;]cr@9F9ES V2\*K1xqTLQ5n{} X1x{2v JXˊXX|@eەNU&Q&߶-\رZܸ`sBoΝ}؜ȧ:މެ1$g;/E%=u u"m>IBR)'oc,m!ktXk2.΃u$$Bv~IZ'' Os vtQE=L"ґ|xErn:ev4LjYAVwBc߃1kC괤ܼJ"!BH<0_Q]eNr .Ә|  .;Z1a4cԻZTfq6uSTB$8蓚#1J|oG=rO&\J,pVi)2´bHVKocYtTwݪg#QZKƮ4;r 2zGL$˦1 -=^IvK{‹eeճINeٷZU57Avqhn[o咔$;X>6=1,.D.u >rԐyDbpwWO'ǣcDklq᧬t%G 6$.|{U8zS>T]%Mz/wj[DB: d1Fm\}wdn[G7>۬|64;YԒX$t /y6Ywꕛ`Y[Lނvg%E2gNʸˮWJr(ѽCzElv}r6py<ܸ1KbЍOWfC/ uۤ +AyՉc z$;lzy{qkN! s{~[YFԈC;v`]’776g9Z4ȅxitR΄l}QjXo]EK ZSUau/,@JːPc1UvnoY)-f5x:㍶S7| j}i)yã d^>hJ:eZ~^L;)6}h2.)""Ё R:npLR>@Z?}Kw\gjy9z?@PӇ(g 2 B< r-Gp!w̡4@;RsMF%2r;I7Y8fH̠ j".:(͘a> (sa,2Cc%!5فuQǂZ+FqcIMgu!z"U71W SU6 h*"ƻ.-bdM]Y_Tꌌ  g*0t*p 4>e~q IS7q^˵E1Z`\8ISfO"Z ΅ҋxv K _SE:pAw_)$얲#,͈$()5Kb݊ TWjbEeB.hޗ\jEUv-deοn1pM&G MU$^]'&tE:_"8DZ>ҙ"6{MZFt.rxkO`d}׋OX%3_7\R+囓]F0[Q$M}\3 ):XƊчa'm'"rÝ~/Gx[r"w \~ i㭷>(eLuBxҡExk zJr"cv=P8x6,fIg/_ύʗ.O#ău~),lq0dž3'Pzd#->$P 5ѳ endstream endobj 2414 0 obj << /Annots 2416 0 R /BleedBox [0 0 612 792] /Contents [2423 0 R 2419 0 R 2420 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25085 2421 0 R >> >> /Type /Page >> endobj 2415 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2416 0 obj [2415 0 R 2417 0 R 2418 0 R 2422 0 R] endobj 2417 0 obj << /A << /D (unique_26) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 163.3642 608.2] /Subtype /Link /Type /Annot >> endobj 2418 0 obj << /A << /D (unique_576) /S /GoTo >> /Border [0 0 0] /Contents (write_project_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 581 184.8692 592] /Subtype /Link /Type /Annot >> endobj 2419 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2420 0 obj << /Length 19 >> stream q /Iabc25085 Do Q endstream endobj 2421 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25086 20830 0 R /Gabc25087 20835 0 R >> /Font << /Fabc25088 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2423 0 obj << /Filter /FlateDecode /Length 1551 >> stream xڭXIkd7Wx 4 Mh!'v`|T!3Y|}SYN[NԔӋ}N._Ex9}y^l YSs A_^vjm:Z#Z'罾 0ޛJ.YF^7 kd ǽg0m,dNTļrk㱒x> .3x%4Q{kgyT`>/=]_~F0kZ!,;6xeRVGıG݉SdjH@9ֽrq7.<\WM$GMei_,:4.?OdHhLk`kUj@_>j/;m Q#\ylo3~<VG#z_滒4BX|"v|6 lg Xl1A͓7sÒ)5ͷH$wGmPi ` }xxb vm-B YЪC'=]1S޵\\gխYveרʹwN1נcQ/:`xjhi4C,gvrΤ1R?l~;ը(k93&?J6O-ƣ=BYv wUKGJ7=OUlN#lHڗly=LS՚/npGOD/'ݝ}*_x%j`.ZYп@0V8c,0&:^D",&^,ZHѱ&1:>K6{,]EVbR_$J O=Rz3 rfbM(~eY+G]5vQ,ZZ,1J~xR(я~1Fub/OqsݞY.XIsj*MqmPfRI$i3y~Z>wT63c!rdUojt[yҀH_ZZhR|Ը+"m? QUz l&מq:.P=sE_~XPM}b@c|[(Θ>瞤 ]_(mַ7i\LPYz*!da?XDgSC{3" (XIpo 73UQof( _it0?d$;qrґ<)GQ&Mph;tM=i,5"OHB^ShXp6â9HOaDLCy'?`c `& endstream endobj 2424 0 obj << /Annots 2426 0 R /BleedBox [0 0 612 792] /Contents [2432 0 R 2428 0 R 2429 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25104 2430 0 R >> >> /Type /Page >> endobj 2425 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2426 0 obj [2425 0 R 2427 0 R 2431 0 R] endobj 2427 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 422.025 124.1605 433.025] /Subtype /Link /Type /Annot >> endobj 2428 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2429 0 obj << /Length 19 >> stream q /Iabc25104 Do Q endstream endobj 2430 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25105 20830 0 R /Gabc25106 20835 0 R >> /Font << /Fabc25107 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RHЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜WϠdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo endstream endobj 2431 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=128) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2432 0 obj << /Filter /FlateDecode /Length 5317 >> stream xڵ&|{]yj5>ݷܞ/EXhoC{2),~_|S߉sdyF=|kY/z%+ig\okJ4~Sv)8+/_Yn1$\ K([ҡC'`Ikwu.ZoU%szW:?RP>'n:קXYa6h·s[!Fuĉwܖd7 0C˷Hi0!5!T_be6Kzl1Z瘐}wqk@5m+>>.XfK M\~k/WT cɀrYġg*o-vt ށB=-??˜bt#,BWkG-1ӫ !oKR)ɫWhT @9`Πu.<(AO>>6g .C$b +Xየh@C볈5H{%swa*2 st1!1i@=TVTWظ*|=P@ϙŤ[.X-TCJ2T2S_I@-,VcQMraBkK?06m~A#8Q7o(*zZo.VU"Go&g`fH%V.ˀ͔OMS,3@+ޒBs UG5VFry?>_J J;MgyElz2jU͒9K_bȎMSW{f[ [%-V$3A}v[F4؁ȕs5q6ۄ"^Ti6Gl-mQzo D,c% &;8䣓p5^p^0`@"0i`tRҩMN8ge7A1p2.R.:8`;;Uu@ӎf26;0;@7\b9ov0 9=0j 6A:YF4glR065Ud 5'#'tqt%9#s{sb=͜p3'7'7'fsZ~ٜlNgi$sRO1'mIHgN~Ŝ4;}9aŎfI10.9ƝIYtJ~6#} 3fuT<|{JRlbbܥ`:Rﶟέht>~xڸ*T^;XN.<I˭cŸkJxkO~X9S4ڌ?wsK'#`&݌*4Nm-Ev'C=wU\+JI s~95[Ykw@Kՙ$BX"ߔF{W[66rh̼9k s)M30j$(Lkf -J`7t0~M(}P-A/0¦&lhBR"zF+TLFׇp7&3OT(zYQF`LrVt&dA2 0]@4&gTK8;yК7uD "s5Vz2iͿ.`h2qH*590]4" "EԂF`6[ցbu݂*w* ZR ([N SNN ?(0ŋy}V~MO9xh EВ#ҤP+MlBJq;ox|FrQo LW0zW=͞`h;KF [B'G"+ɏV&\@ D&aBީ%_`t _d0KJ5pGű(lHa3ͩB^)eF<`zN!O :]Xo/ Zr,i$ЃuҰNw8w~Fy,ȑʲ=Dj'ȄA& 4ʷQSzMkNA.|O~F`H{i$G;JAcKbz$a-Jj/L!FiY)@21ǿ 1[ $%Y0D.hdƌ*Ui\'D`4d#!М#YJqHgr0,=BQT{UN⸜|OЩ&I.th#b8 P9{ w<%H> ޔ nB[mQ2yq(?5iٟR6DK 0⹮iHQx;=j%E%߉7dn,or~̓݁quqxšț餧Ҭ&7-@w-phx+2X-׬FyjZEp {^ު*ʡt7_>2fyIﲀlӂo. sjFVTgfOW˩pUkhZż'Ԧ5L ڱC9^}͛YgWL .PUg\n5U0AvB,mzХG<6'h VMƶBI.*NZ-F3As>Vݓj\O:@UӰ: ghrAuVjew炦a򁇯>dV c%FQs?a l7U۳3@7NrkKgطH5քjr{ШӲ {gti~~'f4DٷRA{1ŗf8M>Q.qvob ltuszh>n˻ͤɱj F5iZ`w;{8nQh˺Ò5 }*:Mc9W5n3n| #\n0;97,Ni.\qQC7=B'c73t0] Zwm{OpM-8ÑrGTe)&&v&@ /%TScCnL(^zTY.?.BC(HW\io 2xoaItJ,r/xWTk*l:55Ec٫>d'+\PTw8ݒ;W S~+x6%E[ ˒6|P4`xD_Q+B(⮥M&ǜSΗ9O̫=Zz]]:s Y\~jv1N谣#{^ւ_NZr+Ǎhkh#VIɟh\1U3::h X{̠ ݮ# ^, X1;vr4=O%}!i:5E i~Pt悧:^Fa/!j1эCIraWlDVHkx\†k/)qG2IR &|> pb_/)$▲3.̈́ s/u/Zۉ5:gHYHcɥ^TX/]M, $΅6n9zWYe;Br n7ٛ!]<.$~}}U_J~)MyrD&@qNŕ!^rX]cܵz"=W[35 pJC;>7_Swg(F+>ވH05h endstream endobj 2433 0 obj << /Annots 2435 0 R /BleedBox [0 0 612 792] /Contents [2441 0 R 2437 0 R 2438 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25123 2439 0 R >> >> /Type /Page >> endobj 2434 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2435 0 obj [2434 0 R 2436 0 R 2440 0 R] endobj 2436 0 obj << /A << /D (unique_471) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20211013063105-08'00') /Rect [104.1732 528.7346 158.5077 539.7346] /Subtype /Link /Type /Annot >> endobj 2437 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2438 0 obj << /Length 19 >> stream q /Iabc25123 Do Q endstream endobj 2439 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25124 20830 0 R /Gabc25125 20835 0 R >> /Font << /Fabc25126 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7o}:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2441 0 obj << /Filter /FlateDecode /Length 1892 >> stream xڭXɎ$5WwRIt#qĩa@ibqYTaQv:=.8cW޼~2mΙh_3/ސkqvI6Oj֞xN'ϙ7 IRX| ^~:XkuDo]c]&wGpǠ'k3+@6h~4/cŋem,A3.*`%c3]ϲ3?cgĂu!J]mC9s%#VE7eyhQz62ˬk]. #IdPAY%nD,\lr;=tY>;G& jv=5ugPŅqR׭p4nbf HrU-Ͼ`,V0&t.!rIÑ_Ό(Ӷtʽ+.$cPi~mb3ʮ C=Nc[Rh-y"z9O$RqڙtK)7d45,!CNY"AA&)8|u[#2\Tȥ:AHf I5}Lhʹ=LSrE*bKS"6@.1]i޴|7+ )Ւ%yBV9'97t=50+yn5إc_py74"@ݒv(H"P}^J̎N1޼aƛ?6/EktBj9tB =d7p؎gSӐ-toxmŒ]h9[#Y O6J%'&r̯1)WH{x@h:4^:|Vn[C3z ktAeeȒ D/>Q(|!Pj+،kH(RgMva95딘Ż]Ɩ+u-uWe% O&.tbtC .Ns3]V’h~b[3ިDd =14Bh؍zD}ɧJnX|'t/*;>Eںw<藋;7 cƴx?Jv|+q8YZE1(WԛoCm:U(r˰7,lQ']oiQ P#CcD082)of"2 e'4u-ʹzWaHe=@QsSo\./M4X*c[]M}1E1?]k/HoVڵ4{&~݄9'ߞ/xX3]+g<}StEE R)HoxG*tf@ ]QpXғ +.j8'[ܜW8=.fFMrUC7ĜD{fēlRL1Ns=6 5ƾl?N А$8r endstream endobj 2442 0 obj << /Annots 2444 0 R /BleedBox [0 0 612 792] /Contents [2451 0 R 2447 0 R 2448 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25142 2449 0 R >> >> /Type /Page >> endobj 2443 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2444 0 obj [2443 0 R 2445 0 R 2446 0 R 2450 0 R] endobj 2445 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 209.225 122.692 220.225] /Subtype /Link /Type /Annot >> endobj 2446 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 209.225 160.653 220.225] /Subtype /Link /Type /Annot >> endobj 2447 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2448 0 obj << /Length 19 >> stream q /Iabc25142 Do Q endstream endobj 2449 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25143 20830 0 R /Gabc25144 20835 0 R >> /Font << /Fabc25145 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 2450 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=130) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2451 0 obj << /Filter /FlateDecode /Length 5006 >> stream x]͏㺑9~S 4{{,~I-n`i̸-b~,\~I<$I";;? WV_}? a3?(G|4r3 G'&f}>{JGVth2^?_7#H"Vr O.7pJ BK[I_oר e !4r; ^ؤAܳ)|*t,k:PJ^EeW.ҠoS̟.j̠y$\uWbSyw7-|Bz̃M]nT&9HN"$(砦9uAhܢ&$8# nbDn.<Dzqo⿢=|l(ZcM`,=M Bk W'z$}G_h3(&y=7Q |8^ V:ʠK銽JAf$YLod#=. #gEt<-Uquc|17u |!;7Jpr9V+3!:Md 5vLTCe)b`[8YLfz j4"aFP&X(DpjT?j,r| jڦ3?GWǯKOnf _-*`?DwKG.Y_K78mPPv THM2ml ]W C1x eAΎA{l^-4#Ā;ayÂ"aRJB9#,K,8V\q--88NJX:#{BvRR8N-;th 9'z[]CW҃:tQBxUOVHe/pDo= ӃhS8 r1˩42 5-X4 re\#FVL-#(*|&ůRCI"ʵUi]s&55SXxqcwo^_ 8pNtN@i܇k#2q, Wۺ:dks'c׸"L2538ŻGRD2LyΎQE@|i8p^[!0ÿ8繰gNҤɯ#oh`DGiQT5 }bÙ&g:\pf&5ft)89QAn慶݉ywڹw,2Os <'j`f_&[>@xj R=?lJanlޅ{͟isysDv?\ LSր nkm5 sh܈:G#Ex)ܗ#}/M&WxbRv߁(_,>r\7Q%VjB*Os { AmS VAu$FXPXADF|VP_H)O <`*<,شp')grDaׂT-嫖ʩ!,E Y3*1 YmZaep`KԶ&!M_H\zZ .yϒԺˢ͍sRA.ϕ+<CY v8"@4L0Q`c_be >4i/l(3L4SnӘ@:/2ҘF bsچk X u*tf;4Yc:.WtYabRN]L.AW\+˒AFruZ]1؊`9;⬽#,g* eaT SuD"Dd 8jX e E.`XjmCȴj5ŒTƱr1)4[RլBHi* u.ZEs'F ]Y ]"^Ȟ,颁JNʓ {TF!Q.=58NM',hɤ`(czQla *ʘN1 v:pج-6©jbÉT1kpD'݋N&ʞ9:+p^5 pb+щJtwD'֋Nщ?(:]-F8mNNXeD'/8} N;t幦xjfr[3cl?xwiMb}bW#n-[,)ߏRlm?fHsR^H9# jHymR͐b۶@I ;߷Am )v9J)!J@mR; ŶE7k,v )]BݷkHw׼ )vyR]Bݾk_HwYC_nE}݊q+j˃_[~_[~_[~;<TloؾVPӿmb{[Acb#Iтmݤ3 *s T?m P 0]Nl 9D3:7^/| &E(L}faoϜ^AR0Ge94E  ?S00?Gw+VJ6kn2ד]&w[z/6*c/ʤQ{7.|Z?QvaUS~AԅQaJ9%%f$1OnZ꒼VDL,"z~۔ XM1tU.ۜSsS$λ|lSgtgU:lsER'#=tE EA5W$(at$}f!y3tFk+ RF R)̭9ieI-+&~qB_< O80a"I5? :zڕιʃH#t/q&s\)v8NiRUk]*d?i5 > l$GGO]J5.p"{BU!;Fy~ݐP6׋c v>jZa(G%n$ + kw3o0I8wZi=3y]vTXaY3F.cyEnmG|NNNl¨8g7D,4Ui2hsbk&TŸcGVO;T*gFy*A+T?$̣\$aR"fTac| \4lݠ<,]&zˀ9oNuE&5UtE*$#oG(#aR+oeϼ񪳘D[֣U-rOM :ZPҳq iR@hdj5z>U⃇uS'QI*Xԭ- , %|9GƗ]00qQ 2`_-,z:2mĚ6MSil)9,yͼͅ7kJvvQQZQT }0Pf_0ag?BQWeXbXNk6.][whD| W&c{t)k2^p ß.֡jxpH4IWⶠ`_A2? C:Dpc LxI.&c@h!qTtF)$F.H*}NѸ3:Vv6iZAS匰=>F C^WOL=JDlHKLI554LW"2O*r17@[Ȃ.Kڗ"ˍdk-ߣc=ۍ:ڠt5lar+3YrHv`:Ͳٛ~mBM=a(m}oIXAZx#~:U%Bzr3.ZPLaaJu=CL.dS)1.r*P؝uMf aOLZI9g@rhq_zP1T >ߪtH1Ô)XNUTaǺǜxfTƝ* )[ZW4r{R1gx[a_91;'SʹٛT(>%F%^*18O&sR;8LNFЩ{"a \#z  endstream endobj 2452 0 obj << /Annots [2453 0 R 2457 0 R] /BleedBox [0 0 612 792] /Contents [2458 0 R 2454 0 R 2455 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25161 2456 0 R >> >> /Type /Page >> endobj 2453 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2454 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2455 0 obj << /Length 19 >> stream q /Iabc25161 Do Q endstream endobj 2456 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25162 20830 0 R /Gabc25163 20835 0 R >> /Font << /Fabc25164 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< +).y-xvw7RthǬ_{9;u S,C.$G5UJx1Ur$bE0EPoX5g$z')!^\y( ^)Al\9 " ٷǞ5" 9!uEKS)Ħ_;QOj3RWlV4C+p|ֻ^k: -?=%CO4i.$S#25J\e8>϶f55ۏFx|1$I d_ ECC 0yW!ӘV;ҡ0 'C90‚GŶR endstream endobj 2457 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=131) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2458 0 obj << /Filter /FlateDecode /Length 4030 >> stream xn^_s1/`Cn4 Nf`yKm,$w; Y,~$_!󗩜SSTxJᅧӧ鏗8)9}jJyti}veFoZEr) ^l w`cZc5A7 95E !E&ak#mggR=N0;&dSmD0Y8s VJzى='&IlAvoD:hWjROMpe(g:VA<:6dfmB>_ŗ %;r̎S-6G™Fa$±;8!rTgFʳԬ9X ̊ ҅oŔ*=s࠰ (f_BWE 9NK>e ٩ tDo%] I']la23RCܑATinW5Z|s/5a").XӬrAxՅVWYCѩI iHwk:Wk&暿(;A%e2_zN[*~[JϜGy`mq NA/IKĐ;*$& Z{xbSL%B'x\>+ Vc]I d'jSVt)Vvg;(6}1uB{[Wm`L EձgcxV.@nX]oY)=SCPuy%l@GFU -6} avN)Ӣ'vW;3LH_ׁ(iqZ]P.V;0zߊv:qI3;?U_g;qv\`Z 7gmg%[Zн0nVfuTLކ3jP̽es*WrOZWkO+OV{r.aP}!. Zue=Mu ҈MȮt [0z4}_B<[T`GJ11f )fY RO(ܵ^JA, =9*hnN@ذ4rM7]kMF[`OGvK˸.]AnSM'%St󇓇uuouX\(:餽mO{ ;n=%6><>a1هͦwM#8A:G۩!?{[ YEV~wD.ÍfHUG7֑Q&Ӕ%.j }Y=FoyO?l??`gQ&)M,i(k (wkpc hvj@\׀P]S4vr 0\ PVkG2 [eU2RWп_ j*v:0^ m':vk蟩Buf޲V㲌ܪƖX"]cO"ޝ{e&G1Fs6Eu)no쭲%kB|_v,JWP*%+ڹ9ӉS]:Ov6 9Y nzMm&3`-YFU1 HuH䁨sbn$ ' ^a  qWe3DLo̊962 9Ж1$PUЂ@' 2)5͘ȋypWئ9=w{(nô:( 7!o"6^ r{|elL[!(æM-;@M3a36 $;pqAiƌ[@8V``1|#XBi-3ʽv ԕ;fsˑ"):lv-n@UNozGĽH*>^&Z*&EhE$kRrN;HС !cGbm>DIXXj<-uK/EYP ZU`SiAMFţ]^Jȟ.'>|?'cDD AȬJpNӏ+'Q#^̵v..{CSfbstVチ!T1RB`jXE|^Vbqy|>@mw>Mu6N TWme+#&0ß`Kˢ,P Dj endstream endobj 2459 0 obj << /Annots [2460 0 R 2464 0 R] /BleedBox [0 0 612 792] /Contents [2465 0 R 2461 0 R 2462 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25180 2463 0 R >> >> /Type /Page >> endobj 2460 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2461 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2462 0 obj << /Length 19 >> stream q /Iabc25180 Do Q endstream endobj 2463 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25181 20830 0 R /Gabc25182 20835 0 R >> /Font << /Fabc25183 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RathǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 2464 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=132) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2465 0 obj << /Filter /FlateDecode /Length 5170 >> stream xɎcίxb/@V(oN!ȩzؗ~jqxy|\UZY~]b R,Vu/._]}ۓ_֚8h n࿷7c^1__錩ƼF?C勱2.Rm9K1_.<EoO5ӸñsR0~zoY}̔du͡1v~g'S+< ABV$˜ns8],`B`uL70\o±adx{a njې}'uɦ6Yϋ3OrրaHлMP Q< Ԉ { 1,Q=DgD)ga˓Ѹ-)!&ûIe&ǧE1N;cLmǶm68_.A9,E | \!+6y{딟YbK`҈{9b}M1DyjmtjP57괶ƭc/aq)t *y-:7D'2|{gb~֝7Ĭ@Lc$?#9 q qk1M:I~u`]<rXXhqb*nmsb])!߂ǪvF=hL8$"l"'.:E0E `; d&+Io?uxd+!ǭХ:yEj@W6Ou l3 "@$X78fQb)zjVZ ]KY|bn·S!aǯV՝̍~b=o$ۮgdsY`8r\|_6g$๳(Ce9lHU?۟Qq/M03}z$pۮ%g첻LDZH9VP rX{E[ottfWӷC>CvESsqMƍҺQ24ݮGy{>it'bbg4׶'W*IBu-sN 0&<_;Gz SnENi#= {8@`jv !<>u-PWt:˄*>po x%.7[vxõ0s Lr L*L^<JFhJCQ} *` _ 9[~T' 4w:PMaK&N : F>9E oCP+BфQE#+x)`ͤ:[i*k ި-mS1 `Ȭ GnPbk#."ƀL 1<~Z~| -XLt>z|žB6~Zm@<'ciwʻdX#]z.+6Q+7-?hP}. 7 F Nxm2)qArVG<$^t*Ņ8#9StȮ1 <*(Pv{*tǽd<%,2_2(⸚ꄄ+tJ,z4T+2ZSOK/+LG&T QE卄| 2D+j>-{:%%,E {C5 ˩y"lL>_q{`pO~;xczg\| >P5*U== #_Q^ک ,ӛ$l1YV}nF!0`E3-]8JV{U3;]e ׂl9|K?#:An y3i< fab _2iD(șO_ ?p=}Lމh(G ' PJ*ۢuVv?- 2pBK+ I2^/).qC~o\TϼwOlO-ǢQu\fʚ^\dCT0UQXjaLhYQuYuOQ vܞ0q; %":#u{# ;LA]{8'"uKR\WQ9y2AtWXw2g>\av`B's%&[d+3>^]+,|T =݅<)⻳H=)vj-M"%1?:.$mG9=cS7~ЎDj~Oz6ob@׻vQ"v.l 荮DU"WkaP|Iy\:~ W4o8L7%rZMtxaPVqq~25SW.j*P)V@d]K)b6Wm Β &@&&}#]1䫳ȐY; lx~O!h(dՆV4tIɎm֔YC < x~๕`W7V]| << ЍA't.S ?8I EOIS>}{;)Cؤ v2:LaL}=( G=GʋB̕q026s599$z_ xpؔ%n+$1H?PX:Zݠ*ߦFMr% ժ]yHlǸ. 3mS|'̧e)G+2J0XH  _9j55e˩Y c2 ۸F:  +覴hҿ3'*T)pOi6xj#\W$`IIZQD> UT,ӲSlXN_L]0mz*}=,CJ> z,,c{Mk11EdTJ^S9 D9/Ĺ(>.Kq LYhC1\tKe~"Sqɻ1[:.`D?165~Hw&llh?6.Jqn:| xbb)Q[ɰqpaA' SAǤt}9@ח }~E58C3mwPg!gY[ @E`D/fB^9^ :_^?_0Ry_{/˻EzNj;nZ`q}gP`QU*x>0/NėC8'!R} xDlyd> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25199 2477 0 R >> >> /Type /Page >> endobj 2467 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2468 0 obj [2467 0 R 2469 0 R 2470 0 R 2471 0 R 2472 0 R 2473 0 R 2474 0 R 2478 0 R] endobj 2469 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 161.8347 164.1782 172.8347] /Subtype /Link /Type /Annot >> endobj 2470 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 145.6348 154.2562 156.6348] /Subtype /Link /Type /Annot >> endobj 2471 0 obj << /A << /D (unique_589) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20211013063105-08'00') /Rect [104.1732 129.4348 163.5072 140.4348] /Subtype /Link /Type /Annot >> endobj 2472 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 113.2349 169.4802 124.2349] /Subtype /Link /Type /Annot >> endobj 2473 0 obj << /A << /D (unique_635) /S /GoTo >> /Border [0 0 0] /Contents (set_case_analysis) /M (D:20211013063105-08'00') /Rect [104.1732 97.0349 187.4432 108.0349] /Subtype /Link /Type /Annot >> endobj 2474 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [104.1732 80.835 179.4682 91.835] /Subtype /Link /Type /Annot >> endobj 2475 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2476 0 obj << /Length 19 >> stream q /Iabc25199 Do Q endstream endobj 2477 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25200 20830 0 R /Gabc25201 20835 0 R >> /Font << /Fabc25202 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7r thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 2478 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=133) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2479 0 obj << /Filter /FlateDecode /Length 3956 >> stream xɊ#_gұ/ JRi1>10}bLT5ciԥȌx[=Bϳ;\ٻ9i|ǫwz~ڰ(egtHJ]R/WͳJ'T>iz@0gߏ(pyibyL:fa>=MZkQT`.EOjഠF\5AN tIXW~NbDL -QőZs/c(Y]A H8/QIz`^آaEwӰgA0@G" H92~tygFme/6=jtqOs>x@1U! c,9F0J;%OQ"py [+^v⦐0k0TeIJ.Y4vTtEvjJ7'魷\UFG$0?"&EQePuM<jAI+VnVŜV5id1#5ۦPt-*>ߓQNK]Oi8/uYjHv.eTn HږphBuVbua)heD:>QH$:aOۓO"G c.l&7Ɣ=[oEbRlJeUs>]<=ʎ$~O:Q)v'>a\ERxY$1, Q9.szkuCsk$iP2Ž0kse]g8tjQ:6?Y+wa 6;Ӽ):$y;^Gg!~wޅK _lus=;^s-yB\ SX;W2Z 7fڵ^6 kI)MfLHvUZ |c6 %E΀Qy=lmJyK{U>C}Ē.57=)5z w3K+U-0.ɐcN0>*9Is 6?z?p4>/pv6/6oXy}M{+zwꙤ󠏣 Z,$ftu;Yڤtd K@O*RN@bUU I+qvH*%:; EkQYM6%e7cO CJb<~%sݺbZnG]Ki^pꓔ_.ܻ53NC:{f׺{=i:ڮ:aMKW|ۀ׵qD}%ym"TWJ7(!5\&\c ]2]#+uE.{>:ni>^MmR[hv/c"݌.sfRwTx>WKHbdSX*$7?Mr%$ì%_ocw hVTl/ i?-Qt2j&ɄTj8j8ıwL5,|L>="pU@,1.;Q=]xv9~k+8~Qgmbmi<gEQBnUr5%IØ?P$DygFko@+^Ψlk1ouXF9#>Vs8$Y-yffF g@_0L&B'j}8o K Vi@_u9% SN _|! F gRIC. #Cm9Dn+iL AWHtmUO*;=`uuxh=^r`_t}#s-QCj8;@DVyG^ 'mxqrXl|x}k9 eC9BVgW=Ka垕?׷f|}R5}{N6pU}F7x?FԜ؁vւoh}|6"_ ~o%_LKϓ?^C8Mm" *8] ,vDA7҃!Dg'~ -\^bQQ\L86.iέvQV&*YTau<8jEU.F޵m;j~eĴ $0db -b[Й͞բt\6BZZL}z(=k?d(8&GMX9kBV7~h:.kF E= rîrCHHsژ΍uI ۉHn)6r%O4?P_=ghm)>??A{G:ˮy.M \?HўExgwnLJJPOhge;ƢVA=&$Y>"ErZs2مY6zٝ9֠P endstream endobj 2480 0 obj << /Annots 2482 0 R /BleedBox [0 0 612 792] /Contents [2489 0 R 2485 0 R 2486 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25218 2487 0 R >> >> /Type /Page >> endobj 2481 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2482 0 obj [2481 0 R 2483 0 R 2484 0 R 2488 0 R] endobj 2483 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 174.4412 709.9] /Subtype /Link /Type /Annot >> endobj 2484 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 187.0032 693.7] /Subtype /Link /Type /Annot >> endobj 2485 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2486 0 obj << /Length 19 >> stream q /Iabc25218 Do Q endstream endobj 2487 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25219 20830 0 R /Gabc25220 20835 0 R >> /Font << /Fabc25221 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?K endstream endobj 2488 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=134) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2489 0 obj << /Filter /FlateDecode /Length 1172 >> stream xڭWKk$7Whm303r3 rճ%6J_}Pw}M4ӋkdgOKolޞף8hk9 |Q:Ԃ;i~D{r8{c%H5ag6hZ`'_\@>!xajX&p6=Li@ q\$}6WCo?~?̫֖ZaRs0T5oBIy!̢]eW9Ǯpyh3 L1$0誫b}p^{plo#WJP a Fcw}p_ʻf>oțkE Qx:*;u1Sv!`^t > =XE\Ӌ\.툲`VQ3Ap69%znzdImfWșϠM*by!u4c67p ZkrXcOM]3k~ 2۟W]̯YWV?rnyW+2J=)iWnUV?*Ռz[2xҸu`|e.Vx׼r:BWEBZc"R K}`JX%Ůh0 8uDK4) !LmztQiĹK|h2 O)C|ٟ+̂6!BbaWe=a=ލBʣ20 ρ (źC?V2 MiÝ ɧpYrKvJ^R4C[5{eMR'!rI䍖q>rÇW֬M+76 >w!M| lJӌ#e|gr fWf@c7$ Z"8z؎:$#ˋ}ܗX̭LY9V9Tu7%J$:9:|Nks$}=NG|ᄎ>:d 9DZ̊sg3;w wf)b4  bO5KK8#F9K"4Mx#ieUڞ-deֳ'ug>ROrHCZRhD ~ˆ1-; ^;TT ]* endstream endobj 2490 0 obj << /Annots [2491 0 R 2495 0 R] /BleedBox [0 0 612 792] /Contents [2496 0 R 2492 0 R 2493 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25237 2494 0 R >> >> /Type /Page >> endobj 2491 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062933-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2492 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2493 0 obj << /Length 19 >> stream q /Iabc25237 Do Q endstream endobj 2494 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25238 20830 0 R /Gabc25239 20835 0 R >> /Font << /Fabc25240 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ  endstream endobj 2495 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=135) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2496 0 obj << /Filter /FlateDecode /Length 4791 >> stream xڭŚίo=hr.׻ˣJ_ 4v9=^mM4NvyYUӿEqHjߔ]?L:.Zm[¶& 4L5DžZ+t>GES ~w:>fx "^KQ. F=ys3@7 0} Pz5 @k|gE$]GG`)> 2xMq$F,"Tb5&X3m: ℂB#/ѧvh&._e УlO32|%l|.^`gWT쟯0gmw@`z ~ː.3l _,~oJmq Q:hMͭ>-`l +!:)ϫwwaCAV :?(G0I j6V.'gVy?c*v'u#Z+0vv=&>jEq;um;]'> k]D{hj*^lVFnɣƣ;v,>b 87\VYKE^t f%&LxF-(K-0͒| |Ԇ7FE-B&Ij8t<-^S;B_x+6&ti@tۘ=?wL~3>m1'Ey…qv\1 莯 tÇ:.#r/}!}qa3-btrYkFY/Fзw*Xc<wl5'tfr3=zQw,cONR^# (XxRQ0t57 XȋCR2B y4 Xÿ, fH " ~38Hc q[q*ZWK?@NH:BYCMP@0\q0n¬z oH8{ʨTpW^H)p~K !5o剪azrRzZ/|-՞8 Cu4Gop~̬ɐĂz頼%@{͌ˋPlIW[#w**pyؗƴWiVqAyDi zO~uilA%ZWK,sU/:Uv>1֡`$ќ{&@$MR3V=̪Фwz,}/i^7{ͬ_fDY zGT5A%U S zpGnUq)yFϝN;]Gu6cp:'Ynw@ۨ٩CNtU t^-fR 3H-lmZ N-Zͮ}t(bՃ+ebSQJ@vT {@v@orWʆS'%n\ɊTuNvV';z':JˬFS!Clg;1BVX`ԨG@U5z}R+267~emi؊!R?< VET?=c#Z9 R_ٚ:DxT9ryMuQ%/kZc[kR 89 BkX\Rҙ/ \)'|f d,DYac\JTcduֈpNTƺQWa- ۥ)Rl;*g.PbXklnZdJyMr,*X#08˅$2Nxv2X:?w{\vWjCwIN&/XSD»Zm4i:hUUm¸=4:Jőڅ]Uj3 \, yc-*ĺ_S=Ye6WSh %qRY"M5녵v5Opm44Q?Sd}[ȗ2 ߚ?c'iyJ|mRh?I'Zga9B̰+ZBԲ]ߒN҃y˵v'g;[QjGދsu24C%6W  &-aׁ4b[Qɢ JF4h1d1jNZ#0F(jU ɊJNQQ7?ѻMfyRK`j,h1P`fTfvYP:*h{U"RK\ YYyrmhGuL}~ؙy ްD> jQhM*VW%f-h =9 6}9 >b۲y~o(h)UXneD}'P;2:r7_8ߙXs`lN Hp.tN@Qұ^L=IHB?% ☯HIT?_|7/b);ͦi9yOg0=$1TVX2.y{fPM;On[͢fN?CG O"[ꗟt9B'UvkqזϧŨ0kRoLW-A֌QDZ}z]fqKK{J"c~˨…k N|jKLJ45Q50agnG emM>4gQ^ S7A(~ r9,VX3m>1Yօ~Ko{_Goytѣ{tGܪlAGYx 2srrLT>icy_Q탅ҟ  nڅx1H sx&5-Lo ~CL:㙋SщFBFP݆nWCf7'O,s5Q1y>yJ%7%6id fӆZ@ Һ $ps|_><;՛Tgl! 0}XHP ^@Dpny|m{? 6d 4ͪt:ڡy/Ojfn '0,k*O)<Km2Ii}w~jEs8clRqeY0al #^&Q<?.|;§>*|mJ|Lt ϛ8:(.F*s;ߜJ/qShT:Z> 8i$䖶#, m%ﵧҾm`5x:|P]aVu(+X}˥Tu\b Y01x|jSemd[ױ7ٮ7kტC ݳx.D_hLOf@| 紹z;x|6WvW{MpC跜#\91cl{98J^ !C &0 y怌}m/Y~g$I܇s_߮@DV+< YsILS!Tr9v^Y>>9>V觅/,)wo.')k܆y⏄tx_mFap nATO@4XjP{s>P endstream endobj 2497 0 obj << /Annots 2499 0 R /BleedBox [0 0 612 792] /Contents [2507 0 R 2503 0 R 2504 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25256 2505 0 R >> >> /Type /Page >> endobj 2498 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2499 0 obj [2498 0 R 2500 0 R 2501 0 R 2502 0 R 2506 0 R] endobj 2500 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 582.6038 149.5977 593.6038] /Subtype /Link /Type /Annot >> endobj 2501 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (log_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 566.4038 140.6052 577.4038] /Subtype /Link /Type /Annot >> endobj 2502 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 550.2038 150.4282 561.2038] /Subtype /Link /Type /Annot >> endobj 2503 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2504 0 obj << /Length 19 >> stream q /Iabc25256 Do Q endstream endobj 2505 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25257 20830 0 R /Gabc25258 20835 0 R >> /Font << /Fabc25259 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 2506 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=136) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2507 0 obj << /Filter /FlateDecode /Length 1655 >> stream xڭXM7WlhEj20;r9a6Uԭ:Mҫџ'*JE:SԳ*_?Et9}y^l Y3Xʅx!N.RZԹ,t0̥rW7Yhw [${ư0VXe,͕1"'s0gn1)BsH^OŚ] fX2;S}ogLEe&ዎbCcu'b|誟1"c-%h "hd0ѱ6+Ƣɛ#9| ^@o.VSĂw;cdAB^w穒+vX ΦLC(^fdMc }`K:Ax?6dnF_; eJG&ob{:t6Ao*X7y6Nq:,ۚaTnmO]Zҍu/LSe z)w45JRըŽQ%юgUDreMEO2:'=/LUtAlցw 4WWƋfx"gJ}}h:"ZI40$8ɪ\X۴um4sj,5l<1Szb$jT>0fYPPxŲ~Q׵]ޫIW4Zkd6W}g|ËiB٘wk0s >1.u.b]dW@^V24a8jeRI÷RBZ$nwT6-#@f[o~UHW*iWK @._D83XGَi::w7}bap_1n8cj1Z;n{l7 9ZߞqHGo L`+9{{G<7?<)KK$Ρ=Pdkora.r˭>헇␟ N:ȷ ^I[&2!6 cUnI'/s[+'GOҩQk,E vz߷=7~eAnc endstream endobj 2508 0 obj << /Annots 2510 0 R /BleedBox [0 0 612 792] /Contents [2516 0 R 2512 0 R 2513 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25275 2514 0 R >> >> /Type /Page >> endobj 2509 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2510 0 obj [2509 0 R 2511 0 R 2515 0 R] endobj 2511 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 2512 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2513 0 obj << /Length 19 >> stream q /Iabc25275 Do Q endstream endobj 2514 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25276 20830 0 R /Gabc25277 20835 0 R >> /Font << /Fabc25278 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nĪЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (cL endstream endobj 2515 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=137) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2516 0 obj << /Filter /FlateDecode /Length 4022 >> stream xڵnί9p_A$ 07O LH~j֯{lvUv^ruˋn}VǠ.C?>[){EƘn{jw;zjiU'[o!,w  S{??4/gM7V/6[x3\_~RN!0O''ZK:-L9c&c=9W%hGOz8 Ωn)9b {x#([ o1+K y\}-klۤK gRʓ6XYY7c,LZZv7(+<@\qT=#s{, uUWd;769>Pnc#>VӤr{ I8-Zuۺ 0zDQ<ؘ 2}8vZpnF͔CDaUxIHojpV|N _ɞ]mi(ŤgHu'Ai3=~<a5eV~'à3)<#+; PP@5<7Z?v.zl٪>5F>a:C%C::S"u%uojCPW(Zm%ـ%*1ӄ'ɬ@9DWf%6dPL@ţ⽣v0=-j;,B([C(_椎e/4кCIcѵHliI-gSKxp򼹢xMn=W힧S|ox Od䢇{ijl |q'U#Ld ;ewHؘVT:T. 0/M] 8Q'/LpY"0IC kqva ^3HXO"@ M*YA.ߞN_%Lq(PH5(O ]U]GAD .0g\l KL^ E+]my nN3qjFX^? NXBYcT8 f<:bc"[pp :2B:$aeAtz&3Fa"lj^[q" .]G.>(|mV9_<8 'fkM Rl>"[RԨPh|ibz{̖lu4RӞˮUuT'eFW2"ͮ.ϓ*49 #ҟ}Bߗ$/V6G,s.:vl>^E/CFlDY܍M^K}}0mü s ~W8O:&v79ު" 7eä3vzpWQxŽ|f&HcjJvco[rCpIq1om"44Lqhnc-f5\aϬ@B 0,]"'2Hs#@ݱWH|8.A{@?t1fPVT%{*^EVBV /BInDzlD(ҘJ1ܱRA!inVUud:t )?!sZ,shq%'VZs55sA2IWc$frDm/#5ޡ u3-*-Lɓtvfnբ$:x <~:Р waQb:p:0/ң&X?Xj {1xˆ1-=qR` aGՙIF"rJ1.kW c;'yrS8X9ֵo>m }ma}G‡ڕm597X F}f}(Ur"~'ȁ5_nGzqDISKvh4R{V'|ezo)X ~y,2ԛAmD4,\\xH UƆTi}|q0玲=0aIgVg\;94O}QlE#1lIA+rR.Ubi&1! pk#ƵC9NdqS:l281;k>|cijqWBh%Iq m\^_c"C#"+ᱡ,U֝rdy:qBlSF;Z$$[|<\ a<>xp%xx+z5zNtֹiUpz7qy3^r^K8Kw`O%gz:٬)ĖfS~+Nio j%v\Eվxw\nNxl.|}g73EHײ2̳Ϯkl> 5ES3.2i[ϠJO-<C}8?$-Y(G2K#:WFj^UIw_e{e/<"w3)kfs԰d.Yo*~\+4jinaSSLV!0K!P}2vV]EYSMw96~ysFՀȠ5] hTi[:pTu%ݔ՞x(3Ѯ^:S[zQF17f|t-.SEX1enzRN[3\ ci 4K)>/dӇLz*D lgv>DeFCv1Wbc2:Q}m!:, p9zFYXΞJ?>)!d مAOQG.PWɛ=ז:h?PBnG>tP>*ߘRG 2ONX&YP9>+ob7`:7F^4>YTݰGS+VyįI ")Sq28ԲpeU,ӴSl\BOL쯀64J51>i,얶s+,ÈFskde޵sL՘*H.cILavݚ 9Nq(gDԧVX1"6;+h\rupKۏJ7֊1 Xo,&^;6>pOtwSk!.Nn0}FUiÓ=rkNs3t+RE KºP wG<0 n`-ΠF੯!H0riZ?GW endstream endobj 2517 0 obj << /Annots 2519 0 R /BleedBox [0 0 612 792] /Contents [2529 0 R 2525 0 R 2526 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25294 2527 0 R >> >> /Type /Page >> endobj 2518 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2519 0 obj [2518 0 R 2520 0 R 2521 0 R 2522 0 R 2523 0 R 2524 0 R 2528 0 R] endobj 2520 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 187.8502 608.2] /Subtype /Link /Type /Annot >> endobj 2521 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 581 192.8002 592] /Subtype /Link /Type /Annot >> endobj 2522 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 177.9282 575.8] /Subtype /Link /Type /Annot >> endobj 2523 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 182.1687 559.6] /Subtype /Link /Type /Annot >> endobj 2524 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 179.3802 543.4] /Subtype /Link /Type /Annot >> endobj 2525 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2526 0 obj << /Length 19 >> stream q /Iabc25294 Do Q endstream endobj 2527 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25295 20830 0 R /Gabc25296 20835 0 R >> /Font << /Fabc25297 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lMD endstream endobj 2528 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=138) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2529 0 obj << /Filter /FlateDecode /Length 1580 >> stream xڭXIkd7W<^/`zmBC!'O>, 0pn,>_o6ltE18ԇ dB\肾RƤtC[(_ їd'&Pq0j+,0#rA$&ZLdG[*;i,7uYRJM0;sT'Ln>Ջ6c RBopyHB1&EXYGME t,#%'j/W8nQHzNwX {m}~;p(DџQg)uEВh+S f;9)zp/Sxf<تoG*fm .lJ+] G![0TXLm2m;/ OĝoFqDLO&xnYV!jކ[]Ń 8- Q_v q ??R@Q6|,"3_nA ̋t@\FLgs"`C!R|tzfɶ GF x1P#4y5w,o$ɅGukcVmhGM ZO8o"à5N$i'hqLD6Sޕ\\-|%^2,dWju],QC6fsKоPgZ1S_L6llXf /W`:^zF0Ms{e@'K̏ n Y"E-ڝJhג?F^UX)T\xƀ͛O COo{^Bl xY[`TeFAKq="U#Uz[,͸uRBmy'ѯ#mX9KȜoYug9Cl2fY> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25313 2536 0 R >> >> /Type /Page >> endobj 2531 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2532 0 obj [2531 0 R 2533 0 R 2537 0 R] endobj 2533 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 452.425 124.1605 463.425] /Subtype /Link /Type /Annot >> endobj 2534 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2535 0 obj << /Length 19 >> stream q /Iabc25313 Do Q endstream endobj 2536 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25314 20830 0 R /Gabc25315 20835 0 R >> /Font << /Fabc25316 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7 )|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$k&o2VK2 ufg[XL5ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 2537 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=139) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2538 0 obj << /Filter /FlateDecode /Length 3946 >> stream xڽn#^_QgԾm99M2)ſͦDxLk{uQVw\[1bп←砝/_>,9˛јN >=Z-'uhζܟzC'HWMV|mT x3|;[տ]`6՟^5'|\j͵Xlbcɰ`Lzd>g y5x9)G^@3#s (!ہ,.)9?$,Ex/.5D_)7퓶.Yc&_O3r'=5fOEzj v;_>cO@3|?:ccG`I>ÒXsuvqtLXV gi#~ B/fH`_k`+ۺ 0׻O^`w!.@0_rXE,Ri_޺gE-KuܧƬ'wH۾^@n@A S@ hjްBkrk⍫[ Avd&K3{8 ЃY#s@GJ]l!n9h'|{y|v<ՆƺFi۩.+bR.Kq+ rͤe/4к]IS5l£cjz9I-e0_aU H[uwGbGD&d5{ijl 2rVgU#ߙXM-Qv'EWY輨\Ua^768@r8nyH^dM{,;&s0|P#xXLI<(On  }۫%E|zC*|x__so tv%߈uo%N3ԘqhFH',]C]CmPp$xtX zDh ;jt*sIPD x&z#hQ;MJ6 ͍a"lj^||I++]GhRzhn֭9_<&64[kRbSaY,%eI :AyO֘/MS,3U@oݒFjsٵVIѕ| I JMg{r۲ 2f+L#sn;j7Mhe.zlcP|Xκ ڳ_2Rħ щT-Uk3nФ9uW [leّ($u PIofT+;إc[4qcdөcEKB}uklP0fy Pܹ2FyM3i kUq\*OmS{ SRZ Pjrq%c999R)0@G`x7z4kiXJ[qjAhyNy!We-WT&gvV{M+qzwe.-t2'm8fH }m bAl k2t 䔙I>, &) b ġ^~իA)=׌TxvSMpN0FƌYuFq#$r?ict(/446$y\3F5N;_aDJ)'qY;_/L S빗`>*^ \^q՗V%l1 *ЕRzNk&e SO~59p/-y&Խ ښFŽCwy.C0.0{&"d>f;m;lPelxBO܌<>I%~r{v4Xqd3+8\I)o})/i0'GϖD"o, _cJj(1sݳRj'MP(M"tP>{olx3hLWJ♧b|AuM,/ph}VVe?4:7FPE*?![uA+},1`8Pa "F r֫xevK_ScľpŒo%~0Iga[2Ⱥf5f գ2QMAYovTN:bsTF&Xf^,|87^ds$VYe@rOb C;hbqK/b^NY}^0 ߏBtq{ %W_+%E *f^WDFUW?NWktEct:CJIhWeYsܘW)6$QTx, s =3RD?>c#||<;ޤwr_&3yN!sbE ym>|~ c8x7,f ^~~Q~m'^$ p'= ́1A鑍S_C: H~4 T|j endstream endobj 2539 0 obj << /Annots 2541 0 R /BleedBox [0 0 612 792] /Contents [2547 0 R 2543 0 R 2544 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25332 2545 0 R >> >> /Type /Page >> endobj 2540 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2541 0 obj [2540 0 R 2542 0 R 2546 0 R] endobj 2542 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [104.1732 571.5039 175.4312 582.5039] /Subtype /Link /Type /Annot >> endobj 2543 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2544 0 obj << /Length 19 >> stream q /Iabc25332 Do Q endstream endobj 2545 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25333 20830 0 R /Gabc25334 20835 0 R >> /Font << /Fabc25335 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7DthǬ_{9;u S,!.p' J<[&!#((`aԜiJS¯"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]d߄}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XL`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}T endstream endobj 2546 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=140) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2547 0 obj << /Filter /FlateDecode /Length 1600 >> stream xڭXIkd7W< =ۄBNxBh|*Nb'6^6-i߉Ҽ~x҃t:bMNӧeiZpI6ӓZwO;X0`{K ;U ߘoVv=HyZ2ϋWLwBDm TE$rĿogbɼƅSӏ5-&G]e_G4>'m2~*aXTZjMdlzOקp1{n[Xua;Nh9GtWHA$Ӫ%:ڣNMdBL]dT@1쿞˓2LZ0!fQas6lrv{z`!j)q\`=y,&eअH`d(pàP髩J?RBW͞-e$ȥu۠;0m4<:B)&!\ٲ+ u+ oHs kozhДO"h7z\yFzub P[ѓcÄbbudfXPyfƕD{ϟ%_ 6ņM-$jaXrL5pi ɛ 0z?L-҆de[*9&>hZv8&Ya#竒u oPH7V]t2pWŔ7F.Yv]-MYȣ@x]fLqRSO:`xj24 Lg~rΤ1RcBGh<8U欢 emBnԷZ8)]P,A\5e)FD/i\sef?2U3Ҷq28q-궣9J>Pz9a4S9!c<+/UCDI+  $8c8*;vx dzAD5"HJ6{LVdT_KSzt5Jn^q*w<,ˊ_u^E^H>uFLkjAf0J~xwy1P6FD(1HrL縉=p3u)JCVa El^*i5 KMxL/X> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25351 2554 0 R >> >> /Type /Page >> endobj 2549 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2550 0 obj [2549 0 R 2551 0 R 2555 0 R] endobj 2551 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 452.425 137.278 463.425] /Subtype /Link /Type /Annot >> endobj 2552 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2553 0 obj << /Length 19 >> stream q /Iabc25351 Do Q endstream endobj 2554 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25352 20830 0 R /Gabc25353 20835 0 R >> /Font << /Fabc25354 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 2555 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=141) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2556 0 obj << /Filter /FlateDecode /Length 3900 >> stream xڽn$ί9@l @h fG@ANA ؽS/zzv[ݬ&&j [_tYA}M=A;_~%_ ۽^s}=[oZl3O m|6/4O' qjӯ: _6V22';[6Q98fymgKֱ*6LH 1`so;<+ɘ\v^ha; PQ:9DYy^c-\ wPDk m ߿kض/_8 mi& 23p2'puk 8/Pw!sn3(''ItW0# pZR#ۻ.ۚ3Q9?*nuy~ (؊B5!RJp օ:GEy]U->j3ݓuyX5(ncZ 0DZ X. Tzl!l{r$ Dg+D\!P Z[Vaڀ ȃ*D?"j%5N^  ^>;j Sr6m܂iY ]PԱ쥘:Yw*g]bb9˘Dn5FZ 9$yY0PsbM{}J]x)7)XbF$m[{ R!YyPpʼt@ ⡆ez&(5U A L3;=-|"2tW .K$v>,#Vt_h鯹76FCĒ$7H#cM頌Is8z^?`ND:cY&u$θ_c;g&M߹ ;CJ\2T2S_I@o-jg^'R# kEE0ip5#Yb`@J 8oBYa {蛣gǾو($5Xnf&\*7n<2]/X cֽՠ0gyKP ܡrc=`p5=ua6!Mdj[m J A0w!3PLj@T,֣K]s.Щb{c:ba4Bj5y+oU I#`U=yOZ0nރރܥ]AP}%Ob'uIi.6l>ܒ6ܓ}32㸷g7xG:sE|޸6 y 2ӽ)Ŷ۩#\X!,!bܫT m9:Bd+ ˺ Š{E죌O q<&2$ΥN:Jqk4Ww/q{ ӧgm#fCyY^ƟsiXH;s؄P7^_gQi!T j;S(g*wu=Vˀ@ ,#T[O,͑*fsգ{Qa&l"d[Ѩp0U e :vH\c;@mbly{ ws%iN>ClKhPE>w;Q g1S3jiv`^1{a _V] mF 1vf]'V,Dblc|#_7[#??9vPՁLbFNb[rvMCkᙳy6'2\ί>=ǸH =o;)ifSes %`JeNMU(kYdV6?#',dMijuREmx>'@ = Uρ|D1] zQ"&عǔxI2UyzTR]L.0 Mn~nue8M@40u):$.?={dh"\g'Qe0 e( m^CN}2m'uGbl?я\T.ޅ,gBiڶE9i-ù~vwm \<տ/n5[q劓TdPi xsa\8I֍{ l:x D8i" .njfx*Vrvv $O$OY}:{3.FjzJ2tb7(h&ԡ\5E+Y-!X?p|+<䧾]UWS// Xk@2ޜ{6E1;N;\Dl،BQ~?\cEO U~w1W[/ich|ɯƩPj5A`臣RrPNIϋf㓔Cld뿽`: ~`RI߬o1v8>G{mQ ^Wx]8NUcFѻJsND;;B(5mGv:7Ե <xE㣏9X Om?8ZP>@?2V{M.CWהJtzMq=d< $z#0-yK[uv_^+Eh nv3) IX!0/YqNnWV0̯i37=tkokG}k)RouS)0|Ǹe7Odz\_327 q 72Lʓ>t27y%FV`2n 作31mxo45]:3 MrkӛLO-id;B +j m Y^Lqxu%U&';i?qTR<9dIӯ⾰F9_u <+Nlu̶_rkȶ~ w6ِ#߸3+2Z ! r:"#gԗ G"UJSia5 0zZDy>>kOp=gܽ,pJxяjQ2Si߲۱Xt.C^> g-]?PXkt>a( q<W`O3ăDtT߇pǭSpr`O`(F/¢ 4jI endstream endobj 2557 0 obj << /Annots 2559 0 R /BleedBox [0 0 612 792] /Contents [2566 0 R 2562 0 R 2563 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25370 2564 0 R >> >> /Type /Page >> endobj 2558 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2559 0 obj [2558 0 R 2560 0 R 2561 0 R 2565 0 R] endobj 2560 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 197.6017 686.7] /Subtype /Link /Type /Annot >> endobj 2561 0 obj << /A << /D (unique_298) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_manager) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 194.9342 670.5] /Subtype /Link /Type /Annot >> endobj 2562 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2563 0 obj << /Length 19 >> stream q /Iabc25370 Do Q endstream endobj 2564 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25371 20830 0 R /Gabc25372 20835 0 R >> /Font << /Fabc25373 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7JfthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*ɇ[-48יq|mau3ͪ? l?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}$X endstream endobj 2565 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=142) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2566 0 obj << /Filter /FlateDecode /Length 1231 >> stream xڭWj,7+̀ǏEv,BV aRz<% =*IN=]ߴyuu]e]OǨӧmkڠ55r6VZG?ZOO*(ג>}.> ]h\NotØ]om~9;DB1TpR# rp0+7V+I#''zҒj'L`Kl֐^5); k|j][KP[8j-ǰ/|ѴWMQgq,.tX2F> [A'=]1K>e>2 #. ϫ]kԙm3S,Qe0~Gz-ql_@5`\3iLD#pnS"d l 2|CcV~jqYXSנqwi 1lϘc}dOڻHQڵ֗4w(82)z G8#1*rىP`\Bgfo%CY Myt^MG H#5yP&MpGYevKn޲}1W7Ib!ć &,G|aDLCFރE endstream endobj 2567 0 obj << /Annots 2569 0 R /BleedBox [0 0 612 792] /Contents [2575 0 R 2571 0 R 2572 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25389 2573 0 R >> >> /Type /Page >> endobj 2568 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2569 0 obj [2568 0 R 2570 0 R 2574 0 R] endobj 2570 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 2571 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2572 0 obj << /Length 19 >> stream q /Iabc25389 Do Q endstream endobj 2573 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25390 20830 0 R /Gabc25391 20835 0 R >> /Font << /Fabc25392 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہC;f٩c8c.@Br!9;Ph&jerq+E0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y} OM٧j gVwlVbVM^RuTkcb:txzɓ#,J[㫤̵RLsVWӬx#?$_m!EڟA);ɜ3F0p|uLѨ\t$x2X4/S(RevgPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2575 0 obj << /Filter /FlateDecode /Length 4409 >> stream xڭ<ˎ8w}GoY@ ̊Va1^,2/)Re;"Siaz6\[1b~.߯A;.?a!e:҃>9o][ullo N^{cCo_u^8/:0^,?d*bWU$%pjURcB=ưƨ?|t̓'Ҵj9R)ai+S|5W;T[O7b!¾av$U "6pdWU\Q[fMm{PltʖBh)MfU]{v~U[ֆ q5soPy٫PJ'𻃧C8ʢN+-Z+=cf-D'- /iM/ b~52yI +|T 8^ktXpxQ *|ԧ9@ev}+PQG14 Tl+ ɗ{k$8bq k84FS5FRrL6 ÷ X@ mDr:JpQ oUZtj+4bx+3S#3FwiKKQ4kͥ՞8b]<87[ILHa)0WVaI "yjfB1۪fQ9ܺؗW.vqAy(%?~3NRI%OZWՙ"]/*v>>Zc#$ꯣB덃Ij u}ZvU @ԷI@ۍZ؍Znj з @B-܁Z4u FWI07tyITWٻ9KKC꠽ X3e)S< uET=Jc-l}=bFxº|H^׉-keB?ClᮓP-o&\!}\8uwnpwvp n(Q O[ڃB b?[SʗV߷T2ۜ d(c`{LhzMH9&1!X+G 5.>]ήlsoH ߺU]y#OFgi#O _;s9q?7m2u 1*{"F>g"ypXU ƀ0P="I`b#:~b&f'=4a|kB,0)h z$ D /X5##!pNzgR֍->B?*A{[.6tPԭQܘ؈>&YbCPɬNP*1G2LJę$ΕHcCM4L fkG@LDʕe u e2"cF\\6j4IPURwvgS;sɆCd֗(N8c&$;5f"Qx>`OHy;! \Jm쁑#Hc3 tK`"N@j qm3:wtD ui]Ywnr` !k c @a#YH0y8at%n=YRi@)B0m# AMN DZl< }:fޱjLa(HZUZF"Oz?183ՎVz;yؓ,h>ei۫P I40w೤|H' %U _(1gK0v}ᤇl$l Oc4SMԅa@1{k֙ #]Wz{Mw(SL"~X"b`5YUe ;!F-j(ćᬅ/̙H?6kzD(^6#3Bn=TxQJ攉&aωP@ \P Ɵ{pYEqôx>y݉78"6/qg%"wQl}8 {B]e85lA݆u悁20) sqQ͘ 7`¹$, (mwU uS 􎄐ŲŻ\ C6f"yG-p(` (2 ;Hډɐ`s(kl{ɚ? UA*%=7e8ґOBA YAzm2ԞDžh:mᅻJ(Ӱ"߯x6Wa$h@R\_{Ix>K)}/xߢMq5GK[ p!9 ǬcTo#-cpbZm1ì2X3pk;Ѵzy` ݰ"T Gy:]$+.|cbiBQDǛ.{NS`7)W@j#C) o%[N& -Kq=+R&^0bVP1Vi6u\+^͵~^!EƦ-mWJ/WG/낫{2;c- i^ątO/ƩR72]/#%Du\ƛ9ϸDı+P\W˟\[#Xu:5oioZQCp]{JZ{MbV*|cJ[1X XzLzO(.+kWwz9:_⩦?5:7?.pdy[ +N.u7wU,>%JhH*i%Iʼn * ΅L hW1xZ^)5ZL쟀06u>tZġnЃK>3 @uGq{i=^7f _"oՊ( z市O\|E<ͪ[ֆH7\e\Tc$`5 6!۝vi|PcQwqP(>լc᭸;1Bofܠgڕ*?p( \wS`*^B6dMLǪ•}ݾv03dX{b~b[Fڽ+m2nSH!{6>AU21 ik={d\"M~&ED>p./ ~.1$ݲ*? :.Y K^=^wP#l__ 4_=u7_.Y}=a#1r2"ԗLp$>I j J endstream endobj 2576 0 obj << /Annots 2578 0 R /BleedBox [0 0 612 792] /Contents [2586 0 R 2582 0 R 2583 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25408 2584 0 R >> >> /Type /Page >> endobj 2577 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2578 0 obj [2577 0 R 2579 0 R 2580 0 R 2581 0 R 2585 0 R] endobj 2579 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 524.4076 177.7632 535.4076] /Subtype /Link /Type /Annot >> endobj 2580 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 508.2076 182.0037 519.2076] /Subtype /Link /Type /Annot >> endobj 2581 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 492.0076 191.5297 503.0076] /Subtype /Link /Type /Annot >> endobj 2582 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2583 0 obj << /Length 19 >> stream q /Iabc25408 Do Q endstream endobj 2584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25409 20830 0 R /Gabc25410 20835 0 R >> /Font << /Fabc25411 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 2585 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=144) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2586 0 obj << /Filter /FlateDecode /Length 1975 >> stream xڵXK6W 0G;&h L{K~UEJڞvC"U(E[m[N>fu X2:=^[?/K}U7t4&^)p1&qtx9?t{:‚#7HMMj6S5V~'KqR;7>.,6vyJIq˂=[٠xD`-`^Hjz<^wd 9-ʼs3n*4;8;&}p;E?#50؜܍H;R4 t%+ȕ UFMxotnKgi os\ؔ8"wmj0j8zA`I3J1'`O/ !Ԭۛ2tA9kI;0 |2@?b"оA_s5bSE )TR,1O|Uy`,b<8sEp6*<1<$C GzSlNޞ&8X]zm^QQvik~R>o*fFnc6ܸ=GK:tLE?4"94zc)5́#0uhx O'rRJ;&̐"s|n&'b1:s16ҳVq@u }v_݅b9qGc9]ϸX&VO+g'Fz'FA53 :3AIw>$O漳']N\lnmj X#aJMڑ%$y9O#xEuvJ3&lc֏ErgҾ9E#!$i* endstream endobj 2587 0 obj << /Annots 2589 0 R /BleedBox [0 0 612 792] /Contents [2595 0 R 2591 0 R 2592 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25427 2593 0 R >> >> /Type /Page >> endobj 2588 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2589 0 obj [2588 0 R 2590 0 R 2594 0 R] endobj 2590 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 437.225 124.1605 448.225] /Subtype /Link /Type /Annot >> endobj 2591 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2592 0 obj << /Length 19 >> stream q /Iabc25427 Do Q endstream endobj 2593 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25428 20830 0 R /Gabc25429 20835 0 R >> /Font << /Fabc25430 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ& endstream endobj 2594 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=145) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2595 0 obj << /Filter /FlateDecode /Length 5290 >> stream x&|}_񠵏j{iw;zji~TSɌ߅]z1~G qFs@vy[ԫEdxWKpDe+Άo[RB)K(kҡ1F':mfjNFotm?C8c# tzT\5(@&sKYc?8.``_ 8|]/ѧqbYӂm 1 52J=,r@]}!e(w)k}j6& /$SߗY<$ʽl,*Cउ|s6j N33!rO3pf &5:G5/Ԡ$O㿎[z6W4::|S :843+tU2=be}ju'Fw/k ձ Sv I@K?uj!ws4͔T׀:M\\dUOrD,܍w?(f[Gn[g8c )$)]obGo !i/ ʯ~^\@(xw(US$;y} GIFpQ4@C꫈5Ha&jt߈udD/&9y f>P˖= Ux *|k3P@ϙŤ[nXȳ,HuQ$D | z'lal 70ѼRcEFwiOzQzk>| %Ǹ1![E `鏙$@u3z  $Ÿ[f~ԷKݽm?ڪ~J㧌UڮH gG 1be e=׾k5 G.1u%~h[aOcWܼPp￉<<۹y6tԢZ- ju?l1;7I;]+!'N'NIqO} r7os j׶xW  X({*%ISUmX}f_ X6\ |JUZ Zu٢Hys"J58D|q [CŞhPaϟC,LZ(e62ś5,:LaS'A/:4"`;F&5S"⴩/'&wɣ\fk!ʒ욜I^,^`v]֘>[D1z]`.:dX##:zN!es"M<;^k XZ1&hyBU7;=xJ-ht  rDѶTo` mPS)0e֌MЪA[ޛ}e 8I15l yEAWs^G{d^|!eM'ԯх/%hA=r|(.[ f'ڲ3BF7pOt3Bc0ACgJ $0!ët-'R_)BJq*n_q8T sʨ耷.VTW:ΒV0Iob Qq%y뀂Td"; h L0BM|r=oN ̣R*qeQؐPjP!ZsS]ʴET)ģX gXȡC ePkǑ= $GZ~ϼBP~PqӱXαS jtL0N:g?xT3Bi5HzsH?0Ue"@^z'=A#7icO82a)dL8q5:~SԛX料=fYxr@{| >VKͶy)HI6a83bLĞ5"1pjzUL,]`=b`ZKDif#aʦQ ϕqP398`˃mNHa_nI` ԩ< Hۦ]#0hjaԛtʉ'i S WtuAcVĕ)aF*JȅJɥvT^LCVƳ\^x:wCo:R7/Ǣ!uТЙbxC-F-[3܀ QuJuy\PȾck܄(،~(Ы\Y0R0&=TIfLfrmZ| 6`y!Wad^έ{ u k?,NJ*6~xOo&g|a<Q <\r*H/GCr/_;gi6lc@rPH<㈔a0!V} _ m+gCۡѦiliIzaSx[oYTV]3íjDm_ 7EL -9՗> ѴNbRH֖ȃ{$#16g- y C^#Qog'mⶒ^Pn7 'Sv\^I3 [i=Z ;acHZZ_>*SatN5M>e-a΀e/_y:u2BW$ Z-XQkj9_VCƶ}ȮsCS_QZ+csV+:Q*ׂTшOscy[j44/*jEU^6ܭu9TpruIa%x%~K M3 (F>N{ ,A:&qӑ*v\,@mk 7b_i,LĻʫ萚:;fg;!-3hB0fǃ$Z`0OkeN?Mbzܞ OIx﫤ln!JH +4tݫ4C!-7~QՉԻ 'o|GO0VoV#E4z&}Eu1L,W94obU7CSë Bƪ)\AC }nD.qO ($CÈcLšk-1 ׌[mD5v Kudj+Xl!͇|]ԖKݵmK@B[ko=oc0DkP֛}([ ]_-FS:˪'qnuɑSee['nwޛAQǐxtqD_ԗ*?z%M&2D㜊+vXݨaqL j87m?s- 7,ܩ()'Izݾ_v5v~ :qS݋/}A}O;9˔o3`X008:ؤB9c ǗS_pǦ['0g1B9?!BFKOwz0 O35bpg ٽ׃TqlX#<گ$>'~EY8~;1}#{JlCe82 ϗK+P xH endstream endobj 2596 0 obj << /Annots 2598 0 R /BleedBox [0 0 612 792] /Contents [2604 0 R 2600 0 R 2601 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25446 2602 0 R >> >> /Type /Page >> endobj 2597 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2598 0 obj [2597 0 R 2599 0 R 2603 0 R] endobj 2599 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 429.9423 178.0272 440.9423] /Subtype /Link /Type /Annot >> endobj 2600 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2601 0 obj << /Length 19 >> stream q /Iabc25446 Do Q endstream endobj 2602 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25447 20830 0 R /Gabc25448 20835 0 R >> /Font << /Fabc25449 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBNN endstream endobj 2603 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=146) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2604 0 obj << /Filter /FlateDecode /Length 2195 >> stream xڽYKo6W@ hY"''`^`|߯H3$)XU_=[2JLTzzVmΨU64o1s⢵SF/9&6kwA=$@%zE*q-2}|2r336s$=ښFG0ӻt8?/i ťT hlb)(]wGe+I\ qu9N>pP4+C IW*K-/ MT/?O/ p@xh `Ln'e f>@6\+y|V?/jcfGv #,zoa'|8XjD[Ԟ#a¸L t'6鷀;Bc`T`+W>-m Pu,9'ٌD`![`l`ݾGUM:4^}rJ%`\N ~r9.!߫o=*vo xmoN-fP5|S<֫1L{cfoW]|༫U@Bw֔2UKjLA;.*¡DV! Jy>DhA{Uڙ[m M7?rߍ>±j8W: o+hۍ [McaǍ'h\H)~)T [!R@hw[NUB ۀuNRp1s001 9d R NT&E,/}Y7]TvbwssQVZ&8&U5)/^ LJ!p_\!T ySz1dJ-(4]Mؘm.Pc IO",zԢVq}]uAi5J'5IW!0f6`>]ޕf8T#y8Ϯԯ3oV=3~F ?K?4P0LDH6P5nAlU@lFC  &bj!; u07k;c燥)Tt:eYysf,0@]UZl"7H{7) v8Ь'&M4m6qÒ79^sH|g^}JO_պp _y(~ݹqx#і_qiWJ'&:uD,v7)a &r˰ס"^VMs &S H|.>Ls;e453mސ+В AƈW̰_ѬsS3YPae;Cu3]v[Un]HyN8sRRR`h-5s qaO?>y:fK ansFv_XUH'C]7pfYt`>ߞX#%QxT} d0WV:Qַ͉ʆ0ǜJmt]hxTTOkJoJ´1C5aAe4h7kd0~L׾G>$0auΨ"zYQaڶvf&6&\E4.[[Gf BhUJl/0Ƅ'䍒fd䂏{ Y&W=$s*ԯq-kҩ|I dW[MiQcBh n6'PT({(끙{\~ĕ!!H R*`t5Wz*Tf9JlVkUą>0zTwp½+x.f$R) H#06#$)MG=M'TUG O*<0Xd/'`FIYtw;Ր endstream endobj 2605 0 obj << /Annots [2606 0 R 2610 0 R] /BleedBox [0 0 612 792] /Contents [2611 0 R 2607 0 R 2608 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25465 2609 0 R >> >> /Type /Page >> endobj 2606 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2607 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2608 0 obj << /Length 19 >> stream q /Iabc25465 Do Q endstream endobj 2609 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25466 20830 0 R /Gabc25467 20835 0 R >> /Font << /Fabc25468 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2611 0 obj << /Filter /FlateDecode /Length 3869 >> stream xڵnί9@p_Ad 0IdR2ڸ'NdUVH/j6|7`VǠE?__OA;_~琲/o/wƄt7gEů^mޙ=:KE}!.WM/V6{>x3a5еP }~Pઘ=f].N=ukԆo%"-XBfŶpJyQqlW[F!Ԧ#l;DU7⟩w%MCZ ۶PAA ^ٿ̙*6DEݖkjzyĜO/=y{焩y6܂iuً Ip[t."{-A]Lk](#7T$+Z"M|/,K,/F?~ڕ4s *g2VQ?d~)SZC#MBdK!?yf߲nmiה8Σʕ[c }c<ǀB^,<5B,ۗy(Ϋ7IVuP07ʻv%)XIpY"Q$(7dƒ @K HG@DIxd"K#1i @35T4Vx * o3XЙ m3dun8H< 57xalWrJnhncSֆB ip`6Ҿv-. xF[[}Եp&"C5xZv+[dKƒu]S<d/"%_ԬQ?_ޤy2%`ѷ!=п폭) env<5M} G[P0bx)"WJx}g{Uf;gߜ=8ND> {Jv;7P-~p 4qc@zP7}`]u9ؓ, ;4xmnA76%⸻%Lm3{ SRZ`0H<w21aT 9f9q;Dj=-e,XsjXy8$ҫ:x@Yn Txޓal}ԧUGrGrty<.ZqC& 3 N$gٔDEӖэMo^޴yP;o ( %e)<+iRn^J@/eF-Xp`t k۾*ILQ}RٚjZKXoiuVjeŅ n xI\dL\bVQ.Wzq~@T[A.>Md>H,R}XFb3Nk+W6#s%wn1z <7gdj~8GQW{h]ΆVqFpu`cځ395S᎑?t1a^ջU8l{׬ۺc~7Wa,υ@HD0e8,0SEGe( 75bdžLf@M&9TcW2ɶ1zZ'3wvy %'a)Lmܐ7/l(;f[ɬSÆp=wrhEؤ3g{ш cb t7HX>XGu. #Ec%wMQ@Ii%fk9Z[bW42Dnoݫry4 7]xwʈg>AQFZ Ɔ'4I &{qDɮ tDG"ǵ#cv 0lawKy!/i'GbN8ُ8p(BWTqc|xsk]׶(Ӓ aƄ-<`_қur|/}IY*R~1@R{n7e3j9KJLWh:v{ue";Y嗋X)Vr{_}EwytY8) [$*8%J ʛdlqvX1EN;yӅyb;S$`{6-Z<(t0Nh`a:Xp#rSFROgU|CU7./']`uT?GԦ Yp}5e=sϙײ\g e %l|[~U:wڕv&=Jt 9la+kqӋ;ztVCd;b.U:_%[%E^9.0[g氻 Zšh藜y8\Bw,VBnpR5v|(+fɳ,=zg&pAKE#|~ϹFWҘŋ-'+aaN)+ ;/}cXƫ{u5n‡aAgJw渡_u]Q3; "ԑJ wkFn3Ăxsu͹03yud^Lw^&.ns!HuJCDz0"e6کܐN _+0xѿLc^xZg ;># BS lCfKQ{0 ZwQ R n!$Q ESC?!VX]A`ی ōM>' [ÈDEN[UZ,EÔHI(S3IJ/#G Ҧ1[ϓhܭ nj>Bca=#@skpf0E7r]M>Z>/M׆"C6 ;}fsM7d!U'5ٶeO/8}KCI N:ϖT.=`a#oMx\0bV/:KxLTR˾dXf 6ᎏa@ckwst<.k.{ړ! r}g{ἐRZǕ4,FOwCxw.H[ѷqY6).69#*ٰ <^.Kk6ؓ{A"Pk1 aPLFbҝn0 t` endstream endobj 2612 0 obj << /Annots 2614 0 R /BleedBox [0 0 612 792] /Contents [2621 0 R 2617 0 R 2618 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25484 2619 0 R >> >> /Type /Page >> endobj 2613 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2614 0 obj [2613 0 R 2615 0 R 2616 0 R 2620 0 R] endobj 2615 0 obj << /A << /D (unique_675) /S /GoTo >> /Border [0 0 0] /Contents (log_saif) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 140.4732 686.7] /Subtype /Link /Type /Annot >> endobj 2616 0 obj << /A << /D (unique_678) /S /GoTo >> /Border [0 0 0] /Contents (open_saif) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 150.2962 670.5] /Subtype /Link /Type /Annot >> endobj 2617 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2618 0 obj << /Length 19 >> stream q /Iabc25484 Do Q endstream endobj 2619 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25485 20830 0 R /Gabc25486 20835 0 R >> /Font << /Fabc25487 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2621 0 obj << /Filter /FlateDecode /Length 1200 >> stream xڭWKo#7 W\`[ qz `lY R$58v, CHQ7m5oiSN?γ:]lc޿I9m>>-C:Bp}ȏnO+B+9%}Ixm06N_;qj(2";C{>v2bqdAp}C~<pĦ:?3@3FﳋO/z\+$!&%TG &&+ RųBUx#; &SMu5 XM rii:UY[g,q E?]G`kY]AG0Sm6"7-W-|c4^,VSobaOt chAeI4fACIO5Xkb}꧝`p&q/Yoclvs\/(]Hs裂F~QԜřRԴZ6F}-j \(ZM@I,XA)<5CN9SVQYݲ<ңgu*W]W(4HȶN̹Vj[T5Wo`ĵYhK7+Z>¦2 Q.g^,gdwB1:D7+5K1%kB_Xgx҃`)&*N,KGl~1#K-?c,2StLID3) Slɟ AÌVxyNmY7ϗug`(Ӄl]1BaQt*'('x!ΔnLb8-L׼:Xkj0׶x(`{1i/$u#X/xA^i6#5|Lydyozjm%W_vv4`==vlAD{mM闣PL*i!Q_=:88gYp/}m!ϑ>#{FzҦ줱;G]0zOya=Gc܃#8|;Y֎h`F9Șo*`6zF,xݿA:ĞK< k(ÇP#ݚ*Up{Y%vG<>ﰞgIB!&Ȼ9{,F!$-X-ߩ4j%Y] endstream endobj 2622 0 obj << /Annots [2623 0 R 2627 0 R] /BleedBox [0 0 612 792] /Contents [2628 0 R 2624 0 R 2625 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25503 2626 0 R >> >> /Type /Page >> endobj 2623 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2624 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2625 0 obj << /Length 19 >> stream q /Iabc25503 Do Q endstream endobj 2626 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25504 20830 0 R /Gabc25505 20835 0 R >> /Font << /Fabc25506 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛV endstream endobj 2627 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=149) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2628 0 obj << /Filter /FlateDecode /Length 3964 >> stream xj$_gCĞ -12Ŗ`K68U:cb~Sg%hgP5WcBzgRߢkoj5?-dZO<_ghCNhB 4_YRd_lIVgssiJѱ,u^ل5gcjŘ:䲷&`LKB_r@jBhD3`-Syu8 0"L=śTB4qdra0xnW-!{݆"v{EȂʳtiヌ(KpkZ<Fap6#Mf)!Z|@6oԿIFyA-舙!/g@mGg75;= `6xbNR4 kj09+׷dy|{Qdf.єJ< >񑣒OHW꿪mU׶x_~b10 T( j+,./k,m 7N(WSDZp^) (O EO{"kPP^d b TZkn6\KWb+#]=A.b)WzCիzqh #uƽJȂVXqA@ST皠:MRJТvA4X*xk@-yt6).Fg7ڨk H|wVXò4kiPbSal%eI 2@yKfצ) plV{!UUG5RFty+/]hRl[Lh`Ή̙2]Ul4Ye.jŊK fD [UwX $OR[f#jX>u [h{k2[+m@Q鲪PTL]VT&;إ`5Z*s^0J~%trRnq_Ap9n cכŕSusE5O U,ɍfok2a~qWf1T7#aŭYF6Puf7uĦjlB:*rc1u+zSWv&ȃ9)a0 !VⰩB䩪9)`Rju2'uwA"=Io H+ ƈSgC23 IO/-޷"඿oXѺcAmAZ0\j̧UH GG bl?fC곾~cuxkb la2N yS>C@<9uhiڏ-PS97-<=״@ϱ?4?ɱVӡBd(nRh/0 4]r|T28Ku}}j lOpMq ^N~u9G>DT}x1 &,Ye#WCyb@ڴv!AXFo~bTj 8:OQnQ!aj!HK0GPO nWGfsbs;,D)匮vK85ѩH6'.1J!;yczo|e]<[wcPz'\r2p>L|SgHuq64H=k K(A $_%UDC%S#l _Mw`iɹyM}R+sZ:yץu~Ti AXƽ7AGFvf fm7̮~;|z5v"$IF!޳ۑ>-#^I6QpԸG熄9-<6o\oc s5PPM 7)(քewn ˦ӛeeQΝ묒bfۃueu`xh:a'vmF,C\6D_kT&v-ye3SZ3 wயZJ'}(zP;XE[5k * JPs?0Wo[?hFuu׊@g;|l~c#%/ ՓQ#k7:Uo\JY m.~OR'e\>ϼLy*MsXVXզ-DEӮ8?oޅ[֦ŮrH#^Kc/Zk*{R3VЛdbY\ `VPw崬R\}Mfx3-@sAhX|W+݁ CFoM+hE訔amvk)Oī-;^f]uVu7a{ A|'J$CAm\ʭբrh'E<# ߧ0Aݺ cYxfOYf4n3s.(ݘ!-@n +>k`yaŀ VflBH벃1ҿM$8JV2Hl8@yZLz!U/' W>}GGOx=hʕO|AuRM,/ruVF؊?Tzp 4>]=~BnZMХ|W,xCZQr Me6w)D0+3zmx =}>| R[Ͱ #x/}et/"*BTCS֊ 9E52|,UCe* ex s /Mn29\T} 1ۍvrP1qhK/bo/'>7T/n?k@Z|NvO$mƞ[/Mop׎ 7,C ܾۮK.BoܘA=a Edy0&9zJ0^KF\>JB9'}"KA/G}Pw[~$6!0r2*Xtn0| B׾„>QaQxm95rn|;~ݟg/rI}DxPQ1u cJl҃0uFw`A/iDH endstream endobj 2629 0 obj << /Annots 2631 0 R /BleedBox [0 0 612 792] /Contents [2638 0 R 2634 0 R 2635 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25522 2636 0 R >> >> /Type /Page >> endobj 2630 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2631 0 obj [2630 0 R 2632 0 R 2633 0 R 2637 0 R] endobj 2632 0 obj << /A << /D (unique_664) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20211013063105-08'00') /Rect [104.1732 582.9 160.6527 593.9] /Subtype /Link /Type /Annot >> endobj 2633 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 566.6999 190.7432 577.6999] /Subtype /Link /Type /Annot >> endobj 2634 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2635 0 obj << /Length 19 >> stream q /Iabc25522 Do Q endstream endobj 2636 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25523 20830 0 R /Gabc25524 20835 0 R >> /Font << /Fabc25525 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*GthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4 EŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2638 0 obj << /Filter /FlateDecode /Length 1562 >> stream xڭXKk$7y0 ^m@!'o!|U%u]b#KWoꫲw.W^T*U,M}2/ǠUO%eeg+ģ1!7hG4O{u P~(8)g{UG1yldz4!nU\cS:й8'_ 1Nd'PqdzZ˧,#N$;9`^.?CL9=/wϢCZD `}Obv l[HJDomqG7zwY)aQPV\AlY$-XtU}npcc FCHR#2}UF-u)TꢈI?O:'lfEךkRpF'H{ַ%c:~v&O X%%[ ,$Z%ϸ 1]O>5&+HN;pYD,onW? 2ӿZ]8J!%"7#hOY\ⷦ.;n=dW@V?)4l`z1a-NBNIOΓftٮ>j86W WdkyQ*a2"h=_>`CT SY?ViO/{>"@!6c~m!Xi}IDŽ0z`V s|<=GzWGr;Q;Q"c- Ɔslm=.*PTsz_f#r 4Crj;sQV("Q|jsh#nasKigZ?'P3]݄{E6^}VA8dFאvv*;DBCU endstream endobj 2639 0 obj << /Annots [2640 0 R 2644 0 R] /BleedBox [0 0 612 792] /Contents [2645 0 R 2641 0 R 2642 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25541 2643 0 R >> >> /Type /Page >> endobj 2640 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2641 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2642 0 obj << /Length 19 >> stream q /Iabc25541 Do Q endstream endobj 2643 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25542 20830 0 R /Gabc25543 20835 0 R >> /Font << /Fabc25544 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O/ה b6M CZNNj~ۣfiW!g3ɅQQMwĘ d?R4 <~UW<7!fZ5Y}> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2645 0 obj << /Filter /FlateDecode /Length 3977 >> stream xڵ[IoW<@p'=Y9sr )}Omb;Ub/j|OϺY. :=_vV?~搲׏7ƄtgEިbiUnҭS??-YooBccqݶm:nk6jF4a止~ wOe=*\⚜^QqeO?@#_+R89-7Q"•Ddr6R*)9﹉Ga~KD[)k֘IY093Vڀ=|ѸƖ~wx=Xlo(@[2Z\j69p*n &SAאka TpO -+P෭N[_ 1HEi+&MYcK5Xi-5N/ޮXz z8pG>NTV"Z9cȱ`$T3[Eiem-OS Ʌ=$ eY Gг6|Cn]9RD3w`duW7:&6sݡq½yyڈW۸YlQCYY¯\sǂbݺ^ƩGW'_X$1tK\ '\jlwnT/n,XX sX@۞Lҷ{ٿ ;sywZ[pfwGJp@@,%# M 6nhȊrN}iɅx_(S̴6w.`0c6_< eyf7zu1ھQ{1w0c2;w X/8*TI&DcmẀ@Hpϴv(!OQ7W; Z(ڵ.h R2Rb'ȴ\%l3ivB{u L% Ϻ1.qSX*TxԐGTX#֯x=g+qʁV%CWf 0A\ Tdq` /|Q8NA;pC1D 0j|(* d&I`,%\D8ahF笃ECvXj 5@IR0z rG.ƀSˆW5Un6/+Îׂ\^Dz(XעII%—5AĽL.΂+38=WtpN*;vӣ3JWfudRۈ?P[yQae |8[L奔7^a6FqeboL5x?pBc_pnb Ͼ8n8PZU ,: 4FRn8x3aKcbm7K$DrJAf 7hIPŝem19/zj):~R89kn[{>wY [Jb5v&~0Ŏf]T-lin s3qN!vLƆ{4FI %&L.3a2:=UN"Fڤ+pQ4-or!fG>Ņ%n(@PGV?"ǟ /L J-lM6ވ V [xq3xݜ▫xeaQ x2tmZjp/ӂfpYdH eW˚h"0npV3'lՌ(0m^/8C@~ULgB:OQJBnwx%*:Lp0u•ܹsgUa@DVԽCdLFޗDŰn;txKuEu035i.qWW66>/Wg[6]lgWcU[d)| V-]߀S+[&ېi*ޯƩ6)2\kɝ>ϒ'ic(NgY, U`S=wA[WkƝYO1AFk=R݋xh/VyeCf hGj; ;qf .\I+"逿Үms.9o|$>1`kwԙ[_vR9<H6 {zc'nf H0NІ2ty8ECG$tu "?\|ŭ!21 x-ݨR 8^#H: mJ}3h:,m&=.u4P:ɌHla9T5[FKݏ ki0m IW'4/+dT ,5rK=fUsT4Ҁf `VlB+0(;+B}O'')*N8JjDE"5$eHfJ$=SIJc~$MZьLABu3-Y7?s&uO"e8:g dIĩMkS>OIWΌ"C6bZd9&}dK-l'Hn%6AMamkË9e+25=z8]5E&Ƹ_. (^!Zw~ /x4|!;n`nC@]anhz{; !_dG Qxpn7Å&ii_If`}]> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25560 2652 0 R >> >> /Type /Page >> endobj 2647 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2648 0 obj [2647 0 R 2649 0 R 2653 0 R] endobj 2649 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 150.4282 686.7] /Subtype /Link /Type /Annot >> endobj 2650 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2651 0 obj << /Length 19 >> stream q /Iabc25560 Do Q endstream endobj 2652 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25561 20830 0 R /Gabc25562 20835 0 R >> /Font << /Fabc25563 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O]VM mw/g+R\T1Brt~AG3cX-LB.FPQEq¨9 }' LEԕTx5s剢xq-lb@r2dv"`V_g_5kDN 9!M.%O#T\ #Y}ɾ 5Ժ@Au꣔xJ9iK[ZNߖj?ɞӦ+<ʡ|72THLJ\[-48יq|mau3ͪ_ l?7‹C2Xl˜sshf^2 +?ɜ F0p|sLjT:I*d~{UXb^@wP"]@ ̠h#bwo* endstream endobj 2653 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=152) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2654 0 obj << /Filter /FlateDecode /Length 1176 >> stream xڭWMk37W\Xu-1Nr-`yK ?t43Zi4/ҌF|jwViKru;t{gS8ڂ)){ 5='ByPq%v`#Iߵ Ʀ "eZ~@yPjWy_Yutja&76F OoɹFWHjCL`KN/LLV ƥ V0,L 1Հ c6%ȥ ^u05&X&Egի~ք3fvVMHtN}nrr-7ƫ[R0ٮ5lm5S,sn}s36k;q{Mo+49ԘT+ ΋&mn~کA 6^N 0a28fw䝖y#>RÇGVś抈^r^^JDg{E=PL*շ6$Ꝺ =L5`wNk~~ؘ9=ՇFzҦIG0zOya=GcN`tHXq>Gc'c'C-XQp2fz ;3صMXDmД'5x $X^XG__ F~5U4J@<>WIB!į, aOX-S_CIT O4, vIoB endstream endobj 2655 0 obj << /Annots 2657 0 R /BleedBox [0 0 612 792] /Contents [2663 0 R 2659 0 R 2660 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25579 2661 0 R >> >> /Type /Page >> endobj 2656 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2657 0 obj [2656 0 R 2658 0 R 2662 0 R] endobj 2658 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 385.225 140.4735 396.225] /Subtype /Link /Type /Annot >> endobj 2659 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2660 0 obj << /Length 19 >> stream q /Iabc25579 Do Q endstream endobj 2661 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25580 20830 0 R /Gabc25581 20835 0 R >> /Font << /Fabc25582 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7j!thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1^ endstream endobj 2662 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=153) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2663 0 obj << /Filter /FlateDecode /Length 4479 >> stream xnί9@)IWUMb 2qTNn["%}!GO_&3iwKZ}*}f ~4:Nɚ~s1}ۓ>>ORwfy'ӞԱ-/]7ac9OS^4}zU_Wd`)hn\=\5C&=%#=kg\5K4ӟRv]`~fٹɌsq-a ˜t(I䵎Ƒ]yyV3܃x*?^f^!<O΋/ѧv0?m4a?_01 HT鳳 ^??Mvz~J_gPxj46hkAGA*~B ~\W케Lv`p`&6M[3V+DtO͖BC G `ݲ,em z弆:6b]tQ 6ٱʙ1pk2O0;6Hgfcbc X"^HQ]kă9Mܧ[ܬ}ΘW @d՝Lee+k ) MKZtVdqq6S;C_zlG)ZH~lhYh$W'-'%uM˝:WL6q2R򜭇|- l+X1 `K" @bd_eijH}ԦEoqJ?6< *!Qk%, T|N<92X KV< \SX̬O2twBڎy10hH &5S^0-if't.XL;~j1Wufkwdm ҢtQl$w%fGq-iuK|! 3<&Czɕtsb>uXwlQyݓ43B{]LH=˔s'{FGΎ~`Nl 8lK1&-;d>·1O'5ܙ|#`4)sT\ lUj:nzp4|ؙ-!c Ae@#kgƅE$Pۍ[ֈz]JJ.)]\О;SZYr F}d?-m߷fЃK79;3/C^j]SE?znPLTgo8>bDԚq&6;kߛ}͆D> hi*PաS ~KGC7sNNi"C]!@]dU㒵&'YnZ%(TosTC)ٷY<[%mʽ-]F=̚vV/7Jv`vX<@f:6_RHCEoƦ]qڕyeaܹ}wZn':DM+ŪVPwRP5YEX_B#x"I/ ڧ^yX5îaLSn>y !*%K?#/ 7: ,BvFgf-Ik%ښ02YJؐT Aw>aRC2a,:⮾Ms d-{_^AWm]mm}b}f/SS׫,l.5i<yJ/zB̈́j,J0,6^e  jG"$Uʱf9RlX"LQvTZ1;TJnO0sV>ATYS~ =6~6B lGB,04nUrY&=q&+@ ֗^$%GI,UL |kC^QϡvG!ܸyh~K :v' SAv-1m4f19.q d^gN'+O\rGWA&vǾ!ʡIgɪF޴k}Xb4Ѽ]{V+ͫ;4t;KD%b=.?]8Y²ZL)9SacXQy_WE%3to&^uQe^FQnf\[ȋ=nUqwx=֍_<KclR`BlTnersJK XՇ#lginw>cNtz^Ђ :e&Q㱝 7 d_d%n hEDau`r|@Z?}hW7B (>@Pj<aAmTc@Ȕk;δsA2JK6EKuz&XZ\8Ofzfnň̸P9'6~._)snǝP?8 !Г=XEQR{ 3Vbow+ Kl Å>{i$▶#,͈p?(i=卷6F725xAF>(͊Jh޷\jMUŠ-dgi{ YxzHqA5Vd@ ujͶ\ŐB,7>o92uu"aG;LZfte"4k"XK4x/sh>྄(1~fz#Z^dFg9&]*C|9cywO;paJ's+Y}!sG^4,rODbrr}|/gg\Oz}̨`ԯ;0X]vx5 :Ev4Y;pZ넨@PZiu9MCO;z\Na#ăBw/0ؗYtQ S$!rf4j{) endstream endobj 2664 0 obj << /Annots 2666 0 R /BleedBox [0 0 612 792] /Contents [2677 0 R 2673 0 R 2674 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25598 2675 0 R >> >> /Type /Page >> endobj 2665 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2666 0 obj [2665 0 R 2667 0 R 2668 0 R 2669 0 R 2670 0 R 2671 0 R 2672 0 R 2676 0 R] endobj 2667 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 426.6692 199.1307 437.6692] /Subtype /Link /Type /Annot >> endobj 2668 0 obj << /A << /D (unique_730) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 410.4692 204.0807 421.4692] /Subtype /Link /Type /Annot >> endobj 2669 0 obj << /A << /D (unique_731) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20211013063105-08'00') /Rect [104.1732 394.2692 189.2087 405.2692] /Subtype /Link /Type /Annot >> endobj 2670 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 378.0692 193.4492 389.0692] /Subtype /Link /Type /Annot >> endobj 2671 0 obj << /A << /D (unique_679) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_database) /M (D:20211013063105-08'00') /Rect [104.1732 361.8691 207.2267 372.8691] /Subtype /Link /Type /Annot >> endobj 2672 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 345.6691 190.6607 356.6691] /Subtype /Link /Type /Annot >> endobj 2673 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2674 0 obj << /Length 19 >> stream q /Iabc25598 Do Q endstream endobj 2675 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25599 20830 0 R /Gabc25600 20835 0 R >> /Font << /Fabc25601 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2677 0 obj << /Filter /FlateDecode /Length 2586 >> stream xڵZIoW<@3@rs `NJ\vV?|[ =g;k].Grp׿釿T&5;B{OQ"mP=1zH8$|ė* Ngx{dNLB $dm8wuď? b]^sbyQ׸x~V+ucЕ9lDmb: Kƾ5J$^P5f0j8%+6*"־n.!ձa ^J,~5PLZ,@rTcѢ̏'_`ߟc(mggg',8ďst==^i%Բ˪`W0 nWi-YM@F @mSAZ6]Տ~08\4 V+mvQv{(!U=}^luDgRz 'rA#ݫJk4lAc5N yj n j;YaJ9g{@dlMɷPto$/: ^Wh=ZS o'}i&įvaH; `XN 22Oa,&M& wZ :ʻyȖstkxD `:囆PCkBu\!UCKT{ )Y3'MZoFY }2GF$yfO# pq F.tm $@@E \f -oۧx8yOfpV zP%S T`B lPMswΨoT#8H@@k}g)^ԛuꭔj1AoAqZQncXVuH&A1~wqQw%ٹWB6&=k^|˃'We}+UAZ>_/~_ dW5L3Thk+ܥW5ڿ~xxg{1S_Q/qy Ogqhc$pF0D2dgxUC^$( `_/)ꅯ§q#ߞ؍+ /}}KҬMc"DyS ?i1y3w,BahKEgl'㸡t1`b{ \ % KPP2hAZ;޺McaMk%oW{'Yv]uvQK:͘3Rϸ:ҐQ 7hCP(eګ)!-ItCLkivI[mw,#,)5l9Mmeg-že#4k-d6Bo5RbAXXSj_8G {3Ym6O}OjYҮum'*W> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25617 2684 0 R >> >> /Type /Page >> endobj 2679 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2680 0 obj [2679 0 R 2681 0 R 2685 0 R] endobj 2681 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 422.925 137.278 433.925] /Subtype /Link /Type /Annot >> endobj 2682 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2683 0 obj << /Length 19 >> stream q /Iabc25617 Do Q endstream endobj 2684 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25618 20830 0 R /Gabc25619 20835 0 R >> /Font << /Fabc25620 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`MdЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w-  endstream endobj 2685 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=155) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2686 0 obj << /Filter /FlateDecode /Length 4221 >> stream xڭ\Ko$7W+z8@n5ENM {KWuu3RQɏj_t:Z2_~YxK){ugLH 2㽒,}xxj#˯ӋJk@NhBa;IfIeKf1 Ζdo(?gvK)$˒M1LgN&avڟ IV($:u&mA,: n~ϔj?uk΀;k3k @2Wuei{{k jp\X2(R5 U7-/C<.^wi5ׅ,XmKndg&W4wv@6@_+([JR_'4ۿ? R\X?eA-X@㝿~O#AOƢ.!ZѿX69W^F2ۇ|~ ^^EJ.RJh)B<'ks~!E<}vu2[5 DYRAo0]m1=R&j@g8ӗWĹpcץ8S}Tl!maP:?]x"4Uw5k<[2|Ӏ;v2v=y2+{o,еTB1=o/nc4Nx-/Wx[:1  @VY{_PTL}ZwfVL|t5yp4((ȭ` 9i-rUDP vj27fp`j'\Bp'vG2dh?4e]mҽWrq 2;2O!r+VyVϏRkު5E 3Yi3^]/.Ư| ;^~.*@imHS7&hāOFrMCDvm + YFE:-5g *b5E$7oVL.6{e o fl>PIϣtƫ>Zˑha 3)7K:N%tN2S^IވZ`S.F綾o}If#jRR{hVT8$BCUxqFY[sʰȖ%U.@3BS ۋHj vͦ+tV?_ޤy0%`{}tĶKfdel6\tNlon\"ظdq)]R^RI7v:Rȕr5ODRƍQ;w;YCG׋u> -D[͉v N(@ۥ9aS;jgCUL}+: n`n aX n٭o5Xvd`>` #sFT]œ%ЄcH\od (_7;Q_Om2~:baJwUf *{У5bCm{_>@bkb Hӓ(OіOG)<5Tڰ Ui8̹?P iUOo|NU -~ ]un']aW(h^:5(4z 8`*qTVG O第QqG% w&X-qg.Zz RE~!]Һb8lksv- ^x1شCtq#ő1OgOgi_"j&^ Cz3oLiX-sCmgS] PeRΎ@L;wZrI "_ARR%T!\`ݡew}.gSRn=6 [:]|ے ,w M|kDϿO0wC6h4-nÉ`Yn󢾯J2h9m:c-&۞l-}2<ڣ1yȣ~;Ϭrl'=-Ded!vzJs= M={j{.XŭFq*Ky;^SUm-.fD23-oeW椹'Ǹʱc}}[ EGp*(mmQxGRK>Uħa'|mtLdx^j4gsDgk]EJ1&؍|meM[]g^̫XJnýO^ Clj/g7ZRmCsu6N}gg_v˸t+ }ο1;g|"/Ʃၰ)v>~n10ϣ(u6wgi=Ti87ӱ#Q NcaMOixL[/HTvS$D|#m8dSi*?tb [xoi FJ2a#N-\ ^WiB5a)V)BWLl\VB&z5"ni;72<=Vz~ݽdZlS9鐣HFn[>jWdȍצ7Y).T6N\F5nMvzP408\K/~bf/'>χmE L{׎ 7lo *;ZR{WpG^[1ER jRQ=ǝlpo3`omq{(:!"3<kϏDM#||vHwܰ(tۍ/ \}r;D|z%nL% &Exkv9j +$ Ea5ǟkzy Vߢ#4$ S=Fbҝ ӭ[  endstream endobj 2687 0 obj << /Annots 2689 0 R /BleedBox [0 0 612 792] /Contents [2704 0 R 2700 0 R 2701 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25636 2702 0 R >> >> /Type /Page >> endobj 2688 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2689 0 obj [2688 0 R 2690 0 R 2691 0 R 2692 0 R 2693 0 R 2694 0 R 2695 0 R 2696 0 R 2697 0 R 2698 0 R 2699 0 R 2703 0 R] endobj 2690 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 543.6 184.6217 554.6] /Subtype /Link /Type /Annot >> endobj 2691 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 527.4 195.1267 538.4] /Subtype /Link /Type /Annot >> endobj 2692 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 511.2 192.6352 522.1999] /Subtype /Link /Type /Annot >> endobj 2693 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [104.1732 494.9999 170.4757 505.9999] /Subtype /Link /Type /Annot >> endobj 2694 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 478.7999 210.6422 489.7999] /Subtype /Link /Type /Annot >> endobj 2695 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 462.5999 184.2422 473.5999] /Subtype /Link /Type /Annot >> endobj 2696 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 446.3999 202.8817 457.3999] /Subtype /Link /Type /Annot >> endobj 2697 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 430.1999 217.6437 441.1999] /Subtype /Link /Type /Annot >> endobj 2698 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 413.9999 198.5807 424.9999] /Subtype /Link /Type /Annot >> endobj 2699 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 397.7999 203.3877 408.7999] /Subtype /Link /Type /Annot >> endobj 2700 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2701 0 obj << /Length 19 >> stream q /Iabc25636 Do Q endstream endobj 2702 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25637 20830 0 R /Gabc25638 20835 0 R >> /Font << /Fabc25639 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pʢЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔf.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}D endstream endobj 2703 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=156) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2704 0 obj << /Filter /FlateDecode /Length 2083 >> stream xڭYKo#W@3|?A$[ 6{r2re~"e{vl&zX7DMi^?t::>鿝vN?}]lE5&YwƝ2Wr3ɗe-5O`JWZ=uLf9[n+k9 o]R>Ø(ޣ=8{ ^W~4ĸöBNօ쉨[25eCCDa( 2i|EljPr#uۭ=a]QHl,2Zz{EA<Š{73u"\'(c|W$G<{K;,nP>Ss|7Jy]k6,+hWm7jˮXkbmCvZSR鄠0%EC@KKoFvDn:M C|4A.I}C59}iB7gC]>믿/,2BznSv I)s=^ xgg,Lcwֿ#)x?=DsU *֥c+;鳑DZ+:]ǝx͒5.][=Տ[Onp"Q;g'4jvlx͘s@&Zs{o=|mԭS~,h*;Zie[67lԐ%i|&MNev_%H>몠%dB~1]zn:ie{xJ,qBLows*9sƵ(=[5cAl 5ތ]%S@lo_*5ٖJv/:)3qB.Cnr2P]BͬN&f&r=rak2ʮVʹ7y!'Nm1LC^hv穑 n4czA;9g2yj!;o]'kj8jn0 oQ']/?jQF`vڰˤ̏pE"Eއ hZ>)Fm@ot#Uo1dEK6ſUw=+BXv!ywQKϢGJW-MTn,yHASq="Um#UHUHm FePG;^IW3w 16W7/wfV;EE B(!ha8 $k:a8F$+Am{B]:5:(d&RzbghJ7h֧q]> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25655 2711 0 R >> >> /Type /Page >> endobj 2706 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2707 0 obj [2706 0 R 2708 0 R 2712 0 R] endobj 2708 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 422.925 137.278 433.925] /Subtype /Link /Type /Annot >> endobj 2709 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2710 0 obj << /Length 19 >> stream q /Iabc25655 Do Q endstream endobj 2711 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25656 20830 0 R /Gabc25657 20835 0 R >> /Font << /Fabc25658 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱYGkڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XLZ{//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛKT endstream endobj 2712 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=157) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2713 0 obj << /Filter /FlateDecode /Length 4323 >> stream xڭ,9wcBz'g.vgwQI_KoX|x;_?;?9 5?6K*+<2g:[M90C44q)%cY0i 9臫kgY՗ȃW-0~xLzّ|<YY@H-?t4L0Hۂ2Yuz<7mJ܇S4 g@qK׵QtNJxIGEDXW.)  @'? 7jKG8@iŔ~AVD9rx_CT|(, 9:+I7a3Ҥcm%6BT'eIyƱrDiD1eS_Wty)!Zǟ'4ӿ"`,( `ҒWX*?Nf@x>|/{޽!^.}}|5%Tu06uр!_c_BOעҴJ.RJ`lSf&ks~&.pd*fe)EvaHKD+-)GZ/-q8z}m ;uBġqu{<W%hfKj p|l_HoOd,lkYlv6Jڜ\G[li[\j_넬` \k [/1J}Vw8j^X#%,@aĴC+^TA8 ݒ>HGzäxKbrv"(xD |2}BWT#LYU-{' C"D"Tdgʽ~ed/37?t~W [ói wAytL||T;?6;mң74=M{u;q8 )Ң(Ohڋ,KSڵ)ȥwD…f1<tZk.6Y@a%jV߉t۬]l8;R}ĩ]}/I9! W }@#uŽ%5F%8n8pu!J2R^I Z`S^pCsK0 64/mU^'MFƥ6(^|V{kOa*]fyoa w{RӔv^Cn??B0dA_o@̓;Ib맵VnkwNԳ%M_Pr uZ-%cwgTڦUK?5swrar@GÔ#+]l7'rzҧ5-q쩪T]S5ş@\Q4cnZ) +Ã_jW?l^ P;@^C롾⮺ !x2Kp`(hHш/1T#uf^^M XL0@yb)YTsְоA}[ŭeF 6.Ӽ$NipKR՜S.\P+bdƫm|ïw'/sY,.zNHC؎&𛸥865)Xl2y WDX*p#n7JJl@a~dSTA+)\ae6/3kǘ=nGj< Tgl! (}Sfs#PFu*8!f >27=rk%=lci;NXBI >&&ûrWᙜox!M3!p p1@ qYTrrK,\>\OX0兩-ϐtu²AT uߣ.Wɚ=:ZH?P(k (zХ>!| __RGtj߱BS6o(.V4oNʛXƒq @ BGG.c&Z](Fxڞg:VWZI̳BĹI`SE2&-^:,;J%߈R S|M&e) 3)΍7Y1.TLFBF{T>(s7^s~I j悧׌_b E;XbhnO9 A(^ q,;cU]Z Ku>"O.J%%L}g< =hKHB'b* Rv endstream endobj 2714 0 obj << /Annots 2716 0 R /BleedBox [0 0 612 792] /Contents [2728 0 R 2724 0 R 2725 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25674 2726 0 R >> >> /Type /Page >> endobj 2715 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2716 0 obj [2715 0 R 2717 0 R 2718 0 R 2719 0 R 2720 0 R 2721 0 R 2722 0 R 2723 0 R 2727 0 R] endobj 2717 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 475.5923 197.6017 486.5923] /Subtype /Link /Type /Annot >> endobj 2718 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 459.3923 195.1267 470.3923] /Subtype /Link /Type /Annot >> endobj 2719 0 obj << /A << /D (unique_277) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20211013063105-08'00') /Rect [104.1732 443.1923 166.5377 454.1923] /Subtype /Link /Type /Annot >> endobj 2720 0 obj << /A << /D (unique_44) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20211013063105-08'00') /Rect [104.1732 426.9922 208.5357 437.9922] /Subtype /Link /Type /Annot >> endobj 2721 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 410.7922 180.3042 421.7922] /Subtype /Link /Type /Annot >> endobj 2722 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 394.5922 176.3662 405.5922] /Subtype /Link /Type /Annot >> endobj 2723 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 378.3922 165.4707 389.3922] /Subtype /Link /Type /Annot >> endobj 2724 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2725 0 obj << /Length 19 >> stream q /Iabc25674 Do Q endstream endobj 2726 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25675 20830 0 R /Gabc25676 20835 0 R >> /Font << /Fabc25677 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n5çC;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶fկ ۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}Q endstream endobj 2727 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=158) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2728 0 obj << /Filter /FlateDecode /Length 2333 >> stream xڭj$_ Ǿ@QP4['=fP.~[dDfj޾w_yM9SᔥIjgq4d1^?}8XQ>,||QS?X5 ZwOU]t8̏ZG]ezK ˅š 䫌m?wTB\ԭW[kP $"CY9t`,r#\ z#ow"IIc3yZGZ_3]F5[+)Q7RH2Lpt -㜳>%( L?.^4 ;g[ά8O{E8ck])vhq6ڃp%\r+ c19:Bp rՕ?j:}\@eFb iO71@=o$8 +lXJZ" .ca$(MXu fwZ\LMMTyF`ZK gŐ~*<Ȕ΂{y"CEdgwj;,昮MKPg}ataa UY@{/}oOE (.\>l@@Wzp=,ܻ4$=F9}0g9܅9s֎RMy%EF[u.܈7FsF"W!mbd=9DJ?K)Tt%kdD&]Ђ{SC= +s!WFz52[=*N"lOЛLmL}(su8%q=|J?X=  'S!o'oShRFк&?,/}xRߵ5-&xFenR4N_`k`2mXCڕfj1c1ۯWşrVV G)]f VCVkj)fƌFn&!$!9x( U3\\fT9UF2zūa&|wC%srAX.!)שIujLDW)^5jN1Uh YDrk]SbJGJrIVm \ #^.ԨlyX/F$Da|@@,X>D@Lb&`UFPXY"K E!"u;"d0qDmcыiX)`3IJ+vVPOBdC0C e²>~Ϩqrz xGF1ͣADž+*/$(/bGlE&dYAzfe՛K[G/d@ +iUMg^*iZCINe$;%՗ >zȪ7 L1B/"ZбgJԽZ; w L-+ԶʍGd]\HRڔJjr` 68DȜBoϏx#0 "ߞ @PX+I+#*̠iyn`2,A۔tZ4/" +'y-ʓܯo:Vc7,NG,UΖq~ƞ..B w+92 =dZ m4jI v endstream endobj 2729 0 obj << /Annots 2731 0 R /BleedBox [0 0 612 792] /Contents [2737 0 R 2733 0 R 2734 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25693 2735 0 R >> >> /Type /Page >> endobj 2730 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2731 0 obj [2730 0 R 2732 0 R 2736 0 R] endobj 2732 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 422.925 137.278 433.925] /Subtype /Link /Type /Annot >> endobj 2733 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2734 0 obj << /Length 19 >> stream q /Iabc25693 Do Q endstream endobj 2735 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25694 20830 0 R /Gabc25695 20835 0 R >> /Font << /Fabc25696 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2737 0 obj << /Filter /FlateDecode /Length 5344 >> stream xڭɞ1 ݗ}-3JRK$HF|_̢ RRaf ~A]-}5˷ƦίooirmOz 0ZC=Hrث Nf *-\)ArĻs1FaW͸~o̽Bzv#iulT7al3$Ψȕ|3m@GU DHA|~E  *02Μ_J岽W# U ے<--PY+7H|9[E *wE_0=u zw)dn> s|)"`B;Pnwˤ* 0F]1ha]hX`ǷYOg\_?+ @cߢg'R@#}b2 _|^j|==d\{ϑ}4cű_R^q,`PHT]Ji` 5 ƭ>շ5hb2e][qz \Qu8-rhv&[x]NάO!rdX)<4P:$Zr*/omfi1y-aVfḅ08?IԟH]Ǹ J=5:@i7AF%"_ѷ;1dH'DzsgFv Y/U\߃M?uK˻FF-X}"[h F |ɰel "[Q-1"Ȳ Vp YIse}fBCex(([͖\!coxߧL_ޤyP&Qa-F6t}+7=d5*#<Мe,cPudǦ+q5Ą5K O˙ؠ>;Z눱*jxlTg {U :G-8։[+m@&f+XlV @5! W2 t?2O@ @X{ukB[ 69:Hy;7sTTiU2|N;llp5 {dv0Y,`,e7=dh.JyT 2Ԝ d te]i0椄]9#s[sb=͜. ݚݚ9nJ֌>gBmGoGǀ\r"\&~?~fF_\=uxۆŸḱ{ݽn?Zr?-@OOBM{XĈk- ٶub5wt;/`DIŶlpEBݏ߇#f+,,R̒3}vH$RbG'|.Ci.d(sWx5r@<,2VW"dVnR|:lPjf9~ 9qoAys_.M?`[[dHy'23q="ޥY.e$օtre5@6,gt ,KWIn"C3d%s07]Sj D)2R8 ]U+1mZ>~q Xw-Izܒv#d[S׷ng-$x;iwXVZ~"vZ <*m=2ȳ# n񙀟{7Ǟ^70X x^qbfre~x:ʝ [tʷheo؟ḩ<eߔm_ }J#vs|+~v>R\=R2\9{} <|襁793KƼ?W|%vkNٝk'ߥ5IK}Srp6:^FXf +лmypMsi1\Z9Q{b}YL kJv;?]rؤ1u-_tv{OxD n0qꐳŽ0b>g-tP@Kb !ek KXKHaB ǠI5`]&E.aSk-n!վ@B׊Q+TLC%oƄ D?gWK8;e))DS/Ԉ:Ug;'ϣP 9QaHqH1~QT kTC^Z:j8" UPS)qa*#EЪ::@Pր41SĕO/(Z Mt ^SB.8*_ k/53AfAK f mfnf+(b!r\S^mf\h,hQ+6VQI>xh EВx ҤPYlBJqg:`< (5|#, yX *D-vsW|JHmaHt"^^ ˱#w^Aq[ G~:ivBh+?y0o:#-m8T_h4ʷQӹ[~O}:dNDV=6d+w#z^6n_`x2`8@d Ú0g6cVە~`zֽJ>?BŠP|&{~j҂ lPf.} .Sos;4^8Њղ"YI cv=nQ_90q)hEfIn5$LKU&SO!>hƭc5҂C8htt6hL0oO3;}BϏJLm/M.^Lތ9Hh%]QdƎ:K9mʈPnC,9~;ˮ&sMtV ܒltmme'A4d-;ђ؁(Be<1>O>fݢHXe f:J8k(xE7Xn5{S}ut[#uj!ǃ <+׃i>[rs=N]]Ib|Ɥ]Q̾]=xl*h\g)F|omI&;G8p"Ob /- ^M$t$ꪾ/0,f5m/x⢱5kI4 U5I{ l4zxж`0'yZ@&+Zچ˔=˻)Oī-'Zz]]:S 뫋@=U q@Xu*+Ǚ(H[96k]e5&ƣ:QX#T1;kdg"&t x0` cP@I/yB.(si Ɖ0vSt*oQ0:ԕf#S\RGjE;Gې81>| SU!u&>HND48:?t_('`5UeéŒ3,VPWKBFG#ʏ@p_x ʜeZoWopY * yFGm>_3n |S .aA3> 5XQ>w57tp&>bg~]='xζME08?n?Qz~DΗG"b=؇޳A鑍SW3ؒLfj֠)= endstream endobj 2738 0 obj << /Annots 2740 0 R /BleedBox [0 0 612 792] /Contents [2758 0 R 2754 0 R 2755 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25712 2756 0 R >> >> /Type /Page >> endobj 2739 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2740 0 obj [2739 0 R 2741 0 R 2742 0 R 2743 0 R 2744 0 R 2745 0 R 2746 0 R 2747 0 R 2748 0 R 2749 0 R 2750 0 R 2751 0 R 2752 0 R 2753 0 R 2757 0 R] endobj 2741 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 451.2385 195.1267 462.2385] /Subtype /Link /Type /Annot >> endobj 2742 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 435.0384 180.2547 446.0384] /Subtype /Link /Type /Annot >> endobj 2743 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 418.8384 179.0062 429.8384] /Subtype /Link /Type /Annot >> endobj 2744 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [104.1732 402.6384 209.6797 413.6384] /Subtype /Link /Type /Annot >> endobj 2745 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [104.1732 386.4384 177.4827 397.4384] /Subtype /Link /Type /Annot >> endobj 2746 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 370.2384 190.3747 381.2384] /Subtype /Link /Type /Annot >> endobj 2747 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 354.0384 184.7812 365.0384] /Subtype /Link /Type /Annot >> endobj 2748 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [104.1732 337.8384 179.1767 348.8384] /Subtype /Link /Type /Annot >> endobj 2749 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [104.1732 321.6384 177.3012 332.6384] /Subtype /Link /Type /Annot >> endobj 2750 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [104.1732 305.4384 177.2407 316.4384] /Subtype /Link /Type /Annot >> endobj 2751 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 289.2383 177.7632 300.2383] /Subtype /Link /Type /Annot >> endobj 2752 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 273.0383 180.9312 284.0383] /Subtype /Link /Type /Annot >> endobj 2753 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 256.8383 165.4707 267.8383] /Subtype /Link /Type /Annot >> endobj 2754 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2755 0 obj << /Length 19 >> stream q /Iabc25712 Do Q endstream endobj 2756 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25713 20830 0 R /Gabc25714 20835 0 R >> /Font << /Fabc25715 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fЎYzrv"eYHUC.$G7]Nx41r$bE0[R,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVl?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}$\ endstream endobj 2757 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=160) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2758 0 obj << /Filter /FlateDecode /Length 2631 >> stream xnίy)P@-r@}(RrUtf Toj'lruE1c␡œՏ_^fcf&Ǘ1GgC6c{/~v1$]Uo$v6Kv{vc39{~3"x!) "6.,@X{Uva`S3]`g BcET lJx[6$k'^ہ2"#$!;^\Pt^6!P"2OxH}`5̱&=@XWFMl.HdHtAq&ar`U:,q6yXkw*F}-<&^lsSރq|mleJĠvFM ̼`]s^hM|1 ;:)^DZœޡ?[0)& 2aGLpf(D`vъ=ǐaW7Uv5cX/rbh.$nCDיYJwfgybKRҥ(x lIz;A ѾUT NE/:*yYbe#ztyKVc?w}WÕ*! @{Vb[̛ 0yWky'0c==!P߆0rNI38f`5{p,QC~8Z|ʆ()約k1wf&pI_(ɼ.Qp G;#i_IlkZ# owK$ݿS,T(i!qFpB*i 7,F9Z! 7vŮ|C%>?S1ԉJKA'4;pXoKNl ]ޔBK(m~{տiRw%Ò)j[Ʒ(pQA:^>@FżU< k/1IB@Uju\U>lE ɲJX}xTߴkro_sPFd8~99Vls?Y; #Nvz&|o%wGwF*}-'1ݜP1D f'zA0r`y; //mDlRJ#P: 9  Me]f{:+s6r=P#ŚrȠe.ܖԳ\bַo@GúoaK$bNӃ z*]I"ֿYЪ.q%'F)%fpXGȹ tϱ&F 5͎*w=j j~KO,EcUk]z/Era])[*^ K}xޜn~%m I鮕!n>7Hb-' s[I9Hi?t],`At9>uV n8$U쑇{F^vطo41魞+()=ᓃс?J| !gXOP{(jtT ; Gx(l昬|Z&X< G:jYZ s#pal% _4PwbL5wγxZ{_qr6&h!A.sI4حw3R)Wo)|"^mן WvuWLmMXQ'wj^"T#kШaz0fz饟挟J۴T۩ଆ(/^?ǰr}Tk!pW9/RE|Rް-hԊ R xltD+& ڔ1wu;2h6U|ĸ]\*+Ɍ4#,U-UKUnykkZ7D%-yUeèA<,Ŀ. o@%+(8 Xgxҽë3TqBQ85kmN4 LQj9*/d,i֣mJ$DˌY@S OOB ?32σqn 0"hz{LG,{7d4LF ̓ q꺶ub .f*%ΝEo.)n=7)E5~PSm[8` {1a.u%5"x%;闞 T_V+G*ʋCV J^\"f#z}r|=1ʅ)3]kˌ?;~x芈H>gctkI?̯|3D1>'hIN:c!HiUZo+ia; %E"d΁w0PB{x;f;fBa- Fsg/2Oů *3Wx] $;r¢ѣA.(dpGt1͠ endstream endobj 2759 0 obj << /Annots 2761 0 R /BleedBox [0 0 612 792] /Contents [2767 0 R 2763 0 R 2764 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25731 2765 0 R >> >> /Type /Page >> endobj 2760 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2761 0 obj [2760 0 R 2762 0 R 2766 0 R] endobj 2762 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 422.925 137.278 433.925] /Subtype /Link /Type /Annot >> endobj 2763 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2764 0 obj << /Length 19 >> stream q /Iabc25731 Do Q endstream endobj 2765 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25732 20830 0 R /Gabc25733 20835 0 R >> /Font << /Fabc25734 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 2766 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=161) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2767 0 obj << /Filter /FlateDecode /Length 4172 >> stream xڵn8ίy-0 sl=,ٙ^ o=(Jjd%>T,֛68E>cb_TO秠՟!^z3&{}rwfU[kߣ',(5L<ӯ'v[;9 kIfI%ÐY~%Y/*o#Sk;SǥPeYMld3@'=֟~z0ϵx\{;pp<9׉/:չ'X/ǫ!*p\V wͶLҶ,:ݍ4 pR^ ;x7j;¾"`l3F9+!VA9,,; : LYi71F؏93=HkِQԴzW" NfP\%Ycnx MUa0uHTaA5-KJ΃&{i`+`<߫Oڽ-^RX'4_GTM* u6f[֥eͰ7T]oh?}Eà<#OcQE.pJ _0-Y6ź%gGjl;mv}ʇ6l%mz-J:wvbWJUw &Vn98eE2NDjM8N6x}vJIF@C4Ұőn~~K;N OYO}P(:;S?q{hjFTS_gޜf͹&r\N;UfpXc-bHHL$s~2|aK~tޅ)ᤔBWXXxʕ{ROxs;L'+7ϸL ܖT20rN&ݒWSDHrb&F@{6BFsz,z}~Xv $$P^"` ,tbm$ʓ۸We9Q*ICW)Tju[*EJ8s9']#7Ex,v ".\ЄMSdW9 jF͌_ML1څvwR[ՆCG#<\ӴeeYPE}dylҎԚ ]ؖTcհ.)$@)oل?NBEWX tM˰OǾVm#T/MxCnBBztrOTv\;u4(4Ն+Q|$ZGaZ#a"# NxH[Ft-hcXw[bz}F*3{#D\N BO׶ԓ'mVop$oNZ) 5SZIJJׂ7 ڴ^jt]bTPDKCr[/.rݺ&d^Ki٨e#]Yc"|M쥭Nu <֒ݹ;}켛 H=v&܂J7B8ބE4HCl76lБy;ì~%WYg&f|meSa)m8/z]ʥ_P2mFtYZׁ*^\17c.Oȫ-YF^:c 纫@;=5 a8'riaHT b0,rӓ(vZVN@u۰tMiW'd sL&YO"ͻLgoHhf# \l(C1䖰8L(e^`̶Xqh7x=yߣ`R(j] <5=:H?PBrAE7~C{olx3k˕ zS*Qg[4oN'17O ^\S 8VyZaDn:QP+Y<ď/ɍS3 Zb8U49Բ}p濵k7ŶSlT+S3Qj+ mi?rc?\͗k%wm;72V3O&oQGJfٗrqlu4U_l׭&h}YixZx|#E5";^) Kモqb,7>WG1Gq WQ&c8Ѩ@hQ}ᷯBZp)qq@xo:7!}/D%DO= }R'}T>|ЈxV|V!`t$2<kO@ȟM~;ޤw '6+svCD'3ZN> }&G!&+9cボ^BE"'g B0ew_i OP@{ P|+DX=Bd]%8뉈;ZhԠ9 endstream endobj 2768 0 obj << /Annots 2770 0 R /BleedBox [0 0 612 792] /Contents [2782 0 R 2778 0 R 2779 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25750 2780 0 R >> >> /Type /Page >> endobj 2769 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2770 0 obj [2769 0 R 2771 0 R 2772 0 R 2773 0 R 2774 0 R 2775 0 R 2776 0 R 2777 0 R 2781 0 R] endobj 2771 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 461.5615 197.6017 472.5615] /Subtype /Link /Type /Annot >> endobj 2772 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 445.3615 195.1267 456.3615] /Subtype /Link /Type /Annot >> endobj 2773 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20211013063105-08'00') /Rect [104.1732 429.1615 185.5512 440.1615] /Subtype /Link /Type /Annot >> endobj 2774 0 obj << /A << /D (unique_293) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmon_reg) /M (D:20211013063105-08'00') /Rect [104.1732 412.9615 201.1437 423.9615] /Subtype /Link /Type /Annot >> endobj 2775 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sysmon) /M (D:20211013063105-08'00') /Rect [104.1732 396.7615 199.3177 407.7615] /Subtype /Link /Type /Annot >> endobj 2776 0 obj << /A << /D (unique_346) /S /GoTo >> /Border [0 0 0] /Contents (set_hw_sysmon_reg) /M (D:20211013063105-08'00') /Rect [104.1732 380.5615 200.2747 391.5615] /Subtype /Link /Type /Annot >> endobj 2777 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 364.3614 165.4707 375.3614] /Subtype /Link /Type /Annot >> endobj 2778 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2779 0 obj << /Length 19 >> stream q /Iabc25750 Do Q endstream endobj 2780 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25751 20830 0 R /Gabc25752 20835 0 R >> /Font << /Fabc25753 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1` endstream endobj 2781 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=162) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2782 0 obj << /Filter /FlateDecode /Length 2459 >> stream xڭYIoW<4P@-r@2?o#ETvwې)RGZV7ƬAC~כRfc`Dy0|2&ގ)p5&`6A{/$}` ۣ]? 6KYγ{樝|:LOOՄ`=kCSv9P9p1J#m1͡څf%68ksdJ9%E~u aʁD7G#SK~(7Zjp+|iH"/>ո'Q>|qN~+~+|S@|}ww]y[1)s)"gL^ˬցn{{{^޽ O ΡȍcgK-k7mH-)p3Wch!S6$v,ִ%"-rs. %bܤlm*>&u+tvj>Pc>Ju&,$9,ǔ@)~Bŝ*{$`8ޚ@Q2"UqRH%:xPu:+-Y*l-tv4:)BfN3oY-uv}P}^}T!&_sƸb} IK_'< ~p|9U mdsx7 c_a?X1q\w&ݙѿ{}nN揀 xIdh$I.]Ey~<':/a-<=!ȉо'S~.Éq_`Jn'nsXj.-kb sz"a%w(2>HƓ ^X e=38l,O(驔'ψt'PW8b"ze G)FJ]8|C]T9~XXR(V4\}\eVxԃc}OlK4;h*=@ueT*JZ#Ă@`1iWGE-Opam&TۮAͨсN@9j0ELEsQ]wbS4\|d8%+SųpX3MYLe&\Pp8MF`uꕲwγx՟إ Kg>#2NZ8'DzfTYCVWy^\"f |=i16F:ːpNeuwϘx G_>WƐhs"Ge~`̼y4SHw)Jm% 59 V s?(vohОi ,@PX(tN *384a2 ,Ix ʉg-jtP*n'ډ] ؓ="ّ_ԱBќ|G1L} GaPml52 endstream endobj 2783 0 obj << /Annots 2785 0 R /BleedBox [0 0 612 792] /Contents [2791 0 R 2787 0 R 2788 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25769 2789 0 R >> >> /Type /Page >> endobj 2784 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2785 0 obj [2784 0 R 2786 0 R 2790 0 R] endobj 2786 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 2787 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2788 0 obj << /Length 19 >> stream q /Iabc25769 Do Q endstream endobj 2789 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25770 20830 0 R /Gabc25771 20835 0 R >> /Font << /Fabc25772 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo7 endstream endobj 2790 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=163) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2791 0 obj << /Filter /FlateDecode /Length 4243 >> stream xڭn$ί9@ j# q \6nUUEv.>w]ꬎA :_A;_0吲ׯƄ[tl󣒺,uϖzXz=ƯTtP^/ р~фbi?տi3eOf2 Ogd* k;iYu\lbaI? q.!3CP?hNfcFȐiK Rm8!GÃ!ИPo`rNByy6H~ 88л,/*| t2;[9e>`1͢@hO H\ʓ#nRrޫ? gQsA_Ѽi #ܞ_g5m_;ClfԪj^Pn 3PWWT[ 0}vg=ޝO@2p"[TPa([5 b`wUnXWpvIcQ姐Wƌ1s;S˲[_ML=ef9Q]c; =l]O:cAˬNqf$=u%?aYt3S;vmK}oO8-P 0&r+Uy}OkNK"n({l&Q=պrj6FZVu+- },S'qV9#&/v @P^L4X[<Ѳoޡӂ]pNBڤ4A CO{qeiY:rQFQ  }ga@&/ĺwXzd8c\JӗTkq(ZUۦ?@NX:BcT9 _(nOqg$4iゃlR2*#5ꝠE+aQrAsB늗v\I#kRmRjSm4?KT{m "0TGG;"f)u Rl>3E,`:()842"F-j+=۪QuTEn^Kڻ>w+?듏ݾm`,f>Xe]]Tl4Mu9EB$ʻ2 2ORkƁ{6Hmz,uo6{ˬ=m zZ@JzH`6R΅^:V2O&,bm Ե ~5s׫ +sh| m̡oS*;hv,veve膋Y=pYf:@Y(]:6P&mU悭UMUf+]h@nπƀ>+Μ0XIq0'gNnmNNeWsrksrksRW]cN^z!61k1 9 9 .3fF=8qᗦ@^!󽂁EbG͎/[~aXp2*W"*(ՉZ1 Ruq}ݝ8#u, 8fva|H9̉rI'mDpu9X4psGDCԀ;OK?3Fҳ("U"S5+%|N:?Wb^f7^Ո 2 \ >׾0w1F$ɐKD.}:DgP4Ln<]ߙ.Y%w3p\­MvA= XԊąyfJc5ʈz% ]vkjE*A\P>DGXPm?B c|Q>|ȽJZdET.$ "R*s0X٬~& =Pm}<ߚ:7IB8%L%+7[EfѦ-&AC3@דȉ-E)/Ƞ^~6zs{jP ҼU lj '73fErP}Z:Mʉh ?]Ս3d>pJՙg2f\t[[| wUGKP+JYipk_~u^γ)X@nk̘g.)دڠ"l\0+R2niex'_b'@&noGCzTWN_rCIzYH1ySշ$^66{0.VCP&mbnG뒂gjAk-BI/1;| qhw`7ӝݙ+@[ꔩKs3Q0@>O>.rRK2B~9Ő%68 jg٭zvtkoԩww*Hl{qǕ1WO]jԄ9툠dSSl\$1i* Z}8ԝQ~ʦ/n>0'!j_09zɹs֦a.JLB dfLH Tz;)b&b8 ``63z o"l3;j!\,tP1@n axE090 "ZfoYiuMvy[:Bv ԕGf}M8Ʊg v"pޭG xI~WOݫ|-h,3ciϨ.VIԔ_JO(Hй5ҁQ3W$Nt@\g +aZ!pODM;2A#L-UJMJLܑbᒌLĩ0,>lHpDoCJ> @t֔oiKVDe0QuY-֡TH}VTu]HqM, q.U q$ ;1ەv*rP0}Kb-IfoMI}:p4csL\_׵y@8yK7S.?))t4nIw\9 )-7`Qbve< KYtK65U&\gi.Òxutw7iOzRJXȔ86{!g@-<¯<ꏼ $ﺫA#0 rXQ>heBUyE&!&v-[V(@P#ٮa1O"$S/}zO+?{; RX]ȁG~G6O҃0uFnlj֠#o} endstream endobj 2792 0 obj << /Annots 2794 0 R /BleedBox [0 0 612 792] /Contents [2808 0 R 2804 0 R 2805 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25788 2806 0 R >> >> /Type /Page >> endobj 2793 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062934-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2794 0 obj [2793 0 R 2795 0 R 2796 0 R 2797 0 R 2798 0 R 2799 0 R 2800 0 R 2801 0 R 2802 0 R 2803 0 R 2807 0 R] endobj 2795 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 295.1962 197.6017 306.1962] /Subtype /Link /Type /Annot >> endobj 2796 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 278.9962 195.1267 289.9962] /Subtype /Link /Type /Annot >> endobj 2797 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 262.7962 177.2792 273.7962] /Subtype /Link /Type /Annot >> endobj 2798 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [104.1732 246.5962 163.6832 257.5962] /Subtype /Link /Type /Annot >> endobj 2799 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 230.3962 205.2137 241.3962] /Subtype /Link /Type /Annot >> endobj 2800 0 obj << /A << /D (unique_318) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20211013063105-08'00') /Rect [104.1732 214.1961 177.4497 225.1961] /Subtype /Link /Type /Annot >> endobj 2801 0 obj << /A << /D (unique_334) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20211013063105-08'00') /Rect [104.1732 197.9961 208.1122 208.9961] /Subtype /Link /Type /Annot >> endobj 2802 0 obj << /A << /D (unique_335) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20211013063105-08'00') /Rect [104.1732 181.7961 210.0042 192.7961] /Subtype /Link /Type /Annot >> endobj 2803 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 165.5962 165.4707 176.5962] /Subtype /Link /Type /Annot >> endobj 2804 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2805 0 obj << /Length 19 >> stream q /Iabc25788 Do Q endstream endobj 2806 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25789 20830 0 R /Gabc25790 20835 0 R >> /Font << /Fabc25791 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7f ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aNd endstream endobj 2807 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=164) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2808 0 obj << /Filter /FlateDecode /Length 3184 >> stream xˎίyu~=F `=98}OfZ3Yr l,ViM[m:n}VǠ.CN/W ߬~2i2kkh~76c]0䌹y5aA? yŀ\=soqƆ#>e`u.(@A; %J{S1 ~+"x!O)s'pF ,ǃ*{)'03R!Hm|>sA",pPt2X|32"l#$!{\Pt(~_$`g uI`5L&=@7bDuwO@ [E 34  0bt`â^ϩۙ7j6{-͵֙6md'ԼL31QSB3oE>:)/^B%L9/_\sOLʁ ALk6\4J:%Z Z 'dJ _;jqbpIZKjd1=ps9<.x9,b-^7c/ M4' Salݛֳ+57MkJ-<R0K߉jW*@,kGY+K&N.˱m$]wd (n_hWg9,NxK-4 h2$hPsI`&;&Oǝb'|]H36v=FPzMJć tTqҒ3k5r$;ސ]z ,n<4' Dmh~]h?!>ɚ0];oYW7x-G (*ɼ "(ٚB t2":ij!42NepmEzt#NVQAJJaLF F<]9Aи5\lqrAf-T~R'+Ʃ:ZՍZW&-CA)Kj^HAJ9"OP(u)sS9a|B.ļcqIҷB`s֜g[NK g;C=j:lDChYAp9 ~k7j4̎E<2Y ڽrZ!nZ.=od'llm4G;%a~$XRuonR' J>$]97_',[M UM,kZkZ&BR``b~N?ȎǍ[) C_і?zMgr3 s~ bD>s={Wښ\ GU?aܲ&Cq G Was"ma?,QD43+4gm m'-vlB U6z?D:Ko?صP=P,.36O( )u& J6[?|ZO?ޠJ YmJ:eO9\uC MVRj@ršRV%i6̘S#'($}!x {\S+"}V 9lP9XT:HbNlě=Ѩ.-n5>rϵi >K=li%_&lkOb^6d]X3͔m0]Xl,C;AJ,; ˆ{.|B*"dpaCϕ*;$No\zYOP`QXWߜv49]uV ]!휭U"RwAކfۛkGscNݭPD?B~VWVMld/z@nYrN зn٫bަ= Zb6'>n߸Bu#k|տBk;~r/;rytXܮvn Jcс/}$5G 7%˿i?\+4jlGpbdB)Y8P}bLX2]xIn)H&Ä7`zECp1~_mȰՄ' V:N $`}rruK~x&^xeիRgja (]p&h[k}x³sX37=to)U@;NM ;H^~*a3;EC69_%E |AϖeA4ג߉F-^V\YH}jo\:MϠU@V]` <{QsG'T6Y*/E\GC qW/Jɂv%˜9ˏQ.wKx]U&b9DPu@9uT91x,ߗU?Иyq4,Hw)-Bu$ 19tZ5,BqG9]{"OW<ک<=I d$QAdiEzf> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25807 2817 0 R >> >> /Type /Page >> endobj 2810 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2811 0 obj [2810 0 R 2812 0 R 2813 0 R 2814 0 R 2818 0 R] endobj 2812 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 422.025 124.1605 433.025] /Subtype /Link /Type /Annot >> endobj 2813 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 422.025 162.6715 433.025] /Subtype /Link /Type /Annot >> endobj 2814 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [167.9845 422.025 225.7125 433.025] /Subtype /Link /Type /Annot >> endobj 2815 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2816 0 obj << /Length 19 >> stream q /Iabc25807 Do Q endstream endobj 2817 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25808 20830 0 R /Gabc25809 20835 0 R >> /Font << /Fabc25810 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`-ӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3*o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}3 endstream endobj 2818 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=165) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2819 0 obj << /Filter /FlateDecode /Length 4580 >> stream xڭn#7ίyuf7`Q&k`AN&%U"YnْǘdUz%M[muVǠgU:>A;]}rHW۝1!3]{2קxf~z/]?÷Kן3 +k;iY2L:`EJ>PvLѷj> ?{]~׎;g@( "–eJy'7-|Wx˼-~O0";ee?"+AJYO3i^uSMN*ןOQoa6 Pwj#<G={T3|-R#U‚8P읱5NYWitn)(r}{|$ΎHDrGzVa_Eu2l(5*5UmQO L;Hߟ 1Ύ9Ӵ4 NfNqf=n1~bұMPb~m^>S+ NV5OuʰLl S^e'Z]1 }05з C]ChJU +M޸h+ewd@fD ;6ί*):ň;F|v(KJ뚖+ MltuKBFH*>|KgQv˦]!GW[ xS5j $;R+̽]g33=s$ @ 󼋑 `-4{(}*y-GbX 0lq9"!wen-J#}'mS\)"M?bep\>ΥcCiɖWJ sP%J[يxx8(+YBww<詐';!-S0\ #t@^a~cK60yt4W/ fkklfIoڽB'L9ˤBZ&e m/"(lA1kPC" Cx_Җ"`_I'eֽB B@1-6{ă<AaȒ-TchPWu,0|q~uT Ut܁T\Ζ:M\ZE K19*h*axi>|Hp<@o:R6)&FѨn,^[$ CUxp*f$Z Rl>3EEYRB(o)Јykli!}arm^HU{UFD7 핋M]P*49Ja@z=gedf0jUsb˝JՁ훦2Xjl2H.)O$곟22OcRkFfM(6:k݋juSڦc6eV mQ,6+J5.RJ)aSQ€B] @]8-R㴨'Y^UPsSlS+fNخ®p5 gNlNfv̢Zvl0PŶJ`+"ZFr{6t94 9)f0w`NvϜڜ[O3nޙԜhNI?Ü0'I^bN'ZM>ϜԮ9!:}9)!1ko4'j!V"zX˜ʤ{:Oez?Ό$qP[6{rֿ#utjYCCPy'O1 R_ޯ:x ]B&Z{Zzv}@y}KֽONsݱ_x:{Nzq*/}X7<}]/ e`nط˶hv*es>n /v~Kn |Gc'l<;9{dh-/j p4ѱBpD9QuT|nF[t$ Ά"zPYU:דL@f\HUK3GW#*pT=+N$ <68!{V|Due}4|u.Q滀!C>$LzCiZ;Oc dfUKN+إKh\p@A)ADőUEFHK||5q%8Jĩ ͥg"G"yVaZ =5ih`l' W"u5Oo >UZ2[Aά]]+y3{Bn^ VZ$^ٗ"CjNSՖZ'J& 귻\[k@rͣey#cj3lX~FPV&QE\[Z~~yn.ܼ dfp`pm3i 5vpkoyO[^7L&Lqʇi0)7Y*'Hd`$볺*c93Uae6=}Ֆr}5@Z?@<2v&׉ZH.=J7{ qrN$ "ij!_LE_Tκ2'IX]3q`&/{Fቝ/?4fp p tc @n ax&090{k!fBz]]%$8lԫ@] x,LtcKjo4] Q݊|T;+~GԭׇT}]@e./wyFu\]d]ԟP,dG0tp w(!hd}aܕɔ~U67f򈉺_$3 P!".80D\ԒZz T,bc.©0$,㡃Q}_ 3]=\:q׉Fs,7xEQTf{_.x5a &+~;]I#5RvM CXXrp/3蓺E-?'=xcو[aKHwpdAZlp endstream endobj 2820 0 obj << /Annots 2822 0 R /BleedBox [0 0 612 792] /Contents [2828 0 R 2824 0 R 2825 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25826 2826 0 R >> >> /Type /Page >> endobj 2821 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2822 0 obj [2821 0 R 2823 0 R 2827 0 R] endobj 2823 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 475.5384 138.1357 486.5384] /Subtype /Link /Type /Annot >> endobj 2824 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2825 0 obj << /Length 19 >> stream q /Iabc25826 Do Q endstream endobj 2826 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25827 20830 0 R /Gabc25828 20835 0 R >> /Font << /Fabc25829 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`-\ӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-uΌl yV`^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{wKV endstream endobj 2827 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=166) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2828 0 obj << /Filter /FlateDecode /Length 2153 >> stream xڭXI$WJ=c܋/z&U||:u]uU{q |W˳/ &]AcK^O~-Eo܍sE{$l8E5ЙglQM)@jфS׋I_!.Τ--Cq1⁩aZZM E J9dc$$o%g3Kه2 ^.$S$lp0PA1G?z{\G&\1غ>C{GOVM|pVMMK(UfuUc}6]Jhƍ+A$%%y$ט l!\ Ո6xn2;$ T4 -)(^҉Y7nujӵcRj#/ =267ƖLE&ψW{!V S6L:/}E'/y/3^x']nEZ{>Ltd::q~C:U1Rr}|òlSt,!nTiڎxƥ-D5ʎ=~4Mlv )g’ Le'4dcvBuX)QA8Ikf/1V}2y~ SߛV6=r=P-FH #{yRT3#^QBlQ1ab;*(Yi&a1dq~Suqm@v#i%ҌmP嚧oesBvJ~ 䃖ntԔմ~ EBU;l㢍kX4x܄.R"ݔRYZhnr[-{b6[)U1?{/JF1`7`_^״P40ɡw3 Ɲx?:d )DZJ<_靐Й*N̚\qOCrȯ,5E-kSRC7XĜD{ln_[IR&_ xظ=mpdF4Tjp2֍?2 Xa endstream endobj 2829 0 obj << /Annots [2830 0 R 2834 0 R] /BleedBox [0 0 612 792] /Contents [2835 0 R 2831 0 R 2832 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25845 2833 0 R >> >> /Type /Page >> endobj 2830 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2831 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2832 0 obj << /Length 19 >> stream q /Iabc25845 Do Q endstream endobj 2833 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25846 20830 0 R /Gabc25847 20835 0 R >> /Font << /Fabc25848 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O]3|:m֯޽:HYs_RƐ ?WG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىYP|}yԬ9*{407n(~R1)ON٧j {V#جhVxM^RuTkI6^U}IRG"eSRj)\Όl iVkE!Hg,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=mtw%BJq١0 'C9 mтGŶQ endstream endobj 2834 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=167) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2835 0 obj << /Filter /FlateDecode /Length 4643 >> stream x]K$ WzK,6rr!w= IǙKEQ$?R$K.Q<$$A}GÕoj3xo0+ʕ(W:_KWB 볮ydǯyP/TNwn2_䟸ïem/lVO\H+Ux#Cj%F `wx;z.>^8&/OퟍH=ǣ4dQ"'b׉ǂx$VQ X!AҚP Iha4V3~k瘶'3* p7.(A8#ʧ@fTt/?r߾79 ҽ+!-.AM+@`Ҽ*|U:U4(U] Rf[WbLϯ"HKhRh!Xq<|/{N{ B* 0,p ?D樏+ E妊RěxAǙH} fTX)OK5WèU\JVn~ȗBF8!SFVQ N̠65%ek6Y۔ARz.& =\jnǰd/\Yۄ-fۖaI[],eS{;& =--&u +5FFQbۄm=(PU)V8v3^E*dXMi%"aƱ!bqYʱ&kCn2v03KD) & IVeҀ'dIv3nZ KT%RhS)( ]iBLfv&ٗ~_lo~lo~˖_lo~V_U"$w~ IA<C7|RcVdBaLw/Lϟ+!G4 @ Nؤ<^+S_JKr#j#NXG<CI:m 9z)NVPR8ڪ1ZJ0j: 6>.i>|S}ݫ42jK? kظ3xkcd&M&긾nf[a Ot4I{^wIv[|nUwH[eM1;Ly1܀ z_b?pNwŧ Цt9R$[wô[\Yq"Aq:ËĞ""W$+jw}*cp\>lBQȝF5jBýHm̪J|K*Z*>C:׸ LՑh4 5]Dd\\j2%qBweL(R45ɵX8,ؕqO>R+,b9_*O͕x tɚ98qfjC,.K./ÿ́L7FI(Z[jM=sR:#%?74d:LbtLʟdyJS]dUfYpA`"Sbcۤ>k=x$6-qDM nylB̴wVh"f26K!LLQb uD+m,(HD`QZ\ǫȒ: Q %1N"X-{u~  ,'T$vpz lĆjWUeW*Nu,'X`3a`XuXȳZEFv5jm"wsRf+cqShbHRKR3n+ N,)8wb''5J)pZ7pIMάGNNX'~'8]*v'6"Nlcu={N[ɸNlwNlwNlwشwzN=PJz@YO<]sKzKuv&HsB3 RĜub'o[3RlmjHsA3RluH9mAgd.HliˋTPm׽$],k9g&*QKʦ+jJT) ?ēQB?0iAՊӗ 3 ܷ]3SP[n[pw]?nwO;]mv^nwRwb7]v)vXn\\\[xLQ<(SLQlf؅c1I;IK'):_S/[0 u S$X'87*^/tXPbL] |q~C0J$VJߎ$-+]|bQ Bj3!?(8Zp f@P@̎9k>? cK5ߺ0 i O$~v;Ex nL\Ќ =9CsОe_, "hQY O`-.};t,,l+40.3 ѣrY7C2Ȝ 1mcZJ{Pʇ|r36 NMUCw1Oke78* 1|Ҫ̀c0bPE8[jZJ>Ŗ*ku8>n">p3.uZKQM Rzu?ִSgtdk9^2M'qxXW ?%Xu9zΤkFB#%GJ"t+D wJsnbĈ‘2/X8x˩#T٬T2%>a# {:/21CO(#I)TKĂ$.f)Prkˆ`,kRU,EDHCqoS$+** ¨)5nrZ貕!5R7f2շ(6q)&܏)MsIǎCH;=mS{G=6#}Ef)^:-qK+\7_ڞPSO28-H66д;/t;5Eg8+w!4wg@PPK²'lqWڸkM*wʿx,CN;힏6٧6]iQ@gt/ekFDT0phg<6!*>i !#6e-eiWX|d]Of7I~KJ\KNQz4SR8*7|"p5A endstream endobj 2836 0 obj << /Annots [2837 0 R 2841 0 R] /BleedBox [0 0 612 792] /Contents [2842 0 R 2838 0 R 2839 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25864 2840 0 R >> >> /Type /Page >> endobj 2837 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2838 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2839 0 obj << /Length 19 >> stream q /Iabc25864 Do Q endstream endobj 2840 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25865 20830 0 R /Gabc25866 20835 0 R >> /Font << /Fabc25867 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf.PkP=(%ypfE3Rwm-־0ZOt⩔L2:)WIkp:3ϳ-nYk#x#?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwoXZ endstream endobj 2841 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=168) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2842 0 obj << /Filter /FlateDecode /Length 4640 >> stream xڽ\K$mW:@z4pNww v&)YU=ݥRQI)YE',_/M/-&EǗ7h|k{*_|_묔qoKo{ R h`+܌xTz!w'\tXf-FІ z;P2oO˗`BL(8MBЈ.:b9r-_D"\'!(~;D$3)Xd4nof2q (Ww6';2$]H)MbF6',ѕ=4֑M3g~y:4i*-iV'k ւE0eT 1FdтYdYƛAlVI?v-L[sa17fs'E]@\4VkC::CwYUBZ2լށO~> -p%81YilPf#R?M4$%SСD=A02؊l➽bc. G "{c}Xˠs7E9D0f8;=fX֞kD[TRgSЯK= id{3 : ocoMZߗUi >+s`ªs&e xDSCY ;E/ ikw݆$z28:(LLT{owha$ԐYQS DRE0q ׉ƕ>|:+8w)`x]`_`'-H,e4U $Da$*^KR]$%q}ԥ8lN%,XVOY v?jFf-bJ"!smo*j߮6dW}F_|hyn_ۊN, \uc/Ղs.XsAonJ_XC/єZFpZnsNeyG<\dN22VNLxm4t5!'+U lmmoq)rTmu5w~y_9G=yD `q/XI%1^>U9"o;>.mj9A.փzTU vn8*< !7,P>{eq('LQS+;W5WIIME}tBLN 1Hp^ΤX,j͎āko۪g @u ' Yq+fKIBvNW<ZPAp3U niH`o҇Bu`{8!7^ymMyAP:nxvKo sKj$ԌIԌz|?\1d܌_(f 0wψ܎ő~$Xdo\:,ўoiAeE҅Yl > L[{p^ִmۀ#%Ϲa8^o,yg(͔r猧?&3&%m; ʹ2؇dޤဍh~ cB}c[ZjN )PܪV+9 6uʵ<"”NY jGdp)}Y N}P̩;1&ŞMm2 ӟEuiGdk㡔̋*YT"dG~\؍b+O[5;?2r7=ygq/[@Z8Ddtيm&kcy4.D[P9,s1s4`юY@9я"NImlmkADv|z`m)lIɜVQ`ccFq1~˟dKSql߇x"WH{c`rcxeXeX[B_fE)! *8%f8>"~MMyAS 3e)yiäd㯟R;ؚ"q0x2l*ii}?,O5[*k-qέ&LK7;le^sQ8 ,yz/tx d ?Dw'ً9i%<]CYPQ|k﬩mV 9SϜC'܁/D14u<k[s)壃)Bsֽцf' NƮvGrbbSX"fT>dcF},d;.̆6^PY8׾v,,`qD| 7+UO ʈ gC4rpjϼ 9$ ӹ^@I[ ku6XMr:~jy>o#E x&-H= ^4WnT*IuJFyh<;~cii"BVUBמN_X\ v1߷ԑbY.]T9˂F}Z+imԖ5D}w ά?bk^ٮK1ơLF&oB`u>/ƌTH:֖[{Fv`geeR})lBߪxr8/T62O۩5ۮb[PG}w/_|޲DK>%0sz k6Rk_[nrXۦEwRɀUqk!͛J/|ù|a8@]躎=G§cx܏=,$p4MfiGEg@}\v'1q)IZ'A'M7At>_WzoQA<@T> .U^?-bQ6H-!UcOL!:lYFʳ$=%*{\*" r 潍0z#&,p M4CLm endstream endobj 2843 0 obj << /Annots [2844 0 R 2848 0 R] /BleedBox [0 0 612 792] /Contents [2849 0 R 2845 0 R 2846 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25883 2847 0 R >> >> /Type /Page >> endobj 2844 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2845 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2846 0 obj << /Length 19 >> stream q /Iabc25883 Do Q endstream endobj 2847 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25884 20830 0 R /Gabc25885 20835 0 R >> /Font << /Fabc25886 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nĉ}{@ VBqǮ@{̴RS;߼q77 fڿc}Lh < SXA<vuXT>1W^NT"E\1xt~)T-LFV#Ј(`tAeRhL_%+Iѫ+O"D/!a1- XۣfiW!g3$م1Q@;a)_OM٧j gVȃ;6S)J9ߵiK[ZNߖj?ɞӡ'<ʡ|72$֑ȅ5\:*48יq|mau3jl?7‹!Yפ`O6OaePBN%9 /seܟ9LĒH:N )U$PSY@wP&]@Ssh8J&[z7}^ endstream endobj 2848 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=169) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2849 0 obj << /Filter /FlateDecode /Length 5105 >> stream x^G?Z˷_FOwFdx1$Rsj0 :Ok v"Z,oO N/LJuxeΑ#٭upLq64nT @\TFloQeaTŒ0ڸf:u$fM ;Wi`g %F4QƶTF莺 ClL(EW[q'M"V7 : nwH0',G!&T wDQEaEB9AŮNRFy C0)!\^X%9`}h Z@,8w~GzI!δBz =pMn8",YZAG H#"[IRy5'^~O}k_шukW*=%"Z|Nf1 fƕ,xb=EhMI$vDHȝc׸8FҢ%Iχk5G߃kL$[ kHFH.*zoFo"6pvHЌ )ҮXxvh=6cm> &bB<slW.EWX-,Q  $iI8,=dwl /3b&X y[֨^IJ+k_6X<=CdS7 `L~q }ϣ4l(z~,INe4)EVRٵ:J IqD OovOb>} SIל$97)hUMtMV܋OTtL[ kYנ,_ˡ'?**"%}Tp_b/_I6R?4lQ9nc;#>m+l/D'/!nrZʿbov897D6׮yިʌy2gSz L2zdIU7)'9vf2aک϶1$ mec/0-G 7n2!.RKo|:5E0bWcqn&d(l(smT-oڥi@/9Y NT<`!m15:E,?1"\2{pԷhK0?X0Sb!|@`i{ 7 c 1?>N2xXnE㳵W'cg1ɫI)NkLھ 41*RugRGT{$ .n'!cRH˵ Ļl@Y&7p8ҷI["dX)Ɣy0ѼgZO^%>tsv?d1-3ܭ&X݌8 vO_}L3#n=mruY {ew2L4-m86-wi9]I(txڒWol~`~uғ:;vW|ZU70Y"X m#$3hT`Hǹh55ELQ GSoꞘLfq=zTxmboh0Ɂl}=(}~xfN†c3ZKgAR!6^'1wl=g01J;| %{Ivxf}! 4h!Nlgiۀyxϫ=K-;Ccsm7czuC9eX}&zq*x^M껯Wr-59f?MoCo9q@Ӊ;D4 ǓxK]p~81?  ºSsIt o]2נJZM/:ck6Qju53[^yw- ߦF JبW2@l0@yRGwF۠-W b<*M,v w$kj\S3Ż~B *\-h E~Y>~^^][qq(\8ɧ<*DkX /7{ɾ\%ZOTQ1ӎRIF;QѡuK_$.kfRrI-ekgX2%VS[|>,{>URǬPV*PV0\Ee* EebGLT*lH&Mv=wE C ÷x\]#W592tЩ^ߍKjSq|WMw1ZxY<ڶ^_r1Ter{ %1=h*<׿P'X[1q?OQۗg\ V#0/ɻI:˓ !yXna |:AC<(DDL0TG|c18;xn7x aφX*hO4Gҗq}zTwRI()0*&#.@/ HF+>>Xoo hԠ/5 endstream endobj 2850 0 obj << /Annots 2852 0 R /BleedBox [0 0 612 792] /Contents [2859 0 R 2855 0 R 2856 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25902 2857 0 R >> >> /Type /Page >> endobj 2851 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2852 0 obj [2851 0 R 2853 0 R 2854 0 R 2858 0 R] endobj 2853 0 obj << /A << /D (unique_661) /S /GoTo >> /Border [0 0 0] /Contents (config_compile_simlib) /M (D:20211013063105-08'00') /Rect [104.1732 103.0886 209.2232 114.0886] /Subtype /Link /Type /Annot >> endobj 2854 0 obj << /A << /D (unique_41) /S /GoTo >> /Border [0 0 0] /Contents (export_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 86.8887 190.5837 97.8887] /Subtype /Link /Type /Annot >> endobj 2855 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2856 0 obj << /Length 19 >> stream q /Iabc25902 Do Q endstream endobj 2857 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25903 20830 0 R /Gabc25904 20835 0 R >> /Font << /Fabc25905 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2859 0 obj << /Filter /FlateDecode /Length 3878 >> stream xˎίm0@ANNA0^|Z㙱h&Uz^~_̢_ӗ%%o|u1>Ukkay?r:Y~;4 ?xJ1 xqߖ?- \@,nyQ]q N:+(ҜJ+k: 3#WZ`g6,b,@|̌P9Fr*:>Ѥ]tDj!j]}I$y^bWu]PU:Kk(JC_,Kc]Kv*/ mXe}5 Y%FZdv'̑7MPe._dC;X'#/aUq0Z0-IM>29֢LXAWE2;<ANL^%Qs]>]@l-ԁ}zpjhC^k<}P P: ٓeߝ DQ[K `nMAłø2;'#:oyPUZ,D Ӹ[Qpj)"Q"̍ 2`N~~$FB&J}U8lAr{(( qXga UE#g0JH´ҝam+ҬސjTwdHWwϲų]ϲ>m&xT= BlN獹 EF a&_`Tj$<,:M%LM QZعujw7tP횏$jM(?Z}zQgVjba׵*q0BؘphqYDž1p!è!5Pl&`XCw ENT qQg%BՍz.<NvEcZ2 ւ})lE4> ō Gr<"{2! !hKݗ0D>(>{|6g;l\MT禔t6$^ oj3Pva{P{֜z[VXrjw˸w[YX܊w}G7tf|ۡ҃+*Ё<ᴟna|bBw ­69_X!|^‡5Ky;VJutְf[n<]u{r]!u8T݉vw|6sti^K"й޸ fC5CͧKɫ)=έ T7{gAf ]5:glXS)O68]*[C֯&ݻ  =g*߷r4fg VJI^Hh&bngUC' ֍z|%w@S4rM65O˿8lQScvvE4r?N všjZl$=t:e&}n,/KZmB/| |,U* V:8Qa}&\b"|yի\glZ9@P1܇j"/h$qH[;BH9z0pmUo l[MpЗa[eLc,T3EA]/. |V|>w]eB5w.&'p`r@#'yoz]{:!lZ{U#QHi,~ٓT5K#$Uz#v]@bH_E??x&1,ǡD0tey @Vdx,?<8ei~ Ko(nSQxq*A`SV h!Fǭif-K25>E7*"Mo)ZKe KBxڟ=}aݛ.F>&lKYNχԴu(4ATLOqn}riZ륊lHf%q6QB ) bߤd%;ȝiHVHdeq LU^= yGZr;I!paZ Kg1ޜZ2p@3cf <KgI#d}>x'hy'n\Bm$ q;t0햁uB<-zLSO3> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25921 2866 0 R >> >> /Type /Page >> endobj 2861 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2862 0 obj [2861 0 R 2863 0 R 2867 0 R] endobj 2863 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 190.7432 709.9] /Subtype /Link /Type /Annot >> endobj 2864 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2865 0 obj << /Length 19 >> stream q /Iabc25921 Do Q endstream endobj 2866 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25922 20830 0 R /Gabc25923 20835 0 R >> /Font << /Fabc25924 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1b endstream endobj 2867 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=171) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2868 0 obj << /Filter /FlateDecode /Length 1156 >> stream xڭWKk#9WКҫ%A0؞0a˞rf1бeH0tv3Fcw}p_Ȼ-|c5"_.bu4ܩ[x3e&B#nO4_&ɡ"d^4rUV?*Ռz[ە)!&ݪA-ZH~&5CcK|m uUj)>f4J G.;Ji(Gc@YЪL )-"r1 JxWƌGj 1aPt/告eC~<.45I>E\7uP^+ V8)*^.y؛$u#DR.@i6#7|LdU}7=- 4DW%M؊戣LAD{iͭSPT\9* 0OШ%"8[z2#Ӌ~ܗXl[3e9֧r,{ܙ?˦^io;HFnï<PROrHCZRh ~՜Dˆ1-pJ.pmeaiH%2 endstream endobj 2869 0 obj << /Annots [2870 0 R 2874 0 R] /BleedBox [0 0 612 792] /Contents [2875 0 R 2871 0 R 2872 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25940 2873 0 R >> >> /Type /Page >> endobj 2870 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2871 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2872 0 obj << /Length 19 >> stream q /Iabc25940 Do Q endstream endobj 2873 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25941 20830 0 R /Gabc25942 20835 0 R >> /Font << /Fabc25943 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 2874 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=172) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2875 0 obj << /Filter /FlateDecode /Length 4267 >> stream xnί9@qm99MvMR/^H0b*ƢT6?yq۫.0cгE?S_>L9ӝ1!3p]xeR`^Ճm+a)Wz5 _L #x_w & +~ }wE)-32guvIVgo2Nڎ2qZ˔M,6^[4tgü܏.GO'/C\_m76]"# wfyԘJNjKj lNs R83!elxb}7f9O!;2YyA6!ii O cWWT H$hF}͠e @cv 8}=#dl>yA72H >]B)[CELӃ!٦Qwh mthL"M1:GV ĝ8uGeƠ<-mk]{3p@,(1L^ X;&Fj6;`\\|\TcWu eiTZ}Mn ~ӜlJ7dz4"=Qk`NvaEZSנyf-ᵣh&f-tYy,3XA$Y l_WY٭iw2f/>TĀi!EDEmHiBهۓ' "")<pUXR# NAs<n-u}pN|"%<(_-:NxcXY 䆥,Now[ (̪ExH `_60ux@!<3rBX#,~H&׿?GI; 3IDbm|dzU~Ro+$ Y"[,AHF7IR ZjcI 9Cf,b>A E|]p+j;6yAMsѯ\ 윍\n{_XOI8-oH#z(x 9=z %)M+"C,eĬ]%AzE+t_hۜEl̓LԐ~'ѽFk$$u頌Q4}K'̉HGlɝjyv}#I:}~;άOv(uf}CJק;C]{iHKk9Y;8)I(2H=#DK^ ^w2(ʫu HFt'8MuޜZjET o2,s3HR6MUygJb\LO\2.sZ3ĵhq>ʠVod=+?ԯU.jYhVz;,_jFj.M.D)ҪnAx@'dNBfjCfm ظ/JhXR@]GX2Aְ$A#isBfE3L6c$J,:( w"kw( 5'%FeOaF/o`loșOYXsL+>+%d}i h|w23M&>;vK3V5$VS,"Ғn啩ꕩ*8q6**WH9,wvekW 6.jxq4eΨiURM Um"$lf gSۇk[kAMXHT3G^osstWJIG׬N 020b&n9zsj|ט'xA ýCgЏqc Ҧ_v%\ 8,mk$1vrң Ns*l:Ws[HЙW† !lv%/8Z9v}[r,>JUڼ!#ZlQ DcZ8C2#'t= . mlpO49y1Ի1V@Zq{5z`-dde)Z.!9e 5(nÔg\I~c mOٸsQ%2ϴ(.dWuv;A>vpAiEYF $,yXUR4fwe`\GL GWk8o"X)9!; uˎ9@< P%cǘ1Mi6`&cD-d0d8c:_S+NeHSrk%޻xrr#d&^kd_321}Y }l8˃q5jcYei,o}4ʲ*va>;9!kF~X7I!@.;mHs_o ^qg;n*: g\Psrk3u^<)3ůEYaވQ~;գb2"LrO9/khO2KbrL.8{~,- U;}) iqKmk'&X5'M5L@g|[& 2J0v0cIzZQ+ wiGnsyϹroG>0w0ϽLǻ(ugq7{( uH$DQOy>QrӂeꇏEv/\yUfu9kv}6l\D<Vc_x`9X)f-ăDtt|wOr(F90G0z#/!݉Q=gd5' endstream endobj 2876 0 obj << /Annots [2877 0 R 2881 0 R] /BleedBox [0 0 612 792] /Contents [2882 0 R 2878 0 R 2879 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25959 2880 0 R >> >> /Type /Page >> endobj 2877 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2878 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2879 0 obj << /Length 19 >> stream q /Iabc25959 Do Q endstream endobj 2880 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25960 20830 0 R /Gabc25961 20835 0 R >> /Font << /Fabc25962 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Z"thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ ? Ρ\-z^lM>f endstream endobj 2881 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=173) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2882 0 obj << /Filter /FlateDecode /Length 3286 >> stream xI+_s C}m!0̄_`m*׏@Vh ;WN1bo^[~K}f&oGcI)dLN$1%1_N+0l,o /e@ @~>3TD#O\P ?뷿dHE?%A&FOʊ| c^@ϰV^3 Ɓ^87](9GЯJ,Ш>rpYxA~|`@79PÝrL,b@J6>(l"iXi!L>dfK0Lb,S[/[wHg ȴ{lj*1y$Y)םdOVdn$+ Ta{W0a~^tDCQh&c7R[)"dr|$EÔcG $N ۙeȐ=rךI;$njJ~Jvy"l ÈעG_6hhyh}Q;^4]G%^&p kiE3%͏8';$' ibVdfp1]rSC! Z;tdiMo1NAE:|'nXB6L e'' &vrع7: SJ;PNT%Sp+PR' 8C8,R }xNnHm"vZ(cԾEF;' y_X\I%M[4o6]C16вߵ5F`bk0X}NW%Q;\zdXox+Ղ Rn7W. Y$fw Nt% GW8"roB F&p)!؊΍߁GFnjmah+@(Em(î=CUA崖[+F_&#pR#ȥN=aPIjD$s&R:$ۖWhX׈F" 8h)*.@ei([dĵ:݋ۋdMh<kUZ^YR8 ]==raOD 6|*Q8ǿRͭ'0-l} 's\u9S:ן=*b9fP*{7 Yv˖2˾w 'V6ڍ$":{be]z=T+GtYڶPQ5;ADIդ./up/ji9 #(:BxV>Ѱv}kNؖr]~x,Ut)O'YP` vqڻA'whNusau.գh<ub؆Tmcu32kcgC҅(YC o\[A][YUiw)·P~8լl8~oKj Vc8_Q!ޜ&*=$,b"1?tseo[YɹW,l5dwoU驇Tup0 [}{u}eܦQsܸTtc{{ ʶLn\( P{7ϫh\mM$t<;&)^MҏZ\ٜ.l',>Yr/oݭ;Ġ|nn@K8"Vh?\+4k1TVB+R%?ybn',N.NАx0Y (7M & fy?t U9c}<ԟH ZhQ@ v]n3:jKx&^< RgjTDF>mJX]T? DLy'p yy5N`P a3a:ɰO1l³8?3!p+F8tgƒI=pa{\1ppʒLbQ@XT_UBHu 'SY~Zk#EI8H1Z [GHԣYj1⌮ZEY"\<&]T;8gVV?4:7FP Is4bNXߘ#&^2%Fq o 򂖌G2bF vMJ$y Da<mJMr燦E"n;eu"y>?i3>>D ="zux!#P{a52 w,q|zHqQuVd;@ mϲ`[Ę.7n&I30\wmѴz;a c1@X%.lB7k[/uk)eގ?6`o櫩oBp!</#w9Pن gE5:C3#|2^ &(0[ZORB!sλq~煈?Ccb3||v̤w2š,q<;A ::00?PQA84O0,BlJޅYyw9`v5rv@* '#"ky?<`OHDj 0; s`I S_C:Qm4j{ endstream endobj 2883 0 obj << /Annots 2885 0 R /BleedBox [0 0 612 792] /Contents [2891 0 R 2887 0 R 2888 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25978 2889 0 R >> >> /Type /Page >> endobj 2884 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2885 0 obj [2884 0 R 2886 0 R 2890 0 R] endobj 2886 0 obj << /A << /D (unique_35) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20211013063105-08'00') /Rect [104.1732 337.7423 174.7162 348.7423] /Subtype /Link /Type /Annot >> endobj 2887 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2888 0 obj << /Length 19 >> stream q /Iabc25978 Do Q endstream endobj 2889 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25979 20830 0 R /Gabc25980 20835 0 R >> /Font << /Fabc25981 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTΛ7acX`~߇]&`j[i8-y-xvw7p2 ЎYzrv"TYȵ7$G5UJh<)*e2 f *c*h;ɘҔHNIx5s剢xy"ZĀ0r2dv"`q'ٷǞ5" 91J86PzbG _;QOj3xi*J9ߵn6ZNoK5OmOMˡ|72ْԑȅ_%VNsV7ӬVk"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2891 0 obj << /Filter /FlateDecode /Length 2794 >> stream xZIoׯyf/@^@@"Kd8(WzꋲruE9bP┡_[NOW Ϭz6+kt4Q=MŘ35rP ^kQcwYdYz/@:r^pBڛZIW.@bB?\C)Z;El=(aO@$g`g9 K|H<^(oc$QkYY Ez|`F>/eo@J33($oHł:3(fI@wD$`8YiL=:5.2pNI %3,4L"Ҵ;@>8)(J.cMhwKO6Q?'B @1)u`FظCQ6XfĀḾ:f#.V? Y(BnL 3[6;|ld8,[&Ɓ"C@Ѐ;$sgV̯㶝qMY"{ov|ywɝ2"JfҺ $\G!2s6+Actmf7f\ly6ncK\û%-(Ê0ý6A[{]ˢ$kSsY}7\U; G e(f#7mp1(fXmZ5~koѱii^aʻ*]o{-B1ucZcB/{*і="f`u~l!Yd|,t2"g2i :z[~ wڝ+^[+:rbA&8nJv,9emuYž.e8L;B7EaG+i@ A.~˳=A;2궿{=RVػ,otHrxs\ ·=28" w ֜K6`>o8QDC@Z%:LdOEj]NzudBr "PhB^_(9+x_'f'~p 'i9g e@p9@(@BEE'A`ϒ8 7ER! 7lz s wvpg<PLA9VG{(j^ü6-+Qwm6w77Eo޲znHmt޽!$CY mZ hNl`vQ2Nz!Q'DF˽ݒ'[wϫ YZ_q> IM3DH2ܖ|]Ee8fz>N;b{#kjoe mWC rȗ]cڬg>,FMԋ4 p@e"Ph2G2n\&!_K ez"WJO(^#H>; ޔe/4v0SU`V)щx${^֙UoF[@lM+@G*d*O)ve6CWpW/J8,/d9K֮tux㗄ҚJj9T6w讱5x|[4!#~֞7 nV 65`'$&dAw4О   \~+oJzJBh`:'qwt9HcۉD< SΉ'~󤝖bGT#o *`m؍-p;'y7]xt6W-X!903=lkH{18:e=AґpH endstream endobj 2892 0 obj << /Annots 2894 0 R /BleedBox [0 0 612 792] /Contents [2900 0 R 2896 0 R 2897 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25997 2898 0 R >> >> /Type /Page >> endobj 2893 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2894 0 obj [2893 0 R 2895 0 R 2899 0 R] endobj 2895 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [90 428.025 122.648 439.025] /Subtype /Link /Type /Annot >> endobj 2896 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2897 0 obj << /Length 19 >> stream q /Iabc25997 Do Q endstream endobj 2898 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25998 20830 0 R /Gabc25999 20835 0 R >> /Font << /Fabc26000 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2900 0 obj << /Filter /FlateDecode /Length 4544 >> stream xڵ0.6nڪթ2Ƿ?.|_̢ R Viu~Zz5cWcr;}_NV[˽çK0!P*d:k87S=mu,'G >OYӂe+1 h@T,d"g@b<@[L_G0x>ja}+CO =vH3o0t,9*(N=`JNɮhh`vO01A0OfH߾W"Czr ?/ե6vPsaZ>޿ ^!4q:gB]7iu!˜jVPEZ35RV%zʐB<0Wv5rzekݚuJxzq$v\~!v;7O߫CQSUJmWƣ#z!-&H1@Oẑ+k\VSY4xUttb ݒVLx-.bj,yB֒e,Ky*hOۏl;=I;ü;wa`]º B""NX>>Q;z'fXJ qœ4?l`j18_8>!)͑"cf7AM|A+̼$3E0c_ lE}bM򙏥|R]8' Isl'w)H7wYן!{<)D+I"g n08gUQ3uAE y(s>MEv6`egw!Ɂl Ɂ%f \ߖ;ۤ ON9_S.*pPM (ONhz,CCŶ!3DƒF<3ToL^ XLr8T4J=ToqzUOI '$񬡎&s θWrH@¶z !N-R =BuTF8I A XTϤ\XR [YY:qpyd~m\jMjY녟\ja0#sѯ&j KXk4WMXX^gK JϾjP[}L{bA6F@ovg3NI%ZiIy.SQUSعiI e㚫M"%ݖC} diW"Vj<&͠Y:9{fD: vbZ&=8ģ7jTpaNJP"B@Ձ9Vsݜ,lWNN*@,ա6rvUuv [OvT T3 T}wݩPy<,(nբu5A,U#C?G 61Ue -҇"?c)Ыܕ0AI Y.MOUUK*U:7Ϩꪴls*^F]}V}N} %ǸQ!+0YhD@55Z&5s;o:@Q{Eҁ{}]:"~ ԀO)OBMzdh؈N|a.nbC2hY,Dh,/ g8܏?5܁ͺs]W}@wWgy (moG, |`))!u6&M)eޚolM{ 59K~c{Ml,\hV4@kToRYb[C %9;0*϶:'nA؂r&0*`H]IBϬ88i?>ΠH`q̴:2`kpi&DgXK=аiȫIy&"pk\Z][ J6~BOkᎱMOd>-OlʕA/xt#ueZ ʤ@bQ +amkFLj[G&&D#tZUf;.lלۚhzhT޶B;7YYWNd9pr sO~}DŽ`{nq yeqeD'-:J+Qz=J}ܰG&CF;QlxH2ɑZ%SRSB^bm,S8b R 2N|P;y} ݱBgo;; 'f~Wӹfض[烶Upɱ[Vt+t]pM k \]st$ 쥼:{V!eg|0Y,6"ё5̂KKDN +2ZMD6y$ؘzdYe\R{8vT,{k2W3}G/Ў:[Ka $юL-ze[>d`6ҘDl`!qE ¼.. {˧cUmy@A|¤_ @ZI KH,N5z/t?fдAb>-0͊H5ʶ45I;oIl;\S_w9Zc 9Н:7{ۚL2ag>"pwH KP-=)n.y5f.߀q+Fuuxs<5ߣrɶjkTY/nI fPJx6唧Pc9IQcjI61:}qkJ%L¸w˪3N>AzчxP 5' DEbF#UVH!mkex݋ Vxﴣ~;7u"atam&-cݘ's+=^:c iMX G*0}XiHPaEG ,-vbmǤ6bgn5chAM|a,y7Oifn3}.(]!&@NvazU8Pʜ~bЛŒ- "ί֓-֨c0FF ĕfcM8&38:G۠U·!|קTmeKx4]<&^SS7~9:#=Tٟ* *\-ȅ"|_xũ":،EG.Cr$2lxq+cq49԰}ZzOTQ1ӎRIF7TCVbPS|\Pߓᇔ\rK恌 ox}tXz"Qj(uZ eBi>\Ee* 3)΅71.>ULFu|F{V>(jR7~$(&ΧtWg|L,lTz㜊+)zbx. m`]/qw9@`W'yrX\fų8Vcӽf*|v8o#`H]Kw̝ {Z|'!Izߗv7Eҳ\ M.IpIr-S H (ETO 蚘C> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26016 2907 0 R >> >> /Type /Page >> endobj 2902 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2903 0 obj [2902 0 R 2904 0 R 2908 0 R] endobj 2904 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 212.8422 608.2] /Subtype /Link /Type /Annot >> endobj 2905 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2906 0 obj << /Length 19 >> stream q /Iabc26016 Do Q endstream endobj 2907 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26017 20830 0 R /Gabc26018 20835 0 R >> /Font << /Fabc26019 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p Tӡm~l1wE1 6\Hn:|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " /5"]zPL.O5HA?hxfxwBD>.PkPݳ(E϶fկE!H5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2909 0 obj << /Filter /FlateDecode /Length 1515 >> stream xڭXKk$7WZ`fƞ6 r8`_*q]bVTSjvw4s:E]MY}gsl YSs At6FO >  0ޛJ.EJq1˘'K;]1W!t;Eushm"7@IINJrZ BUNջY׃x40ifRxmN?!>ǗbliB~rg[{gў`M9L(Aх֕?Ջhȱ,W\b&e~?SMZۂԊNՠNJFlD>bop?{XGpodP`)k(2<;XNy2?Q^\څ`L-R9* ٖJ&gMKpu'b<h,BIc9$yH{ڲ8e%L-E%p7=sV .3?6yTZ-_kN5jc!J. 9&sjo43UYev -Zf?RnyrӕesE^)l4gnUV?*U+ڷepq+떭;N_\2`V)GyƜI j`o&m/510|/w|"z!K/U-ZHձnǖ:9 6{B]:5:(S=J]3)=C irua$hUPVˊ"W,2vQܥ2iM-Y%R:wT(qкbtSąyrf2Jvc%ͩ 4umw;^*i$ #S6yJy8joZt ҀH_ZZhukh6p;u(<f-Pv:DWoe? ~UƇΘEX_cNHQ\oҸcBZ)4S:.!ϩ+[p:y`hljxƸS''! `8o 73%X-DjN z4Wi??d؃w䤃9y}럋Q|ZOx#ie=kIKePO{Izb!i qh0"|NE{:mPR5Iy endstream endobj 2910 0 obj << /Annots 2912 0 R /BleedBox [0 0 612 792] /Contents [2918 0 R 2914 0 R 2915 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26035 2916 0 R >> >> /Type /Page >> endobj 2911 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2912 0 obj [2911 0 R 2913 0 R 2917 0 R] endobj 2913 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 412.825 137.278 423.825] /Subtype /Link /Type /Annot >> endobj 2914 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2915 0 obj << /Length 19 >> stream q /Iabc26035 Do Q endstream endobj 2916 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26036 20830 0 R /Gabc26037 20835 0 R >> /Font << /Fabc26038 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nDӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2918 0 obj << /Filter /FlateDecode /Length 3036 >> stream xڭZIo8W@.;@c`9y:=/6n*.'FRDM[m/yu+t2ou|пvV?|䐲g'cBg.vgӵݍ[#[ߝ1 R&mm%ǾN~ф~cj?,i-,[욬7wYeC%mk^M4`EK ~7',7$xo]ʯ'/C^\J 'bJJ5ȴ7r#F{]r`Mba{:aI.&c2M@/Ǿ5HjKd>xca|2¯ʬC6Vaj0w6o3peYToՙaK{L̅ā8 F> WWuE]늾+o լ-Q^q Az(Οxt̬ʺRá+gizVњ@m,a[[14Zmu4&] j4 @${jv%8$H5s0*S\7s[/;2ȼnid~!Gڗt#d, f/YP]8Q)d؇V^z֍} ? K %Ds5ZVX Jd#Xȼ4~Wׅb0zPꚰV60}gfrźkpkO82{̑WhD#;yTK;Cup6`&n;sqhqjpYovG@nRG FmO+ )|j D3Sh 7Jz"}QɲhTТEd bX0-5/ 6dC(xgXF`٣2 %3HPEuV?0NB:KcG*|Iw g&,:9UlTm֙E PA֗06/Pepc:0z^D0 uR79j ,73I*ráj69H{q6ۅla apACU.`10w2۔ק1 f$dqc]$"۱2L=@fW݆֕I'%8LL61$KZUNj8NΓ 8v13pR}v 8!P4m s~lNRQb\BfJJP9pG $sF @ N*r=)PWPw&$nukC)*,_7`g~~Ðև-~1X9g`$hmeO52VS翇oxX'n4ptI1[4܋R H䢎 endstream endobj 2919 0 obj << /Annots 2921 0 R /BleedBox [0 0 612 792] /Contents [2927 0 R 2923 0 R 2924 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26054 2925 0 R >> >> /Type /Page >> endobj 2920 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2921 0 obj [2920 0 R 2922 0 R 2926 0 R] endobj 2922 0 obj << /A << /D (unique_77_Connect_42_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (Configuration) /M (D:20211013063105-08'00') /Rect [90 437.225 156.209 448.225] /Subtype /Link /Type /Annot >> endobj 2923 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2924 0 obj << /Length 19 >> stream q /Iabc26054 Do Q endstream endobj 2925 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26055 20830 0 R /Gabc26056 20835 0 R >> /Font << /Fabc26057 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2927 0 obj << /Filter /FlateDecode /Length 4198 >> stream xڽn$ί@Wԭi# I \yj-3N]aVǠE~S'k_O}XrH;cB'g-fbZarA`io^[ٷjrLӟ'x89 3߿?k@Y>5Ygyy u&VF " {]p$(4$ʮgʟ^zx"q?{-&άex 9 Z.^EPvf]?u OQa~ [3厨<(M #2'>-G?pJKDugݛ@R\taqRXCJW`[P%;&V΅UJT她P-qv[1LVM[֥0癩acSz(@Bisk@bF_c8=!ғ;s0+bK vӛCVU =^胱M;>5;q[Z%66jh ($4[QvϦޭ;kj>܅-"JQXb$C|8 e2}Zу}U}nve |ĴlR??aU3S;˂*o)*s;Uv&rS{#y3y GShrlrHD{oK0j[eArT?XQg(;í #4XL(H}D]v_@. IC. 4K*T`boK9P^;g^#L>(#䀂;x~xQQ77; \(ڵ.($x*w3%8.8HHuj!@%x^$3XTd\\hR! [A'$ {ӑZۤԚTQn.:4ӌe( RXl>f-)KTk 47M̴ZlR՞=hVH+[B{bSA6GDov1aNߗ/$OVG,c.#;6MSi7\"AlK(g>I,g./)c"UjK&h̰u9Zf6['l` D:V۱ج@jC:zwFKe F d.A,u^} N(N@۹9ئl7CUT}I;hc1 1 t,ܑY,mpY ,܁YTn f,`hnJyT 2  UJ^i0椄]9#sr[srb=͜n ۚۚzcv=椺)} c753 r))mLȉh0\?yfFst s◖@mC꠾$cxs`?^w_Nhy.5CPy]^$#֣1b x>ae.5nckʒW,4ʚMGr&k;}m.ZNgI9}[VQ~мm9^qi: څ{;`5G\e8xmpgj#[\˶VTK3j{wؐ;h–#n]\lίEٟp7vjLʇ1r'|0+~bg؆`;sZʕ 4cC"J_I{e" Ѩw۔g҉Q\8*h OA!Nc?XuA?, 6^ z_b ~t]fR`(Rm(ds4uD#rM0'ث> %,9w/vNłB9;%S8?f| :xXIHC^; ʬ^]QoxS(2-i/q= pڒn]^<#?t3Z<(ANAеp:}@G-i֘1Nm.cF/;;n&_i Ip<@r ~.<'uL2Pt3+@69D$./Vڃ\sa+^2d厑Y\ VQL+xj nŜgL.²F*m .gޯvfO6"ԑ6:+Zfd6jO~7#x%^ WԮzQJ1N΍H h\b?j:\ʭ!!W>Z_;)Y MndӎQxfY&^K7cP@rrKȬ\Q$Sέ̐XoY.;!j4 PWFɛNqsI Gpu1z!U>| SU>-*3 7bL,/r0+_!?U:WF>4>n~BnCyWx?i '*,bľZ$d0qDec#,%bbL/ĩ^cbp-FH}<|XRY-ef\;J_xߘޱ|݋vFPꔵb @|,܋ue( exM s Mn28\T}!1ۍvrP08ԥ~.('>Gtq|V$n/Z-%%~师GF,dxK f>m+q&n2,u8BUNazE*m/1&9zJ0$% QB9 Lz'"|ŵg˕K; 7>6'c=0]W] k=C:LW|V> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26073 2934 0 R >> >> /Type /Page >> endobj 2929 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2930 0 obj [2929 0 R 2931 0 R 2935 0 R] endobj 2931 0 obj << /A << /D (unique_596) /S /GoTo >> /Border [0 0 0] /Contents (report_config_implementation) /M (D:20211013063105-08'00') /Rect [104.1732 587.2 249.4007 598.2] /Subtype /Link /Type /Annot >> endobj 2932 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2933 0 obj << /Length 19 >> stream q /Iabc26073 Do Q endstream endobj 2934 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26074 20830 0 R /Gabc26075 20835 0 R >> /Font << /Fabc26076 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n4C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶f5{kEŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwo* endstream endobj 2935 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=179) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2936 0 obj << /Filter /FlateDecode /Length 1620 >> stream xڭXIo\7 W)`OR Cѓ[(K~?[& jYD}\DRgDMi^?1t:I.9jyY6hgM%}yyWkZ|3>;} PA `75\w+;& i]`>X"tXm)v$eoT*L *uE|c5/픷X :`lE{l]jm&ܨI4J)G6E%VV7^ly)0NaH~օ/곶0'9jr,K qc1I~C?)NLOYŐC|m5CJFA씌0,@=:8"'(`#' -QZX _ xnYI:{hQgx$`4 -=w2kI[~Xxv-rA;`}lvIH6u[)H[ȉ,/-ӿJաUZ LdV*鐥hkK$alYqBt0^}EBSkNdͳ#(ǚ:JcPNM+.'g qG3 Z&xŲ"t;0iM-Y:_};^Lk* r(8кH1zDž卛J&)c[fRIlPB8%I?.+ l KVin_UNZZhiN74 l$~7ﰱ\#HH̷rͼ?mK,|kW|ooa3cu,1Ezj}{}@ <;..ˡ[ |𴵩=_}/F/FAq[3 73@u>q(1jJ㱚GzeyGٜt8o^bb$ǹuַCma=KIJkC<'Y]pNkpl<(zr#|Zᴹx#ZEBCn endstream endobj 2937 0 obj << /Annots [2938 0 R 2942 0 R] /BleedBox [0 0 612 792] /Contents [2943 0 R 2939 0 R 2940 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26092 2941 0 R >> >> /Type /Page >> endobj 2938 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2939 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2940 0 obj << /Length 19 >> stream q /Iabc26092 Do Q endstream endobj 2941 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26093 20830 0 R /Gabc26094 20835 0 R >> /Font << /Fabc26095 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2943 0 obj << /Filter /FlateDecode /Length 5300 >> stream x]K8W<@x Ȉh`n=S{ŢrK%l9,GD3Q-Sɏh[s;8+'I"I?+ɿF/f5ƽpdU>x$hk]"gӵq6aLvFξ  ;tR”  R$/L?"oנ'e'myvFa$(-7![o/|> 1^v-e)k8v*\7P/̈́)^f ZfH.H87Eڞb\Xom%S[<~ M立y|Wƚ#MPW|zSy-bU Y/lѪyW~J΢FJ}c+081I9u`EFt~=˃@gr9 '+'4H0.E8!BJ5 K/\o?u+H ; ^1֛/9>7 m#0`l[HW/U_ R&+1ς::l~K@s[-v'vUh@T2,JRd!F *(O]߶;F=huZ˫ne+qנً1f7LSk0ж&(NF;-${RR2RJtaV;WB j3cl1{F<k`#w`/*ZZl!< ~Bd_H`!2+pd02{ٚg"0?, nC1qގ0xx?w>%s镊돌pxoɿ,݀}A27cȂA2WGy:!ЖAnp1xr!SkpR{=|c1 6ڮ)~Ǵ"IJǩ?JNQYmOL ޛR/.:>6p-Ǭ4\Y{ê40wvnuam^CZ)S JRfᴢHURsEad"i2RI 4"J1bSlѝ@]TժԩMgIF[.+WG hQmu當wӪE6]9dt>这%6])pY(խlޢ~;cǬۏ?f=~cvˏ=~:c?G'f؉ OJ7@f((l C I%z}V2=BJy1f(YbPI7bBRN|CqydUH@f4^T?i94XV5[DUmU= wVXm)XAJ^p *Jc,9,4}p =sLʮ6=47~Jf(yPa d/SS`Um>z E~mV&J&nqD@ho`+gvh=ew9ߝ7<>p!Tջv د%F;OjH#rTvۤ; Ki&ā `%:Iӆe XSl% TeHV\9$KX[IBW;IQ؆g,* 3&?}ޕJp1q+r6|^DN? d\w1}Ms6w?p fs$Qo{< 5+[Q׾FIX-}c2jWU01(L.=4 "2ȥ|3v_oQ7Cvt]q#PakS÷D.n;CyZ!j!}gO^ |DdUk>-YQWeX;금cf?'.z C?]񪞆Q0.z{% '̥*{րZi Gn#=47enOzJymljg-`=ŊoA:W)|YSpJ{p%z&CN'j5>^jQHV!Y_szNV"־" "D;YV{Jot 0ֵdr&N/%/NK/\Sdz޿@yInYnxHԈ6n΋SAG9(Ԧ@J'7>!ϫjo,XVE$Qj q/3^Գ︷I >hHyt;TŧX{}Ã?;G+7ob*7!Ad ?~D/6ô;6dX='s=LH[;!6Q@Q=lCKC%@%@u%!zݐby~H#yHcy}Hcy}HcyWT_GfL;= v<3;2MH{2bf&#3w2pfL㙉ŻAO7ݷ? {<ݷ{RvQPJxVϟ9龒?y3ELQHQ*g3I9IJg3M8{ݱTU1? NT&DtU#>襋Yt,JT$Κ*6Ly&PaqHMkʧ MU1I< L(c!ZJTb!{F^DE%;0W< TU\Tw-@ş* TDţ:8mP&bMPAź^;@_'K0$N9% DrPbrn$ {| &&*=h #ݘYM$(bGQDS~#r<!bW.Ƞ4{>!V L@p~ݨ.T9Yp"YXŮ}U/) G?KA7?Dag&_* CO#S%Lc' rU|rX8A/`e_m?"#W VN&Õ~F4z %o"^1cx!;8Ax~&{fS,|HAώB xjRQ8x)NQ T}_|+~҉T򗱨ز/| gѮX |i)h'%BC}I 8 ׈pyj",sS*g//%=VE.WE- ߃CG[{xT]0!fhb&C};02ݳ%\1R" ,֜ת~~M)LvAz9Giqd]],ps E:L4z|Yu.\޳#}pj?Tܯor&ȷY\^I9x9 ,hrST>Q"p }-D)Z.v udO 'Q/x2o:}oP\ގLM0=y6|;g&=T/Lާ-Ձ?#Td+ki97VBٸ/QɸzKPp5N%5 endstream endobj 2944 0 obj << /Annots 2946 0 R /BleedBox [0 0 612 792] /Contents [2953 0 R 2949 0 R 2950 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26111 2951 0 R >> >> /Type /Page >> endobj 2945 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2946 0 obj [2945 0 R 2947 0 R 2948 0 R 2952 0 R] endobj 2947 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 323.5249 122.505 334.5249] /Subtype /Link /Type /Annot >> endobj 2948 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [127.818 323.5249 161.016 334.5249] /Subtype /Link /Type /Annot >> endobj 2949 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2950 0 obj << /Length 19 >> stream q /Iabc26111 Do Q endstream endobj 2951 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26112 20830 0 R /Gabc26113 20835 0 R >> /Font << /Fabc26114 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoB&uZG)zr8knT-=%CO4yrCodE#2yk|Z ip3W!׋ڎ>E;!%]vhw%Tsh8r-ZݛG endstream endobj 2952 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=181) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2953 0 obj << /Filter /FlateDecode /Length 5065 >> stream x]ˎ8r+6DJ@z 0/`Vמ1*DA2HQRfv}vV 2 )9{*}M?0ߋp=y͆-? sފX>Xb A%4dy&p*UόZF2-u!W\2gvdCǎQR#0j}"ʢkȅ;#^w;>a3B<]z&{783PW4QG+87,(ܠ|hP~Ż$긦Jz:c8ȽFؒ[#Nkt`ғ*{6p7 *lS=SgjSK"jm7z*В^gzy)AA(:O aЎ)]K54np 6ôv$̚d[`ۑ ?v.dٹgmhWE o)y/Wۍȝ$C1{pl=b P܅w;ǥ5!<[ķV8w` _Gn_×BU\Bp[ź,^OeY⠬HrY}-d\ _g4q+VZX̩Ӣ__ ʑ'ejD>i9UlՏꁇ3Z~&rO5?7z©+?ȧ] ֫մsWsq^X "8yFwT9\pCnF#?` _?5AEˀ8QS4HVY*T1CFB (l MiB} T 9d|ffJԸ(oflD)qJJVV.>uQb!/4P)0%C13A=>$(g VJP 9kRQ"DY_A4hICLkC2Xh0eOуQƃ/jqقU͕tH9[-թ|պsX%$C~1xȚ)c3H X\B|2)T׼&1-/9]ZZ.gUCGdUxusk/h_pA<3(5H8`H5 ?"= +J&sViα-U2)>5Y33)h;.RBnO $mxA:HbDDU]1P3}'#;97qR- 8h J)&5 ;< 2EŃJV%u ا,؅SPԽr~O ,34T̬P!&/2͡Po;B>6 -LC 0ha-mZXF ha;Hy$Oblp%\I0g WXɊ@&ȮtѺW*ɌN:]Xd[:YbOӶ3lK'I\} [ %65A(#p?DKA="h&I#Bj|zc%D*XUxaLf^tR1!T +V̴,3ڂe{,n`2-/YWJ%L #UJV+U[W(vz+RY;zNU.HX%RQ\f"&ۑ*ݷBHj{UЯ?) &PPG3+3*W(.$T5R=XJc*Şg,wJK~u2 ʺd89pŶ;s^l;<5ak1ak7-Bc3Y'r]i2b< @%RZQ2RO"b<`Xx M$ҰvPBEbM-rxUIσ^wσVP#=dXe mRʼY$`%l/|I/lUí]%.vUGlpϡÄ$tdځZR$ৢU0`0 ZnI'oM'EQK"#΂ ~a"Aũg"ey% NޑkZ/CxC<xȩ䧥k2Yb~H&kI je| s5⣚ 2>Um0-a^0p:h'm!fq- ]jek4E&`MTc%a鴫΢1#mSq'z> iޔ|Ks8WCr_\ ]@ސ9|P|8f5 J+vb齐mq[u}mn]>"+WΣwfYB+9.i^K.UN[uF!Rα'N Z6A-n^rK#hm9Y3x 8ѐr1ZWeԼ̼!k<`FUv(-XZV=OWZ^e~m8pswoz̄T@TZ$k/-8`D#w2lkDvU>5,@s^s5t~אZ[Bcp@+;YE-8-_ɣb8^t=<~:Ou}( I[Qoxf"8 G7Gt’Qp7ƻ?0ˤPJ%+q2nqr?娝fWs(!rlQ WaE/75QǠQ9g\DX/ǧbb}a-#!kI';$)-<>fXk>֜  R..zvMY#w@SҰ/Nx ;Lѓ8-X!.K< 49`oS:m՚x,O+$.`@vm|eV؝ņdfBl# r12;h8 G#J8=2*.BVx$EJ^D~3sԱ`p§xNx5[EEw=3O_iR K V *?.Ch]w#G5Kr"V':5Gq$bg'R#*4Uѿ`[}Tlə5MzS7H`h1':(e> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26130 2958 0 R >> >> /Type /Page >> endobj 2955 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2956 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2957 0 obj << /Length 19 >> stream q /Iabc26130 Do Q endstream endobj 2958 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26131 20830 0 R /Gabc26132 20835 0 R >> /Font << /Fabc26133 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 2959 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=182) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2960 0 obj << /Filter /FlateDecode /Length 5544 >> stream x\Io,Wl/@ATR [O?4nkf֦zd&`D0˒I-wKFNKdXU+l Z?>,sjzJ|Mf/1gH%84Zr\Lv^Zr6:$Cf>%#5^`%)} Pm{^LP/]%x關.- LJ@ʅ%4膚&zQd vq>ֱ0%dR1Fz4;2,=;zɑ\P2uy$,Yups~1:,z'bCEwX'lY ٫%z!-)>\DɃ_TbS$Mq^MZ`f<.-Ԫl  pTVB/iS(ևs0 V(. ht,XNheq0ZW݂WPSa @u\ʧAY`U 5#7 /'c#f>~?D]o @ٕtHTH{1-@@D{;jtU/ʒ,.HS GX$nf`a-?h Ǵ}bI0ACA,dPI+*PAS(ZK&*U*N.%CU!*:}< UbvdB2*&Rǩ4.G7Pp @}aСK0x)Ҏ- YtZR 6GQ_4*D)˩|]Le$tR z₺ZA98dтq @q[)Ǣ G~Ae@<3p]Hâ7-[ZT6dm>Wz|i{FnOш,+C o3;3!N6xs%A %!eF= y:Y`6}[ áS1 1 ^%ߑYRf>g\]iS9E`QcaZx> dI8(&wŬnְhyO4vd يea6VXo!\d"DmulZjrݎWZ:^**$ kyWlhMR_9x?DiKܱ 1b3n7W%DQʔMb,Vq붲̘PUl_tyQ Nyu+昢:ח싒6Dͨ?.V3>XXؒL~(tUX f3^#Φ;)) LCx<9ʍѭ>shjIkmR=F@81fqM^/:{6 ^:{(R`'9tC]=Sp,[nLV)<n+7+ '1OE" ̪~\w͸O"Ov 16zC7/0ezd.OA5m(Sr+cU81aŅxvY:x1cT Yy.v6[vwLF.^GiA2+e1)t(k$*RoNTT]ODaٹ:5Xt( z(ӜrM󺝛Z;>D L@)/ߗ?a&EnU:rb=I9 W0yuwӌ`H0v鏺 &=j)%K-T[׊X1~ɛ6q̕>ipQGn;V.I4LJ[*)VIv a6 `H[ԒȰ[P#|{/&&fat=h]dfښdG8e7rz61(`Q1];mt>ݝF:T5?7 paPɥB]q+? 7`aخ ەv=]lWەv}]lWەvŽ]lW ەvŽ]y7lW ەvMخ|+ʇalW>wv]qlW> wvC]q'lW> `]q+]Yخە˰])خەkخ<ϟB-N=nl:>^ƌ@ν ?jA2Av94'?a6+ԇ ֑$>I"н ޮb|;]b [~@@uE(C6\ Toe&CexKZ^z8%Po$錧G$AS_ mEOޣ`}QpN_F۴MX6K5+V\*h6d!y_kYv]vdi5]c ՗[Hh.ף ۜAWZmݩ[Zfœb;\ԣ=uh`K |f+ۑ-;jw^=}6X/n>]]ٙbNu2/Ìah>կ$ǃSO)APm̂ԻDuoq49e6c?:a͈u']y6]Fo4 XՠؿݛU 0V+ N geYq}~оGfgVwqZ|Pήt*g+dXڿmׂ!:u?$w< ̓ڿeJπOP`k<,?gh';xy-T,<>y܏Y͈xԌh:xZ'4i}#ݸhcnAFز~6B~gb1"adMh3>j Qԑ7^("DdL&[Sav>/OovqL-xʋ}=T D;Of`\ʭgc) )EP("`[a m-@/[fVQK_ `SqR~꼠aQ$fDZf? !w91H ,tjݪ@]sOl{?@yZGQVs^q7O<|Fx]~CV("f1 J5ɚ^e͡EnJ>XU#!p% Wu@0xH.ʣ2;<q)Sq(d;>&sNGQJ}r!qlXUBF>^.gN}Fu.WͺzAs'Pzd#svX^M@5XkpZW endstream endobj 2961 0 obj << /Annots [2962 0 R 2966 0 R] /BleedBox [0 0 612 792] /Contents [2967 0 R 2963 0 R 2964 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26149 2965 0 R >> >> /Type /Page >> endobj 2962 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062935-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2963 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2964 0 obj << /Length 19 >> stream q /Iabc26149 Do Q endstream endobj 2965 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26150 20830 0 R /Gabc26151 20835 0 R >> /Font << /Fabc26152 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p"%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ Z endstream endobj 2966 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=183) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2967 0 obj << /Filter /FlateDecode /Length 5041 >> stream x6 sߟظdV<=0*$`$sm[^/.1,b7u;|uIkt4qTyx2yN1|tg(ߓ{0Zao3@ 5L.P/0x45G3J Nф_~Y4 NAЀBr"beN'yU/na6[6?;rs,cV^sdrz7K_FhS jE 4BG^x6X<"CzX\myK&L0͍ѩ8htI6Qdl@u_n\Ovضp;[L_WjUXAyQ '(#}LB׾&6^>9w`҉pi,EY{St.FX''#K,>?=_^*H>I͋qj$ 咩$ M5-K uY6KqqFFsmJKWbS@wd-fmj*2D![Gc\R!getft1UKqdC>UTa j%ZVAC u-PWt:ӄs2GF& 5KBĪ+exs @ML&bBC9rJs{`D *<$Zdpls"èف9Q|q #O9NU-b6N!BeK&N <;\s-:]F7CPYWl6.M <S]{봧Xz 10EN .>RjPbk#N"`a6tz<96^ ,((/TU#➰B+ *TXt]Y[IGޅUGdtI L8,mn#Vl -_Iz@> Ba)0ACMB&T2JT aEP%'"]*uA  Z N㪃ٻU2v L ,,UtL2s"9̭phVbAZ D02XSOԿDI)ёxT`j(kQYϣ8ĩռS.R x"_dryȣC`+3҃+3 O6@x`7=kZX7B=_;bJתoQ]ک ,2X'Fp[Bs-A*aMl2֔ tZ(J^bx{0؄ 3"81& a:Ixl>TBYH({q7쇺<ﹸn^ ռJC?Fq=8d[;Ӕ 3‚{ Z*\_#y #MxJSq ]C߭#8V _(:mQh#L,S0d'OO,)9f[Le[4;jU O,[_VX,@zIq cx>4g5)4Sq5YV%B=K@RR$092fRq.sh r^w{a[&Zhu|gj-hJL3iömxb)fb"-1܄u0SR&"zwm@kO αrh> @?LrcbG^✢Ψ&Ĉ⛰ߤRŔqjyuҽ9Kxb^1q1Le {)VL|dF x [T 0]!Rط}QSl$5OaMe)[SZcihl~p㰊fv(@ٚaqXЦ6 6M cؾ4ι|5y96LOR~lmE^$3fEѨ h$D$h/i=Vy4؞%xQSעR9+.xN \P]4L"s X"*n0jDK958̬۬Rɢ$.F|q ΁Ý[?&Lјy=6e=GsZw펒Nnm/l+^Lꬱ831=_G躱6aH=q]`H_e ?NVȢ{żc7NHg(k7xb4w ܞ\D;9ݳ^j\ncO|dH0\Vpw߷6μ6ôڑeo!1EGyOΉS`XrT(4}Yu$G8G[gZ 2խ[mhEUک0u bbrb̬^qcex~6TgeݗJoӇMt\[.Mr٘~϶3^BWXuc!Ch^aٜ'X{[Y6uGL\ gmLasf;9URc]\MIVfc`6g.GíX'eqn߃}d~,̛^X92ݺHlJ|n'Ė-/Ӽ85K'yϜ'ry.8v0coYk/2Tݱxگl.H| DJ zw[;pGY|H!\XO+xw[xr\_+jU/Z:dEkG] x9N'uRh0kYX<} `{| \{ >#ftV:j>Ͱ[2pHE9͘Wȫ-Vf]IuƶzQz>'xj>7K rak!8ձx`ro'Ef ,Gѹ1UPN*PN8|Ee* e|(΅׾n29ZTe@ROow0yV08KqKd'Y-`aues@hK #\ш'K(09>jv6(8!:F> gS)a1p1GX&ip8Q] X[3D1s?OQͣFeo=`/:ݖkLf y怌{0ݛ 3Ɨ~~/˳IzǓ !9\GaeI5xT%-:z<!6rlx[%F(Err>'$ PTLFpc#鑌 ! ➇V5(C endstream endobj 2968 0 obj << /Annots [2969 0 R 2973 0 R] /BleedBox [0 0 612 792] /Contents [2974 0 R 2970 0 R 2971 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26168 2972 0 R >> >> /Type /Page >> endobj 2969 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2970 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2971 0 obj << /Length 19 >> stream q /Iabc26168 Do Q endstream endobj 2972 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26169 20830 0 R /Gabc26170 20835 0 R >> /Font << /Fabc26171 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nLӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2974 0 obj << /Filter /FlateDecode /Length 4870 >> stream x\Io$W@/@AJ% `$?0/k.*I=3ՙd`0~$L}ujrv$?ojEJ3)8oOR(勖Ig+T~ PL"_o')>ҳ4emwa %?ɔ/]"mN=N~N6,ޢuICK&Q0g@x"HoQcy/-K8~)莜 x.#`B )Jcvf~uTf_])B 1kJ9lz)miM=:/s.(ЛMTTQZp]\߅k4&\Izweo- }y@2}!LyQ{; F-0Sv#0Ӥ ?$m&-tl@K'^B%y/T,R"vnȒB^ O|Aߩ]zϧ"e0wN_p6OnLeP͆t0 1hz&Fg:z1ڂ)CX"ᲗIK-y) /"/mq9KR$Sf,zY30FVcK9!O4q_ti&kҰp870*2lІ)pHZ'䢼lxyo}fѩn"J-F鲄 VEMdmTx.>>̀  lcwPĘ}0t(66 gyNT3b> mLtqbtǘ9ac<}Ҏ=>C^s}$6(|ۑR֧؅HU.M `΢3e=wfa=U~;~Ϩ= tY!+*̢L1k"dk4Ȧs&^Zʝ=Ԍƭn\|\Fv+mP2[yJ7z(ѽ0Zh49` Q5.FjtkfȤ} rzc1۽Pm?^ڊqn&i ƮG(t$R}pcJ)G.R/ݐ#+n4"b|wT(p)@*;ĀݹpeY|d|J&f44-`1j[T΂xG&Uȼd~6OQ1Y(ӴfZLXW6:_-6ArBR~?Fu:n#!2>k5=vyU>m6eXTu\7TNn3׆t,=[vnQ}##(ݐAgmT'VDSzRXKhyT*cUfijumnU(wr7 ,vWp 65UavrݐCޙRe]Q+&l$T z~^N舒t&r_Fx: 6d!boE}{ ;QUNn,I->G-%R J,%?nGTXZ ϕ4t[ R.$Q7~w)hM Ӱ(ER*RبPGxQ/uӌNh#mVV-5o!t}&^^a]caTΘhr1Lnhay@ {Y^ |bJSK0[ v }d2:1cv0YՁ4 Y@h٫^a$VsyE5h5}VՐ"c;7$O*Z4P ժTh+ &g`)jQa}rRѩW(`@?ar*=ze`SET%+9I5޽j@ZMRF*><7,xeY=dqSi(SCj1sltJ9 2⇏y;87]fxH4Xcu*1Q[y#\~ݣ!.:fXY"&h#EyIJ D+ư㉔.Сwa&UW.Pv!,e2`tM; zviL,d&X9.;ʹp3,@m-͔p$9QߎZӼ==daZϡ!joR#-D{XEjh9!L{m e$&l%c;#2K7>xkW1axЛ&KZ ,"IB! JE}%ZTR/TOQ%W(y2o߳k @Sەz ;\?}=׼>.PW(`&  z;CHko~80}BX5UZ:;Vr,@zj?L2N۽N&bl 3)qM+\k8h;l&DGWwnFkd7easbDH&v'>{̧#j}?zFtSS'}X".+LU/?!rc /Pk ̝sF,C}j KY'BϬ59xN mr8^pTCI~> o6#cJYXn)-nM! \7ŏ5q=78y[ȟ-N&5f so91j\\4v ڻy$TOӭ&J/O~ fQ_ٗ{e}+PLIĺղQ㏧oMS%ouˊ_͎(l#J QԐ1 r\u=s[B*]*Sd`:׳_FJUH~Jegɚr {Mݲ7Sw?<|)䌺}.x bi 5 3%&ؙ)|fsaT37zQYUaXzG ajLqMojKBa*87TɪMݰ 8#cGqC+ +J5$DjX[ӑטŃ\$*H6-U)fBǍpk7OL~%58m7]krcCl’Athv-q"zA[[ilp9&3)V"$9-:g__]Ty_\T5'@ WBcG&myh^r6_\ly ,/r1!g̅|yFsHc _3sA##ǘ,_h.9;J)OTp1R̅WT"]BKRXIlp3dB!w)k%@|.Jo޽u+Cc֊m(]*Pn,Ћ ֿ&vكygW@օsO5l"alF{+oP/#g1hsef!m "ϓ6!IȒnYXW`ZXeB y)rcn L;]6$pq(<}iK>qO2,ƭi]1~<^ims?8$Zp'VB> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26187 2979 0 R >> >> /Type /Page >> endobj 2976 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2977 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2978 0 obj << /Length 19 >> stream q /Iabc26187 Do Q endstream endobj 2979 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26188 20830 0 R /Gabc26189 20835 0 R >> /Font << /Fabc26190 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nLjЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?@ endstream endobj 2980 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=185) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2981 0 obj << /Filter /FlateDecode /Length 4305 >> stream xn$^_Qgp_A[2rrMſ$8nV߾U? rej^,O^맟2*83ܬ;}?Ŀ ՙ z QTz}A5Y%>^6tx &r"sa$1\MXjcorE쑃Y4irQ')c6܊z&s ]5~{3\olehP O 7’|[&}[ 5*p[B 5wWM: ˭б}B8:np;t䤮t Aue緛[ ޸aGn?"m /A/mJir?7Zy.9ȻbbӅ}K鳄TŠ2Eh;!2,ЩIAut ~&V@_ pº7n1/V°Amd3nh#ٽhM#;"xw): P҈y@?5ۍMr#Rg5Jvt[q_!w;u|ةSN]2|;~e{ئ :0v;ݩ/@Y>27ۮ֑Ν enMw;W&5|KI10lק~tH`ЧKxS;`˅q[lɻE&CMRr]hK RD?.اmaC.sZ@ e,W9c^@Wm4֡q49ioHHIBkRNzWiUfTifIQɷudYCdwti3VsJ+7SI(Psbi2=?fzM_L5=Tv[3{oKo泓rפU!T=]RˮSM?BҏO˯6v/QekOT޿ڽ_LT|G7]j92_XEpP[cyR,ތ Bm tz_N;h{$7#7:/5~IlD;9kSrNH@f|d/ۣ>3ykCqxUFt tld~u0HƵhp{yAaWI,kf#|X.Ž͟Y Ca7X߿g*O_\P0z< kV^_g5%ȥ[ڻn'FrK`0un-Nj%c_WM?W ̅Y!(;iP,aSAqV7h48m 2<)Xm"֥R&ws+bm1`A|,p1$BN 1]͚Bp2m3z ; |bƼ!ȯ@f& .,#tO9LX-$R$ N'O"%,N B.b*ȿ -hFD!̥ۚr|w)vK#=BOf+KNc){XS4\^NO!ϋ ,ͨbVe).DFOv\.ez?"3`Y4x-rKEJ*[FQFLv=K88ܳd?7ɉ~Z@ns.7?\ٌpa4.@5$RN RƤ|ZX澔gvAmc'Ě~@<Yv Js3t>ܧ{:G"0蹸^^& pfڥc Xahщ'ue!Q'98?\?`A ~K"RI" BfMsNv\=B P =QAϔm^1OxPw!3ExI)B5eHBQCbjejOq%WY_x>Cu:dt,GʚV#*h\ Ikz a0 endstream endobj 2982 0 obj << /Annots 2984 0 R /BleedBox [0 0 612 792] /Contents [2993 0 R 2989 0 R 2990 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26206 2991 0 R >> >> /Type /Page >> endobj 2983 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2984 0 obj [2983 0 R 2985 0 R 2986 0 R 2987 0 R 2988 0 R 2992 0 R] endobj 2985 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 138.1357 686.7] /Subtype /Link /Type /Annot >> endobj 2986 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 165.4707 670.5] /Subtype /Link /Type /Annot >> endobj 2987 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 166.9282 654.3] /Subtype /Link /Type /Annot >> endobj 2988 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (synth_ip) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 144.5047 638.1] /Subtype /Link /Type /Annot >> endobj 2989 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2990 0 obj << /Length 19 >> stream q /Iabc26206 Do Q endstream endobj 2991 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26207 20830 0 R /Gabc26208 20835 0 R >> /Font << /Fabc26209 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2993 0 obj << /Filter /FlateDecode /Length 1248 >> stream xڭWKk$7Wh xCn 90CnxMlz*z[eYoj99dmk, Z=!]R㧗Gp~` T40!j)|b'KC`i9lı>R)=v ? <L,'a#1Ynx[wSy`"tObIQrqXbt6\E fg$5M-&lekj94ؒsKJ-J 0Nv3,ʵz Co4D/6UWb ~\#D+Y_ CgW0{PoE޴ܼ3xsm"Qkobaw ocBAljB#./4_ɡ*d^p\wy,̯2vg\L{kAŝ(qؿޣ w# `8lvp\R)f-;N5fgcĂ43[~ i5g**{ֶg~] lG(\e_iܪTTT+ڷe$q+V;I_'*/l.x2x^voftXYNR Kc`M)wb7BXth\"ZW!Z 1-VB_'Ee"۠x(f&8Rv] ?h(Gc@YК N!="&c.$)f(IUuTPg*cL֧r,p"FiwIc ``ZlB O|b't4w N NC8G}fz; ofpGS7r D]đ<^Kk8[ŨY>aWo$jgM=iin-֓yO=& ]#K+7I4?`S1r=i|ҐCp2 endstream endobj 2994 0 obj << /Annots 2996 0 R /BleedBox [0 0 612 792] /Contents [3002 0 R 2998 0 R 2999 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26225 3000 0 R >> >> /Type /Page >> endobj 2995 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2996 0 obj [2995 0 R 2997 0 R 3001 0 R] endobj 2997 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [90 204.025 122.648 215.025] /Subtype /Link /Type /Annot >> endobj 2998 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2999 0 obj << /Length 19 >> stream q /Iabc26225 Do Q endstream endobj 3000 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26226 20830 0 R /Gabc26227 20835 0 R >> /Font << /Fabc26228 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n̬ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c94CZ-z^lM$P endstream endobj 3001 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=187) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3002 0 obj << /Filter /FlateDecode /Length 4629 >> stream x\K WztUO-4CSgw;e~H(]MQm('Q,U[moqvC1"oaWYԇa iCɘ>3p]xg\haI3vEB3+4[iKV$OR^ҟ_׬TwMh7&˟6C'xd윬G̳BNi@a4܀,J{'߃/L O*7o)30.y^aga?5a T]y3x7$dt/a H1ó1#bȢ-+R' t܂\)2ĦzsTX'SMvHց!%='t ttFtnj 9Dv aQc:%"T(d743Z7 DF+˲?~>I'c>t/-Hp}163_(;Jk2ցS6?RAמ@[A2TTMrd>F!5i,ҲE{UR.- cSMF{Om<տw O>KaF xDQ׵erd|]i,{.Xxja:y=CkP \ ژ,;')q0E>G!_7 R۸s'VCGimCW2 '&,\3Y@'.R9 ܎ܥ@5>\-pa/.2w|L}'J'j%C~PpW^7Ln֜]:2zcxNM<䋩Jg5{ŗ${kӏץa| 8>9Q~ݹX=KƕA Ù=dV %ʛN lemX/kqNӢQ^<-F~#(/rbXNU<7{2wGlU#1 g=O]vbNYB!sd]@lcla}{xr.\Ѹ>ԢTKTۍkUj8]vOPθC 鑊N՟&_f¥"{rg;iԝy-WV0\v#v7u]6uf$W ^0[GۣYp]3¯LPa*^;TU.S[UW'A96"JRKy:+]U!tJlVbe(hn*\1kLV:ѪxUYr>xhX^'Nم3A}uߛ ,%\fT]B܎UM%,Q. yZ*-} A\X,ֆ-#e8$I6kX5w*نEU9nԬtҺW6:NL-||:S840g)^Iy{+T'}7T'8)' 8Cv'"=NjcIApRž{rē:2<݌'udxOjmxzrx?tERg#+!F|7AJn:))u,RdwԩFH=Rk%;CJF}b2+vmMlu8kS|?~m![KX@C:\[hēk TYRʫk'R@JR4-R' oZRjRNHQnH k -?Rj}BHbWWׂa*nsb`@ 2;HCZ?qJO+<)H.ǩJoOY}ij{OTdjuw/ rL^.(ޖXG`{ž,ū\}lv}]J/tԇ@d 2 `) LRU;0]oVxIDćgO i\Av%e_;V% Bծ ]UFQЀ`H 3U߇#vh@Dx(o\ۻC{xG#V7qɏi*\.PQ{ >JhʱKn}W|S(Jn}̔DϧeWy툩Sd:}#Sjy:r:䳉3Nek;!w&5C:zLw;ZlB42(/t":q(Ҧ]cT+chϮ\ӉC,!hO*8z1f&/17“:?!dv#|1!40'h a$w;V@9w8j0/tȂ4Z]`(a1cLJc$IcRk z]@I|k5s4ӂc͍>}ށ4{CwLζYot)4%q6b7 _2?.q0M߫lO 8šHMPl&n GK<%)6, %Կ`[hXRDS,Zs-k=11%2w|pʏnN;2T͇wqRJVƴtK"RY&DIV*..S~lIU+kϹ) 2t\inܸlZSee[/oWۡAqYZO16۬s-&􋦡;JLyĦih\lkh,?W!! OzJNW+n/>z]S>_lG⣔$݅xtF|ϳP`5@ xг -9FY/S|ۆ sSQ-"rb|??$%$[z>Mi̮_rGeY=+#>k E)Eq>Hu琞Xd\v8=`kr endstream endobj 3003 0 obj << /Annots [3004 0 R 3008 0 R] /BleedBox [0 0 612 792] /Contents [3009 0 R 3005 0 R 3006 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26244 3007 0 R >> >> /Type /Page >> endobj 3004 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3005 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3006 0 obj << /Length 19 >> stream q /Iabc26244 Do Q endstream endobj 3007 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26245 20830 0 R /Gabc26246 20835 0 R >> /Font << /Fabc26247 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ׆<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pşj P@E ۾{w* endstream endobj 3008 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=188) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3009 0 obj << /Filter /FlateDecode /Length 4204 >> stream xɎ+ίs1F@n!%v w流,^'u7Y}#{'=)_1ӓwӬ}Mާ^ݤkjTNZ9Dk?J/~Q5|"|‹ezՊLclcJp2J].JR0=J}yvUV}y!4?}cڼ\9manN I>6&n* )*@ۙnΆ݈j7 r? j3\>u.:Bn4uWq+ð:OZYJwV,=[ʱ.-l'q"uU9?7'Orv&{J&̶yJ+NFXRy2LWo=p|- @RD 1,o&B@tQY*M*UʸخgY^_ X%?ﻬ|S`c~iRܷJ,zBUkY_\sGex2 G%xpl%]'NZ{ |گzy I`EQj1c[,le2DF6+Ah_uՖmqWjA/''%НDd[ŗ^ a ɇ!juTQ~>GPPЯO6;c6T9~/$u%Mvb{Ρ> qkhWȍKi֜yP~0 0~˸4V׼Rآ4` 9eGRT(T aErq;n6]Ꚇ, aM !{߃Zhwv3-V *^+*N̈ҹW- f; k<Jr>7xd}SyAD*'&;lpܤW1n✒ Q 'HYUCXƃ_DG)HV95Rhuvwst:N4ɃqYqGHmzŒF!P;*(VuSK-NFBx2`zn&c^ Y%3}I׭nwÒs6ZC-'TKSقU˳L;fM;C.8?ĽVR[gq3\n{H|~euEUz^^ ),wvsWwi_I`iRjQ0';^/n*ww+ysv- fH뾮Ebv1˾MRūгvdw۔q:!u.&m寧N_Es- FKiSk\OpddZZP~_"fphA{|j>p Cv1ķ1+/]z6ɴ %@P1܆43a5^.f1,˥ͪܮoHn!%ن.A !džVΦ.TΏ?f^ep B\O7(ݘ #],0rߌbV82C|+d;`5R%MZ"_ljC\9|^;'UNRGtP1V7 E,RNk£CK6M $GU^JPDK[ƥR3T&\,nR/`^ʮ|G6OmrH /…O/rA?ኝ endstream endobj 3010 0 obj << /Annots 3012 0 R /BleedBox [0 0 612 792] /Contents [3020 0 R 3016 0 R 3017 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26263 3018 0 R >> >> /Type /Page >> endobj 3011 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3012 0 obj [3011 0 R 3013 0 R 3014 0 R 3015 0 R 3019 0 R] endobj 3013 0 obj << /A << /D (unique_704) /S /GoTo >> /Border [0 0 0] /Contents (config_timing_corners) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 210.1252 686.7] /Subtype /Link /Type /Annot >> endobj 3014 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 169.4802 670.5] /Subtype /Link /Type /Annot >> endobj 3015 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 218.6612 654.3] /Subtype /Link /Type /Annot >> endobj 3016 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3017 0 obj << /Length 19 >> stream q /Iabc26263 Do Q endstream endobj 3018 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26264 20830 0 R /Gabc26265 20835 0 R >> /Font << /Fabc26266 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;FC90ڢ_Ћm߽?1T endstream endobj 3019 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=189) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3020 0 obj << /Filter /FlateDecode /Length 1262 >> stream xڭWj,7+̀g^dg"dp_zτĦGtTԭoi 6yuu]OǨӧ4dcmΚKzr6VzZ>Z#MO~O?*(%g}vg]|;Lj4.WП?Ў m|)6r=UYW=w:S~Sy+&a &wbp3sѷ(d}$`YG^W;ElNp2Mì:r*ICb__O)%_iF،!ykRvS J:y3wK90ͷ ld[*'hZWMQgq,.ƃ'z/:reh!1CAf Zt2"ѱtcaM#s꒼ye'_li7 '.u̘3h?ШW\`xih rNݔ4@įz--4.7<pPf$.?d3XwNQ6V7y y( TˈL% /Tc!;B% ρs/C~XlIa7`w,H <A8 endstream endobj 3021 0 obj << /Annots 3023 0 R /BleedBox [0 0 612 792] /Contents [3029 0 R 3025 0 R 3026 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26282 3027 0 R >> >> /Type /Page >> endobj 3022 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3023 0 obj [3022 0 R 3024 0 R 3028 0 R] endobj 3024 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [90 344.825 122.648 355.825] /Subtype /Link /Type /Annot >> endobj 3025 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3026 0 obj << /Length 19 >> stream q /Iabc26282 Do Q endstream endobj 3027 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26283 20830 0 R /Gabc26284 20835 0 R >> /Font << /Fabc26285 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {v%C;f٩c8I 6-$G7]|t'w Js~3C3Py<20́S@YM 1S0'rqHB>!KQ/N>%=2! şj ?`E W۾{w7 endstream endobj 3028 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=190) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3029 0 obj << /Filter /FlateDecode /Length 4618 >> stream x\o, йN=0`rKj&EaxHIz~$~;hHiWm3/Nyӕfu :[$߷W˛1!3p]xeRixiG۟EJ34hK圪\Kx6o܅g_~/k & ˿~MoOLipL[?ïKӿ3gqvO1v,lb5Y4^gl͟ {U)?<3b\Od><*<rφ?kdr,ұǖ y.L1RIdcA'h#h|/=>{'*?1{c Ziho nudp`mꞱ6MֶP~.lEzwOHeTc-ybk *)W_LRac3^ݿ]k#Պ7S_`u#R8gMZ*Bka\,(QUEMz&[;OjըJ_UnKan5X&c髍n2~X6cDPϩh (,?čʗ7 ]adz00Ce\;ֈEܹ+C$u˕QUl2IuӲ `Bj!qQgƲݎd8qtYpT^5_[rYWԅu{Q(|A.B:GHߥ_htV't17:9xܐ9BqaZ8ri5'@v *9v"jZ%ϊM,::%6ub]2kH pGTKkvd|%ztqK5wD t0c&ź3k +Nj\qjY^:("pv(ۭ) TUu 3HX ~ ԰"l"X}X )`6`Qh FjgqNlvR%[*aَQsPtѻ<: {q߂SX)0zp k8wn('?(NR= Pj5I~R( ?}@)(uURQ7BJG}RB1H}' O@JQG} RRAH>3R[}UkR>Y`j(-k}Sp !h:+O Sxi:Ă%϶T5MLm:a9/bJ Qe/{])M &Sl`.t/@"V_B'<&A4ꝵuƺ'&Pcjv2d\Zf?9ٺ\ MQ3M$A\G@n>"%ຶa!uy7C ,ŁC@oG[/XE7km_;pojCMquDq~;q'S8\wv>u4m7vt\+T^.q{Qys "7#|yÆv?#{sm#N$"/zՌ-5ml_̔lvl"jn#; %Ș]mlH Sgꡮ&zg=৴@ \jc"N~#JOT9zu_D^I|$^H&]>nj,/<.m.K7*cm@C;|_lJ,_ .duVdVP]qAN"9 aIp/abKxM@s /u.g q1v'[P0N rXv~V ?z®uEhzoR,ލ'`B ڃ7Ϝ8VKr)C z.Ꙧ\'S"j.`аI"Q` xN<3[1&wmWԘo9Wϳ洚5)r IP 1~OJk絶 B$u27>^*Mִx0I|җb0 ȸ3}©p1, .jle%kͅ'ʇ )as]1C%]֦"ryUir55vL L1Y x# Uvp]?3-n dk!Mfho%BS!Z'wgco}*#;֕w-3bs՚KjTE˴8J7{n _t#bU1ALE_B4;z!էqkJ]/C j&Y M iȌ[5ł &7aN$e?\,p5"}(Jx d,?h$Szg5q,@S 6. Gw+1>|S_oRktׂs9s2|9'o:/.ץ*y ]*|ey5@B@GG:E\bdsUa3yDoedxQKZX Rhj)`[|-rJ1fXĒKKTcb{ai}̦s#/Cl٧/RkgLO"2 !D%J]VlxeϽ~⪚,Txm~E՛J!/pSloU*9{i7l~V?[KM󋸞^yFy ț|(iK+P~G)>O1w>‰~l;#}uz-Vr,|c\!z Yqve7u&҃9<}~=._ єk>ϼl<ퟶX0ˆ K0ʈ*d]_MLJzY1-_9޶C&^k>}/{$Î~"}Wԟqd'uK> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26301 3034 0 R >> >> /Type /Page >> endobj 3031 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3032 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3033 0 obj << /Length 19 >> stream q /Iabc26301 Do Q endstream endobj 3034 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26302 20830 0 R /Gabc26303 20835 0 R >> /Font << /Fabc26304 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 3035 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=191) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3036 0 obj << /Filter /FlateDecode /Length 4898 >> stream xn$ίsp_429>)@`۸UWZ,@2q4]do'Y˗,;O*vy:dU:=/r1ϽPנyQk ޝ4T-?-F kV_}QӃ~AO\~zj#j'+z+kA#%,5s1+>8\mzu9j"kpO_v#;-ǻa02Q d&q$ b@4ZfնƯD+Ւ@KfR8 jeH齱'n;5Yz'Bm]g" !'Hg=M`>Da]ڳ0!3!;XZ<_4ixG>dLIV ɂV<(:l2Fa 1zsN-wtQ}8Z|ntNUW*9e]7};fBnfC1]=t٪ o Ey&9hUf5јCl\mP]֮٥yh+Jan59 !)Z&`q @[d`&Yzl-s,|Ȋfb p Ah1%-b>3I;E'ml1О͂ mV\ޕpOʠ.j Yojڪ|T렄3ﯵ^^O|ҡz (:n6F7u8ST{TiD@.}Vwj [ -s{CS1b5} 8q}uׄIݐF!y=OϪ]\%Ŝ0^bʘiy;V+0 kJ׿-܋L-%41>%+r=c)X= jZ!xyYs  uA=%c`HU֬ fj^sI6> W4!AuSOtsd3D=/hT)br 2R?h!d~TYCޅUGdVa e4&u F`ېYhJ*@) %- ޓȤJB >Ρ$DK.H]=Z Cv-@pKqٻV2v '$@TA#gXJc.\'ŠZ0P+FXw.x CK^TwDQ\‚|V Q]j+>|o+ )`*REZ\^X%9`}h9xgZ qGH~:hV L+?Ѓ `x`7kZ[[V8h>)_+{`{Go෨D֍]ڡl+gޖ8ەl|[;dx*KK2W(LM_Q,-FhqvRTAdrGk&1'f &1I# J>ĶH+AZ4˛YP߼WG(]8@7M )PpEŽlK+bC#8 ֆ:&olJK8'M˚mTp A_ }/Di+R4;2m•4DKmpRmc+ԭy;!<9X[%@8WǴLz,D2:%f8lzhƆXq)I) Z:1Ө!<(=XiD)঻Y(ݒ)4n?SDI.&.l/ˬXmvp]|R.AH_β[r1wˮѐԟNr*Ql$۷)7 ܞvӹǏ!Lt)G̜:PH{.&Cݒw'zwR-ISmΙMSX?!at @ 4ĵ ҾQ l̾ JxROP.bXZ6GѱK)o.;f Q&&o Y:L^cg;۩r,y>_=z[Ӵ mDz];A@^J^M -jatXauUY^'jIq3XOc,^kQ;1肥\mJ]F/ w&%/JH G#5@ө4L}bg9b@:=;r(K|J͗[Ǭ+-rz^2^Nn5{hTlR\Lgx OƊ2+cXӷE 'j:8cF ٓrCתᾨLkuP{f0ɏ*7|xEOZL٭_)3f}Cq<*4x[3}&9U5ڄyܧ>=n$x5yJ&xN'BbczE+Er ef`Wk+nM~`t|Dx~mDe['Fc.2inT]#gkBS(F;5ƒ'k /kBuٞ'I )C>bݜ(| _$9&e@}Y0]j*y _V]/Dcփ>d'E.xj;dI6 ĄѸS{~-^ CiHIȉ @^3JmUЊ@5ZN^ ܤv .a1_n|>!/@[wu-vaw{r}(Nu(8+'>i!q+r\i䶾vJa.քr?41:gl5vLΚ*[cADXtajGJ. l K@jjGw/Z P꘵bJ <ƒK`LV4A-8^ۺhSeIeG7,hYQÐ.nD?q:a4mSJ|#8 %l5Rz\R'* }1BS[,{ !}a>B$L _xG?P'X[1q?OQ Fg\ k# JB9 c6m _g˓t'A9XnG[2*<*`I;A""E0TG|[<|@Plֿ"ele{g(ԗq}S'$P&#>鑌@SW|< qq-,`A' Q endstream endobj 3037 0 obj << /Annots 3039 0 R /BleedBox [0 0 612 792] /Contents [3046 0 R 3042 0 R 3043 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26320 3044 0 R >> >> /Type /Page >> endobj 3038 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3039 0 obj [3038 0 R 3040 0 R 3041 0 R 3045 0 R] endobj 3040 0 obj << /A << /D (unique_703) /S /GoTo >> /Border [0 0 0] /Contents (config_timing_analysis) /M (D:20211013063105-08'00') /Rect [104.1732 488.3 211.4562 499.3] /Subtype /Link /Type /Annot >> endobj 3041 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 472.1 169.4802 483.1] /Subtype /Link /Type /Annot >> endobj 3042 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3043 0 obj << /Length 19 >> stream q /Iabc26320 Do Q endstream endobj 3044 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26321 20830 0 R /Gabc26322 20835 0 R >> /Font << /Fabc26323 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ \ endstream endobj 3045 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=192) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3046 0 obj << /Filter /FlateDecode /Length 1933 >> stream xYK7WhE 1氐Ci;ـ⿟z[=k{JU-*T=v Ҽ~xԝt:dY^!kv\B;k}6Z O罾DŢ@U^7h zh{20^W}0,{H哵<׿JZ9gbMIaMxA^+ow,Y`qzyOb XLzݱVݴ2!X\ 3PJ5Q$JsK/LpbWl(|"0sdf^-QȬ.41ݽ-49lr,K|M4>[U_؁۵#WZEjKW^M 8^p;@|G:C-/g§1ϻx{_^Y 8>|1a+peo09{hi,N^1;1ڐ85w<ߵ;O;A49̇NNX']cv Nbst9XŒ5uwxl8@hÉ+)9a`l_6.x{Hi:Xʋћr !xCXJmLb]8<ӓẅ́!M>BMIE굩H&oS 1qd e13ѠBHi+2@(w=6.yM2VM) l(6Ҙ4b6aL\V.A&G y¥<3Rex!F#r\e8C\Clƕm;4x޺'Жա;1D%/6aaSv=b%` x^MPM\ #f`Ws0Bϖ|Q+b6A"5$pc%.)Td[ăld[*Z>hZUMQW8{9aPvLx&Leziee +>K6..n$/> B~z!x^-.ךC4 GHG%Ѕ|SWiJ$+@; uitY!UnB,kY+L?ruceB1bdd(/bPVF L8bXř&Ե"J& G Eج^*ixM x$ҷKܸR]ƔU%᯾۲n z--4-/Es-DXXʑW>ӣżz4g85xHU endstream endobj 3047 0 obj << /Annots 3049 0 R /BleedBox [0 0 612 792] /Contents [3055 0 R 3051 0 R 3052 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26339 3053 0 R >> >> /Type /Page >> endobj 3048 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3049 0 obj [3048 0 R 3050 0 R 3054 0 R] endobj 3050 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 286.325 147.728 297.325] /Subtype /Link /Type /Annot >> endobj 3051 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3052 0 obj << /Length 19 >> stream q /Iabc26339 Do Q endstream endobj 3053 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26340 20830 0 R /Gabc26341 20835 0 R >> /Font << /Fabc26342 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 3054 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=193) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3055 0 obj << /Filter /FlateDecode /Length 4281 >> stream x\Ko6W)[{Xi6ba/0sz%iw0nDUR6_yqˋ.4cгET')hg}SREƘn^Y iaUrZdmj؇p)F觟Jǁ~фva;A?k3e[f2 ._RN%< /OOeKqE(i:? U4OIx?ŌIEv2Gd#Jb`fbB@eWԧ~wף_=2]i&]!;3H a}na{hh^060GjF-~?A PƲK/h# ]heɗ) 8ڰoOo!/n6{x1`l܁w|} 39FatF }U DpeYrк2 7٦Gb؍O!TF8Ùtkjչqz|{^@o`_tT:s& 3A$.ujUv:j~ݺJռY/U F^މ#hg3kBz'-|Sζz亴<{z[񻕮҅MNsϜ~V6rdӲ^T~x`_/rf4 ]eK> TŃKźwBLEᅰ#ȷ=Ŭ](KAd _p@לel l0|a($=j>%j FjO'*v$` dfVjQ @Wk2 酸E.gB4j[*NxAjȂCwvZV)ţ6KT[mu"2_'M4UFeg(x/v-ኳk]x]4Jz_1dl ʘ11rܪfk1$5^~qX^{hc U^ 0aRaL7 *ybm.Vt 4.>4Y̛,0) ^7bK֦Y+"9SٔdCofR/Q( dͲ<+DӜ*d7=}".7H,?fjNl&OXtnr(-- )z+4ƞtOg)PШFGyǛXjFSiG\6. eB (p%k5#)H<"Sc0ke{Y97ihbh1^wU84dSo`+colЦ{yH;ƚߎŲ9jXf|_r^Pwa=_y0S'+?M!ΞRPP 0 ,v) #y5?%Pn\}D̯$A[KڼOTX+b.ahdp_r\_-y|^kNvYZ;?JoP$`zkfM@EO\ib Nx`C)~C0z:F< |TdFCV1gb` L*vz`.9XTpoUA@Y XR#2HѬ4cKjo<]SVa&;O_p>VkC*1bfZ2ӻ:` |AwB&[SU8xؙqXHB@FG~/'

aX$=S i16( S- i< pzIgQy®*)R㹵1ci݊ȼtFUWjb Aƍ4K>2WdQj)rMG ]1.}g|N*{iEoD_0iݸQ#`qsKq sC@/j w^4>tZIuj֠;MR+־Oɧ-ÒxJ8R`eE5Z:0!Q-e&O](Q%;˻g\7}O_w`EK%=tᴢ DeWA9L,ѧ.Zf=Чq> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26358 3066 0 R >> >> /Type /Page >> endobj 3057 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3058 0 obj [3057 0 R 3059 0 R 3060 0 R 3061 0 R 3062 0 R 3063 0 R 3067 0 R] endobj 3059 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 219.2578 172.7747 230.2578] /Subtype /Link /Type /Annot >> endobj 3060 0 obj << /A << /D (unique_391) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 203.0577 193.7847 214.0577] /Subtype /Link /Type /Annot >> endobj 3061 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 186.8578 162.8527 197.8578] /Subtype /Link /Type /Annot >> endobj 3062 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 170.6578 182.9552 181.6578] /Subtype /Link /Type /Annot >> endobj 3063 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20211013063105-08'00') /Rect [104.1732 154.4579 188.3617 165.4579] /Subtype /Link /Type /Annot >> endobj 3064 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3065 0 obj << /Length 19 >> stream q /Iabc26358 Do Q endstream endobj 3066 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26359 20830 0 R /Gabc26360 20835 0 R >> /Font << /Fabc26361 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n` ЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3068 0 obj << /Filter /FlateDecode /Length 3575 >> stream xIk_QSj_b6!S'=!ӗ$ jꕾd2J'b篪4I+cԧ/UW2z19>}U}zCxT3\nJpoӟ`ZfbRM3\6si c`lON mJ-0 b.\q )1A?*ksy\ t)OZG$}<*![`kżN@.`Xgx~lYO'`2 _±u{܍'h]ΚAغĎ3t>3) c@ti z; GrBg@xX9nyAKB6^q!/g #2"hIkPlMlt $ヸZ׽AH#UdW Jf~(fE'?&'~o79ePR+_U@Pc(¨10A X,ȉoh}`4)鍔\qg^l 3VS쪋aQD6jF{#!S.P bTL(=ÚVڭ>ƒM"4aU'F6Ǜ-+\kag;Q.fAyd+h's{Rܝ(evx)(%Wx*\U63["(0M|އ-XUc@2lˑ G$0۝v{?0)DK%. "!#h[!Y"?PIA)gS~ +0*^/6 C؛a`.NR1vW"SDN.CHsܛnEC A0:͛NW$5U~yKTw+wƫs> \%|n m5ÚY4jɅ`BSrͬD$N3o@kY‡Jyq TppԘCrg \C+u6:S6r@̜[W nixŲy\$en9l=00yMb4U<]1CR^3I[RѶ Zz3A?*r[7ni\鍀\=mךN~Yw2ݵ9tz5 6>GWFh88ڽդ2H;ƜPK -ЇxxS\,.7098OhPE|(+%N6ՀNq ~r~V6e1G9i:pxR| ZPƐvU6 /Ikn9վ5;intܿ?Rip- SE,[٨C}?!Վ1 ׿/X Bvm\ΧӻIu|~{#`=CwkFooc2HKܔhc]L!-96G}K] )~19F=OfC$nU;LJϼ)*^:AY&$)-"6 0OˏU?]^AR gʔZ] =;9k oρOِ9t?P=)NϹ$BE8lU%EJ,4=o\; +Qڏ巸 {`59goSÐ5,{d|3ih\ ϻZ-b}t.5k(WuBC ߃Gijnɣc!8DT?SR<H;E5ÄV?m'K_ QalU`v,(yTg1eڎXX}b[  O\Ņ__'XPzQi / C`K M ^nu̗=ݘ'-;Uz}uƶUXG*0}7 +S_0:1БNq>k?@a"ַ҇WPa9[eLc.3EP](R_%l7S_ \Dbɮ>,seB5nLHO pc@8{-]yeffer}/KtQrHJ7yR#G%Wf=̑.˨[jd0Hꚰr!KzedԀB|S3&k ?(cyDyy#e]bG')[#ێB&hamGM%KUJhqBkbREWAJh,ee _m1C̀-,j߭߰.d!gІEZ󊟫_%#q\Q)'<-Ə<^ }?>=OA"ݸ4Lrr`-a; r@ƞ5|뉐<tz?Õ22GCv 0\{Gԓ}7١6E¹]w[¼҅MxIe0A mE԰ZEL;1|M_E~D%a/rFW0ǡp;{ Jl0k~mYkH^@% endstream endobj 3069 0 obj << /Annots 3071 0 R /BleedBox [0 0 612 792] /Contents [3077 0 R 3073 0 R 3074 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26377 3075 0 R >> >> /Type /Page >> endobj 3070 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3071 0 obj [3070 0 R 3072 0 R 3076 0 R] endobj 3072 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 316.725 147.728 327.725] /Subtype /Link /Type /Annot >> endobj 3073 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3074 0 obj << /Length 19 >> stream q /Iabc26377 Do Q endstream endobj 3075 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26378 20830 0 R /Gabc26379 20835 0 R >> /Font << /Fabc26380 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n,ӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XL[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{wG endstream endobj 3076 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=195) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3077 0 obj << /Filter /FlateDecode /Length 4492 >> stream x#0#@_i W s0&jYc%/! - \5#,<7 #gv;G >ec^N/F`PQL>˒YuƁ[u\7Y[Fm? 2kƞvf7%PcX8U`E02uGf麁&3˲蛈(+#. y V) d`lՄh(@1u*1\-ȃpa'SbA[O(֔dFurSsJ7.8Q2:x@3: ˣey3ŲQ[vX%VⵣDee tMUE(!~ЙZ4-H*8X! cr ΌIE 8#1g$IySwVlh0*'p!|^YbLpTK:Ftb"VJt\~ bv~_!ӑ{sOU*]6G<0ŒznRM3Fc#gNr;{~ϫ-qx]BqPdSwZ:S]gy}&>KJ)YѾnYlc7on~ wHo)5B,r~?yr@|fH=;4D֮AK$#փQ @KY~8i[Ix= A&ahj=U}N℥#65q oGˌ g`Ж=(6d=ϖ2*5Aآ}ALmhng:º湭_ն~I#nRR gm4~c>eN7P/M"&0}b,P;,hykbia}v-jk=[Ш:҄!ͪ.ϝ*49 #jn߶ `,f>Xe̥oVl4M=\-sqťDvIO@ di*jYmԧ{Wf:{->oueVۀj +m߬V @5.mW0t:2O;@ :CX{um㾋]jp˜*@17'ߙCi>a; AuHfܔ{!L3Q'i\)o̢7,f͵YTX [=L(b J7򀱪8lـPCJtQW6̝9)a0w'Ŧ@|lݏSgK; O}XLNieSv0K?ڑ͎z; D x=SC;靺ۀ!)rU3y3O9R_ROXTnJ^/BTߐkx,~j/U$t8?v˙ryf-|^Qg|Qc{|TY(赹Z$ꌋc&P-+RZ+*MJU磊p//LVWp" \X˒TFCR6g{heFCq@G~ ǥ _%>_ʄjXzT/"lab*N+pODZvvTfZ,R||[r*%7wjjy:`n~NKB0̠!T΋5V3>ʻKb#r_wQ5i?XznTuFdgщ$-YB}%+m|hgu> ʾVҔkaJqi__ u܉Zrv ٭oHNY`_jly0ڵ{ַB3=bu}t* +w2{ܞVacZxMx*QuJU2xf2WŹETg0L u;L-ȸ$P8H/"Շf?u zqvGUBy>k-*SOlߕr62ͳUE-yZАq?`^#"w%qtlх8ߎ:ƪ3XS3U`4{fFW(?wU&XMt~_tr&X"E Jg}]h%!N`j1x'r0v@X}ם:zG s@"wkUׂu6Ļe#zZeh}-9%G"ʛ6)d9ݍ rXw_pVq SģFk[|fF*[ MK=D}i _ nb +jd4ێQCc]P묣dկkmQ`-YoiN/Y~B#fkq9h$JfX:zGOs;#gr4'ڇ]ᄍ}n.-m~yTTI8?n޺F vDTMۘ2N&.2־fʡu-AiyÍ^<>-n Xk1#d\%T$A~3$\L;m`Ru%XAuwwiGngXt-f{jM⹗hWz,.Go'P(\]T7%o@37=rmi}>mi394"p15Vv3y [MĜK3fX+Du0\ueX貦70t ! d_-Du1#y(ql v^VR~WOݫ|mJ[D TtF =U/ dM]Y_P`Vש3Pp5QOhvy*kErc&?  Yf=Ccuw ΅_ֻ֖xvK225#!ۂ_c%-? ܈@A[Ik[ZڬC9ȫw#-?2Wˢ#ȍ*7 .6UVن\FB{;9(Kb ^NE}knpld8D . bh/;r|۷w[ҟ@c?pܿ-—Δc1zɝ,u}3tcu>,CJ΀aZ10e Naa=y $x㵯rt9 ':xrz˻&X ӷ+>LQliK~?x3²ðA:L,gmO `C;;.&xd9> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26396 3088 0 R >> >> /Type /Page >> endobj 3079 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3080 0 obj [3079 0 R 3081 0 R 3082 0 R 3083 0 R 3084 0 R 3085 0 R 3089 0 R] endobj 3081 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 165.254 202.4252 176.254] /Subtype /Link /Type /Annot >> endobj 3082 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 149.0541 172.4722 160.0541] /Subtype /Link /Type /Annot >> endobj 3083 0 obj << /A << /D (unique_403) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 132.8541 194.6757 143.8541] /Subtype /Link /Type /Annot >> endobj 3084 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [104.1732 116.6542 161.6427 127.6542] /Subtype /Link /Type /Annot >> endobj 3085 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20211013063105-08'00') /Rect [104.1732 100.4542 167.0492 111.4542] /Subtype /Link /Type /Annot >> endobj 3086 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3087 0 obj << /Length 19 >> stream q /Iabc26396 Do Q endstream endobj 3088 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26397 20830 0 R /Gabc26398 20835 0 R >> /Font << /Fabc26399 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pSthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM^ endstream endobj 3089 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=196) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3090 0 obj << /Filter /FlateDecode /Length 3810 >> stream xڭˎίyu~4@na'' /*nɒmr7UzW#H-;%f#?~ɤ_ooNj-?|ڰ(eVK ZqJy ')ǫ__8?䇿X.4fI6(?tWF7*tJ cs `-JN!QPub`tyLnI 7ќD8_ o"U>I^e..iFM$-jEIXD|)|3{f~[x| Nև/$DD ,N2üt.W|KS6q%G}iNTdAdCezwDvW2]n W@2 d͂6B/8+j u \gR ᙕZ'ݓ04FBW=}YFV=AkWU$ȼlO3Je5)M 3Eiz!0QMwXImCȖRg 1^QV씉"LΤ ǮIgK`7=ֱxӮ{~ 03@m*"0@Ԝ:7!Piۚ'TPGP.BXNON: t6&'?,#KCgYo br2ڐ[|FfF/M.#/{!usP*&Zh!1RKNvI )iƃ5z~q&ym1M5$ 6[F"RS F+ \ԋHTUN̼MTob1¶A\M -yzWJP6N<$2}GG[5f <foMEPSfh<ЗQm-F=m;r[Mܮ;0B;foݛZ_h2ecU]W9z}ύ J W1 5Dߏܒ[ɱΎ'4!^M] -Ț!͉f]CĦK VS|XPᧇͩCK]y(ܔ~:ʮ ̺! #zlYnk+jmE̫j*,6:\d_Gao` g% w9-zQ豶o`.=Uo)zG@8x[Ȇ[:x~sk hrKJ'O,tRtԤ>-2 2)FʰԮHuOOٽf'Ui,))oU6nwZWaٻVz`*:yۡ}UAkUa6/!kTq÷Ε^ݴ7 Sĕ} gjحyt1H~FP\'`U+QSE2LuIύ࢓kE vrM8&Ƞf;{bo1Yb/jx3RE֐lk@bȺ/~Xb [~E@sCنN+@a)ٌO OvuØn JhJ j.@qao͵T{#䝯5MPUWd&I;<krnAC "Ffj? W7m׎npTf H<hiO@YpPG^kgR2iZ1f9.`t6NO.u]Wxt5^N;o\k⠛+G嚷(Eؘe{ Q [7O49<>nV< &;d`(< ^o1vY-|}yEvW$?s" \|Y\,"eE4kO+Wʷ8sd3](< ,D]'}O.C}˗Ī @GX7 @e%-n^s%m, ʬ28dP!"с(x%X 62za:s1#qJ7K@1'- T.61kX ¦C>D=p~uʰgEÆ{baxΏ#WCS?7AdX8^Mn\\Wɭ&;ɍ+d`my>]BDHr'm5H e%s;T@TO1S3+2,ꦉ-G&> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26415 3098 0 R >> >> /Type /Page >> endobj 3092 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3093 0 obj [3092 0 R 3094 0 R 3095 0 R 3099 0 R] endobj 3094 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 284.725 122.109 295.725] /Subtype /Link /Type /Annot >> endobj 3095 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [127.422 284.725 150.2745 295.725] /Subtype /Link /Type /Annot >> endobj 3096 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3097 0 obj << /Length 19 >> stream q /Iabc26415 Do Q endstream endobj 3098 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26416 20830 0 R /Gabc26417 20835 0 R >> /Font << /Fabc26418 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7L{ͱ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}* endstream endobj 3099 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=197) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3100 0 obj << /Filter /FlateDecode /Length 4083 >> stream x;n#9w~(o&Àe[ ̭f `OݽX T]'^|e,wEdƋdHVw¯\+jdߏ_%hg_Ʃ>,9wcBz'g)dɶ'QSٶ!3S'[pZ1'\%#^_qM~Uio:|6iBY>÷%Yӿ Ί k;ӧSe&VEN>/gX{&X s!x Rbgr "W>B0m rp|\eU8bd@iIVzD̻mWǗGt{dP}h26, O "^'hzIb(),RZ1NXes.$ȉ0VӋv1 #zby8ά8[D͹dLya'=VA.:&**"#PWccz*Ěu""X36k$KC63]L_Eo?ijX 'hB0Y[~MzRΨojlx=mWEs&!5Azi6EocKsGY$"^C;&=*[P}"2#Zl4ԅ'vJ;mnc9(Q ߯v )vpOhFlu eUH9nYmzI0BJyORP kN7 'JR<Рn XLXo%%S (yDӔh+ܿ Iq]5l?~ֿELBvb4K5Li3qNy } vwFyzl5*\]_ңJC*J4xфuȟb}Fq_B/ K;]9M o> K"օ[MD2,0Q ӵ,(4A_%{qujY`@CpW?nR X =bqQ> -$>Բ0O &qf{{xx {y=GDԷvLgi TՁm`NGF`Xܒviu2gY2ԕe࿡yj @x]5bkgqk̑n[bײn,މ6;L^v&|vtWF薕; Clcb: d<&ऍZثcٽ:Iw"}tp1P^ą/,D|!rpgx좤1QҮj*^RxO5rLS=lpϯ PW1̑ ps-`!<fܙC" A",DZ.,uAFdQ"kݯ>>S??Wu_/>5'CAȸ-ӏ:n:ƥI ٔPF׵fw8̮m;^J~󽑇Rכ pBG튂T:w70 zt#xtJSODUUFr`,#V"*_sl$N{IH6頌1,c[8UTo~0'".Paw$_`+ 3#068<{*Uf< 蝰E 6$747\jy2L0Dyl6-!xFw7ڬp"CUx :66kk00)0Wv |1 ƒ*m͔) ۋHjW{#UكfQܺėwi6sA{Licq4At~;۾`&n>z%s"wLG@Ցqr%(&سwmPm؁ȕr5qV&T7>7;e^0lDbšS3u]PM~pG'7jTscNc ttb+u;[j>X ,7Gwpa);ԦaS[U!-+n]Z|ݪiڶan ;̈́G-u(36fh|eԮ䕝 NJ=h1MѧeH ɝԍsgF8T 9g}G[fwl N}jM~q;wQΖBsN v˝Twq:"N)ʣƦx:~ڝ$P}wJkuGP>G hﰒ;{mIwBaf]iFoGR#>f>f>q)ȌEg72ȀFa>G%H>!|(lGӹ+qvrW)?{?A'¸Uz Xiqk{ycVJu͚Fr?~n豎׏ۥw`kTH mD"%`)\+ s 0@F%N&9 +Suerw5pXRe$3 K*Fg)VTQa_-s!X H%mAՅyW (6.{L/哲QU6e[K{ ꏅ>RWuc:P*ϏzS$m/uEwg5^55V%5:QSܖ Z8P6E3Rb-Ev[{hԷb~jo@,vEz|_DyZdcF%(M@>N ]tS9Gկ\Z=W_ϷjcYj@:㯕OpX6*QkC5zDF9ש0 qĘo9,H:o3$?~zߵ_V55D!șf$&+? 5zViPidh)]9kdL^qokK]2`52_-BllI"ԑҡ#$Nǜ=nGj˼(3>:s U\`G*8$nDW T C vMOixgX!}>1N'7 ppa.xcܼ | i ,\KwkP@r )Y, ؐ˖K?vSF:.| QƀFA#E9qn . 6Ln?o|__RGt Z=7,74+`5 eSY/+2Tq\@[(ȵ౓n yVlm=fHIVوT1"8 qj9ZUHmINJI(SIئ@V5m=\_Y-mf\ YxsL',{WbQ Yk6RNbsoFR\e<~bxXxkzőKe)dG?)tnKՃ1ǡ/7o/G9ejYiw2q xez%s2~,c\BIv> )Z/p$]/ljQjΩLI%c aM6Jzg9ю pRm 'ġbl#o 8u"䕯Rh劯P2>ç~?Q))?^kR+ ,oO9 3V"Ne(Q=s_JkM؉F޳[Vj2ꥌZV&z=$](mmS,RЊ2%8*R;oj{ >I endstream endobj 3101 0 obj << /Annots 3103 0 R /BleedBox [0 0 612 792] /Contents [3111 0 R 3107 0 R 3108 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26434 3109 0 R >> >> /Type /Page >> endobj 3102 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3103 0 obj [3102 0 R 3104 0 R 3105 0 R 3106 0 R 3110 0 R] endobj 3104 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 106.677 195.2587 117.677] /Subtype /Link /Type /Annot >> endobj 3105 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 90.477 145.4837 101.477] /Subtype /Link /Type /Annot >> endobj 3106 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20211013063105-08'00') /Rect [104.1732 74.2771 185.3367 85.2771] /Subtype /Link /Type /Annot >> endobj 3107 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3108 0 obj << /Length 19 >> stream q /Iabc26434 Do Q endstream endobj 3109 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26435 20830 0 R /Gabc26436 20835 0 R >> /Font << /Fabc26437 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu8$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwo1V endstream endobj 3110 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=198) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3111 0 obj << /Filter /FlateDecode /Length 4696 >> stream x<Ɏ,q: d `zzFoӳICg@c%2`R̪="cc5O]~[ۜY_)M~m|Yzs1_R0Uamֺ< >0QpV_;\zyZ\}/<xg.Ʒxn..'ؚ77gi10. ק5+Ps1yMO+uc;si -~Z}3q+|bQ%.sSL& 2vHGH!62,K^-#-Id!N}E:4t22R I & ">İMy3t]݃%jNd 8u\%)_ŪИ,]+K{HE@HDLHg|8ba* W0WYAۑ C\Ą's``q5ZɄ18~F?|8)X2Y8m|dҙ ΋wfE*Oϼ9!@L9o0UVB? \1>C4_ib]t`5(I룅UڑͥɾS۝N,Wu b}Xy[.U3;;7.啀W$/eL e,=n)i:)!A`Rꀣ>|l֒,ۈqŚ@6-5˥3iO4qo8`W>FmCO6AK}n5!)6D';"F\ *_,dŁ08ə!1nd:K; .E.yu>.AX(c}8-07l6`)tЩKK7Zr.nt'G|L4֓8I7h3YeɟYV0LڎVj~PJ商ieyVub#:J(c_\M< -eNԹ>u~L㱏N[^ThrUaɷ&1{yec1<ӶsBՈڤ5&tVn bSpNSX"Tw׶/--%c`lK3%u$TG<Ϩ5s>4+#T*SjP#&%~XڢtV a#1T!0ō0-$; ɥY\jNIе4 C j໬_^pMC+jB;MgĂJg0Y\fF]foi. kmν>A[J1N0p_J K{@2s @煕զLތ$p7[dl=zPFO@Z:\@wfbr >f)l |]X{_*\|]f[9n{욮ך:v1^/2xg+x7P>ec^棑cĒsңåUZDw-#y#,Y6p(# :d ΐ{:^h'!jE3b*3+NLm+)ck#0 ̈PU}$ui̓ v`l'Sf#4Κ+".8Yꧭ!sF vf\/BUm_Wț;~"x8ƫ41q.7Zm`U'q!pq.X `P<~R PkTώVDXp֕4fdٰqadWY6n59,a,dO Hĵ8o= olu&; aAA߹RJE*IHȔ"9nq2o6!|;􀇲rdKodzD˃Ykm+5-.M<\/>W)-5vux5alȢ04?v, z?[M|K@/OJӪd춛f-o2 +:L9>Hתƭ88 + w$e7n*jӝ-!y[%o<˄hT ۂdfSWtMqLvL^ b%}&OmDx?&4MOX+F蚽y5YKh`YYx޹- A{6>=ػ&9~kI /ho.c$ӊۜpU7W,{ږYw 1ihhaadjC$N%)g>G7TΟ;[(#tkVOd}QpQTDkCy;ZAXaZO~[v-I nػ2G&q;I2Rz7Ku2w!aW7~^'/t'"ɽnڵ*Tfh~^k!><߻.{3?<-{6äbsb*sꛈ'cE-ۋ~xHM3aw">z͑q\P+o*??;sl[%X0|ޣ sG\-v/#Lݦ\nIۑ}៺T|Su6݁ WpkK͆屬Ldd{dzVF҈sv&pNajD<@;^=yFTvO]kv{/EwY/5Rn2U*}IfN' kX:'<8s=:`jV:(FEDx";EQyUnd={W(ixWP<{AfGSzpmRs~5pŸkW. 4OPOolV: qFO|١"ߨv a/9ZY|_Ȁ/jCAa^2=\fMf xZwQt1NV. *֒,D*zwȘa\q/pzTo-PSJ?*VwPl-6"ɇex7[`;YSD@`Ac/|on%'nNe"7ӹ}(%3)PQE +S:UBI&k5BŽm^ěBAh 51䆭Տi}\&mv.ӦaxS0O=0c܄<觰[!vs*~ޚe J`أFq[#T[-XS>_QLh>Zp>ʢ;;ljյ!)nr㨨] ~Ve +lP`2!=+spGGTQs/,ӛUجS <{_8GI@Uv2TkR'9rfORYR?BR)۳5`=k$B?x:Mb@@|6gx%&"U. V%ן [F_9(~\Goq~(ۊxjq)ѩh6z6eKRݙ_Rk;* uL)2$(_ΰ4od,ccf4 ̰zDþωPA\- *9"{[=.1ޛdVBglR +~x&+h ~ހ3R86Y:8yV^= cǚo-=Tת}S5ZqP\G;'0TX8CKQdmkxă /x/|g^M[DPAnP*;4&VL;#4W,~FxQ%fLZoM>yyz$#ϕ\ M@4XjPIq endstream endobj 3112 0 obj << /Annots 3114 0 R /BleedBox [0 0 612 792] /Contents [3122 0 R 3118 0 R 3119 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26453 3120 0 R >> >> /Type /Page >> endobj 3113 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3114 0 obj [3113 0 R 3115 0 R 3116 0 R 3117 0 R 3121 0 R] endobj 3115 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 162.7152 709.9] /Subtype /Link /Type /Annot >> endobj 3116 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 159.8332 693.7] /Subtype /Link /Type /Annot >> endobj 3117 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 163.6832 677.5] /Subtype /Link /Type /Annot >> endobj 3118 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3119 0 obj << /Length 19 >> stream q /Iabc26453 Do Q endstream endobj 3120 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26454 20830 0 R /Gabc26455 20835 0 R >> /Font << /Fabc26456 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}7 endstream endobj 3121 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=199) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3122 0 obj << /Filter /FlateDecode /Length 1191 >> stream xڭWKk$7WhmՒ ؞CnBNN!z[=/ FR髧7TZ϶VO,ws8ohh@͵| \:mw;[q8[ە)!&ݪA-ZH~&5CcK<mz uVj)>f4JG]v` OPƀ0Ub"S[,E> %ǜ3*+I]3R2Qx)T,2a5pxp'H)B:]!MimPr޼&!rI䕖a#>rÇGVoǛݗVƭ; p`#klJ3iњ;oǠrz 0;Ϙ*z<Ψ'*oezяmv69Teo%J.d$29:|Nks4&{|8oqܳ#:}(d 9DZ̊s1 Tؙ mMܙKМ'2/| $x^Z|ffy%MC4U۞5Y~oOԓХC!_5'|EOaĘƖ 8%7x!UC'; endstream endobj 3123 0 obj << /Annots 3125 0 R /BleedBox [0 0 612 792] /Contents [3132 0 R 3128 0 R 3129 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26472 3130 0 R >> >> /Type /Page >> endobj 3124 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3125 0 obj [3124 0 R 3126 0 R 3127 0 R 3131 0 R] endobj 3126 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 396.825 122.109 407.825] /Subtype /Link /Type /Annot >> endobj 3127 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [127.422 396.825 150.2745 407.825] /Subtype /Link /Type /Annot >> endobj 3128 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3129 0 obj << /Length 19 >> stream q /Iabc26472 Do Q endstream endobj 3130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26473 20830 0 R /Gabc26474 20835 0 R >> /Font << /Fabc26475 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0yZ endstream endobj 3131 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=200) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3132 0 obj << /Filter /FlateDecode /Length 4113 >> stream x\Ko$ Wk($0ටl!i \/m{SIK*H~Ub6?Kv.uVY'U}].vVi;cxO]p❭wX7{%w"wG pg3H uWhsЏ7F鞜~ OP6S xu6GY9,4?}rs!O Eiė?e#4p^;["׻~m}i O]_h6..mm^fHh1<bS΃8#*@p_%j,yi>ph,C' ĸtvkAfy/{nRvwԩ8}H|:;<x$u8ճpö ffpmKFsg(ЃdSpe n6Di^Sd͏#OYDb3{\Lɺf&YQb4)S})ԒpprLyNzA]m,4Y93+cFEhܓ3R;٦E(MM \bA!<`Ïf! @Y!2vw2e|sk ciScש֪UֆW bI]emd6*`v6(~Ԝ{9uL U9AkHJ Ls3_^ػ+6{xB'3@^A_.ɦh1\`/;ԊuMc(!5" :\!| ;â3+}k' nub+1Et|Iċɸd:3bN<gBgǹwᰏs ʴkU+;gNw#uȞd846R U<~½qKE<)7[Ļo3]У:1,b &RzT_ tJur5z:΃0#Q;uKX.E4Ꟛ/k֫(3vm̊~Fϲ- reFa v/71#wK붚Cڀo^)@xF y .P-KC$Mdbxg6D[(7 lWG&!jv8JTJӗTkq*ZU{[A9Hg u4Aeƭ)d08fBc\pqաT*eL5z'z!jA;E S /掱xG8azj6)5gm4vc Dh"QYJql ~+bd,p;* hy MAfl/"Zn%B,ֳMGUVZ?_^X3%`-Y\Wfd89̥/V\TFl:WdNjlq)]29c;{?-H&[%r\4+6HmztgU fcue(1 QE@Jv+ R 8 7ЪpNJiW"B0P]c`qW'\^-P\9ɁICߦTvZu(c]pۃ`a:X nٵ eVdRF(V3UdV  UJ\x(wpR"`NvNn ''p:Vp[ɭnl '5I0'pRI 4?NaV[؏I GIu8hpRӻV[>Nj:N{p=B䗈I Ĕr+&+%zE^ 5R2gHI#Ü|#Y`"FN:s6vfngXF9+H[t)J´p(G>-γB]|/"x͒I d;>B2HH51? AH 2f=>7t.t)V~.׆krrfwljæiWZ LCFn,cj)Wu݂sg 4jūU5ek6wd,7d% g.3)n( 8g3ZkbWn}s•)5WjqL`Y5֥89Ev')a/ s_jv.Yƫ5Xr % ZKW7xx~WQ eږ,?u~Aݲ|ZƉ6lb>5ihhh x_r;3׉NfR7ZwiWshhtQDj;(kzgv~ V6pE#v VnkCCX'-~نSso,(>,PmBX[>8۴Ѷ6k#W541azm$QwnY[oܱ~;^ <[Ff/n6剼(2$,Ml}RLonuFvACsn=][@j&cN?@{e} llF}Y/Dܰ0}D`%LAq~u&̯9ZܳX'oi?-K.Qп|H$D= wfrq:)xh× ]1veYy:-x&!X87z)CWUV!tcBۥlsWsWk;V]]:s|H (SpwG3,A2@ =r-iT[;zKo'EL# ڄaiO"jsI1Yx'O"3"9Js979i^(,fŌo:C[Vta<Ԥm4cdlԪ\x o4CKjo`<]hnhzPa|_R[4 ectt7ȩ, x Oqѿ 3PV?9Z}~/ѧH'~6w[}лp#`ĻOV +&ByGa/p}"|Ӗ W}k{Ǔ~gs/Wz9>)D=}SxaYx:b=Q f,gj׮<{ұ|RY>{Ro'$saM%5m(#7ɠCOb<ǻvkVnmYa endstream endobj 3133 0 obj << /Annots 3135 0 R /BleedBox [0 0 612 792] /Contents [3147 0 R 3143 0 R 3144 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26491 3145 0 R >> >> /Type /Page >> endobj 3134 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3135 0 obj [3134 0 R 3136 0 R 3137 0 R 3138 0 R 3139 0 R 3140 0 R 3141 0 R 3142 0 R 3146 0 R] endobj 3136 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 175.5501 195.2587 186.5501] /Subtype /Link /Type /Annot >> endobj 3137 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 159.3502 194.6317 170.3502] /Subtype /Link /Type /Annot >> endobj 3138 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 143.1502 216.8352 154.1502] /Subtype /Link /Type /Annot >> endobj 3139 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20211013063105-08'00') /Rect [104.1732 126.9503 184.7097 137.9503] /Subtype /Link /Type /Annot >> endobj 3140 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 110.7503 145.1812 121.7503] /Subtype /Link /Type /Annot >> endobj 3141 0 obj << /A << /D (unique_116) /S /GoTo >> /Border [0 0 0] /Contents (implement_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 94.5504 216.3457 105.5504] /Subtype /Link /Type /Annot >> endobj 3142 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 78.3504 165.4707 89.3504] /Subtype /Link /Type /Annot >> endobj 3143 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3144 0 obj << /Length 19 >> stream q /Iabc26491 Do Q endstream endobj 3145 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26492 20830 0 R /Gabc26493 20835 0 R >> /Font << /Fabc26494 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7pRth۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lMD endstream endobj 3146 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=201) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3147 0 obj << /Filter /FlateDecode /Length 3757 >> stream xڵɎ^_1W $v\y[m$nLlp"^}+d& '-ve* >>֖8k&sӧ/ӯG:޿}K=\1}+5ZLkRLӧzh}u5jnZ"\W~tO/羼|cɮ=lO WKn66P iyQ-s2f!&d ,0Z7xD@(2}0ٜ&lY wV&3?s0V%|~R/.]N;2B8;dLH,r+0&m0څnAQa A5>8%# 3M~>æYGC?%XVKAH"BGa1ְ9]YsYZ:x[e.e•V^N8?(YՊ0%h*(%u 9Y]Y5=+9zE+ԋ&b]J@S )X,[:3tFӬ0H1QvƕhvG?ȨePfUz\U"f7PZ㢃u`y,)4)\ahd5-,[:/dRh/ 7Z =KHOS:6 ,h/}?oɊ{paYV_̭֮U0[Ӥͳ]2?m,7;:w'PxI+#BA}Hex"^Þ ը& `uh Lm88SYByNI($j/#Y<;6ͱ:T6V~Ѿ [//f=[;%42gс`DXx>)^+?z?[PC<faI&pw?Q{(41[Ǜ4oM #(WT|GV(SJ6i1hix{BYm`],Lב#7"bVB`NݙLfW緉"p51<07= sGV=].:s3Eg-0f$^fuqR{5v 6= ~QU e>+rN9}ZeӅsr;Rπ%`%אi?@s Ɇc; RL1->ݚ#'mnr'4E/Kr?(Vnļ)G{27h ]tj;Hx9.c~/5Q\nn[SKjc6"]Ϣ =K{F7&!&`zߵI! +>*)2u'nk]/LCERkkq7J\n6SONZ%^oplU1VQn] IH]ֻsUv(.ڔ 5d^ж\zz.m͝6W!2R=|˳Ummtiy̐7:65QP|P;ypDƊHyA5̶=ctd9^LpgeA+]});% .%{e5j:nG(Z{v!5 &+e]rDs@HvuVTg0{> 4L\d:w*X;V,% ,tR$mtt&Y fj A6|-$.֑{ w]׃(^jFeNsn}*}hYA-G=kۨZ$YRprbS #9y1i[b2dGBj,rXWhHwv4 D}EC~!m~PcE;5C^Wyr}/yO#k%'jsaⒶMt` A# MiYmv!3C0K(ZSwgCAe`O _ g>N_5 CT1u5o 7s@ kϾ;yTN|%?uKy0f$a=L {Y?B eMmwDTsO݀% 9 ;6SƑ 7@;[]qw/|oJ! FhxRTlFzW 7}=ga#ב y>)'5P}?;ҳxhIIq?LQprW!~UZR<#ӱx@ϳ[!B%@ק3DS5Zȍ~>X60]1(휗̌e̍umSTi{fGKaJcCs>r`iPmo~>u]uwцjgr7|v> :seqo|G}Sݧ?!ـ uSZjt~uv,8AčF>솤+FN6œ#p -#mjG .)I<z'{FM~^S״`pƞ5a L, UtcR oMĪ@'ZPdEgr;?3k=2By S,UQx(qA`S^ h!Fǣ7a.#ZK3 >FW$>^DUzf`h\8?֎4o-:~6mf~0eȥahrېQh&^g8ު܄\\mɭ*׉- J^H{ < wR_@#%|LqYYva݋  bTiqP;4IOrmbC}D O"1V.atb-OPYs"e5<}d-5`HW. :0횁Q++!{a!S>.p]=U~_JroHgFY~\Qa/23@sti$z!|]+WITE5p88PzOG}+/mg;_@鑍Sx\1e[j֠v5% endstream endobj 3148 0 obj << /Annots 3150 0 R /BleedBox [0 0 612 792] /Contents [3156 0 R 3152 0 R 3153 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26510 3154 0 R >> >> /Type /Page >> endobj 3149 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3150 0 obj [3149 0 R 3151 0 R 3155 0 R] endobj 3151 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 351.125 137.278 362.125] /Subtype /Link /Type /Annot >> endobj 3152 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3153 0 obj << /Length 19 >> stream q /Iabc26510 Do Q endstream endobj 3154 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26511 20830 0 R /Gabc26512 20835 0 R >> /Font << /Fabc26513 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRbthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 3155 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=202) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3156 0 obj << /Filter /FlateDecode /Length 5093 >> stream xڵ<Ɏ:w~  TVV>o60ƜޠQ5=7r);K"E iEÿ^R֙%%e/,_~:&[/|Oj 3|HrbZ_lO/8uBe˗-_7+N`%ho\=\5,[KGzθWkJ4SvS`VX>3bfڹ_BY&ldvGIk 9 <֢#/]`ѥz!BCK攵piT<}jY]:S{Q EO7 /S:e:a{^PPXO˿k%ԯXK&.k/g c̪ Jz An}b/Q?+`w:h|bϑ{^~C|lٵ8=1ˁh9+V+~an AwxxSDdppπct,2@A큘fƅZ%O`zs0IoUx@kDܣ ^#gFW!8^)1j^M3FH@k 5yZ5 hNV5wuʘW jh:T30^%#TԣSY77הKP4QZW@V1NK =虊 vwe5r4DPX#GĥཥHEm0Q1SDoxNs0'=;Z&gVpCDAqx9jN# ` a@ 6 W؀nF&i?Sڴ58S r}a(K ,|L N}uߘ>Xԏ~.H˞LS Ӡ:MPpF)px_Ei4cyctui"5ژ ;vs|~|w^q+7Xj7a͊5 h)'C]pҴ[7JSPw4 ]!bYwD…F<T僁w Z\!4U~R%[*^ '$q!T8 Wg+[I9%Y"cWR%BuTF8I;A մzx#…֗ ~@l(^BS} 88A[sfx4*_;N!:ING|1+֓m PLk.E9GfwM۷x{MqK=WYˊv:mt`5YDK>mCeZ^f2S+ P+"׸&Oׂͦt,RI5zX0Śuf 'hf&)0:Cꖃ/k2-S 2=0:Y_ ~h]N&:7 \] -D2?euswJH@K,.[#L+:mP4N!#k`p0E< #m:>;Čc9 r?l_$0efa^1 4Hd(PX|O?! ]KflAAKܱ9$=喎e\#mVꀜ {8MV@^u&ɰbD:i0Ů g?[SR˜S sxݦS%jM2xLr,}=>r@YlTF@ա" !wS ͘DX 1ztɐ \ԙld4 {,Vv'wocN@ڡQ7rM9u1melVEdS7RZ]0b4[o`YFx-Mӣ;We+}A HV3Дڤ޹V*ZƉabkqU 4ߝk&n#BFg OC2r)(Y4&QCSхFqD]OH΁C`)A\ CU=Un1g22T` ,%S:-i3Gc};6ⶓ׮[|x$L-,-&6 fK߬0MQ  Y#z2bJ4M)2LC4bqb #΢w?Lliע5z#.͒MeU_ i p,Xi8Xo$˧ΣJ*޲ڮ) $Ac4o$_Ge7̿\=*w_׃ *}7 KIUGMlGUŌZ|h۝6;O.C.hlZUw;+ڱ֗>'Vc^>}e `E3+krfGf^B-_v+8 ^ Vx:d|9C!{ rX <*/?Rx4dq94;h}d6)f9!x#+ۢWPI|N3P _aL0@[l==Ӗ2wv9V7Gqi +de Ks|I׶ؼ^>YP+ỿwYnNaڵä\4ޔ*Ɂyv1&_i~jނѴnC_YjO ̢=B]F\<+ h z]bj." I/sf?P<-5CQƺhe`,5ZB֔JZM23rHz zla3Tk<ά!/?<&[E9@P1܇V @x]V>*7@V8r\ڬ>vOCAeP!XS h0ras4ZzOTQ1ӎRIFWTCV8a[w,"%Rvy Z))m5[һx*|PCc֊m(+TXrU?؊&h|esM&G OE$o&eެgqUt'0D )L36"zpC%%H4L*xnN&^+_ч> -xSC+~ +q)$F0`jZK]6q!:EOY-Rꁠ6>1% 5z}>"O) |HBF<:|B"4uS@6L`A?D7 endstream endobj 3157 0 obj << /Annots 3159 0 R /BleedBox [0 0 612 792] /Contents [3168 0 R 3164 0 R 3165 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26529 3166 0 R >> >> /Type /Page >> endobj 3158 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062936-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3159 0 obj [3158 0 R 3160 0 R 3161 0 R 3162 0 R 3163 0 R 3167 0 R] endobj 3160 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 135.3848 193.9112 146.3848] /Subtype /Link /Type /Annot >> endobj 3161 0 obj << /A << /D (unique_264) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 119.1848 211.1647 130.1848] /Subtype /Link /Type /Annot >> endobj 3162 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 102.9849 179.0062 113.9849] /Subtype /Link /Type /Annot >> endobj 3163 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 86.7849 177.7632 97.7849] /Subtype /Link /Type /Annot >> endobj 3164 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3165 0 obj << /Length 19 >> stream q /Iabc26529 Do Q endstream endobj 3166 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26530 20830 0 R /Gabc26531 20835 0 R >> /Font << /Fabc26532 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#5J\[-48יq|mau3ͪ l?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛb endstream endobj 3167 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=203) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3168 0 obj << /Filter /FlateDecode /Length 3618 >> stream xɎί9j/ n  ~µV8 Y"||ƲMjb67YN&S~U_OW+_V`V-^yM9`_T `g{eM(ט6`xV.:^<} "V8Qb Lb`)F|@"c`#x9I3c{Ok(\*.'uM%$_=E4m(<C4/$& s3Q \%ןb 4BaJv\H*$ xB2Fh3_KW}4 EPVC3.ϙHa0s,<> # *V+u=]OObXX z©l`a9T\#F{jTT^\L3 mi |VJ;pF:@47j1@oU]Te Ļ[NgF.)Ƶ8gsj#Abّ~YlajvQaCFR$(N(DV]:;cM~"t?ªiQ;J(@]Qx2`{Vh\hXk fyſ">v jPn;2lǾ80*­s .CfVDa"7'&m09ܷ "|lxdŒŊk6T$[ledka 7atnnOmT#orW4ȿ81adg#z,s;a+G4n7McK| /`r#zd^X=sH+nMQ**1 g8 n\kWsILqDk VeKz}ʽ˔MN ?m}c3]%=J˾FX%8Y[Pұn RYB} kf V3RQNc,Hk 1f`@1(͡Q>(^ʼn ŦD]9zR ;(XGi Zc@}_@r*eG\]xk~ib:(5$lr!ʰ1Es̉Y%-Gus~1&@ {60c Ug4p,٪AdO~ %8r&e^y<|;45RҮp;"@$5[7-S@2{;;Z}veȓ: b1Ł0SM`ʡ{yAjZ#mT <8шڒVםj%<ehIqVG37њFROk(&ŝ˄[o ns> ǹXdv"&}1~n|"/jE|(B+% '"7޹i8>hQxhM$F>,p gVk1ְ\_$=x'*nㄒ>'%f4}_$.1fo`iփEpoA7[Lɲ@ڎ$MzLTLP\M~ سh7Cɯvv!C9/,6Jz-ZhD]GՒb.|>!/O ϫԀu6/&,Уt}@W@S-<>d< I\'FZ6*zʡҠ l0bE`/^$ð|}3E^]%ɯb@E1LHcĄ.&hi9 Uofayf\ߛ3W=JTvI&OjeS7i5UMiA=+ȑ,  .Ӥ 89;_U^4TeE @,\&xE\MRmd(QxѡB~Goeth(\*' qJ'[`zƁCe KATZx{T?C^ Q ۧ4lGe <Ǒ##H `bXCW`|1>:RWtҔZ3iOah ^B1O u^Bȟx X~&&^T398nN 3SG|8[9(pmA<5~)̻5⑫%9 v@B.`jXUB9NbG{c/AG7ֹ\YX9e@ (=xj / THy endstream endobj 3169 0 obj << /Annots 3171 0 R /BleedBox [0 0 612 792] /Contents [3177 0 R 3173 0 R 3174 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26548 3175 0 R >> >> /Type /Page >> endobj 3170 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3171 0 obj [3170 0 R 3172 0 R 3176 0 R] endobj 3172 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 192.8057 709.9] /Subtype /Link /Type /Annot >> endobj 3173 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3174 0 obj << /Length 19 >> stream q /Iabc26548 Do Q endstream endobj 3175 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26549 20830 0 R /Gabc26550 20835 0 R >> /Font << /Fabc26551 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pR ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3177 0 obj << /Filter /FlateDecode /Length 1162 >> stream xڭWKk$7WhmՒ ̌= 9&0^an8K0m+z[c߬ {y]mNz5r{{~YD\ٞ_=4_OO@;'3Gz" i&X'oB?Wt6= $!G$ΗlCp%dͼJi9TڀlJA9ة˳׬ s5J6Ey:] ZB* 3J%W0+j}p^F8{ 6B! afDcr_ʇ=|㼹u_.bȅ}4ݩ{x3eHJ#.O,_x"0rO/xv8N޻ܗ#b!P8{8K:aot5%WFFd 7@ϰM"byqk-4c6Nof)>$Ƅ*3k} (GPU4ֶ"m!껚UƑ  G(ؤeۧiTTt;6eq^b8Ij/bnVd2/;(΁f@,XN)>g$|+-.ՠSg$[j?D!α^J 6]M6YR .)BCËi*g*C,Cpabȇ_n%հ^!wc,HyDg@SA9QARQ,:cMGJ"b3)(mn\~-eg#]fq͏ŸTDG8< be-1LY},w.vH/Y\oo%$0&0GoyEAA(YKYyx of'%E\:y<&R<.򹘘5<,oдլlI'+U|d.BEx%S aZNbMOiĜƖ懛!]C;Zm endstream endobj 3178 0 obj << /Annots 3180 0 R /BleedBox [0 0 612 792] /Contents [3186 0 R 3182 0 R 3183 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26567 3184 0 R >> >> /Type /Page >> endobj 3179 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3180 0 obj [3179 0 R 3181 0 R 3185 0 R] endobj 3181 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 240.025 121.8835 251.025] /Subtype /Link /Type /Annot >> endobj 3182 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3183 0 obj << /Length 19 >> stream q /Iabc26567 Do Q endstream endobj 3184 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26568 20830 0 R /Gabc26569 20835 0 R >> /Font << /Fabc26570 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫ӡ~1OE) eA6\H&7]N8jeAT  cʙh;QLdiNU$R9!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]h߄}j]֠zfQJxpf%ehwm-־0Z|fOr;Z:[hj)\Όl iVsl?7‹!K4>S~Y2cy / ̧9 ?ɒ F08` j2*WLj$x2U|ݝCJHO;Ρ\NZu^lMM endstream endobj 3185 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=205) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3186 0 obj << /Filter /FlateDecode /Length 4685 >> stream x\K8W<@x% @edesStt]/)ReZ`ȰhH~56Iÿ~N_ާB3SS4H_?Y3"/u~^}8`#SvGg>e~';MAv`÷OIKpJ·5i1_Rvy~wˬKi i^u(FРAM<=3tܣz~,_؏ _ sy`ӿ+tb_N:?@nvF=|?=Nf___a0F3/~F eYE0-Vm@;;iWt;h)j{~ϓ%˳6^hכO iYf4򕃰4umQΆbϙZΞA9 IgF9cvuhC4` ASgR4dTc@%U.Z'dzҽ-4fD*IK'|r\>ehBRTm!NYR Sy(bQSHR464{ 6H!&]._胷Ftº?yãJ޵SI/|RNdiOKA1,t69玅Ş+qrE*6O!Uopdya;Lg=8ssfۆ8|Gݕ0ʮ"z=lF5GǾO5a䗸sHN ~GPdǓn" C{0N4`rdv H$%W%N_F>tX,`TF n˳a0Hn.gS 0PqѸ7@^ȩ.bkʩiAdh٩o&@Z2pС95B2W{\*;9m|DG3O^;-z3p^,́ xCpID}¤ ȼL'[EO{2rG7 W2Ce2}rstɬ堙яZTq*-J@96iύF <@CʈWGD?z0 ;h]&*WH%30YMaIB1'f'!x®Y4"ލ'D ̍c"Iy]rp'{uk y1fΐx/-T?A-6mCʩj%ł%(l<1@ 6#@ vn@ &Pl`n\4&%I.:ԕ{TLBi۞Ccu[0e֘׀̈?PWv @?F-8RzC'.hE 3{0ԴH{v'fίV'eދ3u7HI]y!g?bpypANk41"^*v:ѭ0:^c#8!o<19x¯6 &p ^;'$Hꎗ"YOKpy|QwpyG3}lYc;j h /jFwXwv\ng2J}}q7^病Gh d|k8F︽[>x#cV6 )~\T-ÔdN2}޾eݹ n^V !=#({Ǹf"-k,4 ^1w;rG)Ln%kBvYй+H>:\)/}*enEmA@i[YY|QZB¡-h)"N Уg5PfeCu'*GH*^P.zr3r r ˁtDG57TmnERy\o 5efkҔh9#;j@}%JLɷ+TnXa26ޥum@8\5n\2W[oPzihuyA-w{*yݑ)cA iAJMCq'9px"t&.Vȼ35-x'_Lĵy(m "3n3}KcPw09Y(9?P*&0xYFk3Q=E  •$iBR@Qw]mí!+ 6 h-1vy;> ?_0\ sW:8+ۊ?z-|5_Б^LCPqf:*3JKjDRħ"L2<YjrYSCBJ[4PQ { J,97dK3:K Cc <zC|涵=/MJ)=5 [aYmVQ VTUX;CEM@ũVƃˎ B1):&b*w kq c=GD?'rM> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26586 3191 0 R >> >> /Type /Page >> endobj 3188 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3189 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3190 0 obj << /Length 19 >> stream q /Iabc26586 Do Q endstream endobj 3191 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26587 20830 0 R /Gabc26588 20835 0 R >> /Font << /Fabc26589 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔfU]d߄}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XLOۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?eT endstream endobj 3192 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=206) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3193 0 obj << /Filter /FlateDecode /Length 6022 >> stream x=ˎ#9rw~E ( 4PRUxaSٳE3{;|gJJ =4`0"/f/.j_!RvBR/E1rWNG$mӢ[CH).C(J.*J *uK*oW=%הBQ91^~+==gFWZij^@ިV_][ܚ"5BiBKk OYz-{lm@ϸ&Y e,=k@ۂ&5CRA[| Q4E7YZ D OV(-AXqRn*$ZG"CF!$ dAPF`flW5@ W Rɭ221X'pv ܦׄ4=.P2:4v#+Wĥ0G)͏EG֡j-Nc-Ti;ק4?Y@mȏss@ 'b=F:}~Y~Z~2 `xYܬJj ~L?qxsx89@ G'22|dq@ ªk"_`dYȏi# ^YhU@?UGaY hVI}aQhC&,]#)6m?F˞0A@"2:M.{RiEy|v0(;KDK0zR} ."uv7Ap;>IHЉOpNx$`{LpCŵH,HnUىSBDׄzQSTr׊r!+)h j0d"0nm[w$Y}ʹBCX }Ӱ%p p# /ҧ^T_\"[ 2vum W緿OpM._i̤[~_rR[/:Ke/-_ O4 n._V–jԗ%W^k؆BCU>(i-ZDebj?4~x7MfO0-aE ovi <g>xE37zxxeķt=C39:?VHCia`me5!k?l`w*M>E/-†Ej<2[ٞ2T We'D<7㽼)Olwmj5b Ȃ;#a;>"GۄVp#h8eHR`3-- y8{= S}K#ױ;a37 و t@6ynfCFgЁiFiz64,2Χ2%:[Xwg Vv/F fmPGǢU08uG枺go Yl]Eן^̀ GrGuόE+pDbLP!TFh;lCIv%kWW[E^QYHչ S*cV|V x0GCKo轥A@Jŗs1ݮԲRjt$Rmͬk,.iaLu #,+ꝱtK"Dɛ%À1sse u[wŒoh˕էo)ZVa#m7B@Q֔\Df=X;sgep*\9 Rpk/Gn7!\a3pm6vߛ#.HrtW_yݲ yZ=3h_8mcaJ1ZݻN'lb vf N3ZD߱JMhn{/6:ϭ20SVs#5";{{4䒘 f NX*w/#I\+-KؖYkCApc{thq'U!Wc`'N;OJuÝr' >[U2DXf'xDq6.PSOuy b跦$$-^/1}l^)bh+݌Š a" K$irvB h!0Įu* WV%kȤ6_bNͩc; .Q^C)XKT.+vW-A-aO:ET./]CeEen(As+ 97"3D.9W` %W9-8)3$D\íXG; "-7[oYo#GS)pT:j.4u~D\تj;s m,8G5<>з f1+G>-6O M!ln|sH:-.s9bgnh-uEb8v%@gCHAms6r񳉿Dh+ZǶ-*Ӷ|c;eNr*q`-$>i0ݤ ))v>q>aӫV#߳Un@JZ%=a!;Wpo$6QV1s%y׮ڏuYCw|'61;{%]h$$nºӱYZ&f_3)[J& c?" ߞa bg L_6 F!}TAw HjGGGGGGGG5Ofs9Ú)ǿbFAa~oA_3N f3>NMPьehfzMkɅnN `K>xOxdacK4H.ί ϲvY/9cۜ1dWoAj<"ǪJ`'r#!TcƙT2<.10o拫\g&|ϙSCv3m6n'tSelxx.92e~׀YW)4qV_]3ÆJ!Ox]1n6%?fa6A$'iAQ'"o.jQ 2m PCA1KMi͐c:!#RZa&⿹[1 .^$E`'jOع7~: |7or wē̷a>qW9QC8.+>r75ʫ?NOZmԔB̏DsS+.,_Z)_:c D^SFt'#NFŭouꚱ1GQjWdpB_c4Jw!E2IaI߰Us?#Ժ FPu"[& M] ASַ² Wtd{3\p5 B3l;`dkFؽDu a7!ǘ>` |{Y0@y]We1]&r0xZV-oղV|J:>\k`nO2}tu{T3zz8jz& 2;{l#!/*_F_ޱv=>>!> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26605 3198 0 R >> >> /Type /Page >> endobj 3195 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3196 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3197 0 obj << /Length 19 >> stream q /Iabc26605 Do Q endstream endobj 3198 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26606 20830 0 R /Gabc26607 20835 0 R >> /Font << /Fabc26608 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< + 5pZ [n`Րӡ~1OE) eAko swT+_PQL$V-EH(ް0iD_EwJ2%ī+O˓*DƵa(Rɐכo=kDN{r=CI1J*qlP;b 2RM%4 <~UxoBDM>5TϬ:JG\YIZ)㻶-f_Kimf)1:}Iw9FF-I *Z-48יq|mau3jh//d"k,`O6OaePD'}/ KiܟBd#Uo.U1" ^_/|Uv,1/sH Iҡpz7}1X endstream endobj 3199 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=207) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3200 0 obj << /Filter /FlateDecode /Length 3895 >> stream xڽˎ#ίـ:|?a4c 7~XWwK.v5d^)R~l/iLTwqz{N˷\j˷/GCREox~<ɷ?`.&Go:*ҧg(N/N4=UY"4дWO }z# G3`^s)K`n+~..9b{tS+F6!%e#|N}4҇3"I# 9없JI'$#v{$"M'v1f , ;@b$Qzw $P,&)k C/+#̒4ȷ3ʪ]!Ջr@D]BVfYI ,#|KvVod9,[:L}=a {}o`u21lي])YcFiMSvL2N!,#/wxWm8>hv->0Xqi 2 N6;2$+S0p B_E8j<0 1x17Y̙|ab2tѧP-> a[^/co]] Q^|7a)RxO(.㺼fYkǦšk̜4s.ݴ$lBΡXSr{e]Ij;[ZGm]6 %81&9La Щ>SǾP# ߉2>|s;5> $ɱwo2\ca+][{5"b2,wpMM f,h ѫwڶ}\mڪT[h1jk%;ֺF.g{Ń}<8H$ ΎkM*.c@n&lp}ݏ=d}әC\ &D_Ӡc[q0.;ݐb,xc.`UviY!!w)nFA Khߩ5UmUZ]9M $nZUYE ,\Ae T/hoQU+TTWEq5AL@m]HnT:QKZ2[ɹ^ - hzܓ~MN[LN]|)Zcp>z}v._ܬR%Zpo_nJ gJUaJTqv7΁}զ gL9chJe(wh˯k9h4 =~ZC3TD/7 HPz܎nYt{\1GMw嬶8ZP6a^C[XlaNvc0#Rߞ{\+x?pN 뻫^ǹd%h]3nnjٽKθW:sO6~^&Gm; Y16f;}P xtL|޷3*lvlD ;h~Gs4&DcU;ׂ} 2cm+,etz;)5x&o7wy c;1 :=:̃0b(vp셫0ɌߡÚHlL/<hlGU% \zCҽ:@KpeJ4 $&KbcNs❳+TiU:J@k}Ra*d~=cQ5 #_7Э2CSuf,inx՘8s{Brם& fܥ2~\%dc2y.!O>Y7G>.&~TG6M8'yqA z /M3 Jih?vbr~w\ ~U*9N}S2J $ Djd(R-~,_RMEhkyk%n}NWX8݃N Gi/b>;qz6r΍Ø)?9`Y~3RرCIG:4}`eh<$.1foJ@97`vTBU;!а|&m4#X~Sdڢ BRbB]Á_[2f,>1, KTƚb6y- hD][ׁ(rs\X#/?{1siǦƯ33BobZ,OZWSYʹ*bVZ\5P\3*h<߁`|.>wckYcye=WƞYG+s#`H͚/AYH2YATNgOX}O~OgCDZCD AȬFg8'  DT/ۥ&HdAats t;p޹&> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26624 3216 0 R >> >> /Type /Page >> endobj 3202 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3203 0 obj [3202 0 R 3204 0 R 3205 0 R 3206 0 R 3207 0 R 3208 0 R 3209 0 R 3210 0 R 3211 0 R 3212 0 R 3213 0 R 3217 0 R] endobj 3204 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 155.1032 686.7] /Subtype /Link /Type /Annot >> endobj 3205 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 154.1957 670.5] /Subtype /Link /Type /Annot >> endobj 3206 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 159.6022 654.3] /Subtype /Link /Type /Annot >> endobj 3207 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 177.3067 638.1] /Subtype /Link /Type /Annot >> endobj 3208 0 obj << /A << /D (unique_446) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 161.1202 621.9] /Subtype /Link /Type /Annot >> endobj 3209 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 174.8427 605.7] /Subtype /Link /Type /Annot >> endobj 3210 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 578.5 187.3167 589.5] /Subtype /Link /Type /Annot >> endobj 3211 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 562.3 152.6942 573.3] /Subtype /Link /Type /Annot >> endobj 3212 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 546.1 166.7302 557.1] /Subtype /Link /Type /Annot >> endobj 3213 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 529.9 154.8007 540.9] /Subtype /Link /Type /Annot >> endobj 3214 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3215 0 obj << /Length 19 >> stream q /Iabc26624 Do Q endstream endobj 3216 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26625 20830 0 R /Gabc26626 20835 0 R >> /Font << /Fabc26627 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`UVthǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZN=p9_>Y#rU %uaT(P;b)G+QO TϬ>JGܱYIZ)6{ik_KiR'Sb:tD'W9FF-I U4sm.\gy4~m~oC25h}'2g("_@9 ?ɜ F0.\05cDA ^Xb^@wevbVC90ڢ_Ћm߽?7 endstream endobj 3217 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=208) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3218 0 obj << /Filter /FlateDecode /Length 1370 >> stream xڭXKk#9W 0aSf2,$Zcx6 VJ_=VEI#5.JWxF/Ab{^#/}Jk'V9&訵0kF(' `U66$؎xeb]F6j$*n#jv#s/`8bR`I7YЍy4?V%{9&v$Ea#kpm)ZW;Ծ!`\'ɖ'DdϨe4F\!ȅSJyA9>{beP P?l>sdζZ[ L0#j!|9,$T^~m<:Vj(Z&jf")Rr+ LɟBwaFri4+2vO9许$7eae?Fl@يH4-AV̈́-3g歺K[c+HJXS4lu/0ŞfK&}3WI| Y~VvZm W= [m{h>B;`;68VVX f7j]֝Zvx4? g]m~[ژxr$Z"FiZޤО08}w=c콆No;x{-<}OcN:NCPqo̠, fT.BS_Cm bK!5ykɍ5"*VmG-M>POzE5tBM7+w͞51SW| آAip&O1 endstream endobj 3219 0 obj << /Annots 3221 0 R /BleedBox [0 0 612 792] /Contents [3227 0 R 3223 0 R 3224 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26643 3225 0 R >> >> /Type /Page >> endobj 3220 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3221 0 obj [3220 0 R 3222 0 R 3226 0 R] endobj 3222 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [90 381.625 123.198 392.625] /Subtype /Link /Type /Annot >> endobj 3223 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3224 0 obj << /Length 19 >> stream q /Iabc26643 Do Q endstream endobj 3225 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26644 20830 0 R /Gabc26645 20835 0 R >> /Font << /Fabc26646 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.n8N|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< + 5pZ [n`UIЎYzrv" 7\(swT+_P(&(Ur(r1QFoXS4ECED_%&┈^\y"<`6-k9{zs2@}giBxg(7Ƙ u o4},L>UI 5\fP=(E\ endstream endobj 3226 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=209) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3227 0 obj << /Filter /FlateDecode /Length 5287 >> stream x\Io%WKs_Tjn046 @ե-J1L2`D|\"\,fT<dY}M~^kϿ:&[>?/}pl3xg)X.P4rIoԵ;Y>}V__db%ho\=\5?-,z%#jg\5%嗟] J~.ZےP֤C4>ؔÍ~wP~PԖ??D Vp3@4cޮ92#PK'0W=sS*70yex˵M:JaxɸM^Zt~Zj-k9;4.4-Ƀb->Do4PYӂi[~3j*1 oJ,r"j}||6|N?{m,\oA t)܁>!~P^~BlB;mk/>Պէ9B.;DwFջFi+iC6:<-w,LdF^Զf;hrP*ݘrnB\rbы+auPS r`i*O1 lq>>Sy ʚ\0 `F'ǐ;kƦH4ŘB{|n0g\v9h9OR=A*n.GyGqlcXQf@SC!{( ;G, upkrpԵYzM8oH^,KiHjLr .hZsp]iG}A=ł`_.}m (Ύp^$ [m '\떗+-(ybh>ݪ˼ F:X8; ӭ4@%Ag-Cf^fB5{*:T:Cʞ:-6{iʏskO0z<\QKv;5v+e=HP'=H6Ԟ:w{^=VWl$]`e8s y>MhC嚆( 0@ dX`y^=.^ԨM'\+Az_T&N>sS~r#2,m ;2@9&I]RZc9s_nMH>M(LJm+i6lBʌyOiFclJޢ`Ā D *l(L5^DR̉Q;3YCF׋ uX{GufGprf ]d]25sv:TuԷӎ@ , WX#X9, 9" bc VjfjlPrddwzQ2qQbv`G>.$c[XDM+Ϣ>{A [% b`ƒ S] j\1jGkPx2 b!xqi͈_LghAG/ xǂl6'YNCT}7w)ff3D,Qać|ؙ|/weP/.ZiXLZ?~TL\\{\T{ڐH`N`ۋ6c1ąj\V<]۾vji\K9a9Ã@\= ׀ϐ(m`373cԦpϚUᯊ`Q1;x81WՎv :F+d.O=2\/|֎y>v=cygɅ4&S¸Ay`q5`E޸F Pw4_1i= x9I糞pG 0Ei&īgB gB0k=KV"ojR,arFj4fGP~B$P>w| !Eݹf`EAAܮw]Tʪy]4=0v$ϬĮBE1}zȗJh3/hm5z/f78U{W&ӻBX: KvrZLi]Drnr&ڙq_`R֔c$DfgĢbD\#xFWR,CZu"C?g-t.Y۠M$ G)0 =f0_`0HIYh&p]2V>e͊{+ZA6wBY ezѭ>Z&=lR2%b~gH. 0,K$ %59OCXI~̂9pC.Yf4A]|F+\]-Y إ0d]jNHug5.aՙΉ,s8 nP̮%QOHCpM(K) Z=#IaJ{3Iҟ:z␶ Lgi-(J8ҚQ?Q58Hdsp%jD+9]+@0|2*$C$qCBh:{Xk2:-USV4As#vFIc"+ɏ.8>iEZP+#(w8u)%x0jIuQHha5h5Ts.eD`"¬ Ǟl" @o~ioa:4VU' ^ք^ŔWT_Q}Q`zO^|lXY^ۡ0Wkr9MĘ=¤O3zR5D\P؛9N]N+_ Ζ(-}'z&^j<(zw)GM91Mh#>=+[v#Ѿ*ڀV*6n̻G".o=bU*LqJ0)s2$#8yCk '0C%)rO,Fn_3:H N'1Wg{I‰V/>d'+=IS%*쿱hOÌMaꄫt n14%2%k jinL:P~]SD\ T'su!WRUU;W0c>в]aEܥ7wWL,Z܄ m;$6 LŽ\~pV8k4^T!.&DlF: EtD@H]}אB ىue(o3h =d{-s&u~n::va߉ox##c-lؗl5e?ͥ~BّTi,bR 4b|,#p^M|'bYR9.=8qG GRĺS%\\ /HܥsZSO \S)I͔x$I-n.zpco L-ťAB >hi!a*{^e0:gzCUVKnKSmgF ,UQxjz>K*l'H]FhqhB!֚RŮD}2w4'y*۝Ɠ&\KhSqe}Wc`1]pN"encH1&rY6Ni1)/'2u;RN@B gKXI l9`W^E-wk}pOup zHg𽜟pέt7Ì U%bS v8gsAD-"/Dc:9̋S s?[/'#i7[{Dt_6D3v0V;MgL]f]sV?֊m endstream endobj 3228 0 obj << /Annots [3229 0 R 3233 0 R] /BleedBox [0 0 612 792] /Contents [3234 0 R 3230 0 R 3231 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26662 3232 0 R >> >> /Type /Page >> endobj 3229 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3230 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3231 0 obj << /Length 19 >> stream q /Iabc26662 Do Q endstream endobj 3232 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26663 20830 0 R /Gabc26664 20835 0 R >> /Font << /Fabc26665 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶g}#x#?$_l˒!E_@Os#%p!g%`, `FcU$!īEWmG;!%=J‰pT;i z7}D endstream endobj 3233 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=210) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3234 0 obj << /Filter /FlateDecode /Length 3851 >> stream x[Ɏ$+,( hKo2Aid0F4cᚙh Kd& #^,\~_l-^[[8-_KjT *_~[~zRJk{UJI)3_㽀_Y{N'Kz6* Dޏ.K~Ycg}mh#ggbo1rAvhM(|q  ھpwHEǴ`ZCPT>cx^mQ0#첓vyYԙk"u JhR23ϰ!)u1ƿ`ƽgP?eV2S IBT @9v (4Zd akx)j`=F($]ƺA +Gzn*I}&QkQ߫*$0XVx"ن4N6 ?V} Jh:RpaUxM"֙k$oDGgQԓdP,ѬGfRƱ"RGO5YivPĊ$ϋ+xYvwA-ϱ5hʑauD=j_M\HYCFlr+4|lUMVgW.r0Yf3cApf rॊ?93Ԩ2{i.Adbt̵W-c i6BP5s-Ho,\${ZZQjk[DNzx*w{q9OZ-{)F:FDIsDq7(vQv9 cHAr!,A廆\Τ!WRh{3 6+:J-k2n_-2IW#=|rN: ⥗#[Gmz}X+:H\y9/JZ9٭0mU԰kJ`0S |YD|:`!Уɚf6.6i\})\;[%B:? xr}Z`+UH֟% :bSN$~sv/Ԑ=PK#L1( n&@`N[f}ØtO+Z ވ7扽(Cz a4kᄶv8&SKy[#rjhn,ߪzoV TYmkb74>D#p;#dudwN ~DʭXRODސ(]OA].̬>Rk:Wj" Ww.gscpc5 CxpNZ8|I)%X`yD{.3ӫnȪvj'Z Ғ!5C>7"'؄6QTVaVG[brXOs*`/sn{!7ӾG;N',lz(YF<ؿ-%{[bU=f7#XCz3wDRj WeL"hew)Fo0VĞuP\l-imv;2W JId"wt ߟ#Ĵ*RL>Sl2 tx N3(,+W&ƙd daUBV$-XI nZ0NZm0dJaAB=JO`uDS4~4⫹]B+vʡ4ù+VF3u.yf[^*flt/:T-x *3lS |*ۚMNƐ7EǕMRfqq4EgeXJXuZ҅,b.{G(Vˁ [h8xU/!7n:[L+ ̦t>=6NS꽔aTҦ(t?P8]2}`17 w $0Wu<ϖU\-.{Vo}{ x4)辢ju0^_뀱2b--+ M٘)'4r*ִ|*>rt WEҔJ} _C1ؐЪ5ؕݚNJEem3O5v@9.\ TMB@cw a,-C藾 <}] w9cǟ7t:h.R06[! DBZD][׉(tʹryM3Y櫴@;=Ti:/F^2N&| EnZR2EZz*:ڔ&(&nf22ͫ,Ώ˿y!2"r+t1P  r0ɰ:Lq(Sb31zPX9iNÎ9{+fαsK-WuXn>S߯|U{.9XŢ-mkʻ/.#_qJHJWBǢ$r) ZEtZԞ(i#qHk8Gl$xq)sq(l0sĩf|&#pqciB5Ӱ૔@OoC1i= ,m=OiX]̴Oݩ=>1O\F& ꜵfʔ$(S9?lM&RLeQTǶoeqq^vn7r-~xm=NL{sics(ב7N0~{]=KEdoX3dXYs72VޔcUwN2=s|TBG&O |=U8#`ȨZ!{!1/ꤴ:^NJ/f=_}X /^}eBXv "*HrHKC>\Tw*kW2gJX4' lU(LXcA}AEt.WoK'Pz#fJys,P D endstream endobj 3235 0 obj << /Annots 3237 0 R /BleedBox [0 0 612 792] /Contents [3246 0 R 3242 0 R 3243 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26681 3244 0 R >> >> /Type /Page >> endobj 3236 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3237 0 obj [3236 0 R 3238 0 R 3239 0 R 3240 0 R 3241 0 R 3245 0 R] endobj 3238 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 144.0317 686.7] /Subtype /Link /Type /Annot >> endobj 3239 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 138.1357 670.5] /Subtype /Link /Type /Annot >> endobj 3240 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 166.3397 654.3] /Subtype /Link /Type /Annot >> endobj 3241 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 165.4707 638.1] /Subtype /Link /Type /Annot >> endobj 3242 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3243 0 obj << /Length 19 >> stream q /Iabc26681 Do Q endstream endobj 3244 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26682 20830 0 R /Gabc26683 20835 0 R >> /Font << /Fabc26684 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=hx&$߄|j.P3YuG\YZ)㻶-f_Kimf)1:}Iw9F&Y:)[㫤j)\Όl iVխ~7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUŪHBnU櫲`ѼΡDHI.*x P@_Ћm߽?K` endstream endobj 3245 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=211) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3246 0 obj << /Filter /FlateDecode /Length 1249 >> stream xڭWKo#7 W\`T-;Co PlQ $I4mM0HQiCN?uY._Q7ː+"hFcw}p Ȼl 1^]xvajXXG.!f~q;4ACIO5Xkb_ވvjLL{)q=֣w#}7[ 86p9+h3!si޵lZ'ըQ  $  !跜)nYX wR3 #Oe\e[a\TTT+ҷeMZցDw~MZyYaS(OγLo"A6 i5@x 3+F} S^YE>nOl +"zW6\6=>؂4޵NQ6b \z} oIHԱpB] >8fhX/V:я;C3#}"Gҵ5JMFoZ u!d{2Ɗ>2wwDsku0 A^v›ܴũ}vsuZ4; $= xQGWF?n*`o8hۓ&i)7I}x.na XoCs`ͷX-S_C`iѰ4j!38G endstream endobj 3247 0 obj << /Annots 3249 0 R /BleedBox [0 0 612 792] /Contents [3256 0 R 3252 0 R 3253 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26700 3254 0 R >> >> /Type /Page >> endobj 3248 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3249 0 obj [3248 0 R 3250 0 R 3251 0 R 3255 0 R] endobj 3250 0 obj << /A << /D (unique_77_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20211013063105-08'00') /Rect [90 277.125 151.237 288.125] /Subtype /Link /Type /Annot >> endobj 3251 0 obj << /A << /D (unique_77_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20211013063105-08'00') /Rect [156.55 277.125 195.6385 288.125] /Subtype /Link /Type /Annot >> endobj 3252 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3253 0 obj << /Length 19 >> stream q /Iabc26700 Do Q endstream endobj 3254 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26701 20830 0 R /Gabc26702 20835 0 R >> /Font << /Fabc26703 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBhš;[TqݙVUjj7ze_Vp8جax} -} |ﻒ0Nˠaz^50|>co>:H/ jcBrtGwr ģ$V-l!`aL4ECID_E+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)y_QO TϬ>JGܱYI ]v4LmGtD'W9F&[:Y[dj)\̌b iVQ` ^_ DD=<<9C9j9? ) ;d#9/_1s2*Lj$x2X.S(RΠQ8N!h|;B}d endstream endobj 3255 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=212) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3256 0 obj << /Filter /FlateDecode /Length 4613 >> stream x\Ko8W<@jrsS,U >%93m/)*/BRb2Ǜ,uZz'WU8}qhVB\x'̛zLY3("]wr=3?oO=*rDQ_TKH+\RF8M',4MR[OKTR1(ep9Q$힔ZV( aUJ7@E[M)}KDQ[o/)5 ((FphvN ( GA /|׺2{1S#֠ ;2UPJJ=Siq!8/Ҳ8p;jgMjQ/g\LԠWz=!k3zq}g"{6k<?(De?"GDŽBh58vMfq+Hߤ!,pt?(Wgl({%C"CX@`;sX Z®Y4(FؼU XAcH}{8gUzJK.׀{|hA"1,#jYQ(g(f/Yzwuhb tUuq(w b|["]TH^Ͽ>1Tv tT;TfIfBgB|v䷠xPK~s6&n]C=E0UQx9pe5+.V/9X<=9J` DXp ㉅ZaƱ#?MtS59 9HrHV&QXN:⴩LU ]ֹWOiW5Nf ~qQX-&Jܚ.O{ vqq wkoWuy}X#7u+ľޡLI&Z/%˿=YJ:!ѓ;@^nM^ȡarp8Og-ov#%FqeSb)OԨYʷ͚opuj+6  N<[; T;|+7Sh:͞?<Lfs̏ #7:n̿o[5O(xqxFE!,piq8"za0ų `Djٛ/K|jܦi9S\:\ݚT~0QK?ț.Y v~ϙ]P*qd4'[㱞_[f:v"wp|  >vZ|~myLH76.xO00!n`bPcG7xkZVMdX\pRV+&Fdn,ջ:a.)Ez֕:q-:x5"jrPhy MFfH_Xܤ%B(޳]GTV>_޸Xsɓ}0L̯"Lo|=֌5@G#8gYb^h"sTcܒ8P0aYKL"e%#+4*+1s5v,"Y^ES=6v.6['B(1Q)uTiKpGnUy)y˅: Y]E  qX{Cu*ƽ:8e4@MM6v8T5gzX ;9,p{p,1,\ ujP} ۪VJꦢlJr6z׺}Dgϯc&HcԝWǨAJ3F} R1c' %ƨCJ;F} R1ꃐcg %ƨ1%v}/P{32̘KfX@Ц7s CqOnU@qTCW}{UL9/ZϟŔ躢_ ͺVLa#c̅f{]E' <͡ yu呆bPwA%?*>G@%8.'@%vA%? *;P}Tƹ=w#TbwTW_:8mi ?(hRi#S}^28>1kPR7@;B`&mq;Q$: 5nݴ]xoTW= uR\ 0|04hd<՜^x@eJ&c?U]ӽ_7ɉM."M%j>źyfug%,' grXX;J5Ɋ9|9 S.ar/&;RB}^R D9P򑮦I(&10Pv Oj|nT,cRMuEvfE%Ii|v;^?HCD;r`V%nZJ^1.Q$rG Zk^^+TZ U2F2=~hɵ5D~͞SarKv>jͲ\$e?#6E=ҁKo ^لB 9s>]JP>{SЩ Bj*_^(އ(ux]v#,Y+&5"be5rpm3L"}5 (Sy5]:vI0 ;1(K1{']puz1 ĽG"a<緜L 9i x/m'aol[L\Z!!@0}-4lcO~`2 Ax˪о,Xwu8Ohy<3CjOΈowNB`!hMvʰ!viMa^97ߝ!F g_jODw秽5~ńI0m pVlԬY HV 5ƱcI ޟ%:,Nwz'r;|^k"E3-pv|һظ~h&[SlT4߉۩?@:o!xdHt( bk=[j!w 'fO"]\qs|AYfm)r) *vb_=Si]QQ8;$yM0<9!d#ƌTHӳ0.5kGVD3p^tY-֮ Wֻ(jg:b ,Z ,\xvcp^4Q62کoWAPC}w-~06ޜwxFie阀kL6u{%1Ҷc %8\״,m \ju[aJF''=3 $iƧDaĄ¢9L0L4I\Sa|#X~Zzt3?P,8/WzAQ DMB oeGٴ|֚GЀOD1ZάK58۱~>pQO&}i`>c@ tczP6) p5e endstream endobj 3257 0 obj << /Annots 3259 0 R /BleedBox [0 0 612 792] /Contents [3268 0 R 3264 0 R 3265 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26719 3266 0 R >> >> /Type /Page >> endobj 3258 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3259 0 obj [3258 0 R 3260 0 R 3261 0 R 3262 0 R 3263 0 R 3267 0 R] endobj 3260 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 257.0653 146.4737 268.0653] /Subtype /Link /Type /Annot >> endobj 3261 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 240.8653 178.0272 251.8653] /Subtype /Link /Type /Annot >> endobj 3262 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 224.6653 144.0317 235.6653] /Subtype /Link /Type /Annot >> endobj 3263 0 obj << /A << /D (unique_167) /S /GoTo >> /Border [0 0 0] /Contents (read_edif) /M (D:20211013063105-08'00') /Rect [104.1732 208.4653 148.8992 219.4653] /Subtype /Link /Type /Annot >> endobj 3264 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3265 0 obj << /Length 19 >> stream q /Iabc26719 Do Q endstream endobj 3266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26720 20830 0 R /Gabc26721 20835 0 R >> /Font << /Fabc26722 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[VK2 ufg[XLoۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 3267 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=213) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3268 0 obj << /Filter /FlateDecode /Length 3143 >> stream xي$="f`{Z7?=2f֠};L)"b ?23 *z\0P>H@r71(| 4K*eKJFDP0.X0C.yE@VJ|{iO`o! k8;N8N nm]TM~E%7q9kyd`bjQo 7DKDKKopf7d;_Pf~$;Ko׿ź66G)u}"-ggb ciemZSyY6nZMj0(xe|Vca/YԄ?2p3QP`;pvjs` -* .:WXPypeSy@@W)slvʀJY:9ykY F1yۄQAN.@kÜ. Lʹ*$՜+ i^k:jMž-% /r&^֦6 $1R kA36p-6IF?ĈP~zA,DE ʡ .} *¿i\"mXlǏ|W/LǗa;(d-D|δŁ ܑAڏovb-6~\8B G(?śP:B]Q)ܢQFo{nwsoOz=y<@ /Vp|=8VNk7D4&엒a]}֌0kٵqok $ͫ.ƨU.WiPnEr2NoڱIbޡ2e%圇Q,o2a,a(5Ϳy c ?uU|)-ȫo6Oyy6j3kaCr9T8͵⯾,ڞ88sGA̪TXiV;h`jqa 5ٯwø{JvQ Y] ~Za GD+[ `d1^h>l*(%ɱT %"Pƞv"m/jN,Ur ξB.^=]~0G.ːC+bv3?n77fR( JV- C.XyTdL/ka 6Ǖ.0G…_WDX,^‘E&H1|1BF-\g\B`h:hA7IiXrrqK|33x%^~x^'7U= (܇$3fcp_pLJ_4tJX`cEƶۊ185QxO^Ne˰8"#MvO$F-8Y,"#17, `9X^=$#ZqUuN zea&ۙIS <{cf#h$`*G&OjeS?iX5U*fMݞE+J84dIW'T/SI h)+'99;MĪ@'87 u@:K<@" g"x\G(oQ>ʶR4D՟6#:T28԰WnKan#&**f|"NDwp)F6Jۊ$(@"F+􍵶X~nPT_.~ gІ`ݬ9a7ʐSc#0\PS_{5\KtPiLu\9\ZRm&  L0햁?O`!j }DğQR ~T-Y>*SdfP^xj&'#η%k *~ endstream endobj 3269 0 obj << /Annots 3271 0 R /BleedBox [0 0 612 792] /Contents [3277 0 R 3273 0 R 3274 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26738 3275 0 R >> >> /Type /Page >> endobj 3270 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3271 0 obj [3270 0 R 3272 0 R 3276 0 R] endobj 3272 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 329.125 147.728 340.125] /Subtype /Link /Type /Annot >> endobj 3273 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3274 0 obj << /Length 19 >> stream q /Iabc26738 Do Q endstream endobj 3275 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26739 20830 0 R /Gabc26740 20835 0 R >> /Font << /Fabc26741 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3277 0 obj << /Filter /FlateDecode /Length 4249 >> stream x\Ko6W|Knw&k`&,3_e"EU+RQ_t:=Y2v YG{˛z EW|9{'BW\]QiUO~~QHry&+~ OP6C'd9Y鿄fw55ͣ0aNј7C k,1#kcW GϧJopym2 ksyIR~No|τ$cB0$js4t1W܌uxX(GϴV=v`Fσ!%1::l<u9D?)`XmFe?~_ o1[L<30"3{Y;N>N2 p~G(; _ |=?>FYS"99#uv0m@E"xrn"l]]ŮHt"ql3ڧ۱>PB$uI(lmOGt|Hy$`"F^艡 SR/cD'Hj}cE r`D>)q(ky;g=4 z8WV50NV43-v[a,)6aYQܪ ܸHSMӦilȍqCV۞3hW- ,)NFDÂg|fx]77m)g9@db8N5JDI|6K\'}O@+32_AȴL0cVX?\v$cفURZ̕LRFԢ%-U^ \\(R!45sLU}fJ8wԋJIQk7K宵r&BCex 65sq`S%gIY P@=54if r-aRuU:ҲR&.ύ+-<9#ʷo[)2L ipgK[,ܨT64M\9Dq%DIrLe!aA&iBVTj)UϸY EjӢ3׽Rg-6[d;Y(DA *U۶XP ]GȒƅ: 7+YME uF{Eu~ %|pz1@qerުm\P;;TU՗ ӵ@,3,,\ }l`6`]5uUK V2bqShbir[r+]+ NJ,]n-=N \prK8+V 'IN 8N:'UIpR%Imb#pRpR=?NNn83GशFOZN?k2~@]{@5`Z}k&R2K,XfJi*'sq|7H6 3@ 4bx}Ѥ.( aAUZ{T9>bWd88cR_.|ܮBJf1 |y<n_Oœr?70p1NqLJϊcHK},3ʅ>dW־2ewf\%iTls-68=)d{p(L$,'ݯR}6Fu]RD ަ eKϒӜrq;5|X͚-~@Xs6B6٬y+͹jig_5Ces=/ps9❓8c"$%;R*;o'[h78j_lq $ f bq$N`FT}(: ggdz~ ث  Vom6FgE$;qXʲe2u %7]e,AK\65C9іX" VrN;iiI*+n}i\=ѱ6[<L,(LRnF]݃uurC*5a]s 4Oy q2[OL^;l)/&Rˆ2^ qbڳ\ump1X"Ҭ I\"2˘%yƲǙ;;o J .O#53XԚ;+3=|QT|a-E|;?NCttYdjAךŨY]Kfꆥf.9ݍK],2B߱)΅źE*_)?k3ܽ $UPv4=V&nYV,jmkb5kJMomW˹JT80@w5h@}rACu }ή7i6eϕNUexvuoŷ?v۷ &PSj_KQ6 v"o8CV]}L4'O#Jm 1)s$}kpJ4@6NH0TK,7X.W=n?ePY"ґk2\:O&os[r\,yK^-[FvuQ,-4CW_ Qx3)nFe`4rѓ+rv;廝1hӰg8`)sis/;Fv#.oagPE%RJ?^Quvi]*pW&)5Ux?`Rln!>Tw8|^]1bjZeH8腶$?_]T)[+r;Ee@zt@C(;tWru;zi犈M R ̒ ;4ZLy5WY‘ _ҫS3-Gr Aޡ?R[ 38URZjrzZDUR +'mɏ z]Ⲙ,,Q\x-vΑᢪ]e)dSߘ)T.Cq͵]*ج醴D_ԗ>>ti0:.ca8y]}W־X8,[/ಧyOp|x]gܝ6[v#%75eǧ ]_ 7Zz*ԹЬM3`X4{/ I0CU!qV}pz㙄?p=(ӻfk:A\`FK9?!؆>ʁq`%xkusWQUwty+ǟ|be;65L~*s)<ӣA~Q8*^Zk z3Ɋ endstream endobj 3278 0 obj << /Annots 3280 0 R /BleedBox [0 0 612 792] /Contents [3287 0 R 3283 0 R 3284 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26757 3285 0 R >> >> /Type /Page >> endobj 3279 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3280 0 obj [3279 0 R 3281 0 R 3282 0 R 3286 0 R] endobj 3281 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 105.2269 192.8002 116.2269] /Subtype /Link /Type /Annot >> endobj 3282 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 89.027 162.8527 100.027] /Subtype /Link /Type /Annot >> endobj 3283 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3284 0 obj << /Length 19 >> stream q /Iabc26757 Do Q endstream endobj 3285 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26758 20830 0 R /Gabc26759 20835 0 R >> /Font << /Fabc26760 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫C;fũc8S.@˂r!swT+_P$&c QbEP"$oXS4GC߉b"Ks¯";%̕'I"fZĀ0dD@/P|}{Y#rڻ3^JQRc7uoBl(Y|zǣ|"j@͠zfQJxpf%ehwm[;0Rccb>tD&r;Z:ɭU4sZ yp3eN9 M \0YDSPQ8F$!ī류N>%RBxRKh8rF;ݛT& endstream endobj 3286 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=215) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3287 0 obj << /Filter /FlateDecode /Length 3675 >> stream xnί9@+)M` ;~j"zAODWV[.N{1+C~/A[_>Mxm4~}11t3\np~ FL?&b̋3ɘS4P㍝1$v✦8[#@@XȸI:)[Op6vAggc`lB,[̋˼kd\Jf 4Qc秋i\Bƪ6'L:!~OqϸcL`桟_K烲8vB`S} NdQ(;,΄$|UJ:/ y$;;)g0Œl$8b1١m|e,N<+b5'~0!mߣ3 <@X3K"qF5"mm'ԡ*S6̏^`V"yDn[ }fOU#GUn9G^ E|$;ym.O[b^NyrLYc,[5q.KDcJbR*. v~ E!қi+6 ;:B,MҘ1jPTo/QT胊*jCe*\+HW x݄8+(\q'pK\֚{`zRGbWߔ5['ﶀTL 4"Z}ޅWQQ;(ip /H`jp,Ixn ڪ9i:r{ʪڝ Q'sG&y%B9  ZLś#=Y!K#|ZlPőA h}9W+YL-rϣwX/GjTԯ,Ppl+y.CtYJE#_[{-踠N+0G&3Q` RYD_YEd!A^f{:<5dXE͸a hb ]ꑀi-&+7CEjc;RmH2 [9&h3 s bą!2Y4&ծ|Qc=DS%@H.Q?81BhP19ec"9ipTmEY#I}n?k Emg&@2!σ2-3ѱc8hEՉw23]332/ Nv$|)왻6TA۲bK1*YzKlMǡTw^΃˺V7\\H'tDDg.M qtSTH|w5r%mڶEybv`q{|=mnYzx] llw2)gxcFǂXNX:ؔ=Q-`֮`^ݦVmjj%QXd?R4ϯWO -?~>i0M|7'_x&dJ"- FfLs2k#@|}_O߾n~kZ;Y =@ T#;ј ]R$/kbl/ SZp"Dc]gGv0ǀ9RoH>d.:d0p~Qc;P0ydJnOED#bn7Yb~gBu^,~L8`Wׅ)ȹ]0{2pk"O ͲR- ԫrPuly2CL)/[pgZl{tVbTY T\~$Fi՘foC+|nRExGzY{u#^@׷Zee˚ib5P8DC ﺽȉCй 4O|B)qqyƼYNg h1z1̠&m,ϛDo~bsvWmp% R^AzzApсOi/518oO9(\6`P&3HVNgST3ZEߎX2G },]:L@tVoںrC_ O Ͻ̀IuƶL*,qtwm!N΍@Mhwg~0dS}$ hzS`lN c4;L7o\Q4nsE]~p96.3vn %E uҠs4j\*GYPkTo囁0YYfLߛo:b\V$FABJCr7[BR(U` a і4 W kvD @gX75 ȊG B~O77vivQq*N`SV Bv[o ͹h*FiV2!6pC0#C@!9ex"ǘ>M^'! m*'߉PN8|^4]:2M3\[,ZoUd;@2+l l X[^q:*ܓ 7B=`᯻d?ʋ+Ƅ^}θiuOxS5CvWVMx~" xC@~ eO<sEJ$12ih>G}OlFEh!YL@&`ijXNB^9 r뙐?`X~ޟx"nX`8GvwCePdFuy].ă7-OBBckjDPP@(^ Uٞh&^}_E<;yAB:1 "VcҐ>|G2M} i? |,Q~" endstream endobj 3288 0 obj << /Annots 3290 0 R /BleedBox [0 0 612 792] /Contents [3296 0 R 3292 0 R 3293 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26776 3294 0 R >> >> /Type /Page >> endobj 3289 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3290 0 obj [3289 0 R 3291 0 R 3295 0 R] endobj 3291 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 162.5502 709.9] /Subtype /Link /Type /Annot >> endobj 3292 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3293 0 obj << /Length 19 >> stream q /Iabc26776 Do Q endstream endobj 3294 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26777 20830 0 R /Gabc26778 20835 0 R >> /Font << /Fabc26779 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nZӡ~1OE$/ jcBrt~AG31H[&##((BBq˜Ti,M $WJ2%ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 <~UW<7!fZ5Y}> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3296 0 obj << /Filter /FlateDecode /Length 1148 >> stream xڭWKk+7WuatI / (]-)$ҌqJs)a"}w97oWkriws8÷Su.B-g8>8vpKi޿Owc%Ą4f&pǕ!m DJ[5E+Iײ/ѤfqlµM=AWEkG|hT3 G Jvca3 [!!B8rȇ_nWu=VzzDޕB#Z-s1aPt/Ų1 M+;AOp]rt%k%/CXꡒ-咇yMR7B$>;-F}M7mX_2W7.Υ> VNsyő{i3~;MΕ3{XvMyr\Ϙ*xQOUxяmv69Te;^io;HFnŷ<POlᄎ>):d 9DZsg _TؙԟrDLCv| $x^㽴$|.&f9K24Mx#ie5=kIKճ"';}䐆.Phx%S ajNEOaĘƖ!ǟhXR5tIp endstream endobj 3297 0 obj << /Annots 3299 0 R /BleedBox [0 0 612 792] /Contents [3305 0 R 3301 0 R 3302 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26795 3303 0 R >> >> /Type /Page >> endobj 3298 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3299 0 obj [3298 0 R 3300 0 R 3304 0 R] endobj 3300 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 369.525 124.1605 380.525] /Subtype /Link /Type /Annot >> endobj 3301 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3302 0 obj << /Length 19 >> stream q /Iabc26795 Do Q endstream endobj 3303 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26796 20830 0 R /Gabc26797 20835 0 R >> /Font << /Fabc26798 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nଞthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3305 0 obj << /Filter /FlateDecode /Length 3001 >> stream xڵZKo8W<|Sn'=2k`9e&Y, $_i;^$mdU>>$j&|O]xVǠ,C}QGs}WRxgLHwzrJՒ)=XwwbbZB-=t}ծHS??<4B&gEؿi3u*3o}k_EfO==㼮qM:s6[c2 'c{`9`2FB]:Cbĕ  AD&JQCUQ;V;qv&`L2wSr/ԙ00@ ш~ Rr0eA>xcZٮfkk(sȥIX>9QL;ځb_ P +k>0B.ē} J9[D 3TFVp8\%'y0$=y;%E2llI +50y9AEu,|!tbS̐-;G82U)Kuߩ \'ذSEm_5ܣ7زX:53Z3 ~sӎc!Tk՘!,4i˛1kgxlF_2 u0K uDXe_'Vk`pE<^d1˄B'3*te沀41b2e%ukˍ&Z 2.:& &.4C-7M0%ٽۚ q+ Kd~J+?ne^8qIJ-*"'霨\;!p%0^:Ae:}9rJ8q8W潻y)R9bơwCW1Rnn?v0ywPM.F~X&gAgvAWvj^n{A2͎,cɊjŽisEceJ<%99I3ءE4ؘhZ5*eVlImztޓ<#8fU=d!hR)o{@jM RsaNC&c`a+5;[ '5xkכ(y7;8m vuj6@o>da>X ,p.pGp,uX(;EAvun0=Q=s+E <۰ҵF#+ NJ,ݢ8m=N\pr[8p_ '5I4'pRI]o'հFpR#Ibgआ-ऺx n}B80z݊.B\6r2y*s#sz@ $0{oˑz1~!ivxj\&됳R=yv`!H}| ޖhSy|@o; Uv$ݔڨۗ˨._Fe~7B}>A?}X.{8YyyW>b3>ݜ#3W8FPSYUJk q!TmI˘e3UE>Sc++GQ7d% 8TeMi@M9!>qa*<=iUEQ1i@`,K8ΆR&aPoI7[11IĢ&p*QW- 6,<+Xd[Y'\ EݔxI4> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26814 3313 0 R >> >> /Type /Page >> endobj 3307 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3308 0 obj [3307 0 R 3309 0 R 3310 0 R 3314 0 R] endobj 3309 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 345.125 124.1605 356.125] /Subtype /Link /Type /Annot >> endobj 3310 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 345.125 162.6715 356.125] /Subtype /Link /Type /Annot >> endobj 3311 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3312 0 obj << /Length 19 >> stream q /Iabc26814 Do Q endstream endobj 3313 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26815 20830 0 R /Gabc26816 20835 0 R >> /Font << /Fabc26817 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pVЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM>^ endstream endobj 3314 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=218) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3315 0 obj << /Filter /FlateDecode /Length 4357 >> stream xy<a:u.60944<3=L/Kᎇ99`\ ,fFx .9~0&2aۿ2}FN9kG6..@LI"e7'X htΟK槄/oϦPVUeN8/0u EpcOA+~'[>=C[ `*&74]O|}CȌsŤP=:?9[~xkcB? 4״ԟӔڃY?"z "NxMYt9p9~^B6"2Y~,hl}1X"E74FD߀_Q^QUTز>L(]I(;0^piC ͹twT̾">"i/jdžji. \g:u%ާ-͇}'3vej<1@5X .b^vdeHvd7(z9/VP3$|GWCJS£niyG* F-8e c,̋ ./ۈwɚ>2>!L+<3  yhpY[ElA~y S_U&IH'ai6K'aq`Vg-}y ƓzkMPlHzd_a-.sx +r SAD%c|qвCIOեBQڍHk$:/pYb;;%e!M>\JW,}@.jg\;z+n]t|^DΩNoWX;[ =z5ֿqw|I-+o.k <-AO)0tuW \X&߆ͼ!Ɉ.4q_o{X"fb+ K@"!?Z] 8}-{*_',q48Pg.ib"&WH0 ա$Du|&4yK+7&nwayCH#iRR!gm'~cu50/ ,Ҭ-01\,#eɕ RqK+plV{#Wc)ze+/]holTiI+ zOZ7_VFlv0jUٓ9\ttdi+fs"Ks%9W$3z,c"UfK8lG[gl6e7m b H jбfv -9oQ d*@ BX%-8a &@1P̡66O*lWCU!dk(j|66`=lBw8Y`sk ÖfՍ,.A͞MMlճPfҍtSWvʜ0[I>_"OS馀Kժ ;{Iy#˨|ϜhNkp~0'pYned25"xe^0'c*(?dNΎ9)4WB0'Y"}МPC֜nsRHn9)E`<[tSӪ V2o(iPf0`PJ|2s 5`zk2 C$ϼi݀ր9̒ƨ`)`|4$1C+OR,ܲ"6Vnv2˭W$[CVWjK:EȨ|l9sQO νPoYnE^{B5+f/@ܘ8Ʃ 7s\|lBSu8ڶe69G'LJi9^UN^2|MS 7 '{}hykٱiGa7*nutDMhw;:0H6 * ^\VzE3Xc uJF+0n/ۧǫ}jCŝBnv+MIלxvH@P‹t}Qahk4;aR3 q կ nyCZ9GwCere3AzY΁ p^{8G6 kZl{zLQIDXQ{rVAD|\CܑrnDKYEH I6$t\`Vr:׾`x>zL\x~B[\zJ9RP]J irl ;_sP7bZ | fwGU'to7( @"ï7)w֢S(L bjwOPܼ6f9vo,|찖9Eֵӌ^Ȕ(4xZYTEFw95RBtVXuTkh$pI5k{ ^t9-)٬|ymG88_No"t:DO 19nk׳Z)ߗ_0dx KB~[i=Oe'?7"?4!ҙߎK&7)a$ էgeޥm*QW88@|GD%<.>D:!_П :+E .;9kgcZ:ijy.tfv^Vk\eo\fl1NH>d`ƭ誢6:w][בc_R)7O\#7[ {YEu<8J>ΘpG!@2 a@LXͩ>&nn'1-`&0/q,u7OYfn3{/6nϱ: rW\+⺮[*a!HºJ%1c]iq]A<7ӐNqJcMgux}л z߯|;gU>* Ucn"rb¿8+K *ɛmYpP BFG.[ 䞦fKE7liOp$~5xHn2#2!#J o֫xvNK225+ nl BJq}>|/ E-mG\rinAj۽`ɨV5P^mu 7M?J&X&L,|GkUpv2mPb]\`c*I=/b}'v/'l>q86lؼ;f++ h5js$o$f}gC Z%׻rbvj]$/:>$tFr=%vO; pe4 hujNd; y@O ~O+\OGRV9O>^ ̚4eT1"+=aIxG҉#smwvw\ą4aXEDذOZ]=WO=/ d/PĥY}#'KِaN> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26833 3325 0 R >> >> /Type /Page >> endobj 3317 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3318 0 obj [3317 0 R 3319 0 R 3320 0 R 3321 0 R 3322 0 R 3326 0 R] endobj 3319 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 460.9423 148.0577 471.9423] /Subtype /Link /Type /Annot >> endobj 3320 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 444.7422 138.1357 455.7422] /Subtype /Link /Type /Annot >> endobj 3321 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 428.5422 150.0652 439.5422] /Subtype /Link /Type /Annot >> endobj 3322 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20211013063105-08'00') /Rect [104.1732 412.3422 139.2412 423.3422] /Subtype /Link /Type /Annot >> endobj 3323 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3324 0 obj << /Length 19 >> stream q /Iabc26833 Do Q endstream endobj 3325 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26834 20830 0 R /Gabc26835 20835 0 R >> /Font << /Fabc26836 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫§Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XL5ۏ"cH&`K4>S~3cy/ KaEr63po.IU1" ^oOcj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3327 0 obj << /Filter /FlateDecode /Length 2382 >> stream xڭYn+<@ѹ/@ZTֆ91 _,I&J6d=2eg,vt+͛o9,}:=?]9ulm0&mo]h[{u,>a|4w0R(ٗ` {k. RlsWH6Pa{ړ%b;󟉰2@"l}*`%g@+UU˪PZcS.w t pW·R\Sͳ-#xP +6u:pAȮy(U6۾o1+VgWZk+@*Dof5lm+3dRʀT?&9N߃ .gJ_ ΉJkKنߥ3} Oy<ƹAC:&3^ROȎJ/Г{V-&=~J/k _X ;ԳQ܋}'!|=tF$*0`^Lfxpj q#N+IiHٛE]wIvlKHT7#S 2+_-wp0uZ2rx`QmMniRK\/];Isyf}&=2CxKj!#}8FܒZv"{iF+.!{wÊ5Q>| J2m_1FVA@+ ]D~! >T@Ӳxve nʟ}*AyMhEqo&cj ̫)$O_"CԗP3 =1t34ѱmp%or>sn>a~eOj]ms{ ~?s3Gn7z׈+:P,2 56}rsC⬖n?CFPZpg8,G {\`8Aj9m%JJdi'@3_ \&a~mXSS0xd -Ed?5unZ:3 Vo x:3)݌IPy(FG'-[?#Oj:z+[?xdu\B8ď/^N x8ċ<VUt:G0GƋޡ³YJ;}Ur:"Z )s$+Am{2zn>mjI)5e7vq}4.8Vy3 Z[(p~e}r;3{JXwCx"qrf0J~ =++^L(~efbGS6bԀI2x]kwJZL3ѷUB[K% j΄ J/ >(SSVK[PNZZhS13R"%]Wޯ3dny}-1s!w*pW"k{Y&|Ƥ<t=k1ҋ6m%@DEqUHfѢ_3BmSߏ>{2x"e-&95*3C7ĕY \0q8wV8$bQ~SiČݭR;2%T[4}Ɵd <8qNOjNCy?( EkP7P[ endstream endobj 3328 0 obj << /Annots 3330 0 R /BleedBox [0 0 612 792] /Contents [3337 0 R 3333 0 R 3334 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26852 3335 0 R >> >> /Type /Page >> endobj 3329 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3330 0 obj [3329 0 R 3331 0 R 3332 0 R 3336 0 R] endobj 3331 0 obj << /A << /D (unique_77_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20211013063105-08'00') /Rect [90 321.625 151.237 332.625] /Subtype /Link /Type /Annot >> endobj 3332 0 obj << /A << /D (unique_77_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20211013063105-08'00') /Rect [156.55 321.625 195.6385 332.625] /Subtype /Link /Type /Annot >> endobj 3333 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3334 0 obj << /Length 19 >> stream q /Iabc26852 Do Q endstream endobj 3335 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26853 20830 0 R /Gabc26854 20835 0 R >> /Font << /Fabc26855 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3337 0 obj << /Filter /FlateDecode /Length 4267 >> stream x\Ko$Wl`|Ќv 6@ {OF:Q7b",ZVwK^K1b~SG%hg>L9јzwuwJb}:;{֟WY98 5߿?k3eGf2 Wgd/?)_g0i;Jd]f)(bhq mN\Ad߆{c+I7Hw⥣%xGy&zJu@/Ľe wbXzqJai o򝶨t|9Ca>S(ʜ:ɫ7ӹP=YCJ>*"߸;{ɅX `{Äw'aGP¼!w_?"x%GvҔS5 T }6LYv q5@OȈ-W;޳# BPSJ{_AOOӌdphBno΀vX˷_П@>! 5#FiY"pc] !LwI; }Ψ֋31$?ξkaB #ϦdmzIX%S)b3aNـd7jB7^U/ɤdV67v+/胯ڐ3sE+d#%՞Д6cky G pg 3c'5 Rb06*cCC?]GHGb8@x!ԋKW{~8Ǩ2\;s c_ ~S+qjZemdu4OEbEuM Pݫ\)Ɔ\.3(ECUeι@ָ8#dN^4=G< у jw2yۛUtP 8yTV8^׼ -y '9I s KB37,Vuw6<Նjs=zFq~+1-}D7Kgb"SN(f(%PS!1Ue%*> }M@.y*E"UO* J6`+~ lm1r+zeWC9 2ڜ\ܜ7α 6gLBN( z t=sVOl,Namm]uʺz]1J@wnm oQ͓)^kw-xMaK8zk_[#lQ;!Sө,- n2鈕@-fg\ZwKQ3z4,WNf!, c 5T5~ ,WWT)XC)a۔ʎաo#}'@ΞP%?' {AiMsOǬc6`9Lp"F6 +0 Du,O!L; z3~!i||<ծ uYȀ=yr>Vp 3`ZbIٽK߄&8d>u[_&T}m7f.[Xs2qJNVRiϠfe%iSۧGJ_fQhID)?'l{Rb>N ۶7d;\KT2Y6L8#RX9'qB eLMדCNrh̫{3RiI,| 1#'[K~]~ ¹R&:wt$=Ic~sir6vf愑$9-,N~۱t;~@`H,pI}^l[/"P'V>s$>hd} =YbvW}eXF2lHVoA6:]qܭ6_r=\=d{l\rE7MQʶ,ϡ9X+ /r4Cɨ#jK1uKP1(Mm 3doã 0`kj2u>oĹR25 M9{J _kt80R:{~~i+0MnpaDYs9cs+1)\ҹPy .o" H?;~WfE9iR21'8͙J7KuIjr|{uzw䐇л ˙(a-UsQtݩ>R0'S2.=BND\b33Ldo^M;ÁA;OGkRjPElԪ]xh4cKjO~`3xzP|Sou&R[41Sn,/.VԔoʛQCskBGG_ It;z/Ƚ"bs}b&iI_ y4zB2a#N-zJIJ,܉bђL/ DL LYW"%ERvne#[J_jMi1cyx*BT]qV+'sgs+Y{.& NXn"D82\TMTvFBFB{;;(js장f`ڛ}VǬ0j53ؼ? ϋ:_=;;)8봸/g> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26871 3346 0 R >> >> /Type /Page >> endobj 3339 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3340 0 obj [3339 0 R 3341 0 R 3342 0 R 3343 0 R 3347 0 R] endobj 3341 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 424.9423 155.5267 435.9423] /Subtype /Link /Type /Annot >> endobj 3342 0 obj << /A << /D (unique_537) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20211013063105-08'00') /Rect [104.1732 408.7423 160.4767 419.7423] /Subtype /Link /Type /Annot >> endobj 3343 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20211013063105-08'00') /Rect [104.1732 392.5423 145.6047 403.5423] /Subtype /Link /Type /Annot >> endobj 3344 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3345 0 obj << /Length 19 >> stream q /Iabc26871 Do Q endstream endobj 3346 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26872 20830 0 R /Gabc26873 20835 0 R >> /Font << /Fabc26874 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3348 0 obj << /Filter /FlateDecode /Length 2314 >> stream xYIWy@r $ T֦3 ux Bo_2JLTښQlhIߦQ_Y2z :61kcg )iiLn[lr=eceI.7 s>:=NKy:p]}mg",|Z7+B".k3\iEqFgYF󹞌7ؐ<)Ku\dԊ"bhSU>dtO#&YCr9G"#L4b:`m_*&ElѥPM)Q;'1]t%5[D<4 ]qL[+9=!ÿg"gl vn1 J)@G(LoamQ: Yg0P7\hфS׋ |5ȯw@F/)mCoq6,Gf`Cf)jfNLjD3NQ=PR'皡} XIY}hJҳЕYc^+"[pGN})IjmHܦxmic4E`^&.h<J%3q?jb;8=1D ޥg^Cd8}Uz)>E74]𔰘\W':%_ڵmz۶)dX>wۜʪXǡ'owP^珵qT>/Ms"'K$(yX&d܃Lh+*Q(+7B}!r.@ݮϝ L@u!ӭ a6a#-czwĭ%a(Lm\nl!gh|>6,a$Nܨ^1}0qXScđ^Y#wШ!fsx;yPIu`S^YY*~Vޔ~ ~g8Pȕ-kUo3wwԏ!e^teFBcB:zk,f8 &]ʤ{7ipmAwa۾~{>Ơ\ Zk]g&$('øUͼk f^ a*!OApCvUL6Z-ye1&D26>+зldPO*-h<}ANcQü.g͊zbaDݠ9saG=+h?8Ӈi -ܦg:z.D Qi( :&'-vQlA?lG gݒm]qUOi+QЌDYk0:eڠ$̏`-)ܨlFd tZTB63ߋ5emE/^ V]oWSW!{ TCIOrSNekЎ{V%Q#N2o>mԓ&VU r3W 0+>:G0G3#] uWfyp #Z߶ sjjV,۔*$Fp+LY}Gq-כKpvKWBNXNw7bu+)+YQV0B.m@ێĎ&l"h$'Wiˆ[! fol/0H^ T6; #7|fj)+K:R`SqӖCHy/Y=6BOcz^6ƀ@W`_7&UX%3끙?cboWp%yUHg$)k0\#;"Q3z9L,ܙy 4̅6pzEw ίƓA5rnWPUjVQRd9 ǟ!Q/MF)J'5BxzDkT Z7^ endstream endobj 3349 0 obj << /Annots 3351 0 R /BleedBox [0 0 612 792] /Contents [3357 0 R 3353 0 R 3354 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26890 3355 0 R >> >> /Type /Page >> endobj 3350 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3351 0 obj [3350 0 R 3352 0 R 3356 0 R] endobj 3352 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 313.925 147.728 324.925] /Subtype /Link /Type /Annot >> endobj 3353 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3354 0 obj << /Length 19 >> stream q /Iabc26890 Do Q endstream endobj 3355 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26891 20830 0 R /Gabc26892 20835 0 R >> /Font << /Fabc26893 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!6g \09 `FcU$!īEWmGyݝC.;p94C-z^lMXf endstream endobj 3356 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=222) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3357 0 obj << /Filter /FlateDecode /Length 4007 >> stream x\Io8W<@qigssf._lܴrZߴ6N}יfu zH2U1wcBzg)J'JVԫoĴ!ӣUUojl7MV/Wm4Oe윬/?gw0q:hbV&y q@8qc3Uifژ\tGd|2g-uY.T< NO1}(MV羭 VOԆDef ب0sba> Lx1x> ݸ&D[qO{ưKS܆#=ӺZ{'~ WY *6Gx@sZ 0X<&0b |Ar~Lx4{crzzoR4E19qbqjÍG*y86Jz U=?ֲ}q9tK;{O|^`+1B`+%G7@QHvd2ȿx7n36ǧ>R mh~G򸈡QFLo;waxۙv?<8Bf{t 4S҄Y FK(Gh^-~zFXpBHk=V);x/KULڕ*hʣQd 7po@26mL%M}#ݽk?LlGa2miS8dVTW'*qqm0;[\ <#a n0v%Z\+ UUNܢ5׾p89QޥDhk{ .ē;GrnR))[m4s>UZ+DxaISÄ=qbX%e)[5)L3hkk 5I"J[ݴėjwIwAn\iɱuatr|EF aޡ2 ,miDUmCdC$(!%rHc O #2ILRT}-ςMHR 0:[l,olcT-#*\odAUM9ju"KV;'Z4$NB0ֽ@՜9abbrުmLlvUUp;t-,Pv   B5@ ,,2 QiXZ6;X5wF+M@[ue\Ye87pR`jNv Nn '')p7p[-᤮,}Na8N38Ozx~ T'I-H'zπj9pޝpR;Ӈvz>Nj;-O@y'~'ԕOFS>[?fL)-0dn+#82@(+iTEu Da6 ҊأC)vU轮L< B˕ppw-7$ܥE/->?՝5%wF|q3}F };o`g^d{Q@9ɦ"Sx*WZ~ oZm[ 0"D>xx" %F`$-SVnr F,Pnۄp:ޱ /3678P١%hht;k\0+ `jAe@F 38'[ܰU$#yEUTALL7$xm8i#E3cl+r30ЙS>Ftg.27PGZG^i?S&kpm$Hݨxty"23ܑ$; 8Oζ"topGmHy|{6)x%iAʑN bO{q|EwB&[SQW~8d7qz2`=fF^.d8:$5FCTr&~$JN$kb ##I-l /ԛSfJ|%M+q,R{fZ|Eݒve"#J.V1by&y!&U%+R|0zcMRY͗,& Nl|8'ފݤqdjSe+C 7f շ`c_uE~kLE}wD=6//qFOf(j7΍- ͂d Κ}x}g>nS. Me'Ԡ>Yʞ6Y9/G0iov- U ׋߶txgr~g9ES9UjӅ`z6n^sO(J<׃t|lޖ4@\#ٮs= uSimnG9'u?K0g.NS9p'pzT#!=, p\`A? endstream endobj 3358 0 obj << /Annots 3360 0 R /BleedBox [0 0 612 792] /Contents [3370 0 R 3366 0 R 3367 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26909 3368 0 R >> >> /Type /Page >> endobj 3359 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3360 0 obj [3359 0 R 3361 0 R 3362 0 R 3363 0 R 3364 0 R 3365 0 R 3369 0 R] endobj 3361 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20211013063105-08'00') /Rect [104.1732 279.1462 192.9817 290.1462] /Subtype /Link /Type /Annot >> endobj 3362 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 262.9461 206.6382 273.9461] /Subtype /Link /Type /Annot >> endobj 3363 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20211013063105-08'00') /Rect [104.1732 246.7461 189.8302 257.7461] /Subtype /Link /Type /Annot >> endobj 3364 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20211013063105-08'00') /Rect [104.1732 230.5461 200.8962 241.5461] /Subtype /Link /Type /Annot >> endobj 3365 0 obj << /A << /D (unique_421) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 214.3461 204.4492 225.3461] /Subtype /Link /Type /Annot >> endobj 3366 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3367 0 obj << /Length 19 >> stream q /Iabc26909 Do Q endstream endobj 3368 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26910 20830 0 R /Gabc26911 20835 0 R >> /Font << /Fabc26912 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(nI!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$j endstream endobj 3369 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=223) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3370 0 obj << /Filter /FlateDecode /Length 2980 >> stream xZI6W@ (4PKWyq%E*U/bC-"{Je3RgU ^e]c:=uq)z|&fa>Yh_,%I0jxaQ%pH L]ʣ h\O7􏁫OK F9Z#Pv@:8p')\9iERm`]mpxh}8H$0Up w3K"_=LI 1b w^XqGx.iq6K(ĊLti( $ta BdPqA7JJ(12O{>AB'uu'h1&}>;@AVamyQ4>r\IxطїP귉epr$73=^?[*USp,TXBt,*ƕ0 FFoȘ`^s\ki2ċ!uxM I#H͞BeN;JoWuBrسN5Ԧ ۯBtfy1R|ud9kȕd/QC\bˇiD dx5bߐ8m[lސ::9!T;Nf91[}qLM&^BVMVhw*֞elj#!S|l5!6IwtIX@A}2jnYlM5^7Fk 1Q~9T/zj:}]$GK^wcf:`7Uo !r#(oJb .g1_@Yd0/) x4d)䭩!tdME#NV$T&׀tcܮcA+UL=32Qras^âEEJq*&⦊# ~U#{j.F.psK4dN.Fm6nO`Ǧ{[5{j67j(1QR^ bG]g[UTR-ɦ j(!I+Ae+Ua?I߶(Z>I:H d4XJ=[AT{@;۹h#J1Ҁ5M?RMn0xݙ~_]b4<8yXHHx||(gR,n{ú)tAǾVtwǮޡOwmntQ৩q5{,Y{dS]cl٢EC2VUN7'M7h!y̺w QKf1HQz4ƒ! Ͷhj-ܞyk,>F١,/Pk ޢO墲ve/GO'.a^6 *✇j?QV=}wYWJk"%sU."k+%J$0nlPI/R-d=tJ\r.dRiqHnBHMҊ(.# BwlY4i pZ؛|zGzm=bdP"D4.LO6һ 7w4.~ A[/<{b#;N~Q#Υ>r@{/WM$%T mx']ƐyX5Uh_ṢnUm3W(ΣX:~E xuچC9L:$!!QJf 3%5UyԞnOE?@qY^aoء|ܤ) X'?(ݒR 6ӨUc 6{(ٳ P|&hwH1VҘ.XX&a)ৈ`oQ8nYng{]kx`K(4M#=D@ҮMZ \ݗ|\YJi+yd^D-KK (CܹJOӇڑhu=MqsAϘPppLtdӭU^@ vM IY/~2uKLgigIO AԧiE.blRrY ;L+W |Gt:N"Z뛺~u3hu6¦pݥt'3Hz4RS?Y괶T#,u-u Ӻ&)a9ʐ'߉?y&3la}g_JH'X75L Š#b!ei]b ōY^e+usD‘l5\[4m35>Rэ V M)|C4S|si@n֮iifd홞Du0dQ++ (+|[.N] M+87dz& RX M5nPm[V(B ûؕTz)>F0%deI~aI(bVϩBZ"z7<3j'Q?CY|oh&F1xjM9Kβ~s]ND󈟌~چҪVҰMn s Aa;O3\$?+p?UG<[^\I=@ v~rtݫcPruάpw)yDTs_BujXլp;'^=r27]?{I/B 5 33=aw,0 tBX endstream endobj 3371 0 obj << /Annots 3373 0 R /BleedBox [0 0 612 792] /Contents [3379 0 R 3375 0 R 3376 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26928 3377 0 R >> >> /Type /Page >> endobj 3372 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3373 0 obj [3372 0 R 3374 0 R 3378 0 R] endobj 3374 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 286.325 147.728 297.325] /Subtype /Link /Type /Annot >> endobj 3375 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3376 0 obj << /Length 19 >> stream q /Iabc26928 Do Q endstream endobj 3377 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26929 20830 0 R /Gabc26930 20835 0 R >> /Font << /Fabc26931 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 3378 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=224) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3379 0 obj << /Filter /FlateDecode /Length 4258 >> stream xڵ\Ko8W<@j 8ֳa0^,.'^|TnT)2/#m'ͫ_uγ:X2MomU9o_ ASXS(o5}u獷iyR~S?7؍/:P ߿@ߴҺ+3o wgdQN!43ѿtt|1:&fgƤg~Z?]eg2]z3*lㆰ ܉XI9ų7(PwY9MEˌ"Ls&3 =>hR# {2(O@딒`uB Hc߱ ߷-R!mfiEB)͍[P?~E3'Tq[hD18<ӳE<_y2 =1% dXuW mrEeDF K|%)5S5. zα;hQ1޼gnҰ{Mߢ}KźFznw)gӃ=@eM& yI|dVH:&'%9=E<,SGuNʲG`9(vuvxݓ]d"8o's8Lcx(ݶx Ò?!`BGZג3>(/P-O~S7DqP;Kbύͩr ^ɣC )[#H(pU: ̈Z ht

yZSFveE҄QHnp/i%l+k?\P+(v$,SW;{l ^viS8eR5~ƽ4בha̠< 7ŁV5CUfҫUj] iDh,?lh3 )L6E̅a1[2P/ o̴JZ)[uVo:6R*b.hύ) [Bg;e6dyJ@ptɌ˭LUmCSE[ s´K ҴdD X!?iFiBf"WjoI*Ӡ佨g-6ZceF(4l9Su86*dhby;edTaP;նTV'(P@[-3jCUL}xg, B"4@ ,,2K^#&`qՒJnXT5XiJv =Ԯ++NJ,]o)p }8Nax'{v?((q@P*z> PjX$}&>(ՍO(s*=),",+dYJmevBW7Ǩ)x:Hr$B*g?+H5U7FI-Ͳ)=Fme5d6ZZQw@i .v!dSS>$EF]4>֞+U T)߁}Ly߇11z7yaL+LcѢ?)7LSjhǔŔԭoR;ATJ:8P:8P*u`z=R{A T{:p=jE3v0߀\Ȃv b 0Bc`2`RLKOT@ށfao i>"ukW[öv壮]>aT?+)0Ó' woG÷VE}ҧpv>1 _)#9/32|~/["`7g1/NzAcRz~3N!H鉏sS9(16/D#v}񭰰$ L s _؋R㺰ҁ0!ZE)P#؇* 7̉ .g QH!+uH,g~=`kō;tfvwaЋ(%.9DE9! Qԟ!6OFi|<4zc*Ob/U)Ix2 h}Q_/bpT^gYׯ%(1g 6B lC= $fcU+e Cx_֐C,l lX't~3ۭ,ckofg8ߐ̖?I~WՈG#vN6qp01ND-\ DcR R'a S\+ˆy[Mٙ4U_5+| }a_lB]لHAVyrJ @h[8-ŽR̞-KiOvEXC,ݦKv-?{S?ynR]XBgA d05IVpZ60n x|, U0 M0O~eܚzdҊHGԙvNItۜka[jd^y.e՛RgnaK ()sM3D\i4D8bb49ɔK:M3˳S̯aqb\I?m>fp XLyis/SLHBGG>_̏!P- X/ȳ"bKyc&-q&9qW %x-sq69ԲVNH;(4N1KIZwT}cb^xƝ!HYiGnMR~qKڹ,};K:6,k(#Dդ*g%Yr!F)?פ*d}gsM:GvM$Q}PmNY 96v`m͉~U;n 7 ؼǟ p4^կY|gÝ*Xo,,imHLe)$9"Q>xR_ OvPb L|ݔx4#~O*B90͓|yY!;_p;E,˳i`ZH%pv0 \mʂ ֲPBa?Q$PGMe3> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26947 3384 0 R >> >> /Type /Page >> endobj 3381 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3382 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3383 0 obj << /Length 19 >> stream q /Iabc26947 Do Q endstream endobj 3384 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26948 20830 0 R /Gabc26949 20835 0 R >> /Font << /Fabc26950 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [ndӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7}Z endstream endobj 3385 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=225) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3386 0 obj << /Filter /FlateDecode /Length 4315 >> stream xڭɊ,7@(j>00 tUig)S bϥ5KJ]ߗ"{ n]\~9 biUweuy'qiŕ0¥QZ ˢę{^pЁGӆ Fx# ';P r'8}b>N ˨j5(}}XNmn^wex0}F.W#nBeMqẢ \ٸۙx0 `3A D i{KSi*yPZG:R%0# / z r*4F'| +x;L ^^~S!n f+-\Tg?ell&wr ,"2BJ$f* C$p"اIZˣ14B-zgi%l9D/CR_܆Dq${ D"yҗdVxEi$u@bD>tz$ۏfe+ `bU`4-ĠW^qM*-=dNV8:ULJ* I wqz[ˢ "&줕MqV2i#ߋ/7}b @>1EJ X YH8 (XtE!]3Z44{}./þ #W v*;Zl#:he/Z2w.T "h{>1jnڷ0&tRrRR-eR8d,N]̳J`|1)2`d?&O8t+HLWJ9uYXNa*[J z` г?@tBFt +ӔQ`[g K\{(Z }KnԵ"ruYOWRYO =g&qK%$FUb!lԱ;g`>(rTlJPmD??^vR7f<41j#FH{-|(/)P_Ժ#tn2ɃyYB*[:ėvسs885S6;O@ NZx3"}h0}ZSCPJD=-Mp  T%TR#?Iw%gTyUb^oF8["IabK]\VQݞ 7 Pwɦ %J,Sl %ZsP;ENYׁLU<6X' C@G yy͙==}X 7-ՅڨYu)e:)q RGo9 qz[ 7Kp 7N 72-|s܈8,kڊK`l1/X|P*/12Ă,nZ5Qg B3r3ؤ43AϤ^i\XqIJOMP2&/C05S6ҿ:Ue|ˏ}jRڇ#@h pdCR[aأW٠h2DUJ? XC2}5Zۻct q8闇cJg߆$.턊VJeمQ8Ra'~`hRS嬤 "AG;T<,?AXm/lW.>j[eve{,іo=U ֺhz#W((czPS:oUl[yb83;ͱXp\]߰7qn7;GUqF|b^˯l4[94Zr iվ%0x*ھYۇUG8ozYES4'Z.fZk UX;Qƾ=ҎZCx@XV Z*J,6s,hUAK~OA-O`Zqo; |7g/П#΢|C>6kc *-d\6MnI4I/eڷL:3buH0Ui}ܝVUIU rdJN@.o =v8|QИsk9>4F;9n`Z&ƪ Wrׯ*Qo#!Tȱw'z;); 0@WfU*R[)Qg͙7;늹]1ANń!z; cqKrфa^+=>A7 cc?vOvP/9*Sp$|ȝ k!~πmme*nO<~vXөt}cr: FeN%᫣$y[f>ut N%%5DuHl܃mQ-=[@p'I4bP;+qx mxn{>qmuDA?|RuCq ي-R@4p%; W1U9!Ⴚ |j9`6l?\-S# -}RSy~QS#g 3 ]ɑz.9"m7;o\?Bquk]sCSoO9qjV&]l gqs[%9Tf{5oŅY t){|sZC}o}v2=FTuS]DwV.䞬YNt%tB,nE/E)pv˿`:ڔ;AD/DɃ9ocnlt387X:rIccc}yK2kU f 4P.}T貋9[c []#Bo|Ԑ+yKGw4K,=Nb[r4pW7yrYbhLp"YsfΙIwr-𝾙+V46i\/K}op!2s qt% h1S榑VȨ )o7{M_0y_y^ߊ-"]Sms}{2^c@De4,.E/{Lޥo2/1c> vՒV1Cbc+gh$ԏ{'Igb!aNe,Ϡ.mfC3@葌@S;O 7z A?| endstream endobj 3387 0 obj << /Annots 3389 0 R /BleedBox [0 0 612 792] /Contents [3398 0 R 3394 0 R 3395 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26966 3396 0 R >> >> /Type /Page >> endobj 3388 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062937-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3389 0 obj [3388 0 R 3390 0 R 3391 0 R 3392 0 R 3393 0 R 3397 0 R] endobj 3390 0 obj << /A << /D (unique_387) /S /GoTo >> /Border [0 0 0] /Contents (copy_bd_objs) /M (D:20211013063105-08'00') /Rect [104.1732 138.5694 169.4747 149.5694] /Subtype /Link /Type /Annot >> endobj 3391 0 obj << /A << /D (unique_400) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_instance) /M (D:20211013063105-08'00') /Rect [104.1732 122.3694 201.6222 133.3694] /Subtype /Link /Type /Annot >> endobj 3392 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (move_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 106.1695 173.9682 117.1695] /Subtype /Link /Type /Annot >> endobj 3393 0 obj << /A << /D (unique_378) /S /GoTo >> /Border [0 0 0] /Contents (update_module_reference) /M (D:20211013063105-08'00') /Rect [104.1732 89.9695 229.6007 100.9695] /Subtype /Link /Type /Annot >> endobj 3394 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3395 0 obj << /Length 19 >> stream q /Iabc26966 Do Q endstream endobj 3396 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26967 20830 0 R /Gabc26968 20835 0 R >> /Font << /Fabc26969 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3398 0 obj << /Filter /FlateDecode /Length 3287 >> stream xɎίbj_ !ɉ'~V ٔZvؐ"^}cY}UFiK*V}3W#M>՟uq)砃z&fm`>1isgM (&?ZkīLc4K 6 AY vdץx&,7gl?}Ndp("pR8=o i(s<p(pyrѩ-B$f4l@>ʮp g. w#T9hbєR{|Dtiйv@ S$ ҈#T 0& [D+9%zPrșNu]h{ɳI+Ѓ p0GݦNݢt.()A7\_'&Xu:3?f6`uwǀKSZ ԑP6ـNYsN< 2;ƠCAd%ڑ KiYGxR?Ac@Q9VZ ;V*v7P{$l-C%0 eJ=x&~A|85ŨU@!OW2ٔm"8nbߝ'Dki֋l!&2hkVz`2:Bj;_iubUaӶʽRUjFӖٵi2``'E2]Y\ Ayc 3{^Gy\|0x>!TfMp | 3*y-CMA[ X_UUcNNBps#i+2CHؓAV`Pt=3|0e]Kt5-35\g6ȆzjkJN@&;fo ; y+~[D ,~+T`kt"ѦRk\{o|K.%wc,nrjIh<N"> n+$ R!Uȗe捙N;]@@D~P^#ynSwP5̥%Bx,Ϸ"zBƚlSouwj{5$8ݡV5Ufo}WxbU*eꩤ0ʣaN*Sd UI]O ]uX976;tӨg F+mŴW.r¤4[4WQoYlzF^ŸìWõ1u֕iHC6!Qb"SJ4'Zѷ|Cˇ>@5CYyN/Be?5 7ÚFqI 22@-]7yך>ilש]3lΊHws51JH-KtC42g] !4k#o놆)I`ש)0 Rm7- Сs5mts9 5reU=bQM:ݚ{i}шkme,pZ7)-)C#u䦱~+rjMDRlD6ʜ=,MϽfC3JK$eNqǓoEvR jsRJʌg d%d%a{+1YD5Yyn&-6%/JRf WY&yT=c®紨DoCyIUJGSaa5u[ 0IvQ~lN@ό5IL_YqhwQwp㼧-ߨn`z|e~ _svk͉?{.T|GH>Ķ(J{J۰WNa,|W)F>7ӟsiĎx~+WHmhGVlFFM \{\U MAV&0u/?s9,C]#`ч옵2܎X_-xo6`6QX/x& &O/PΆE&>C ԡD=  t#p®M5Lsu3#yypr-s (ށb ӇhT_p׻;yc#nQ9.?uP`k kݜ-frEkZR4ۤH}z8yZH{k4]Ht6\n\H2$A=|btՓAYY>f\ԟt:BX(S$T{L=F -ťCpv KFO'K_Ʋx݌0:fxގm++QV0\Z m]n;2) &(>r/MnB. ^vVBG X#/0]H F[)o@#%|xx]V_"a!bTϩBMD[u\ǟTnrm"u򌥫O@麏T/qD5ղoTO< |Ju<}dͭ1n\ZLR~x kXAB<$(|!8c7z}_{D:D AȬF`8dfPRݻ5ށ"z x0 ~̮UAB; " Bq05,ƪVQv{z%nЧ}E:_* \' cGPzd#+_h5h7 endstream endobj 3399 0 obj << /Annots 3401 0 R /BleedBox [0 0 612 792] /Contents [3407 0 R 3403 0 R 3404 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26985 3405 0 R >> >> /Type /Page >> endobj 3400 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3401 0 obj [3400 0 R 3402 0 R 3406 0 R] endobj 3402 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 335.925 147.728 346.925] /Subtype /Link /Type /Annot >> endobj 3403 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3404 0 obj << /Length 19 >> stream q /Iabc26985 Do Q endstream endobj 3405 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26986 20830 0 R /Gabc26987 20835 0 R >> /Font << /Fabc26988 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p6-ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?x endstream endobj 3406 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=227) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3407 0 obj << /Filter /FlateDecode /Length 4301 >> stream x\K W+zt,rrr3"i{sʮ]%DQ$?,J-9%&#RwrXoW'?][ wOJ OFέէALOvoy}ם+T?ȿ?W5v2Mzڍ櫃?P'Vxep5:-0WYhE~&YGY }ZEl dac@ř`Yk`݃lMTg#C_]Do6U1@+u.:CkDq`/Bi`/D+J QBʪ/G~\έi bI'PgAi X0 @gDӃ|7ʒx ._ R+Deʟ ?ab ֡F3Him´I L >JdK(G ].fO:,![Y{܀t0 )S09fhSdY@Uz̺lPD ȢH^h9_>0]fW@cJcAFs5YWhuqCqLАR)c'v.gzA[#El&ٺǫg̖eTaG%{#(#ͭ ⒮/tK8ήAs_6,XeKcnT7Xl(@=uG(+&Ղ,/~+N.PhZemuʰ.̊v]LpCu2K0^ }+Wd"U*URFhe> iFuJQ4 (n0íiv4cWL+&ޛbM8Ѩ3/7A]O3r3p!zx}xp`4 ٬7%h3e'SQd\$tb(4Du.vCj7?$`8(5AomHGqBuTιNr6RW}\)~1A8 A殬%D:nyliK/g ܁ šę9u2,3k5Fe%zi*ς|iu~p\8`;D"ۡ!&kzΰ'kloY&-3ֲ67֊b V6)IuDRSVG^a?&`+fAYЖbYp,χ8(P|%Y ) dw;r:ߡ8=Ի=gh| m{$+[P'+$7*|&\ktnHQ̙ ~woy  =oR].L>GxW}8@4u֊wd,h7ouHX=" 3C5^WLBt8+U_ũjUm/X#55>-3n.>R *,x%7աW eJL5z'zԼ4 -C o.x)g0@HyZTAVXaŢSЁYJucJ:K(\5GB Kl3V[!V#*+p/Mi\ܙdɾ7&t~imőH4~q4XpW)}h;Wd.>$v)B'eePaqFViLU"WbjYܦCg{N:{lv.6 B(1 Q)uTɗJ1`6@RN^; $Ӯ s8`:c`|o]pͲrZrCC6&}RQ;\`t=,Pv`X=X`v`Q]:1PmUO+eb5SIak9ȍr{r].Ǖr'fnZdf89FOӱ+ '7IPB{xh5۫<8TOd<2`=T.f Ӭ1 g@պ[ =;mA@uCH/2v^݀E̅."ݭ$] i]S0jnP@]ߍ8uP0A ^6Y P0RQπ8&28(O\DI+;?[rG4>~1χ|CgNnMGQr Vl9{>"g5)q'H}tBjiL,M vCU2Ija#i$+n`6Mώ'{3WEK̂YiH?5qD#J2bKB#TLe]CgfkL˵8q#s owP4_P5͆T`p d.:ftASb$AȫuufIƁ7m+sܣQa5 EULK`>IXfȮ=dN]csk%W;nOuYo6AT )B*6'/.;'n-HQXE5klLtGQaY*R/ !]~-[fݜ墷݋ cRJ]:{GSѧv ;^h\Wv]r Ӧ̲"@dM[W1:fؼ > ^sK{}q*9)Nz/NeN'}+cgm2dfF 8ޅ5"^2F.uV`,椭^Om u#{R̳\J@P @u(NwV<{Abjri{`pxu>x0{|v1yVCr @4U ί jmc 7l0i%yZD㊛OaKď fTo2.&RyvrTc)aϛzv)qQ Ϲr.?yO|`^`ZwqU-*; w{*tuD)/xqJ8 +| E*lʵ K IK%ކig(Pwm07~M$7/HE&n'b w9[d@(,&ә,|wiuMwz;׈Nc0FF ̕ƱcIMfkuy}4=a|{Mx-j˘31h<}As\uֿͩi"ThX*푒v =~MjDH3f̣:+^{?I~51:Atח+=g Wltg|9|g0X?2UOkv=KiSHrީu+y)ĻǞO:턳GWcOdQ S\4(m_4jtuK endstream endobj 3408 0 obj << /Annots 3410 0 R /BleedBox [0 0 612 792] /Contents [3419 0 R 3415 0 R 3416 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27004 3417 0 R >> >> /Type /Page >> endobj 3409 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3410 0 obj [3409 0 R 3411 0 R 3412 0 R 3413 0 R 3414 0 R 3418 0 R] endobj 3411 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 343.9577 182.4767 354.9577] /Subtype /Link /Type /Annot >> endobj 3412 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 327.7577 192.8002 338.7577] /Subtype /Link /Type /Annot >> endobj 3413 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 311.5577 182.1687 322.5577] /Subtype /Link /Type /Annot >> endobj 3414 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 295.3576 179.3802 306.3576] /Subtype /Link /Type /Annot >> endobj 3415 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3416 0 obj << /Length 19 >> stream q /Iabc27004 Do Q endstream endobj 3417 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27005 20830 0 R /Gabc27006 20835 0 R >> /Font << /Fabc27007 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3419 0 obj << /Filter /FlateDecode /Length 2918 >> stream xڽɊ$y ڥ$!0Tv1Uߦ% RHOoT|W]笎AS<-v8oiyM7xm$k*ga0Tw2+g.S@N*-@LrN9Hb-kPBnI/34>?eѕme2;i]bL4-yCCsCThPҕ΅;'+ZWm3d*^Uy*Z;OҒMUlwLM'CbvݰY~  ey u_/uxk B~UOc^iQ$Vu>CZ{FOTjM2{i:Qn9:)y9*s0J<)?8' c)b1bci'W_p,nZNk Л+hv(mrեfi-VV8ؒ1n@=aW q#n3`x%.9r2b  ecT|NyX03!g+4D2ը[4ω%9Ο$ٷ7<{mbW#4U$s@~]rU Ҽ*$Ȕ3a|hP؞1#DZlK,aӌ;ҌMSY?* p%ͱlwVwY}H,aQ{x~޿jnIJd(leF6x+Y>#vYEȂ, bT ckP[OuJ\XuR?Y1D 9TH[9Bj^Br>|_Iy'h׭-m\ZL`5#vű/: r@Ɲ 9x!y۸~Y!Q\78*̠ef"Zs!w ,xo oU5aq05,ƪVIN;IL=Rdo%2>&a]+ ʂV"O|> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27023 3426 0 R >> >> /Type /Page >> endobj 3421 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3422 0 obj [3421 0 R 3423 0 R 3427 0 R] endobj 3423 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 3424 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3425 0 obj << /Length 19 >> stream q /Iabc27023 Do Q endstream endobj 3426 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27024 20830 0 R /Gabc27025 20835 0 R >> /Font << /Fabc27026 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`[w/gx*&-@Bswt;_Pьb `6P\0&U$c"KS¯"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OM٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3428 0 obj << /Filter /FlateDecode /Length 3905 >> stream xڭ[Ɏ9+xn@ܙ TIИ{܃Aſ?qLJ%#KP~/Y-Vo~ҿÔC^??ƄtS))|V'jni=U*WZl7Z& /~|R_i8܃:|6ALiL[%YoBZڎZi2-Ae&Uwexng;)uXw" $,/;pXPBZ JU&o_Qh+ܾ j)k,ۤ[EB=rtkF%ӲDpdkfߴOJ;Hz?s?g/gzm #?1糴}NȌ 5 } A&P6k-MtCpenvy$riZP+쁠F8S^5*mtqD6/@7NfNq߈@Q$`甊:38| ,m#GS+ Lש֫U֎0aua2,ӼU~P:S?QFq+Sz(*S>Y&^ 8w?ٟ(F9^e7/\(K%r%㳣wf準Mf#Ym2#"5Y0[s^BdS"SxY.kڵR+?]ž2sl6OWZǴ7D#u*d0HDqU#ߙq[7KYo4]1C3:5orՖ[)њʜW&w7o7-E_I.d΢g"NK <{T ,aGpCYHcu֝y/r@H0ZҦ }۫ %vM1EF\ }JKU(D WR Xy($f|P aI[ij=Tj.‰JGj_^Xs'ak>Xq3@|@eY+.w*U#MSdo/WdNjl!)O$AXʳ=[%JR{P>=:KݳucGf}c2k"Q%R ,E9/\!~uU4aPWk7Tj\s ,WWphz m)SU\};] Ա]®`aua:X nٵS eVd`p(V7UfV  Mʺ0PD͊NNStNn 'ze8% ^z >f ) 4>ȼ Fh]¸KS cHW2X5G}m4iðR?jW(hÄk-ԧlzX_ǽo/7|@,瓜~o-]$G᷿}]rDnaWo{g:W%h65Fcaw^'?z?^wuûj.3]Z^LdJwL͉3D&mR!EGNlj9aK,I—<\2"}"#ZyAFB㠰c!R%2K[s$5$WrHQe*BTA}Ts5VhVv&wy4$}!1\W5wf ä հ':<ifɘ+U;u*{m|\6wGɶW-,wZa0%_3XiJ^f&l}UF-psw:^Xà2p9eVk]RtcҀf@NlޫXFJq0 L,IP&DzKP r}Roįj._ޭ(Ӽɻ ^dr|݂IREKD4dR]۰A8ҝ{򹡧(L ds8)QnP12N%!b~}pMXs"*0GONr{.RmmPE< Xx Cgٔ; ݝ$Yo+[?U%| `P#gJurkpuLϽL'utQz">'z|'¶- `30\ʵ\ ƕfiN#4yPavtz:E< |TdFCV1Wb ;Aঐ灸\PE\@6Cc8AZ]]Y x,ةU2HѬi8y^bw+^WOڐJأtx J5ٚ?áYycG(4t.t@S(ȗq4 N \[R ̒ if=CV bp$c[)=K8wNhI8S3iX_( [xJ%HϢn);72:(+)5s{4v+"Œ*BT]IV Iy/܊2k2Wd኉s U*l#H!=Lvսu9vmiY}{kOxE% R03؜Vybx΋_$}Kb* 7&0Z/WIx*n AÑSq7y+S[xVQH[~\%z {JԡG¸{s2<\Ny$.x̵|=|> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27042 3435 0 R >> >> /Type /Page >> endobj 3430 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3431 0 obj [3430 0 R 3432 0 R 3436 0 R] endobj 3432 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 202.4252 608.2] /Subtype /Link /Type /Annot >> endobj 3433 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3434 0 obj << /Length 19 >> stream q /Iabc27042 Do Q endstream endobj 3435 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27043 20830 0 R /Gabc27044 20835 0 R >> /Font << /Fabc27045 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3437 0 obj << /Filter /FlateDecode /Length 1518 >> stream xڭXjd7+`nBC!+O N}aȾ!B/fP]iDIEKChʃ؆a$J>8b8ߗKdj\ \I0wrʒ $AN/?Nji19jr,Ksc1I~]?П&㧬%%L5P&U lI{}y|2OCkL?TSIl)Ox!*TL2"f4䄚=?{zeY%s:D".BO#(+$AU⫬#[JEyh\iC,iœP7-r wwzPdlI6Zau(\FI#1/.RZ6 l X{cA j6.%Sx3ͷHDt`\RuEGR5E]ƉL,zXwQܖѢPd :J !0y7r6r#_oWXU.6;cd_zFXైӳ Z&!cWر-G8YWX/T/ؒN^jQ w7#7H`86p/h7SԪ?lzݨM*ڻFɯ\ ;^Lk)ph=L,1:SE剛J&)3`szջLB,e~^>wT7ȑ|(f߲jov+^K _'<8"䬎3ut ;l&W<\pn9|_Umr,| =,qc,2u;=.w\;Wis=I" 0\8Vw\J(#8瑃?fSC{3=^ ^Xsg6*WKCqxGtwq~럋Q|<#>LUI'/-˽ |R?'K(NSiDpl ( =5 -Uib+!E7x endstream endobj 3438 0 obj << /Annots 3440 0 R /BleedBox [0 0 612 792] /Contents [3446 0 R 3442 0 R 3443 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27061 3444 0 R >> >> /Type /Page >> endobj 3439 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3440 0 obj [3439 0 R 3441 0 R 3445 0 R] endobj 3441 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 354.325 147.728 365.325] /Subtype /Link /Type /Annot >> endobj 3442 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3443 0 obj << /Length 19 >> stream q /Iabc27061 Do Q endstream endobj 3444 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27062 20830 0 R /Gabc27063 20835 0 R >> /Font << /Fabc27064 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4l?EŐL$E d_ )2̼d9 M \09 `FbU$!īEWeGyݝC.]@ U8Ρ\j ۾{w endstream endobj 3445 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=231) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3446 0 obj << /Filter /FlateDecode /Length 4254 >> stream x\KoW@k m{fvԋOmOg/ flU,VW,REO_'3iwKZuuf ~Z Viwdz)8k /NaY@8PcR֖NxX'#VW#kwS~Nx$os6H("Ah:hWd&H(VTwDK^ß6slo?LQ>A@2սu;;EkNP; ?+p|z{N 8cQ)~BY~(XyMrFM:m%Yl)M`3B7$Oa>Fb} /0TYZZ2;;GI$+SԂٰh?2{a[)k<85yy~ݼE5^bX}k0Da0 ^cFk:Up 'O0E9T.zжk@ylT(2 1Jy<Ek99i;w?.6x / @G<&'Oki3f\?s+\jZY”Ǻ^_eC, c^>j6Ս\)j̭ƌ)9(MU9ZN?F| 9'6~58A}C۽-lV$?8;R3 \,hY;@t!(BNe3ݕJhw;4FQ.dqQKF$y*04AgDbve2Ngern>$n2}Ui:f[iÞ#kR9ȳ Fw1X!ܩS&qC^\.:Xjc #QȴNdȐݬ;UAA@مGpr KKwP)K]v8ƠA MqD@HpޥmQB" ^.ɖ.(Q2R 4[%++`M₯$ C$ IA)_nnK8dRn?`NDSQ:q .V7Ci sڵJ%t ^J$UD-LVc,Hą.|XS<i빣^l$?-Z*MrQ뉯]_*omt"4TLmRc0>|_0,!c PyˀdƕE$PuZzUJ2KUګ=74Xrh F]qrc׭dy8[-\TJl:^_.Ȝ՘0'q)]RcI/{7'`d&k%rF[P6-:s݋*ubEfycG R%mR:Qh^p^jAn%˴zR0VP1ЯU>,Yn&(P@[m+jg(:m `aXΰ{ , ,f R׈A 'in8N-8M7zhNbFpRvpR8nvTo :3;}N=pR{ p߃ӥH kKH*+nP$~I_uHߘ/x-"x5N|ލʭع=|*Wk쬤'> ӅOC>˗rsf+H>hvW>غ$m{Ӏ_c Q2$HjTS0!03KbP.  Mo86Ȩ(ec)L+i!R<>KK/]~se(ahɡ42rL䭀XrOr sR̦̂EDo%69!c0N7N2>Qeeyӑ: ~< c> xd4c6y!{8Um\@fP'IBӬ"RK|,bmlU]nN@փWJFHr.U<0[-H(36R>ʪ2n{xo fuڠo7A0qd;A~:1܋.GںnS`p&OUo-c6Kށ}TdH:Qew-=6[1ؖltoL%UgMvU3ؕ[gF1<@&&UE8üLc#o2/Ukݒ%̋a{'y1U7/iԥu:9g=3^z/!SM[#܊5ov1 VM8Ek/9pM8=8PT hb  Z\7eΉiem#ĈT;uՊ"ŒYaET6-UL0ڛc,υZW:( s/{ a}e)N;NuS;ֹ ``Em$.H6 SΫol 9?mT:ScwVvVӞ(ΊkV1ۮ@Dž{_23#@,L)]fviug 0 Q)ҡ!aQ+:[ pE%f-l,`Js S5af}t\ .>f&ړ3:d*M酏 ֊i Bec౾/$Zb~%%%N次Vh,DY ^<\T!+=b޳csjsp8 [)ߏţLYr .44;դ.6ky`Wksy8å>놜ɪ2dlcursJk _g`*!9D#Gl]^)Ҹk[{<^= K԰ndy 09A;.L/SaJUGʉ}"ҁ,2\:Oܤks|xǼ>< M3뼞v!sO  0;aQ#S.8' 6sȏ]1mi&D@9W㗪`Ҽ(i(e' 3h"7 DJ3A>9tq \w(<ȍ:CKH!,h ?qaZyrB_R{ZG y}MOꐲ7آLtWϙ j55eC3&~"O8CSBGG>R·3~ꤡPn5+"'zvR %ha"Ծ;9 äl$~j~$aoV`l5 endstream endobj 3447 0 obj << /Annots 3449 0 R /BleedBox [0 0 612 792] /Contents [3458 0 R 3454 0 R 3455 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27080 3456 0 R >> >> /Type /Page >> endobj 3448 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3449 0 obj [3448 0 R 3450 0 R 3451 0 R 3452 0 R 3453 0 R 3457 0 R] endobj 3450 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 233.3423 202.4252 244.3423] /Subtype /Link /Type /Annot >> endobj 3451 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [104.1732 217.1423 176.9712 228.1423] /Subtype /Link /Type /Annot >> endobj 3452 0 obj << /A << /D (unique_401) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20211013063105-08'00') /Rect [104.1732 200.9423 176.4487 211.9423] /Subtype /Link /Type /Annot >> endobj 3453 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20211013063105-08'00') /Rect [104.1732 184.7423 188.3617 195.7423] /Subtype /Link /Type /Annot >> endobj 3454 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3455 0 obj << /Length 19 >> stream q /Iabc27080 Do Q endstream endobj 3456 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27081 20830 0 R /Gabc27082 20835 0 R >> /Font << /Fabc27083 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3458 0 obj << /Filter /FlateDecode /Length 3620 >> stream xڭɎ^_Qgbj_A@KjA9>M2?odu68T޾[&To1囬sZz')EUnNj-߾WmXR%h|&>*eRޟ~'ƻ+NwU 0Y!ʷIiv p]J'T(u3Ja>|/!ExE@҈ C2/Qi⮽:I}> hN"UίJ\WrU.:XOa @M;?̥ @62蕶Y!&*$N2i_|ҹ'}y. Ol[ [IA ͇Ho:ۇķ*9k!e!WFM²G*9I/~9 \Ʀ=W7Φ$.Nbp:"o߁y|!"|m/+c2`Maݴiv@UvKO@4-itYɕlMl$)4Gp]ğWKr#Ou͡vhWkjz@e-ڕڠvTE0(8+ԃIf A>Hl *7RXۮ~>.J>.?n ƖPPj,lʼne b?qJrL@k ?mfV,fhd )tl޼l]Z\y+v .VQ-.eԵwB!.w.2a'W6=Wn9d-~,L`57!3}14 !t u!ctLSR`W*,ɛǺK.hJteSpteR;ZRe6) } %bXAKJu!bӸ `q6_;oEVp=dtYd;MgV}Nֽǝ`STj^3p ťq̿;؍fa"܌uhΉP'Ķye$<ҡJܢ)yŧ\OϞS=O agZsZH8 u)kӟ|1{m󏘯Y▾; { 7OV-v% I9(DN^]D,Wl1 XZ3ZhD]G(Xrs\>|B^@Ww-wa {ϣ{t~1fZnm!q~CK:a;xn,C}ۈ>,~axP %X)R$N~|,.weB5n#&'p,@<'ڜ:f R<=wg:ޯF{M!QIYO8bTWh5uM[ WI]@dI_Pt&5_ǡi~5XUatP(Eg d,Ffx}xp()u jO a+-x^Fa^Keg|!ND5p-w=RN -ƁCp3fx#,VzFwT߸݇nFΏvEiض291xΏ# E+h)X sMn\\Wɭ&;ɍ](,y>YBHJ6ҟzI~Va]V*; uzB XԖ忪\AiJ MPKC/.PI>PE8덲[RR\nَ~e&JkzICN@f#,\g!S@ y:.pv3p \6L5LIι\; JVPf,h..ă¼KӚ3?sMx⒉z?a1V eډ=n6>Ku.WCgC. KPzd#fQmZFF8 endstream endobj 3459 0 obj << /Annots 3461 0 R /BleedBox [0 0 612 792] /Contents [3467 0 R 3463 0 R 3464 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27099 3465 0 R >> >> /Type /Page >> endobj 3460 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3461 0 obj [3460 0 R 3462 0 R 3466 0 R] endobj 3462 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 344.325 147.728 355.325] /Subtype /Link /Type /Annot >> endobj 3463 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3464 0 obj << /Length 19 >> stream q /Iabc27099 Do Q endstream endobj 3465 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27100 20830 0 R /Gabc27101 20835 0 R >> /Font << /Fabc27102 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`@ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛO endstream endobj 3466 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=233) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3467 0 obj << /Filter /FlateDecode /Length 4124 >> stream x\KoW@ ")^`o1C`?"YTn{J0ۖHbU}ŢT F__R8*K~|3O_))eǍsFo.r7/nO<=8_۵yRq^y"eS?'0@ߑu֐:7T.ӿE:G4vmipMyOÏ߆2|A)eej{0 윟"}iTpzX{ot"8{A3?>~wup.ug(Ke~r6y$jaOAOSf\x)r2Nx-/oCI.KǬf,"d|hZNֲ?ONEԆgF8*"(6e'?'@VYFWdޞɛ.:ti^ş&cY6]nPo+$xFg QːtՆ$yx#bM71 ޚxO.½$L; oHfJeybVY 5l欬t8+2׫\mވb ÊEQ'?A(7S]SHⰮwn`a{1V+7iG57S~5MqC~ $xk1B"Dd…F<3T:kD[p&aw 쪖LBxp2eޯdZjU D=558A#eƭ… uaSA] 4B甡2*35ꅨ>ZPRaVwMk" v#wԫUKI14muȜ"ո8eq)]RRI}20O2[5͊M(RRljetjljdجEƀ,D*٭.VHpGUy)y֎Bn%TU4a0gkcPb ~7X'\°YConS*KUL}+:m`6@7\``, HS )1X](i&s+eb5S$۰Zv= ^ծĕ}7-vpr{p[8yAOyW8-NW4|Np2 N'ɼt4?Nad6[σGd3d>?N~CNn83GdVOٮNz@)0}!y@@4_Pd~^$̀91|;36 v;s5bs|^ WYIA!aC _zn@f6Mi竤G~|M z7I{iS*rӧbq@{ b*]عLB?Aߴ ,3@rOZ|g^ lc86sy#c+s6vg1X7z0PS G1:<7[N\ʮ)ccZZ_3!#7LkN*^y^]ۅ9",gʱ #='b=TωQY,.NB/HRfEH()A+ĺJFHAbQbkAʢ;ՕOaKu]mp-=wA}(P#m vU"68Dr'8lJ~9p[]B۩L<:\󲦦{#"zX5c+Mb'>JxA|+2;mM{E&=#jUX'K+FY0L1gFfqGr%E+hݚ%Nc'y1b)3aZd-Z9.k4uˬ1٭K9;M +n䰷 ejѫE5-bZ ^lY #ZBp5JOuG0n>fW3~d~4z-$÷Ɔ%Rs TH\(K %&>BQCzGC)]M7;IjJa4+t,Ӊٙ m9nߪ\^leg-D3nW'yp99MsfqJ!L9/Ԫ+7Z:lOs\1|㇧1կ3f{B)D` &)yL1~SRDΌN?{Q{gWxL_sGyUP('%i#o;'ȳSb8Z9 _'$Kg8A2 nQӭ[ ", endstream endobj 3468 0 obj << /Annots 3470 0 R /BleedBox [0 0 612 792] /Contents [3480 0 R 3476 0 R 3477 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27118 3478 0 R >> >> /Type /Page >> endobj 3469 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3470 0 obj [3469 0 R 3471 0 R 3472 0 R 3473 0 R 3474 0 R 3475 0 R 3479 0 R] endobj 3471 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 295.1692 202.4252 306.1692] /Subtype /Link /Type /Annot >> endobj 3472 0 obj << /A << /D (unique_392) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20211013063105-08'00') /Rect [104.1732 278.9692 192.8772 289.9692] /Subtype /Link /Type /Annot >> endobj 3473 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [104.1732 262.7692 176.9712 273.7692] /Subtype /Link /Type /Annot >> endobj 3474 0 obj << /A << /D (unique_401) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20211013063105-08'00') /Rect [104.1732 246.5692 176.4487 257.5692] /Subtype /Link /Type /Annot >> endobj 3475 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20211013063105-08'00') /Rect [104.1732 230.3692 188.3617 241.3692] /Subtype /Link /Type /Annot >> endobj 3476 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3477 0 obj << /Length 19 >> stream q /Iabc27118 Do Q endstream endobj 3478 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27119 20830 0 R /Gabc27120 20835 0 R >> /Font << /Fabc27121 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3480 0 obj << /Filter /FlateDecode /Length 3210 >> stream xڵn#^_QgԾ.!iqh\y[-$%JvlU]k ß\M=c□///_ǫ>7'clk&]M>ɍ?0+y瓱c^Nx)va 6..617=Z^;K<bY1?MP̈́y^ק#w>õә jA"_<"cf4PII$_5* ބVk6Fݬ/R@zI#7z,=LJytNY0d20(VbFdZT#3.# KLՅ6ܐxκ%yt}3&:yC6CxdrYGOq_W^jJFDLw{{{$z~D'~Pd/J]i*fBZ EgEc/ǽ6;!7۲2Ǽ:2!=?#̸a}ss,sʪ%gvO#P9͜(J4i3 oJ+X}؁ӕ@"N}{!o?>Fk[snl-r_B0Qi-΅@!]"H[0B=$Z[RFp`ꇡF#^l[1eG9ikF$w§ /EcH Sq@LAbB zߘ7G.bOHW Kl#%sEIX+_g_$O@jJ fn1iK^CH$ f+|&ze慜: !G5A0GITp3Ff37a3X<+?CR5'ںO&a&9V@ScMy|]jtDA7tp>Y=:vSP:YUFnBI K2U 'ikiڻ`/G=7EGY_ܳ5 kGg_bW;A1Uk]u`+'w;vŭTqrM[nd+[MՔZ[fGW8Gi Nµ @n="sm]$5 vh%̠|r냢zLLMƄg!y+dw&PS e> @*BU]\VYʟn*i4/Q se7?+nzKm[ϻpW?enNN޺+Wcwss -p9YmoX#E} ՘7ģ)Հ:[([uS[igwܚ`视Zy`M{#3T17m{ KNuv0 )+~`Wnc+y lF}hnt0hz-u}2:ep#;vxo0V/;!ZI!< =?_+ߒ<} @zJ`>h+mY  J#H74;p!ux\{)Ƙ1:: =|G2 g |8h>L_ q-~SA8n+HI{=90]#'itU\9ֹ ]xY:ep`tx<U'}D4Xy$6!y'w6-n ӘX0b8]jDmb((}>u;KMG7H2yj>aT?@zF{x/Apnqq\Lat?Qd1l'_~ɹFWj1ۅ ^!\2t ʟ@N!rWgaq"Ku5`zeI&*?+~yqUs2&̞vЊ@%$춺fh!}5ag+Ֆx hWoJ.,rw0C\67Ę xW+1<3/=t_'0io*R{۩࿆(ܙ%L_wRfv >ŋ<*N(w "O &(m{znZהHI0 IJ' mkR2!y8euvGw@,o%oh#y5(Ù"-;*'IPN8|^-]{ b .&X%΋׮7aWd@ +Oj m sFd'e$%/#|VU$*7n[rOKo86ܖ= ƞKεiYsY/ΠCV:jdet!SgpuOu[! #|rΞƔ׵]Jz[ICM,@`đ;!sĸ/'?U=~pew̤w2 Fsk^wx{TPAKVW-Uf&t$;r⑟ qDP#s_Bu07fVIsXDI}Ğ%]M=Va`Fb$pTE`A'G endstream endobj 3481 0 obj << /Annots 3483 0 R /BleedBox [0 0 612 792] /Contents [3489 0 R 3485 0 R 3486 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27137 3487 0 R >> >> /Type /Page >> endobj 3482 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3483 0 obj [3482 0 R 3484 0 R 3488 0 R] endobj 3484 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 354.325 147.728 365.325] /Subtype /Link /Type /Annot >> endobj 3485 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3486 0 obj << /Length 19 >> stream q /Iabc27137 Do Q endstream endobj 3487 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27138 20830 0 R /Gabc27139 20835 0 R >> /Font << /Fabc27140 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=hx!(߄|j.P3Yuج wRuf[l{L̇h]}ID*)sZ yp3ΡDHIO]@ U8Ρ\j'|۾{w& endstream endobj 3488 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=235) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3489 0 obj << /Filter /FlateDecode /Length 3065 >> stream x[Ko$Wl;|h0:rrZ{ԋiV=%F,UŪ63#N>B:X$:<=~wa!e7Ƅt'g-jmU{j7W.WjWl]SS7w:_@/:^<< O?@E9 Tx3<]տKxן^>V:g 3ʤ?pX6iLHUU16g6VO6"?X;=q|oaxx뜒?aVG1^y5Dr{~CsXI]IBrlF{.`uEo0hzyೂOn#4{{Ã3 `R~O`~O FkyA_gAQC{O!9R!Jc5kZ3iƃ˽GH33V'rp4:Ycw†M~ SCqX.bL!}KJ"G~Qሱn)BKO>,ë͒"q"䠏$)gozxJ|l-T^B:0/T^SVf m#}\/3QEi`30 h3KOKY`&q̈Z >s D☦ZF aL[ڴGĈ9kgsq" NuM; y17soǽ1OWUQ"] ~yn;ۀۭ =-qqBA uq:T2`'c.^[|wRMXV7,Ze\zg V`'ڴlק}S4Q$2X\w<8i~!qXeHDviOv=g05exbmwԏ @{s[oìȋ=Cd;ǧ "1l #D`@/x,\d5Ⱦή}I,|~kg:Y3#OR?OFq]i+8Ͻi;"8zTQ7znHqWo%Wn`hg'/i*oY#z +#49`G:5(aO |eIĢ]A{uD+ TtґE8a"ԂBK)-vD$kڤPh~vc:5N'Dxb?kIYJ]f#qaXdKZO)L+NjXh JQU^ܲ/iG)pxBiɱaVl&7ObS# ,0PfGpŊjisEbeJ<%99I3CysEӄc#Vj(Y Ejӣ^TFg>6e(1 QE@Jq+  .8G.Kdva,uF&QOG8fbf f}B츝][zXv ,,\ }`v`Q]iL_()gs+E,۰ҵF=]W6Xyq݃ z*;mpRo '5INjT'}%8*pR ;W'I 5:^N~pRgVwIY>':]Nj:N{pz=tmԱd2'% l"s$sz b"́SvwԴ8qOC~UJ~@aH}o|<6 lfir_{_mPܷ?L ]2-ia-|yd@jS8b ?@a@q~YX-ēQz|wTj5zoX2@-YM19kVIJ ʗa^# uθr(lNn kE# R:"^&}թb{j~tWTtQu>Ӓfz{Vv 1sSLr3~`X3N^țٮ/jQ4nwD' NQy!sH.;ŨA V yx!ZLx-,j ʢO)WqI<#Mio$ݡ4Sd)u4HUckD#u;2XluCZp`K:В^?cxY!SPS2垴1dX稸h@,ʯ3.d/wbH 3P7g+wҘe) h dX> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27156 3496 0 R >> >> /Type /Page >> endobj 3491 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3492 0 obj [3491 0 R 3493 0 R 3497 0 R] endobj 3493 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 3494 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3495 0 obj << /Length 19 >> stream q /Iabc27156 Do Q endstream endobj 3496 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27157 20830 0 R /Gabc27158 20835 0 R >> /Font << /Fabc27159 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p&-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}>P endstream endobj 3497 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=236) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3498 0 obj << /Filter /FlateDecode /Length 4002 >> stream xڭ[Ko$W`:| H#ɀoi;ԋHkGdŪߴ_t:Z2E9hg>,9˛hLHw XB{ɺ֮ϟF{NӋFc@NhBr p5ꟵYRYY>ْ'B22q)e-YDzd` 0ahı0=Bʼn`8kzĺ;Z:&t2è5u|˒ߐnPj(%\EVK %ܯ>ik5mCRʃiXPc,Z䄢'w8_yQ>Ϗto3<9t,i VuѰ 76@k`UVbM)zj_BO$h)ށD;K3m-vh[>A9k瑣dOluBj`CYŬ)b&$^ .ݲ@a: {fZMQ3d`ygފΕ3u ܦ$m:c-v&x` !k'9DN(0 b*9,5 <7#k=8Wjuꕭ!8ִ;U3C':r)s= UT4k+؀1D>p{@3wnwkYozsY`&vob떗F-8ubQ zUpb[/9}As1n݅^1.jdt'Fy#ljn zc7YWwT9V";R[f3`go2ebh{$Ay>?تkvl)A2 xF)R6$ y\ѭt:/bϝorZ2J(ro[tr]QL "#"Ə ÐW<àNI+=zU0+Xco˲S'O!%̓(@;xēRwQB"Q7t; ZXk]P8Qo2R bW\$lH σ,faҌ%[*^'/xԘ>D X m:J%t2S^IވZ+ ]*xi>|48Zj/j=Uݺ7)6sA{Licq4!l6m #L0P`Zpņ˝JՉCT K,.%KK>I3z ӄbDԖf&͈ZZatfD- F H jTnbCT |&Z*7.[2*^T Ϩn10'jN[p˜&@q.2.cZ9P:`[OFXv tnn 7ip;nuXj$s+b3S5Hceh9r{rg]+;NJ,ݵ8m= Npr[8w&8P[}Bmc1g𱠗5 g`42/-:|Cjy#IFZvnӹ+S¥>~J_gֲȎvq]U['{zFu!=>JZf. б9J:1WL=`&Y75p(/c'E WAGID6v.9)%IwiEos]wiV>ie9 ,^$ )A-l^_§BT$"O .\ 2?`:7uM6n$`X^D \WmJd\Sy-Y_x9-4Ԣj:iKV>;gfm7>[>^ Q+ }Sn 8;꽬e؆$j|8AUtG꩹*`8tNNxbQN'O0?*]ÒS٦0 ![b5YWfsċ=d۠jqFQ<i1ΰ,Ov1G1B:L.t2쓈k: g^(%4jL7׏ID~ZSq=#Q@GL8g z)V@C4| AsMΟφie\\]_g]0rWK(515rYFƹֲY8O@GPY, ߭P0` 5y4}lԫ\x$o6tcKjo`<] Hq hzP>a|S5>-.3!œf>btMMY_P물G4tt@!x{|j . rڞHI>  yFJ2a#N- o6ҫx0g*|%I'&W g\,4Bۜ}<|/RY-efZ;J_[Mm1cyx*BTCs֊+'rtc^T\*,>p&#EՇ& ~PSdoE có_*;{9e>opJw{>!M\|6do>ƙzc12)Ý.;4@.캻@OҰ.qI?]O0| Gsgi{ۘW)%f0NoqC!qrp|13=d>G)x~ȇ"G|gۏvꁎ!jvPq9(Q t:.ģEW)Ğ%](U[$nz=d źQS+0 Dg. endstream endobj 3499 0 obj << /Annots 3501 0 R /BleedBox [0 0 612 792] /Contents [3515 0 R 3511 0 R 3512 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27175 3513 0 R >> >> /Type /Page >> endobj 3500 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3501 0 obj [3500 0 R 3502 0 R 3503 0 R 3504 0 R 3505 0 R 3506 0 R 3507 0 R 3508 0 R 3509 0 R 3510 0 R 3514 0 R] endobj 3502 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 202.4252 608.2] /Subtype /Link /Type /Annot >> endobj 3503 0 obj << /A << /D (unique_386) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 581 181.1127 592] /Subtype /Link /Type /Annot >> endobj 3504 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 172.7747 575.8] /Subtype /Link /Type /Annot >> endobj 3505 0 obj << /A << /D (unique_391) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 193.7847 559.6] /Subtype /Link /Type /Annot >> endobj 3506 0 obj << /A << /D (unique_392) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 192.8772 543.4] /Subtype /Link /Type /Annot >> endobj 3507 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20211013063105-08'00') /Rect [104.1732 516.1999 198.2837 527.1999] /Subtype /Link /Type /Annot >> endobj 3508 0 obj << /A << /D (unique_396) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20211013063105-08'00') /Rect [104.1732 499.9999 171.5647 510.9999] /Subtype /Link /Type /Annot >> endobj 3509 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [104.1732 483.7999 176.9712 494.7999] /Subtype /Link /Type /Annot >> endobj 3510 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 467.5999 192.8002 478.5999] /Subtype /Link /Type /Annot >> endobj 3511 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3512 0 obj << /Length 19 >> stream q /Iabc27175 Do Q endstream endobj 3513 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27176 20830 0 R /Gabc27177 20835 0 R >> /Font << /Fabc27178 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%UthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3515 0 obj << /Filter /FlateDecode /Length 1582 >> stream xXj$7+(hnBC!+'{3su*U=0ttC%4R"+ĕT?xy~XvhcrN7Z۬uk=Jǡ~“`0Z]IXe@ mQD(IVfrAm~+A.N͠lXI&m 8I+[<$IjU|0TD &}RAh|C>|ɭ*/ .7^ HpA"*8SPﳬHciĊ K*`Q1!}fӉ%^Yh|ZfP_}h;BnYrӔe4=&iS?jneXg/2Uzm[ u]YiJ_Q^kԮ\Hc:*6@ rUAU>O* Dv"p/֔mcN[" &W~+cҤis0Ѽf}[ `a\)pS1e.\<5扌k,c2q' Fiai3 vʶ(|%VBFDk4Og2ǭ[7K `}94nsYfB C[\IpO^ Ƒl>#++ u-(pj"9Wڵ}k!PC/@N36z Wm>kp—}Xo +'٧z}nh_<cqu֯=8H^ZOBh[/.dA4z:๫' =^VllDΚ gߞQxQdŲ0'3]Dwx!|'xh;ppž*j/ p5ƞVͶ34Kncr|o'\KwVkX0 _k햙=|@ғSW| ?Q/Wr䬡Ee endstream endobj 3516 0 obj << /Annots 3518 0 R /BleedBox [0 0 612 792] /Contents [3524 0 R 3520 0 R 3521 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27194 3522 0 R >> >> /Type /Page >> endobj 3517 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3518 0 obj [3517 0 R 3519 0 R 3523 0 R] endobj 3519 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 313.925 147.728 324.925] /Subtype /Link /Type /Annot >> endobj 3520 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3521 0 obj << /Length 19 >> stream q /Iabc27194 Do Q endstream endobj 3522 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27195 20830 0 R /Gabc27196 20835 0 R >> /Font << /Fabc27197 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMKT endstream endobj 3523 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=238) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3524 0 obj << /Filter /FlateDecode /Length 3918 >> stream x\IoWg_*JlLdg\=<]18iQ` `t=ф2ټ/i5-J準傃:bX3x =f䏓ɮVE;>Dt)zm*H0`?rc鼈%y8L}(4Z;G&Lϝfw4ozdWrF~_:p[+>W)\Ou }*U+.ꢮuLx^Tkf.wkݨ7fġFwb?`d›W/KPb(i˄h«fXGy[H^MH$Eߋ/&WAyq:M4yEYͽhfyca'Fs47^q BH6FqOOwQE&flRx4Xr-'Iā3x, %i1w=ˀhYb,hEPd b#TZל%l'at&bG>d\/PHJ@8QHG8@́h2Vap>BDX‚Lv`աT*pe&T=^ (\\RaU& GJkRRjh~c>UNDh65Ks9ΓcefX$K걣dƅE4PmV{U*J.I]П;WZyrF]o읗`H#8XbNji)P S8$)$@SA4*Q*jdӬ؄"YU3=2جLYǀLD*m_(J5`WnUe)yBo񻕬ӮEK:#X㽡:9jMM>vvj2>xa:+X,0 X=X<,\ uj0}L۪ꦪlJs6zֺl2 ;8)Qw''T87p[ɭ.-Nj~7'pRI= 4?NaVH'I GIu8+No:3;Njov8 ?8]ڴ'> @]F O@}-x갴 SOǬc6` SNmq=q#238ǝ8&zD4/?a^ &F49ޅjW:,dO>/2>b&k, g{P'(t>-'j#Gv͡[l {k0Z+Nun)oV1eb1L|VL{V]%>r7,&`ݷg&My&IkUMLIU:PuH$ p59Ո]A3;dS#K4#Y"jzk=Ӡ? ;bXN&b~Ҩ,_L)-C,+ڕM-L:WpꚂMralsx`v2OX%'Ơ\qg,JbJ()YOPrف<̲܉3Vؚilݜ9c/r8r9\A= s10LđYO AG3()qX!N+ޞc$Vn &X8EQ@\CҘ}qx(p>+ ݢp[`cn4"'+L6=:QT'|s5,`۩wzƍ[98L=OTDOT/VeXmZu'"%.yz4|(v)v=vb.5t|x`H0#_ ֚=ccyxaFsP]IV aS+ʬP\E@;)Ն.Rh3|N:_*l{k/˘";MwliB8Ëx l[ѸZto$͘/Yw+9{/Giϟ\9+|9mt79ȠMr^vΑaI^J;hR` zn*䑃0{9ޟwGqCp~z$rʻvhjs__Y.~}Ot/C93+ Gnkq 5sSswlq^9&XNk :pLcng>^wN2ǫN'4{ ?QG endstream endobj 3525 0 obj << /Annots 3527 0 R /BleedBox [0 0 612 792] /Contents [3536 0 R 3532 0 R 3533 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27213 3534 0 R >> >> /Type /Page >> endobj 3526 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3527 0 obj [3526 0 R 3528 0 R 3529 0 R 3530 0 R 3531 0 R 3535 0 R] endobj 3528 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 334.55 172.7747 345.55] /Subtype /Link /Type /Annot >> endobj 3529 0 obj << /A << /D (unique_392) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20211013063105-08'00') /Rect [104.1732 318.35 192.8772 329.35] /Subtype /Link /Type /Annot >> endobj 3530 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20211013063105-08'00') /Rect [104.1732 302.15 198.2837 313.15] /Subtype /Link /Type /Annot >> endobj 3531 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [104.1732 285.95 176.9712 296.95] /Subtype /Link /Type /Annot >> endobj 3532 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3533 0 obj << /Length 19 >> stream q /Iabc27213 Do Q endstream endobj 3534 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27214 20830 0 R /Gabc27215 20835 0 R >> /Font << /Fabc27216 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pfRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛX endstream endobj 3535 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=239) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3536 0 obj << /Filter /FlateDecode /Length 2976 >> stream xڭZɎ$+x6Pi P([o2Aia\[vU/Hd&Ej_tSg' ~:5[4Q?;1OWc٘'cc7^𾸓zPB4%c?z41ĺejy2vIUfXGc@jB0ٞo!o)ﺟr[q8 W>y "ϛ Ÿ ./jxNʢ`zgNr;AH`[ tc-jQM68y%e=#sw2\`gD^=)fR6l& mxլkњy[8_X24`oJlQ[Ib~ ey~~cD̑" 6e=J .oF fÑ(ic#{.c KíXAJd%96!9%vLWa΄@L ~%_+P;Q3!Zci@7ɈK2L ~2J(^SUfU+wBD"j\=`~IHC,/'u+D9#iq}pz.Tb7f-܀6 =yWEÍjxA9Yh@8TL.-^&<<)TDUp[~pmz޻Uޔ ^jXnt!T\t.Zd9W@J vڮS!g.B a |,hVP}wͫ6zёiz] 31!x"q᱆ȮᘧZ5ʡ~V>LKdp FRģ!7bdrJswPYY.an^kf~Ԙ"(.Ukw[pf:.͹4ލu/ RjQ ¾+n ˹Ha>w ~-{yTM!q;g Z1|Vdt;dlx. <>ߡ!Zo' mjۤK׿ ~K_ޝқ@mР̭zgOrwxGNtP*!ĥ47ݏp2't@@'`J'3+_@0?<a:XcMCptt>Ng J UxG,4>1R C}50 & 4ǀA)S)v ~{JӁtƚ6T7yF>̕Ol:U+C_vΩBmxǏnҾb7}s~~`t`;4Τge@X2}ܪ8o@_L.@M@-o73+xvޤ [3NZ%DF PϹz͹03yg^ yxWojb.- v4CBe&b.PqD{;fz>N\GV;5Bi:˴o1mdz^_*Q4DDj!UI-d5ݷ]!^K6jaz!WAK+{F4ĚL BO~d*lVG\lU41BߋO1F$P)yr#<\~'w.lxwO ZIT#s_Bs4lVIv{Xzܥ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27232 3543 0 R >> >> /Type /Page >> endobj 3538 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3539 0 obj [3538 0 R 3540 0 R 3544 0 R] endobj 3540 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 298.725 147.728 309.725] /Subtype /Link /Type /Annot >> endobj 3541 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3542 0 obj << /Length 19 >> stream q /Iabc27232 Do Q endstream endobj 3543 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27233 20830 0 R /Gabc27234 20835 0 R >> /Font << /Fabc27235 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`eЎYzrv"i,䪍A ?wG?{`%(`6P\0&U$c"KS¯"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OM٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3545 0 obj << /Filter /FlateDecode /Length 4073 >> stream x\KoW@km{ffA`OnI;nHUR6_giVǠ'$CA;?04zYƘn1_\R;ުEɺҮ;k/Wnr~hpt4^Xm_ i [?·s /kkkǧ0'a41<| pƸ{ e㘆i*B43mD#2oojEfy ǣ@VxZaR`2'(Gmݭ& XwRr\WD-]C sR?@;.oПPAJclcz#L<`k&OOn2Fw ?ӵ;|%7a 0@697벸n VB5C(<'{?1ɋ(9d_8G^4Ќ)!՘Z5|Dz' tvź#[^s;jaK4!:oKZgdî ]i:;0 tBJb$>pl<>cr94CP0A+NR"N"~G /I01A b iI㱆] ZmFFv;n*1DXƑ$m-APmab7i8qF&(,azX}bc4hBaNxalO'p2AX Vm8ԇ;9uv̀!Êb!;ڪKCX%E 08608n'.TӴ!78-"L(Z(.?lRAj>*T\Tڭr__Sp2oQLKb[>c(.eR-,p:reqŲxH=,Cm(['fLlנ3E:C֛gpSbj5 vשjWK5Vy6,, Hb9> ~u -0ERJ'p_sl7f #Q+Ya($l'g;z[M4mI̪#‰J{nkr,TWe3qO\2PBhkH,q/>kJLQĉa1ZrPO y MAf_D\GKXgM]GQ} T=K sJ OK|$dy48-\nUe Me7d(6!iRI7k? $1M8V"JR< 6HmZtfړ*4l"ܱ҉2K r%mBT6u,Y9\h ~:m.X`%C< ,;8T=Vm6phdbTUeW_*Nul X`ΰp[p ,yXȳۀEFv5j0m!OkR Vr⦪lJӲVzѺ2t8)Qwb''87p[-.ʾN~3T'pR ;I=w<Nb[[ऺ={>qNj83[शfwZNpӥgԥ@ԅ~xӥ箯T^w[@f L)-@I\R+:?JC/꩗P0[ ƉAUZ{T9bWd88mR.}I|ZVޟ~B(^N~y5I#Ѥ`#L9w%gY9,V7}fVM75З Ay$5{:r8n 8zʍ\[{mVnoȫyΫ976\bm?>&1;ecy1;KJE~7n70Btmj&ݴ` 20H *ŷ&Ʒ_:_tŭiiE|g+UqJVԯuJu)׸ sM7=N_pʴybC0q+v<Ny9?oSk {oU_`DB3mdWY`gBh[q.Ìjh'W.e>vw;)!ěgU]g 9ӆy(Bͥ')@f)f-ITB5&[ 4x8GQy3`%/[<{LXEd;#B)UJt FnoK~EU:2d)/q.<pQաV2oo!AQ8Ʀ.m6֚Կ0|fl> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27251 3554 0 R >> >> /Type /Page >> endobj 3547 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3548 0 obj [3547 0 R 3549 0 R 3550 0 R 3551 0 R 3555 0 R] endobj 3549 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 206.7539 172.7747 217.7539] /Subtype /Link /Type /Annot >> endobj 3550 0 obj << /A << /D (unique_392) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20211013063105-08'00') /Rect [104.1732 190.554 192.8772 201.5539] /Subtype /Link /Type /Annot >> endobj 3551 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20211013063105-08'00') /Rect [104.1732 174.3539 198.2837 185.3539] /Subtype /Link /Type /Annot >> endobj 3552 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3553 0 obj << /Length 19 >> stream q /Iabc27251 Do Q endstream endobj 3554 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27252 20830 0 R /Gabc27253 20835 0 R >> /Font << /Fabc27254 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo$\ endstream endobj 3555 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=241) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3556 0 obj << /Filter /FlateDecode /Length 3423 >> stream xZK W@9z?B]r۠& ;!)egTےe#)Y*TU>-Ic~W ϴ|kâZ-^ytHJ==+uJ\YK;_K幍k__IMXs 'Sz苴X R)(GFaq߿g\?_a&sZ @)T*,1'a#t M==Nq 2+E~/|SQ $o̼>4:(R#^x:}m/Jۋ,ȓzF$N->p S;|m&=&َU{kj ԇ )%xÊ<j H5xɸ-/x W[+$';I,+ r'_2Z$_>L S/tPIwGy B$نb(@SGPAU%2;2(cY-`Mw4/S]K EVVH+G.^LÕgZ9JasCF *3X yn*y(PV ``|;r.a/'~/M|7Ӆj+leuT3hmz{ ݢ\H;b {@(v2ٞRkbZ:h {` %  kppZ} 1mH(;0ћjgLvd=cvAŲDDZuJUB1OMc926L]-d%EmHJ8̀pu7hjA>/p^fmE32݇Cfl[17`2 +>iHaGetmA ue}]IޱpdqW$wԋ ;Hn>˲H`8KWy6c.fsn u0`5~qR '-B L!ց|l^rJuF 4\1@%̷V-c!d5 @01=$N|k4Kw<v*w'Y9i"W(|jЈ$u8ɦ ԚkQ!1̯"f'UFa:1z;i/(JSgRJ=lKL),!N^K~d#\XsӴ57C 4I 6iyOsp~ij7ÙHsLؕ&#>6nr2{?<Z5 k:G@A4;֝uWBZ[cdT;<?ӭ֎f#0 FKL1ZBѾFK%.EI=~ >y2$F2D|8eNDZmۘȗSzwkhm :d w2g >;fmDΠiA@vm'#s\9s>[w0_Fw ߥv>rxLŘhLǧ3aN`Xl$=}zBP6768"Ojqp]$Sh"71+"w" rg1 v2Rp_gMӈ\)9h ߱6Ot:!PKb !3Re"mmx>1ݛHNFΏΙ`0$([6NMS_7AlaT/7^.)ުv+jrm X[~x*edqҟzW<<{4GtҔZo3iǡl<+EXV̘'%L__<3 z}_{SiMY.ΕcѻPAfeH<9 k(7ޭ(_3הx/4gYe5EլD3,ߍ>cO%nPQ54Sٕo`(F.$l,0b5K endstream endobj 3557 0 obj << /Annots 3559 0 R /BleedBox [0 0 612 792] /Contents [3565 0 R 3561 0 R 3562 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27270 3563 0 R >> >> /Type /Page >> endobj 3558 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3559 0 obj [3558 0 R 3560 0 R 3564 0 R] endobj 3560 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 3561 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3562 0 obj << /Length 19 >> stream q /Iabc27270 Do Q endstream endobj 3563 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27271 20830 0 R /Gabc27272 20835 0 R >> /Font << /Fabc27273 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F2~f| fpYw.Z 5J8.y-xvw7p>6W^vqWsRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID$6o.\gy4~)/_d"Z`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9h#bwo* endstream endobj 3564 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=242) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3565 0 obj << /Filter /FlateDecode /Length 2909 >> stream xڭZIo8W܀=eV=ИSuW y$MJ-HlRE$ʲ~VW?]tY^, ~QwO砝O>9EycLH'=9O1ԧPKImJﭫZۼ/+tDrB)O'BNN?hB{rp76sZ(2g;&*2lEhEfct\lb1=3,<;c0!q{z@IE([V) tzgrNVP&l-\" p<*NjNX+Ji,O@GmCbw뜒RhAN2 !COko)vf9kmүϿhw E_)˼iEg9,;o+z]Mog\+h|~g#?#Fp#^۞N Ff@0b a692nVyb !RM3e&8,spk#iz,bؑLc%Gc lAe%&9h!"qࠈx$IT]Hy9 "h/(hAO[ C/ܐF$m-D 7!BPKQ8z<$X·(s?[/*Pū $[?+ }{oYmΚ -:GƴXcjV*my31P;_]:r7+PhݚC6`tY9wB;fw:eߛ{ İ^|5Lk½nuyHW[ oW }^2T&QkL[wWL|t%qp4 2ZgD,j䞩ukZھyiwjA7 yd^џWR w-X%컸/>k~(!1=fm&|b{|L SyH =+liX[)%; 4lv DpJiJzO H.j RF~U~/6 #QzBWJ1z LS՘j;<Ĥ45f:8@őd2ư~++-Hp:PCJ*RM^IX!wER=VLԶDNUmKz)KJ(|/FDdb[ Af )6EF°Ȗ%-s'{CSV@h H%z1tTUn洋5\0PDrF}+~/M6b}i# ,0PfGpdS5a4Eָ"sıq2Dʜ$ilPAE4ؘj5vˬ,gUycGfmqډ2["IɊazYUb w:2٦E C]Qe1ˮY^o(fphvm)Nե5n`a7iua:XٕיDY,^5LUgن 7w%l: ;8)1w'{'T8]wpm᤾8%яAH]z >f ,)m 5f.ad^Ua4.aLocHW1dֿGcm4m%ÐpNOu5zQtϠGun\ˁ? x?[oywx (]O/;kyګ~"&ݿ.fY{ ~8|3\ {妝z@vex7 !M6C. zSHSs^[@63fLSg jnS #{!Xñf-M&/hPry6ixDQ $ъDG{B]n8Ԏ5W{5ʫZ]iu& w; e녖3HC*hxZg փ3biksk)a25R<[/][O xG ;uӟ .`~D9RT\z.;Q҃ @՘p-j ΢Ϋ: YxY5NGh$á4S)54HUc_`Ƒ i Á +PВ?axYaSPUO0喔f2UsTLi@+(+1˯ql`gCBy&aPoI7k11ID&p*ZZ@4ijyVHYg\ E͔XIz&YJSm4ҝ6Y7; a&FY;?m96u,Kgf躮y ]i2M|8o2 h)d@Vbuؖ:cW\A2ݣPs#kYo#%&\՗˂$-,?&X3g;x͟~Vn+0]?TX.1<M:=Ce,uOjHW+ 6t}FܠL#eܭ7ܝz$xNr+˳y'~YN cu˹<*23s;!rQt* 0Nxn'ډpr1˹_E*4+W> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27289 3572 0 R >> >> /Type /Page >> endobj 3567 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3568 0 obj [3567 0 R 3569 0 R 3573 0 R] endobj 3569 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 406.825 121.8835 417.825] /Subtype /Link /Type /Annot >> endobj 3570 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3571 0 obj << /Length 19 >> stream q /Iabc27289 Do Q endstream endobj 3572 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27290 20830 0 R /Gabc27291 20835 0 R >> /Font << /Fabc27292 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`e#thǬ_{9;u S4i_rƠ査=0fcX-LF.nf  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ1` endstream endobj 3573 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=243) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3574 0 obj << /Filter /FlateDecode /Length 4391 >> stream x\KoW)=fc Iv`?KR?)vU,VWERߵ+/N{եlпgS_G}rHW;cBg*rz|VwviSwMhV|?CLiᖙ>÷KB/+k;if)X`Aı4=Aőo`8jrȁ>&';3'`IzW(OԆŇ )%A@Z>bU{}ZBBn?~>ik5m?2Rʝ=YL/7FvjL>Ɯ`dY][[R3[NiB#4|54p&Po5)r0xR~zj oaeOM v; -Gı'/Ҁ/Xse76}[_P b1`.M Mz¸9%Vm%8ڠ$mG(~O80 -B26sۤi0;F7jL(4 =?T{G~rLԮ)%G! a}BE«ߺI-Wh Zgq Vp2x9WZC!ժ~D#55ގD× \-`̄y K:J%t ^F$UD-jgdjAs>K1mCzW)wjRxFwi7S[t U/dDLHa)0V lXRBtT.̴j X϶j4UY黛Ҕ*j.hϝ),9#zpƶo[ 1irgK_ܩT>4M=\9EqR"<9c(~,>M(JJ;iVlB,u/Fg}lNYǀF/VHpGnUy)yB,ӮEK:X{CuqI%e N^(@ۤoS*;jgCUL}-:] ]®`nua:X nٵ %Xlz2gRz(V3UdV  Eʼ0PDbMNNSt^Nn 'E^8NpR#gIupҟ':8OZ->NjcgIu8pRgӻDIEOZGN׶L o^{ @f )@d@2g@R7&slGI0|9zqI1|/`fuRMe/g2`iA7/g)4 tUHNo<ϡ) GL]I z;H8Q3m׈ePeX:FO=D b90)ajo9 2 V~e_һtU0#wU~}2Hb kE%>ș>TM0- 85{ֱ"_N]AQNQl`ÍP.)gi v/} vQ`ZdVid"U&vNtpc ~`su58%\q`ƥ0gvw<$43a"n0- =,9?0o#-RwK)*QpII"{$H`/W}d/nWO_+D@:7E Y(>BbM]Jvi\akZy^s oKm"YPi\C']Ӆ>f1:2w1`!Rtec?FN T{iZ?T}5\\ёDvEƗ]W;# #3e;y(GStWĉsOOrVBɤ)9REz* n`dz,;wA;W'hAL fSn}QBHUZ̠0S 8X sub.c7w8A"Kl_GIܵpN%= UV M%.AbCtkjtYfkn5n Lb&ooBByrpntUqE Y wrznm벢6ՆA{+11ƫ<u`ΓA1cYELӅ;ei{ÛkprG6jV2C)M=.q.SؖcPm42n;Ns^¹Ȁ 7 FqG& '8 o\PaL=q.‚:U97/7kr8Љydr`4̪8eaM9x<ۀW -3MQfX1~7Pߜe232ēd;x%Tm0w0ϭLǻ(uvsCpf##]T;WoCGLӔCmO;)bѦytQksIͣ,/HEf4Dn5s.:* ̸nQo rpSl@\ s0 "w%oXiuMwB ߪFu>xA]\x$o4cKjo`<] HhzPa|_R[4%Ogi];btMMYP<G(4t.t<@!x{N|9 O߅\+"6;f?R %rkf"e.3GZ/8))@n))ITFjwLPx8+O|qKٹpj՚=cZt+"URkWN*$}VTv_ ,ÿ%΅7).6T6F\FB*{;=(js7fKIٛ}U_,0Z)bsJ\ԋ h1I9ΟQ'z{ngXqEG-}wGXC9S[ew&E8zgٙJӏEy{0Gc>x•ߏ)r-gF ,McUyO_w`F P'D @>g!|0IJTt c_"Nź POX4Ex=Cfbg#=dꗐ:q{L> F endstream endobj 3575 0 obj << /Annots 3577 0 R /BleedBox [0 0 612 792] /Contents [3592 0 R 3588 0 R 3589 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27308 3590 0 R >> >> /Type /Page >> endobj 3576 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3577 0 obj [3576 0 R 3578 0 R 3579 0 R 3580 0 R 3581 0 R 3582 0 R 3583 0 R 3584 0 R 3585 0 R 3586 0 R 3587 0 R 3591 0 R] endobj 3578 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [104.1732 219.0808 163.7437 230.0808] /Subtype /Link /Type /Annot >> endobj 3579 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 202.8808 155.1032 213.8808] /Subtype /Link /Type /Annot >> endobj 3580 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 186.6808 154.1957 197.6808] /Subtype /Link /Type /Annot >> endobj 3581 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 170.4809 159.6022 181.4809] /Subtype /Link /Type /Annot >> endobj 3582 0 obj << /A << /D (unique_445) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20211013063105-08'00') /Rect [104.1732 154.2809 161.4227 165.2809] /Subtype /Link /Type /Annot >> endobj 3583 0 obj << /A << /D (unique_448) /S /GoTo >> /Border [0 0 0] /Contents (rename_cell) /M (D:20211013063105-08'00') /Rect [104.1732 138.081 161.4227 149.081] /Subtype /Link /Type /Annot >> endobj 3584 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 121.881 219.1617 132.881] /Subtype /Link /Type /Annot >> endobj 3585 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 105.6811 187.3167 116.6811] /Subtype /Link /Type /Annot >> endobj 3586 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 89.4811 152.6942 100.4811] /Subtype /Link /Type /Annot >> endobj 3587 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 73.2812 166.7302 84.2812] /Subtype /Link /Type /Annot >> endobj 3588 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3589 0 obj << /Length 19 >> stream q /Iabc27308 Do Q endstream endobj 3590 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27309 20830 0 R /Gabc27310 20835 0 R >> /Font << /Fabc27311 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3592 0 obj << /Filter /FlateDecode /Length 3540 >> stream xڵɎίy+(lmrr'L_6,T5=p۔TOMf_˷^3SS6xI↑{fzڞuqMFAQ~NZ_ a}qڄ+_'_`s(p먵g~hceN֕B&kszRFÏģ.EH\O?_;>ћuR;g:!4zm%jCh uIY g-cI t+Tw}xAf>oxRBbqnNV DeiD4@6D%U@$E@FޜF2A$5E0'o;`]J$0( ⹒ґ"\H'851_Ol$z/l#&1BG>3^HsAYfĚcCkDdгpAbEUTu,kZiAfWUPFSOXOS>MU[UHu{$$+jEJ(X!8[DdePLgЮteE2_㶣/` f@>zI5 v$|ϢyyT`YOx R,ڿ.22$+K4KT>..J'CK~(iv6\kKK b%^% TCiFcM>&,%D $Q2s "F`kDh Z2JS`7ev`OjVm}] e;0<#8K mQ S5&dAT@WRW:w,t [ž~kdy9 jk^s}jNh?JKWp h"NpLz%I]d/RxIQ-Ric>!}":38&,yK{LP0(Ҷkk] n~W$=ml[V}GoRLirLh[ą_K8f}/ Y s#ye"~X{  n%U#9,Y:Z⻏6ZO5Z?ճ613FL{uNo9`{ɳ؂-3w͸ahIUͥ/kyS\/".g&kS_Y KS˺\ @c ؕu ?@v|9Z E5R$`x/ Zzb FaS8q" M[kum.Q>hrOj.?_M̠] -Ø"eMuq+MGN`oGZD}hh5U3]|ukLC~k*gq{T;%h:[g,̸jk0t+c Y/ܿ޴,者4ُ&$jTd0w?poеO'2A/bϺϷ] e Ow eC5)̣9u:7=[*3]h9*͟UqA3" iL̏Cݺ=vh6靃4xt32 L-{Z'NiH2^A<{g'yɅu>11SaGz $W u}/[/=̪H IsQ;S4םenNo uX?R fAv[}Βn"G H ;( ܜ ֳF4pwZNb,rڽ @wAOR/ )L[ XPغ9l]âv]1AFހ . IjA<ԙ2=T a0[>_> 7l)p`Ltd:}0f TUUm)i5Qxʱ=l6XoԟG7rnM_."3vOl)] DA4j zW@Jhߋ6jNSys* +%m(vj &+ɌHN}eRhӏT[ꚷ Һ&*ɐ'Ὴ~~A2r̀A|zM$ @'Z Ċ͒猯 ̬ X%xڂw CՉBGJ 6=^-s]cJ$i$5-w C5SZ/: #,͈_W[{F7t[".Яe -TV_4,m}6b .y%΋Eo.)nYUm)E5Sm[8` {!^lJDNv_Z(~vTUCV?>T"f+Ŏ+:$9S <% m}(XÛ=&c~P/gZT9YAhL}QH/RJu% - \`ڵo"d΁_ӧ>/DMO|3|̤2Z $~{@% Ufށ": C '-S jtnPD UlτG,YMmx>bOΗ*B:zn=c>ѣAxUeiՠ% endstream endobj 3593 0 obj << /Annots 3595 0 R /BleedBox [0 0 612 792] /Contents [3601 0 R 3597 0 R 3598 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27327 3599 0 R >> >> /Type /Page >> endobj 3594 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3595 0 obj [3594 0 R 3596 0 R 3600 0 R] endobj 3596 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 154.8007 709.9] /Subtype /Link /Type /Annot >> endobj 3597 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3598 0 obj << /Length 19 >> stream q /Iabc27327 Do Q endstream endobj 3599 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27328 20830 0 R /Gabc27329 20835 0 R >> /Font << /Fabc27330 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫*lЎYzrq"i,䪍A 査=0'31H[&##((BBq˜Ti, $WJ2'ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 ~UW<7!fZ5Y}'ܱYI M^RuTkQ>U}ɖDV*YZ yp3eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH0I NЋm߽?-" endstream endobj 3600 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=245) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3601 0 obj << /Filter /FlateDecode /Length 1145 >> stream xڭWMk37W\X`;񡷀ғۼ8:_:Ni^JH3=̇vefh(-˫:osՓ 9S˺5 Z>=/yn}r~(>P2pG.ی#D 1C/І1KM>en>2!on /V0p7ua)Sgx3eJ#.O<_١"b^8yr_( f'0 \ {A%(y?6 w7"K}$0}1)TS0^6E7fCz0K) ׈-&TY\@M5CUY۪M^mSة/'{UfX'^ T"p:BE Bڳc"RK}`U%w,ٮX0R h:"ZV!c^J 6D4 bo3%…3eXphxw]x>afA22dX |˭\=:ݫH+R(UuNTPG)1hJ)>e\R7 H޲+ VR8)*^.yX"ub)y@޹R=o,0Fa2Uɀ՗UƗW3@:")8K)%e3%)(ln\~ύegcI"Q3a?Gg p:uYK:ϔc}O/.K6Wۛt d# [OwZS(##x8<1  >}St$$ (/x;|Q hQB,?FR%hr!^:^KKbb,Կ)BrH4RVz۳'T+v/;~Mz wR#W0$Fili~Nǟh5tH(f endstream endobj 3602 0 obj << /Annots 3604 0 R /BleedBox [0 0 612 792] /Contents [3611 0 R 3607 0 R 3608 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27346 3609 0 R >> >> /Type /Page >> endobj 3603 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3604 0 obj [3603 0 R 3605 0 R 3606 0 R 3610 0 R] endobj 3605 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 313.925 111.681 324.925] /Subtype /Link /Type /Annot >> endobj 3606 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 313.925 139.8465 324.925] /Subtype /Link /Type /Annot >> endobj 3607 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3608 0 obj << /Length 19 >> stream q /Iabc27346 Do Q endstream endobj 3609 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27347 20830 0 R /Gabc27348 20835 0 R >> /Font << /Fabc27349 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMD endstream endobj 3610 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=246) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3611 0 obj << /Filter /FlateDecode /Length 5558 >> stream x\Io9W乁}W@m`9ѐ/'6ns)L2/sEÿ>RR Fiuu/,_:&[>/yjx |n뽱-]-}5Ϸ-_|.OKоyzxjY5 q ֔hЬ4|aI̒pqڔ&8t]<xwwZ kqtF䵎gz1s<5F΃߀ڣfT3Ph(X d 3(zTCWpoP^ݭ7 C c]]cxvTW` >ZqT~>3C}>#YmF[)3`%}˾̇pe_(DSsSS|mymXpP>Ǘx0:%H +Dlξ&*kf_aLQ8jz4a>%hdcQA0 Yr@_hwf9,heFzgGᵺ/ >خ٥'y,Sn3.3i>nn \dw?q[.D~ի'Z(a8b ؞8SȖZ;E!%a;G SC!zH\wT"ȼc"g!) ƶh:tMƬc ㋚o}]\ݳS{ܕ@/JJ9w3 ] #wH4g2ʑ}|"'|0G ۥ (;^(~>0y9OhN @bEߝoTu63NKI[Z06]=}g@!)D \3M$(!O| bDPyLgdƒJ\D/D&j|#=/nn{1a9(d0#R=ũQ}s"ҙg ?j{qk(`bavقVΞZ*3u$Ꙩg+`D~`l^V}b48iAH}ya4n76%D o꾙,[l_pٱ}c܊ԭG$ kf[7..ܙhXqutLfFl#d}?!h&y;DQYSv6 JxAT/[/A =6=FRPu)Q. 2jfph!gPA? s" $apu8Hpx€]-O&Rp*pB )4oh1M-O#Y`=ղŠ G_٤`J_ ~$7V7JQ/ 'HIC^$3ɏQDOC.Y|  g%=qS^ r )N= yΉ,sx_  YkIL?4$JD)#kj -.E)Bc5C:0,2N ۠ҪE*fԏ!}@T 1Cl` 0Pր4(1PSFN ߩ)X%`4^j$p * 8eLl[@QQŲG(3Z0~R 0K l3g# X0m Z?z>A%C-nXI0@Y!"+"Y e,d҆G h,͵@N BU'SV4AErlCd숝%#Qc"+ɏNLQVdBX A F!/pdS%x0kF5pGE]yX D v8՘'|KV+/в)*!᰷p,i,ȡEÀe0ܖk,Ǒ;ʃߠ;FTey%yNu`B)Or޳RDaB񐨩F4> F\Tٗ*^[4WJl%q n2yB!,0cR\qa_',ݭ*ev%2WTU`=>ځO>l])X))vNzGrWIarMGogR圫tFPPX$(h1^Y+Vv3Fuu;i\n YBCc~3=D 8v@:CoDoYj榹XYv7;#pOS+}7دɸ*ߩׁ1<3z #G3jqR•__+WTzal~# ݰH VQ+A^T :6%a$nHg(TI~E#jԡDJo5h`yY.)iNMI]6C4{n)k7M$IWP/& C[G 6l،<dS>]W*If֎;*m8y2ݱ0jDZN4vcTpkv7\U2 L^FxPqWmwh{-pm6x@kq90Vn"鍻Խ.tlMG͚Ph;v7}]cXGJlSH0rL!IGz4ja9:ѱAᨳuv]{m;%"tLf7x[ B_QvC])4r0L&{: ^Fhj%>CztPlW| >xibۜZ >.Lu|\ ۂ%Lq^X\4чd%;-$l&ft&-r,O 9y)wwL+iEmk2qc՞՜'Ֆs*=J-kHfT9<-Gv|B Fʜ~łk8GʟVEqՋj\)d5h 1Qse⁼9a s vvaf[^0>VǹAJsP {Bfh.FIה/g$' TiTj@M(ȗ늛W)wErWxE\$N)FJ2'a˾g/Xa[CO* 1T)Ix$I/:,% OAO[}~H%ii.{3J-xyt/Xr0A Y D~i-ǐK=ZaTV6YIb9&#ի& nPdo֪E C ÷xv>e>{;5u~bRBT\6f_7X @Ns^l{f8ouJtˑ|Uis=S䏿K%]W[\L]m:R܄] !"gzs;z!wx4j Ca^ endstream endobj 3612 0 obj << /Annots [3613 0 R 3617 0 R] /BleedBox [0 0 612 792] /Contents [3618 0 R 3614 0 R 3615 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27365 3616 0 R >> >> /Type /Page >> endobj 3613 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3614 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3615 0 obj << /Length 19 >> stream q /Iabc27365 Do Q endstream endobj 3616 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27366 20830 0 R /Gabc27367 20835 0 R >> /Font << /Fabc27368 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%o>k\[-48יq|mau3j#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMKV endstream endobj 3617 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=247) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3618 0 obj << /Filter /FlateDecode /Length 5144 >> stream xڽ\I#Wـr/P@I*x7ncN=cF9wDp 2S[uJ-Hc"_Z$?WHz}'|,$u[T& |~xntii?ag#,]^6t5%)ՒҪU*WcLV.,(~_F*!Ix8xr~̧& q8siuN; Y>7ԯ #u uuoJ2RW3mNqkL< |!wꗄ[ E'zbRJ:!DzN*Me$8lI֖DM auЇ:67رVBYӗ?pM._e/|lVIJoKPC%:!X _˗_~*y@s''8ᄿ/';ǜ(d4^)QwHBk ao&p3_T+ B!>6y8pT0Kǣ/<|ݥp/K;AFAaJ /Δh#J_+K[ 9i+K6zQd ;}Y9\aA<|>sLYC9ks:6/а9o&`}RTeE+$6N7QÞ҃x:מoԪ5LbN@8~W6FeNSi`YCL)¤U{̮VYAcʶږ(|Hߓ֊>dBlur)NP<ɪQtAKKC|G]sGNGA|bD6N<[ru [rkFd=[`ϲqULt)]]ե4ڊ*YGc&X03B3om!PI ({ĜjT\ѰZVZ F#q>廟H T @^p}On3rѹ:3A~oG @h ۶y3DO7؞Y )klOad")fEv@dR`^`, /b6ZMXb[tʜ]*2ٚ:ѯ.*a 'Dܦyb3y=gٗ&y& ~[n>= |d3xHe;ݐܢ?&q҃85Լ% !ȳIV_.ԧIucyL 7fDŽ6L B_FXZmƮ7lG% u?Vn;5$<Ym@iEPhՕF@*{ 1 \ShTT9S* WYZ֠Lb)q@ RsPd2+bꝞp~WNvui6x:&Q} SfUP2VKт~FQC1/ұE=A$"v֍]y6{1iYv0пv] W!ʲ`gTD:qi.ZL٥fVdTX0& bXW&{?4%ph$UÞ^]lp|6`-Z;!oY^NC5l_ZF"3;Sc`NI"sm9KLd>j!g'rJMi&~Y+H=Ci0,fܵ|qmQp1Tqߚ{5e&S3O1 |$s+HcFJ}dSwI)Hݕv: >(_/gJbkȱ[%z_W] INDm*`}E (e"w 3C6fٍ:`E'bX>roW^OD*zb]dV)??$\q0֯1FVW.YZSFMc`Tֺ+͋^ }{8-V{⥤&Pmh _:54Wl))P9)r[i6`AuecGKzf'Y"pW̶\`(xz{y#bO72İj`:†ϓ@}w7B/L B+{r1,>y&RF\9)MskfS(1H}@h# wFUjKz9bьq=MKx{H-GhJ\Mda[,~6Nj Ƿ_bJ߸ H`[mPTQɄZ0Fj'Se ~^pR_|nk 5Ax9r* پB  3fkpX8>)lmVfeHd ؓ2HS1r$\;t %u (d!Җ( |҆.Śgz>v7oMmx~BV(M3qo4PetEXkß*X/1j:ɫbSlOV_etMMT*K@3'BI#PZg347et9D('2-{ư‘@½LI{JGZ'lD̴gK=ŀ*<RJLyvH5t߯SOvi'>$L뾫[7$pp-ۼ;_\ypϺ=Y͈Hj2),ኸr'ŨX1+%dF{ )܇,ǔ!Nx@R7eA&n^gX)83*LHWYx)fh%Ho'#8S}\ymM?OuASNUZ1i`ac@j!>RV80>̤@Xqw3jMV?ZwfgEWbwYLJJ&S++S]|ƻd@~tb#? rc(9BzxO}Lڳ><[B3ˣJ8+^X&WT&(B UH/Xi9`ŀH&E\R]GS%{ٚ_w3N>5~FakY%N*[M= #0AnJ/({ҩN*u-|L%J|sxK!u<Ǘ.l2mبwe5g.sz`n&#!=n]Ɇ2>%Vngm?Z݂_Ѽ.,5ehQ,Magvx@6]<8&p׶f/"{FhSWmiG<ۂQS[s ړeϪ3>kiWqz\^bWbeU RWۂ laz'1uGN-]KUU:/ 0q*?`R_D]WOT冒 ʦnQe3cZ!+ovd~|ʣ$L6Fby*U!Ca O8V۪LݞHjwj7{)1[ R̘0d9; [g޷)/ M֯tfv][kkb$t]0Խf֭/P({9N( Ytvn^wxy 5ֆ݃͸ͯop;Wl$5tF‰C8ꕮ[io]4e| ýc gyOqߗ_u7O,A|mq x R'\Dڭy[L&msbsCwIwq빷^ݧr_puV#w`rNҚw[ 7e)Mʱ_i# eul_P|+n}&YMJQo@f.ہtQa "ڐa=8(e ?Ť0NNi%ډP:o:#،Ai@\3qmڙc#7<]H}=mu[xUWxGZ%vF(?_Q\T9MsMY9UJHJWȅ@<k7Q9>goAb"W-GAn2ʥU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMQ endstream endobj 3638 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=248) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3639 0 obj << /Filter /FlateDecode /Length 2997 >> stream xnίyqS *M` `NNz2pJ*{*6_'lgY ^4]^Y-_>.B&?J!AC:wxɗP*dm\ZG_ @o r{8#,[JUV66;@C2"RfT&C[pp F8 Y~OV18t&u1*צ0$Y DBnVy9/iEPL|t1O GFh:GGsxDW@tPɡ&7yUe)f6#&F4UeAM~:  A81N e™/L[EuA9sͶf1!qL)[uJtҊ?d9HčhU꼾eEi:AvZ)e~`xo  t U!dg|AyЅ{N=pZ8kIVÜUi01XG`ԫ1증%oHyHyõ] n'o]SG2&ym-lo*&!=73;))Z!\Ψ8CV]FLL ƢpELB1#@-9w%ȉC7˵fH뜪DA%kl$Subu3+.p#鑙!fpߐ#ȑ@ m-$i2 c?Q?QR A X00=TǁgN.&pтR.;Ǥ\ q^ͼ~ c<[2 )J[wϞM\ٳ{lbovo\b>w͸ތ1;BE7-BW7mӔ;}B첗i9‡Ed7FV u+#I 5_ 컁4j]-9A Sk.jVV^UudQXQޱa^r\l,t]qLf ʘ^MA9^WF9b vx}ӱxܠ-'J6b 6S[;zJ<Թep 1K6 fCE7=cmU[!2=תH˴J3 zd?tt2g>x sRcJ ~+r<\Ŋ.$Hd.A \W]H>\sUܓ!D'X PRl#Nd€a"9 b[Lj=YB;XTQ f4SD#Q܉ixWDe7 *+ŭ,/tP?[ +dmXF?r 4Q.;JC[Ka l[z{Ҿ^(rg>+Kwu41bf>z PzO~.W/}oN8A$RqhZݞhWHyؕ[%3qyA߻AܽxMO7"!~-Jvl:tG@-fg ^NUucN {vI6NiԢ1BX8Sd<./,ԏ=[**QS׼`p֪kؑ, =zMj@CY!^a}gM$ @'L%G QFi3K %x1W-uSjDS!#J {%tJksm4QT0S$%-Cgjɔv~f`h3\$7k4#ªVk{[;27xEF>N`ʖ$([0\Z4mn;1 &([[.-\kUɭ4nX&?vkw/R%GFJbH e%/>2V!); \ǦFhc5cbH'BQ| Hv}ORUeu:}(4&G8{_AIiZ3iۡh Ne'.BO6p>Lhp?UOplIg d Ρ\c{G~n23@st-內v!=ȋηk09ἝXAe9^ƪV`{"L=b X>":,ˡ 5LVKOgGPz#ep/h5h%*+ endstream endobj 3640 0 obj << /Annots 3642 0 R /BleedBox [0 0 612 792] /Contents [3648 0 R 3644 0 R 3645 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27403 3646 0 R >> >> /Type /Page >> endobj 3641 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062938-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3642 0 obj [3641 0 R 3643 0 R 3647 0 R] endobj 3643 0 obj << /A << /D (unique_77_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20211013063105-08'00') /Rect [90 351.225 191.6455 362.225] /Subtype /Link /Type /Annot >> endobj 3644 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3645 0 obj << /Length 19 >> stream q /Iabc27403 Do Q endstream endobj 3646 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27404 20830 0 R /Gabc27405 20835 0 R >> /Font << /Fabc27406 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3648 0 obj << /Filter /FlateDecode /Length 4142 >> stream x\Ko庑Wp(|S >ȮY fɽ=@_-?. F"YU/??B:=[$7u~~ÔC^?Wi %ܮ?#ik5]?V) ,oFӲdMaӠE;==ofc.`3rG6^8.hS5ut{ ?Iҹ_ ?A+M=PZ褅:ޗ\8O!܋|f@$ܣ cg/j:V}v$[qeQ@o,lG;&%3-Mnm(nPw 6F e9f't_8;ݔaYHj6bw6rQ;nG0.TQ(inD0o.Bk}⌃[;AgfǸs 7<~qU`RGCqo#ᴡXT+Ո iM;2L{"5NuE;rWxln5Z.n?R1&L\4:lr}E.yϠ@H"* jCG“ 8}xو OdFo;pKpFR3SGzལZiR׺E(b̓.СKTզ舾Qf&k*+GW49!nLK9S^I_| K+. M⊗:$e*Q~%`pSVW5oZ>:݋.wB߷lj`7lt&eLq:[Do#Vd(ml6/>l)XG(6d\MꁱHH.B2ڢYŒ =%&6?86;:FcgtyG (LFh1Ebt[\$yt_W$eܙ^ɡW*PICgonʟ~qI3f))-z^T s`hkF {Z]:xW/K"fޣxB+\Ha@\elBK԰A{~=* fr;ahj%NU#'ܸ@*̎×7 ўAL'&df^JQ BE*+5DܢvR HМu):ź䵇A= "5FJnR-R([m4_K1Ukm cWax )L6EF̰Ȗ%-c%o)L NjX[k -JlIc訪J/n^'IpxBiɱaR&obؖ# ,0P&GpɊjirEb㔥K%)>I3BSEfӄc#Vj(Y I*ӣUFg>6e(1 QE@Jq'+  .8O!~6/XbM㖞c׫(x5;8e vuj:@ >Da6+X,.p{p,uXȳہEAvuf0} [R Vc SYa+9ȍr{r=]W6Xyq݃[ z*;ɭpR/lNj~7'pRI= %ԕpRWFIN'>w|Pz^zF O@}z'>WgoT ޶z @f )@dIedW@]RE)ws( SXn|gGOAM[G4=W:,O(> o/}ȯ;P^; קSW\{ N(oA4<{4hlڶl6y5zWjyz̪#kNiWVka>lôD/3|-cHTƨa+Ɔ5}|`lT&ph|69NG9ۈK1s7~37oQ)2L9;pgHR8fQbڋ(qfAfcՓqgU㹭*>O>͖EUCF(g~v%:oWVZ'l0,u01-xz[uqPy닉L;1r1K n9Y`|LmF[ԫ'KYpi:zQzi,w0()B M8!V )6{Qg}Sp;%#@g#uE΋\,(*Zp#k/lոqrăĤLʄͭ(*SĎ6U'XlB5*!Sm3KclsN$Q93YqӢ@/I" (  (fѰh;%H<&%1%T2[D3 9yuҁ):ېu6_]whɷq`[/<0.Uo`&N.E1\墳ȱ3orxi<<Px_0o9jXweX'CCL%J ~Y]Rי\kÚZy幔tWZU^G꞊':-(w fIO\ir?LK6,N̓k;IKěY/ &]]OAț[ٜ!|1ۆ+|1qi`N &'70e@9wXZK?}e/a $>@c cB#f=iR{ E]@}ܭzCO6ZJoDs-h(7tKw +d5eSY[8RXHB@GG>ķbd^{Ec`KHN$kf O#rYʑl oԣsD2%Z ¸M[R{xgOER>%˰ o((IR1MnId^!Dեf5YE9!#-/MqEM@NhqN]aF a ͨEeǙAbK4k&zs"lW/W+̽pxӎs˧oV_ĕt~qno!ь`S3d B = endstream endobj 3649 0 obj << /Annots [3650 0 R 3654 0 R] /BleedBox [0 0 612 792] /Contents [3655 0 R 3651 0 R 3652 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27422 3653 0 R >> >> /Type /Page >> endobj 3650 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3651 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3652 0 obj << /Length 19 >> stream q /Iabc27422 Do Q endstream endobj 3653 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27423 20830 0 R /Gabc27424 20835 0 R >> /Font << /Fabc27425 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$^ endstream endobj 3654 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=250) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3655 0 obj << /Filter /FlateDecode /Length 3728 >> stream x[Io#ׯvj_BHJrs q \ZzDJg{~]~̤RӗSfl~S/~2fz?⬵sLM/_^3|4㷁OO|P?O/F ]i]izMvZ_.Z3|d}^ 9yʕDpv pVYyur:Z8.hCOiS&[;yވ| }3)~0wydձ 39$] H~8G>> pxbJyq: GdCIS#lt7^ϦF?GT5RLdHMNn.u@Pa2`6|N|UHdӼo쀔9hbX3؀\ *I? ]95ќ)ڪ-dbnіB6xYʒHvlڞ@cKM}wzFO}[Iy+f\)jH>w~lX2B20;pv0ۜN1I.̡t|0$QU yi y~t~ɳ?<@4xeϏ:rͨ2F 3*zhY3h,e%rX)\{|1Ju(W nNM(e#2A}.x;Z={ 6Id3aqY b17q W+A^E/f#NK4>wWzM#?cu'yCQ x6ZƐ;*fH9),`̓_n<ݓ3݃~f.%ą褓4T 4o=I,]9EbWDFQܚ֌*^yR7KR(@q4?9D^-r͊O,)^fI` 3VY b30?{'eKn'vzU|,%/G&ӫ^H ᆦ܀: @v?0!\Y2;6*Xb/j#P촩W7e@*> )ha+$U6J,%pdu'TKLoI*rZ5'FcMiܬªCj,Մ =p2<9H98a~河CF9 UelSAP=yГU+'}Y=:䉀*.cIÉ/fuQs3QCn ]Ot{CP)ճYtbQar{q 7Nt/bbZ. @QSSՐV1m0`CTq,`5 ps{^l5v*\喲`laiUyrlO/ۍquV/WZ!%u5" 0ni efv-7JPQږr-dL+ThVT17LTY,iv671#j&ҩyKvFH}i0 BzFZUU 33-7Չ!-Dq`uj=ֲҰ(-s̻ڢ~۰L,O`~yR UTKse( Eqe0~'3}(J⛝Si-sym}H4jס5 ]՘l#zsD(9^z YmC]Eo% Qjsתhи5>~WLg7KB^c:n&+kuoQ b1#E"ץ{v7NNX;=34;5y Plu(V-[&}7|acK*9 {t^< قF5"[K' 7X ̐*1ݾ7_ҵ[zLDS,glvDAl] ܅ z$e^\cn. .{'طD6FyesLO[& Koؐ!vXdvJc2qOgpC\ݺ%(-춥{=:Uk/:ϸ$~cԪ螗a&H0{|`w,š]EwZW^";T9ZWrcƺǷ=@^<M3e.Wi*4}ғA N NDm(#(HHPo@KO[9@1m0Lgݜ-U8/^H̠j! ]<tcu}b8,,E k%a_+y{E 9J^2@l9ay7.pb7>u/78D`!/p1rtMCY_Pyڄ?Tg !D+L[f/NJvRDvSAneHP)\] l0sĩakA$W9*:òcR Iѡ=^8&H)j3Drn풖扌ş}vx>pJiTT[PFd=vq]G#u J@<~'cp6?{ m>}?G8{ZmLpEJ΀zmkl0jE+f죆^y"Oؐ/}O~OcˋtAu9gt"|ST;RjmP(^ *l4>>YӃO6Hei)+I`̞g>Q SW|<B|d45hc endstream endobj 3656 0 obj << /Annots 3658 0 R /BleedBox [0 0 612 792] /Contents [3666 0 R 3662 0 R 3663 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27441 3664 0 R >> >> /Type /Page >> endobj 3657 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3658 0 obj [3657 0 R 3659 0 R 3660 0 R 3661 0 R 3665 0 R] endobj 3659 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (get_cluster_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 427.1154 230.9757 438.1154] /Subtype /Link /Type /Annot >> endobj 3660 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (remove_cluster_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 410.9154 251.6778 421.9154] /Subtype /Link /Type /Annot >> endobj 3661 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (validate_cluster_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 394.7154 253.2397 405.7154] /Subtype /Link /Type /Annot >> endobj 3662 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3663 0 obj << /Length 19 >> stream q /Iabc27441 Do Q endstream endobj 3664 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27442 20830 0 R /Gabc27443 20835 0 R >> /Font << /Fabc27444 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3666 0 obj << /Filter /FlateDecode /Length 2614 >> stream xZɎ+xRP@-]&912d&3nA2T&1jE[moG\~{1b𿯿ӣpZiYd zMԏƸpxɠAۄt5!~}P}.mi~9t6&;Y7^:ib>kd @I2o/P5uUR *y{ETΔ!R3Zyr7b Lu/ґ]UgXU*_&!*bl8MI0:kubWM̕AU `&tsir!#ٙ &Å4"aU(9q,s=ogGq>LG2Ya]" I8mkr`Fh) 7mG۬"HԲز=eIi27縙i Irqg Vbl.i5 N{{/f#߯eꩲ?]$J/|$JFq>&sY‰\1񌲬3Xm22+c& JT7> -i >VVI~osm`Э2ASu6 rg.-)3zh3-R@u_4u/#myRL\ZSM:)fH@j?H Ox*r%إ!yPYq=yl%|\v:E??@sESfnԇlqC͵fb{|fϟ>dGTʐU͔Sԉ,daX-2@<"c5cցU[\6B]M/K̍ ?ĵՋn|c?5b1:7%c!=[iUZo+hm D1Qń9qG9]xX]<Ɗ ODID R5+S{LBef482coxl}<f+]jBmmč=RvdN=q)mm^'xEtvtG ۈ[93~0# !QCI_q endstream endobj 3667 0 obj << /Annots 3669 0 R /BleedBox [0 0 612 792] /Contents [3675 0 R 3671 0 R 3672 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27460 3673 0 R >> >> /Type /Page >> endobj 3668 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3669 0 obj [3668 0 R 3670 0 R 3674 0 R] endobj 3670 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 422.025 124.1605 433.025] /Subtype /Link /Type /Annot >> endobj 3671 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3672 0 obj << /Length 19 >> stream q /Iabc27460 Do Q endstream endobj 3673 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27461 20830 0 R /Gabc27462 20835 0 R >> /Font << /Fabc27463 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫YçCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3675 0 obj << /Filter /FlateDecode /Length 4784 >> stream xڵ\Ioȑ@9>La t͡Ė'=dt"saddD|[?hoG*v<dY/,_?u~K>&|}Y~j5P|no[+}0K'_46PIw6v#X<}UP@]/Abou[,q ֔hЬ4krw⦵.e eK:Tk`3Aǭw}Ȑ<~U!u oLo|!#>c+i Sf'#րJ6iʋžfZ\Vq$-=\4JC[@b&У nS =2[Z0m|A@1gkg*ho,vտ eA+#ݓAoz%R9ջ<[/ Cz1 XҎJjV\6j4͂LvE`d{Fܠ[ڨc;˱`+OoMdAu ѤB#ty[6 A[wtBZ|rb6Nb"c'f]]8pH[A'œrLފDhVdylx+RZ=WQryf@ÇT"w.ְ`!mKT]qC4=/άh9ā7BsZt4^5COse YFV42 /  ѽ0;dbvP3RZI9L{ yAŁha 93S_NXZTC292T[e:Γd LLIN,hR K+qWzZڴԪŽ ?k9վ: ghDh*^'(ڬ`|933,!c }-)ȌE$ҽ՞zY\KWڋ$=0z~;9}Ė'HVO%8K_dIΡ+-P [%-V$șA}w[F43+jnxٰ I3}W-O3:Gll_c5#d jTndCd |&Z*N22^d T+j^ ,(Μ@ۥ9aS3j^u(cp=`\`4XXTdA zL5D$۱2Ԝdg3^ծ+;NJ,ݵ8ɜd= Ndp{87֮4 38-'28O->Nc?'5N'5st>;ڸ;c#z)v=|>cAʊTF: 0:*n|{HRaŸצo'Ñz7~:b>|x^SHY`~:\'T-6bZ| ;fAhC1YOl9:[Xi]w!~:@gs?80yI||8>ޒ2FP%X+x-J%O[jSi)e%<8);(%{Y+x-S$|ͯՂO8,#Psa)؎8r]x/>sLޔ]-Wf ֐[,ڡ\}"k^ } N^{:~&^NKTѨCGm(<#A;xӻz 6'Ϫ4F#Oqs[UC$'c! erQ|&9+]a>@Um>$TCRA`G$g}>DޙQxtENH`lB5i(,w8J?S6xff 3cuAnꆀuEPRq "sD4 B3^i5FB8rp><&%ġ5uW];{5L'L/ 7͑Ry0Lf?u-}I]>)w7jz &9o y:"{Fa M4Cɔon <ڪBM>8K}P}?qm}JK˂J=),"7OMNkW8S3g2*̣k +h-;Bw9<խ/EP^]`ptlki!^Mb"aW 5q`}]޶AqƞZk;٭#YI(;Nk` OTԙWץ9ᤙi9 c\NO#ڸov7Uzh@|0>x$oD/? ͷk h>C>Q"Fź[Oy)98WH>Jq#[kqҝ~-7پ:[,w}x Z%2Doi|禵^+ :M.{:~5p.G,Q2%4.n*y22>S,^u4]4*O{8%Go9:Ű'J㎃/5pn˾ol?6J>?̭yn=݄l9 k5Q.|tUgd5uI5su|ļ3|yիRgnabuQ|k0!v$l|xm4CCr^6t4l@Q~m%DsfZu+ͽp,?# A]GvK#}P Z~ s'LY, <[sIC/j^ם,c4mԳ\x$jtCS`܃SHqhz87 S5ޥ U46^^Y|Cs1Mo<#_b^ޯNh2nB]7ѭ|WD,9l?i neHpX f85_/ XS%UCcR)$KthzC z#?$咈[δ47d`lZ{FD1A YKdHdǔK=Zc\b+,+RJW]eeW7wn7[Ճ!,' 6{4ٜ2_A4b^ohSqeIDc1bN, ,3`mo8v?]{fol8F_\b,|PKd,uN&U84%πa?ܙ 0`4gP.B90c6~O3Uxï>r'$ 4%0{Fr̨`WQx,Yk?C{s_nA3cv•Q(Zsw0^/a{?REPW{[=dꊏ7y^Vx endstream endobj 3676 0 obj << /Annots 3678 0 R /BleedBox [0 0 612 792] /Contents [3686 0 R 3682 0 R 3683 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27479 3684 0 R >> >> /Type /Page >> endobj 3677 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3678 0 obj [3677 0 R 3679 0 R 3680 0 R 3681 0 R 3685 0 R] endobj 3679 0 obj << /A << /D (unique_538) /S /GoTo >> /Border [0 0 0] /Contents (delete_dashboard_gadgets) /M (D:20211013063105-08'00') /Rect [104.1732 178.7463 232.1252 189.7463] /Subtype /Link /Type /Annot >> endobj 3680 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20211013063105-08'00') /Rect [104.1732 162.5463 217.4127 173.5463] /Subtype /Link /Type /Annot >> endobj 3681 0 obj << /A << /D (unique_551) /S /GoTo >> /Border [0 0 0] /Contents (move_dashboard_gadget) /M (D:20211013063105-08'00') /Rect [104.1732 146.3464 223.7652 157.3464] /Subtype /Link /Type /Annot >> endobj 3682 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3683 0 obj << /Length 19 >> stream q /Iabc27479 Do Q endstream endobj 3684 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27480 20830 0 R /Gabc27481 20835 0 R >> /Font << /Fabc27482 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3686 0 obj << /Filter /FlateDecode /Length 3484 >> stream xɊ_gCľ@U5ۘO5ƴ KfeȌx-C7eԧ/=*U,>2YtZy,IO&/oGcӃ:dS8k9cwr_X_a{PWMl^N9v8e`,`jň+/qtQ`tŇ<I"{'~zy~mqz\/4LJqC-B͚Ǽ~Zb+xё.O|SòuF!9reޕq=XHv-V_kDžL8 le3OO 7uS,JbBIfYiޥZ)>" k`7Ln7cMP &T-sӭ!6* lgsѠ]-cP@C h$ lv:8[Y[)cCo .m3;ř`hFdt kMJׇŒ/3 d`>9t蘴Kq8v4}eark35sse>F;k cb}0+gt)+ul )ko$[F"u8AltgW·`bxDtC˭i0 eCÜ2;غ1@:-]a|4 r3 oO-W?!|d'r[Ef>C8%=kwJ$Kn/|_'t+0UK]1p㸜ƚ]wuO0Ce$obRY|Jn$bqv>x#7|Bm1`%]X`=2G]X,kG9<ي9*r̛qsRYlf"N?W[2@e_ X>*)kM!iD6SB\%R\>*}>NP@Ն_lQvxE+%({MhE`WLbc )\K,'xNCHwsdsl4W]2"q/N#ў8y`ABQGR܄Ӑuv[aXHlvV6ITq$mf1;ouܕhaVYx-dwS75kiu3km=~ndbQ+:atNO!T[3* T7oB+z֘8b'S9'?x}I:&,޺#ѱi/@CVt^%m(~Kva(h1^~5AHss*`ѺOhk熍îkFe-PųiIkͦ;%2[lu-AOLBN+264Sr9Mkɦmqڳ*60^&Epk.{`|Ml qӅ;qSΛΰ-ZdvuIvġ4P fXao;3Zm0;-&GJvivHnkCrv֡] kw:߰GWF tk6ZAc{m25 [ξl7¾=caǾ,ye?tKg9rDž2EnP59!p'b 튍MnzKʥuR)P>qW: {߽ Wj/ܫR.̽?Qeڧ(j;>w|=/)lYG~UFg9T~O:<}F:#V,M:@Y98zfNgg~g@y iI8t1Q?o B]B1iށ;c0.T',׼Ůz`Iu_-r}u W1qEnPhGR-sIFAb# ,{7ժສ`Ze4r{bbB)Nˉ#rk|!6ZQ,E>iQvhkNYc3~5dx59-`)]^yE%r2JQb>LC1cX~%z-c]EV†x|,֯TX7>}\z!+i?¯R/ p- A:<7cr0X<3SK;:C]]FZ{K2 q%ZRu^^%@ ^;/3 5a;C=v :'6fm`]̗[ջ1_ȫo@g U3U׻@=4 ayl.\/qyI8Y:>RW%nC mti1l&3__Կ5EK{/N}^V-N̚Lm`%pe \.h?42 ;PU~d*lV׏@x$'7=JTvI&Ojda~ZSe-m-2X'u:; %eɒ߅n?zYyLj@SY!>͡=uX` t@,Xn5"@ZEB'`EF(oIA^' o F0앀m{> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27498 3694 0 R >> >> /Type /Page >> endobj 3688 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3689 0 obj [3688 0 R 3690 0 R 3691 0 R 3695 0 R] endobj 3690 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 369.525 122.109 380.525] /Subtype /Link /Type /Annot >> endobj 3691 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [127.422 369.525 150.2745 380.525] /Subtype /Link /Type /Annot >> endobj 3692 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3693 0 obj << /Length 19 >> stream q /Iabc27498 Do Q endstream endobj 3694 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27499 20830 0 R /Gabc27500 20835 0 R >> /Font << /Fabc27501 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3696 0 obj << /Filter /FlateDecode /Length 4361 >> stream xڵ\nWl@h@*m>>=ccɝd`-22"^}QNI/^g0K?~˟/vjyojfy~]q'_\yZtvVzsy,Xq',NSҿ?"W"Ue3]*5}J`>kKW,},]TPx/X! 2$ G{6}{O-q`Z%yՍMKל'ZY+^MkP2kEV٘7 cy0-X3 q0 Kڂ2-'H^ ݂NѢʢz~c"O)y&DOr bZUCgY&l|pOˍ*r '&` 0m#޾VL[}+23c慊uL84kf /,=9÷,V~8݁dͮdpi  |*3P=.$F=4sȡ6 kݦ )]ɍ(VHŦzT/(cn^Y^nR]7qRGȢr ?\)@w.% />Rы9;=iA;ow_qicāwJ >‹i7ꆞMYF^$% em$Ww4$L Vh3eƩjYmMsY#55rq0e-C%LfbQa:ș"2TzeSz%j/"N,m)a;ƺљhg zRZT ?K1U[mui"bK6K33v̌ X|BtT>d t-a%|mh:wgiJ{j.hϝ)MzɄ޲Ljc۷-rdJjsK>4e5\(R2r46(f H>-Slȕ;iVlB,y/IFg>6k'B(1'FOVL1`@Rʉ^;w3Y]FK&3c ~H:9eiMdM:%v8U5g֩{X 5pރ`Bw@,, k^''d`?NNΫM=>6#מb#7Q)=0g@Ȏ(Z)I\ٓ2זo;ӑx7~ʭ)T 4^!'?=a!H|~t2]U|iDl1(D7qtёό]9ͺoxg[ɸKXpۏ^,L"ߩ=N r%0GJ977:7g>m#v:ߔ/HedS$#D`"^P8tENc1 &?kl@-gG%|8Eu.kLQ^-IYB*4{f59vQ+ESŒf@^)=q1jGGbe;IVpœλM.+꤃Zi,-%o@Щb]Lc jPGf!Ņ~]d{=1h/0b. C%$ f(q1Th` Nn >eb|uW{tϭǃGdgUZ,D $ZP.@캀F5:S%$]~`|=/^alclVYN FPUn#TZa%„K6D~ qD=k^;S% kFՆs()TQgܮ|Q?6nn@CC?5LUڈ.liGpC]iЏ[ʂS۸]StwQ뮗F{^կ4TӤ`b2Ya5;ViI gqj_+;ӴO%OR%+Ud({¸xZOTP"&/9tukUT!@6KljpʼnP Kcl$et?cr2ch0!P i FM%*VK 5nhT ޟJ=ӥ|pl 4;\xYvW7+D>6YQ=|}7~,ds8l "ct5wq@Ay7m'iV\bo">@v&xhY*umV;-R(}ήZ8)9[H%p0*mv'ybYr%, A]#hboў{ı;rPֹ];:ˆP|ezLJDuir#zݻVp T4cZ]Iҡ?6,.;:SSo6ERkg?u\aagaӂp8$ۙ`w}R=b(H@6SߴrجR~U=hRŬ!$#͓M\} *+WirBCgkbH B󅅑8,ʿ}e0lv@BZiGvIW\s./7s3Z]\:stH ȿSpu=QǓH'N ;ċmQ!Si W+ݞ/uNb Ѧ\rKZ`ҵ5̀yR$"ba DNzax e ?"8`oSJ3$,/[3#-ήr6Gt>VjY`Lܑ7s΍)7~Y>d_R k4@8uCN` El5UeSS%FҡЕM!cG_WcUR;D{&5]r┋"'x*8e69TplMITP2vH)Lo$V"]m^Vz$hᏆS&dq#-)jNqnݒHtF։.8ڕ9RJ}ʄV , ylj<8Rml j:5fUj-zTוķг&*!vZF9Ap6!<8b}\^i\/#3O. GB~>g]_oE뷦|X-)^Nw9^vʷ\.Y%]@$@G @myRɇ~O4 +{yF5tU L!tvTFpC>辎x> }o ЪQu> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27517 3701 0 R >> >> /Type /Page >> endobj 3698 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3699 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3700 0 obj << /Length 19 >> stream q /Iabc27517 Do Q endstream endobj 3701 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27518 20830 0 R /Gabc27519 20835 0 R >> /Font << /Fabc27520 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'p~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3703 0 obj << /Filter /FlateDecode /Length 3844 >> stream xڽɎίـ@hA99MbF;j!EJn3PUdzVǨGw>9=z7&Su8Q헶ԆI)UW~|}y9s ELSN0w1^= ֥s0sǗᾌSL1Ao&`1.Ԝ *BqaqC Oi@JBːY@N>|})5?SJ6Mir)MXפaDay5*@ O<Əu7jh;cpO.HJip٘4hNCex,l࢛| VT*a)̔Uy$\@ރVi9Pzh:d=ՇN hdc jT=SX laqg4=VSJ<؎`a/yQڮ.NkZe:doG!~*Bz^q  =Rc '-?ɘx1Ҝ<EQijH6OX  w5h Wmxnq վM#w~w EH~X |\|gG޻g&1kl^&qT;YI=Dv -9q5"w%}W)L,ąĞd p 8{Г; -pufJ !LA x슣|g6S]|':6RPfܫ*a0,yFKI{Zyf /Ú s@A$9é6vƓ9 ~oLn8WdV_6.ќ/8,:2^}H8s50d)n U 0JN8)8ׅ-23V mO6Np8Nc'Zm [š]kSb"PRW;l#i? ϖ,Ɖe~Tu;l]5@ N]Lܜ:L[+oޚA oIuڡQa5 -f}stB\d*(VZ;a5}"#ʵ0gtz6j e̕jGɓ쟀&7̟IvҠONbV!Vɬef3"׀75|v2j&HPO',Z:B[\zuV.[x^mYGLWR4R[jc\PDž2>,a)rVLw=n15o&_VmS}PK<¡e5)(I &f;4Cd )J۔)-|(^SV;)[2pi[:>{J̜O}U$A0\%Pjҩڢ Yۊ#YE0`f(G 19G6hor2' e J/Cܯ[p 9fAB*k{A6I"oVP]dեTAj+6kz[Q[,oέ[7t_V|bg{8U]+WI׏'cʋg5g6MAOJ6;h9#XasH8,2Uf$Ձ("k 2%M Ei '!.u#rA:bqX5zְ$o#)_/8 j+cS*'e „^{4[﷎up퉮5^>b.Q' px*߆?ʲ ؃d]Pi9a TbwZvVf&$8Bf瞫P)3bDUp'K}sEQIl.bx4y \bI,%j><>{40qΉqLj4rB`S4٠=  x)PGvמHO:a6HkXg}y qYQ~_a~[E(lDAl]𳇼ƹiȓ:g6]LrT顎Nul$K~vβU1RčFĭAD\j arK^M? 6pu Au4GoGƠ]4˼y5ڞsGIv& gz[Ns>澐/7hjJF^6t|/䃥v 5kݱ;KHQ6՝ y}U r-Em͕o/~a@s;)g2NfSKP4Gi}4~;HD>GYYžS}bh[?[ɻ鷳ś=m[Q^7?406(N]w鼷髅-~Hov] Ζ>߫[q/K;O9_?8)pdGäFi݉B} f)<\Rƭʤ#ao̱Z_O,u#٤!̜o/њTa1qp&\q 1l"q ymLPO=p?];v } 002 ʴjxpOïvZ$8{ϰ < K瞄l"scS,pb X\=Rω= zԀgݸt?1PGc) e}AlE5gFOC}xlCO~4e5E?~A0{ݔ=:ᝒTcL~ PCrbC`+@)' 2I651ϐȏ@RM3yʛ VMGwW0\ ţ1=PZ .<6lyhI8Lztj#CP(M5" w`&Z;%ð |˄T-QpqAi ;308e_F1+VK!\EA5A}_:9Ke ԕ{fLhX#)X:{[f~\;7<|5>D X"r@Ũ -Kh&Yӣ,o|+sZV_]cdQX+\"zR@R&;:-y[eWw aٿ -x.#&tapIv7T|] q ѓcdd[a)H2Vgmj6De3p~F :[ F|? Y/&X#oqW q$8?4RYe@rvbrM+\6jn%'i]si(bs/;:f8lmdI/Hzt|/G:Zh3DhyH>~|H?{_HOh?y=w2j>Z3ReMcY2k# XȔ':JR.G ڕ (׆_㚎@/p @<r+҃] KڿoyGU*> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27536 3718 0 R >> >> /Type /Page >> endobj 3705 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3706 0 obj [3705 0 R 3707 0 R 3708 0 R 3709 0 R 3710 0 R 3711 0 R 3712 0 R 3713 0 R 3714 0 R 3715 0 R 3719 0 R] endobj 3707 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 517.6999 203.2722 528.6999] /Subtype /Link /Type /Annot >> endobj 3708 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 501.5 194.6317 512.5] /Subtype /Link /Type /Annot >> endobj 3709 0 obj << /A << /D (unique_111) /S /GoTo >> /Border [0 0 0] /Contents (delete_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 485.3 195.2862 496.3] /Subtype /Link /Type /Annot >> endobj 3710 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20211013063105-08'00') /Rect [104.1732 469.1 185.3367 480.1] /Subtype /Link /Type /Annot >> endobj 3711 0 obj << /A << /D (unique_116) /S /GoTo >> /Border [0 0 0] /Contents (implement_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 452.8999 216.3457 463.8999] /Subtype /Link /Type /Annot >> endobj 3712 0 obj << /A << /D (unique_556) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20211013063105-08'00') /Rect [104.1732 436.6999 149.8452 447.6999] /Subtype /Link /Type /Annot >> endobj 3713 0 obj << /A << /D (unique_118) /S /GoTo >> /Border [0 0 0] /Contents (report_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 420.4999 195.1652 431.4999] /Subtype /Link /Type /Annot >> endobj 3714 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 404.2999 180.9312 415.2999] /Subtype /Link /Type /Annot >> endobj 3715 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 388.0999 165.4707 399.0999] /Subtype /Link /Type /Annot >> endobj 3716 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3717 0 obj << /Length 19 >> stream q /Iabc27536 Do Q endstream endobj 3718 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27537 20830 0 R /Gabc27538 20835 0 R >> /Font << /Fabc27539 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pV)ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}KX endstream endobj 3719 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=256) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3720 0 obj << /Filter /FlateDecode /Length 1983 >> stream xZKo6W\@ >@EONX/)QͮôM SC|3Hji)["kpVEi*g":|C>$Q~yVL*:Erve"Qzz-r&Y^㵅Vk|'v݋ǿ/adiQGi+XWyTv\J /#\kɵR2 H d$jECO59_c>|< $ :+wAA760{qcb.n{ʢh.Z.97>G ,CyJ)|a\ 6xb šb$M^ Q=ynt^Xx ng@Qym H6#{2DŽl4s(^مvwrl·EUWE -1"v81I9N#q64c,S [&*CMV>%pes&^Ѣ/}k|Mj[?FzD*C6dvqY;:b(!?I4(k+ WU2ـ(~I-HRN~)4`0=äU!60:vMxv&T*G7ƣo4G-_W9*< 2) h{69B 2LЂ]&) M2o˫ ☼|ynיmV,iL-h4( vxȨ&lbzr0F؁ۊY,ؐ¶7!_N~=?Ң E P 8,py`O)Z!_t %Gi<fKT'z:}}Sӌ*(*m4\3‘tH)Oa R게S֜TT#REǶ7F]K0G$+ ˔j j7C3Dnu@o [b|\nܢ`@xX$oQba(F[̀CED]ӚDC䈩Y lyCFDDRLyJNOE2ٓi!2CF.\j<K,͊$?׈GϊKYD*|hƗQ*[l\Zv3AVhBig0[1n5dg@V44&vMgqJ[r>/KE |F)k,/oPOP` VSvbq P\ lmKG~piHl}=>Űߔ=ϗnCᘸ+mݭ KJP N,g@Ʈ4,zkG{04d(prW*t@Y=LT6{)ZyuVӅ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27555 3728 0 R >> >> /Type /Page >> endobj 3722 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3723 0 obj [3722 0 R 3724 0 R 3725 0 R 3729 0 R] endobj 3724 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 369.525 122.109 380.525] /Subtype /Link /Type /Annot >> endobj 3725 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [127.422 369.525 150.2745 380.525] /Subtype /Link /Type /Annot >> endobj 3726 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3727 0 obj << /Length 19 >> stream q /Iabc27555 Do Q endstream endobj 3728 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27556 20830 0 R /Gabc27557 20835 0 R >> /Font << /Fabc27558 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pVЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱYGkڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XLZ{//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛQ endstream endobj 3729 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=257) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3730 0 obj << /Filter /FlateDecode /Length 4478 >> stream xڵ\Ko9W<@$ TRs= BZxU*v$"I~ooY zcW5o_wƄtO믐ۯОjɺn=^}ݞWU}$ONhBa;o,dxd_ْo?f k;ǥQDz&V` ,w'~eUgp_bN0kib$HW Q}¾O(9|X_W䷔-~h5vY5m?[7feAdfMY߂|%d&i@?Ӈl >8~9oa\>s3~{9Ӭ >@ג&;%Gr=}WwM0;>Zb)2kMᐗVT/Ҷ.M2O18geן!?Ed6TNv1m]bZQ'  `7-F2{Apۥ$},=^]4 #É =D X& mWX^pA&@S TBgP:ORF",l^ ?\\h}Ɔ⥥Kq4DH}ڴԚų6kz3 "4T☵YKsefX%U.@#)LE$}^HzU8\KWڛ=8z~r6l`&HVOL#8\bAĎi*sCe%K>I3CӄbDԖyf&͈ZZatzc #$5tdc@*ՄC>pKF ?d]/BX*;[ k5yZp˜&@q.2.cZ9P:`[OFXv tn 7ipnuXb_5:D$۱2f#jWDy}w-NpGpr[89AOe78-N5Ipҿ '5I'IpRoO$81+pRSt 8A[_NCHmc1;XKNi)'S+?adnȀ0hC!ًҷp>έXy3x:WRg]0kR_<,WX m^ECqp?u>uД{mw XXZSR-,~ V{@¼1/ sB=. !D'oߪѴ͌EWjU6 M38F `|d(7v$m);S.qr 'L)LԨt Gf9CkZDrV[~r/PHxfp].>ե C4UӿR?a"[kU;O463%OHmTsاxہt@Z*ğj,0AD~u/#%):1^@ Ila١)%?Z+5o$~g/y]K'[f0j$u!|.k :bIؚ sm'qI܅o[8/rjx6s(S$0ʝt>R9.ATu[ gw~Cܚ4|WuZp ƶ ;Ņ5gVu'j!_#>N ~caf;nA:HxXV!n3 $RNľ ՝'Փ)K1q[";@$( }XZ0w]Q"Wy0JwPX9C "/Qfu~q<0  ",!|&$CIGGfu ;rD zա 6):'9UHzR2HqM&UPϬ>bO^<)qg3Ǎkƶ66'GKy! e{Idd/61An^N~FDXQ#+կkŠx8tW=4kKZt0HDLKPV__Gd}*2Ê. .A񦴘[#] e(m@aKk˳+уb2`ܧ&^ >`W- _ ѓ/upcen~naCsz SU<G=@~wZ/.%piNH V F#ImG~[T.sz-F vX%˕qcA&B 4Y#ЩW/ENK{H3FqskrAsVWD7 #ޥۓ9;6]֟ы ]=_*?HN ^Ϫ9HkYMK9;vaYݰNkw<]|>>wQ㚿*g-G^%taKiW'?9/^Ϲ!.DeG'bz^>%zU xFܩx(Ƃ#;ЋΡj'b{>|ճ9(U`klbwNöpp ;}ӵq~sރ{k#^u5rk.S0ՅO+/YkB̞wZmg w2~0d-$Mf͸='R`! [,Dwݿe"ґTڵt]1jϹļ2yneU3e)i{ qqn$ʷp/S(0EO V%PkXHvR#BD#[sͳ,W?>vQF`=Iz.>aeFv,j^d(PD<Vx:ߘ}z1B{R'$ _ >o}G1L}.gxhK+0 Dl endstream endobj 3731 0 obj << /Annots [3732 0 R 3736 0 R] /BleedBox [0 0 612 792] /Contents [3737 0 R 3733 0 R 3734 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27574 3735 0 R >> >> /Type /Page >> endobj 3732 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3733 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3734 0 obj << /Length 19 >> stream q /Iabc27574 Do Q endstream endobj 3735 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27575 20830 0 R /Gabc27576 20835 0 R >> /Font << /Fabc27577 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-ZݛX\ endstream endobj 3736 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=258) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3737 0 obj << /Filter /FlateDecode /Length 4924 >> stream xڵ<ɮȑw~}'=<j'\I-]jd2222U +f~kznM/)7j~=jæ]R֮_;+eR?~g7~kD_V`%C\t6< _/Ǭ!eDu8Pxp hwEVĴ4|{boOGg E\+ݽ0B6ghh)KZ e(n W3` [k\Lv`:twth>v:=ZNTA*FNR7/b bX)70[QZv R刟ft PdFw\,jZ~*E[T"OuF]']vޔ^*wh

$B/[ů7rzNN rfm nh"ME\8ys.z I"dXE䫸GqѓYPd7 dHSL10fER/P۝ (h4|,{SW=ABnK.D%`DnSٶ(p6_ V2Hк$M`eӧrO[[X< [CFx/T<fRm=ŧ`jUSKIMU=3o]J51<--53*9N%tAFAț{$@ןK=ȥҌ<5sp} V:wYX0OgӖsRijg|º_,f/?Y9m|3=* '@Lԭ0W ϸ{M [|5m|E-|stEʖۊ慣_\w"tT|/,5eivR„)KS*5~acEkSbW{ x.7iu#AQL nSCw" 3-05ͩeibP 8w/kONgw-:_KүEt.kΛ~Y2qS4Rs*O΢j[In׮8xz 2; H@Cˡos74 s+̡Y`MDw_OW7vPs gB쁽b|>b$5 :`M7A6瓕7,u0k"wu1×{(WP15۪ͦy-W!ϭcdPmD̨^߸a}:R]̗=1/3'Y}yHu6o.,tOco@ozކEn(Q|m=ۡR$Nr+O"e,N#Gj/-WFd]hm_$++QQ> $2@OnM%#26fx!m<&x^)3gT[XnC^ /ݨaVu)#PF9ߏllå.VLA$Kq+SҶ,h?mwLv= Mwfk=<3` `(5N1L͉tw%m9D,!+)1a p1rٙj5V8ʎ9# p9ΌS\t^p'hnp!:ij u% -~uD _WB7_SMZÛtE>{I3ןds \.oM=WO8T6!qn [YV׮Y~⇄tnbJ_-&+網/HF.;C+I&%_?_4q endstream endobj 3738 0 obj << /Annots 3740 0 R /BleedBox [0 0 612 792] /Contents [3749 0 R 3745 0 R 3746 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27593 3747 0 R >> >> /Type /Page >> endobj 3739 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3740 0 obj [3739 0 R 3741 0 R 3742 0 R 3743 0 R 3744 0 R 3748 0 R] endobj 3741 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 203.2722 686.7] /Subtype /Link /Type /Annot >> endobj 3742 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 195.2587 670.5] /Subtype /Link /Type /Annot >> endobj 3743 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 216.8352 654.3] /Subtype /Link /Type /Annot >> endobj 3744 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 165.4707 638.1] /Subtype /Link /Type /Annot >> endobj 3745 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3746 0 obj << /Length 19 >> stream q /Iabc27593 Do Q endstream endobj 3747 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27594 20830 0 R /Gabc27595 20835 0 R >> /Font << /Fabc27596 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=S~Y2ȚC^B 0)xpĸ!ld)(` hT*VEA ^|Uv,Os(RSvPBNs(?ډ_'Ŷ^ endstream endobj 3748 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=259) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3749 0 obj << /Filter /FlateDecode /Length 1262 >> stream xWKk$7WhE 9 a!_UIj{xb#UI꫇%_)g=tN#oxҿG>=mKC6OW>om>>  0_Kʺx37qB:};6 G[[nuJVoE_+ԟ"S Wb rlfO&HK-Ijo1!xI!BFI"]PT> T((%i\I~տQ/:RZg lK&ew5>T jK3ͷqUj-Gu4-USY;oĢ.#ZFDB Z1t2Cc1C=si3zK^ye'QʹPG.e̘3eh3^Y>cYE5٠]3i /FO|@,X72 ÈSE8yrV#|^;Z=ILп ` &I-9k=c{8#^) r::α? 7+ N7+>ŀ%h!6{Gx,o7"FM9k[Gh=⍤qUْ֮xOVj_[;5IH=ɢFB#`~YbEOaDLCj +JC6Jv endstream endobj 3750 0 obj << /Annots 3752 0 R /BleedBox [0 0 612 792] /Contents [3759 0 R 3755 0 R 3756 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27612 3757 0 R >> >> /Type /Page >> endobj 3751 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3752 0 obj [3751 0 R 3753 0 R 3754 0 R 3758 0 R] endobj 3753 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 222.425 112.528 233.425] /Subtype /Link /Type /Annot >> endobj 3754 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 222.425 150.346 233.425] /Subtype /Link /Type /Annot >> endobj 3755 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3756 0 obj << /Length 19 >> stream q /Iabc27612 Do Q endstream endobj 3757 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27613 20830 0 R /Gabc27614 20835 0 R >> /Font << /Fabc27615 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3759 0 obj << /Filter /FlateDecode /Length 4601 >> stream xn$)Wp^rx)Y\eHsK{XZ+u_72r=u3 @oRKvx_ei靜5T?4ZΛZ7EoJ(wFէQKԫt'6O_OU|K=Į|^v߿E ?I5eGjRVW? #$/KӲ%HLQ"6pı+epLu,dx{? a_ (Txm$4vm1Ji.Qp!mE"GvZYXX2`"7?O1i4-kJOQb7_1!2ՠ+`%fr3u4;jX >J{-9kg/e{xlHQ߁ΟէyNAZIMaLZ*/\,OgsfaH`dЍ`YbF@+#rKBZ+Zр."O} Y57-`iJ4v6I';~kad@@X AK/.ELXG"l\39ps0p4I9nqף"PF5.Y=As&b }=#ZIB a ~ DC2&Z 4>"8i]-x7 @XYWÍTpW vh[} 7m >_SGSb^KϪ *u`1c A]X`DwHw'f`yfpSaa&9T׼\hPEWn!H Y ÁF  8J&dPX(q䂣$}z@yTKu_8$dEnn*==l!\!L?t$m9(<[ȣ\#x3:T,.m@#:\f*8U{J?!!F y !`:I .kEJ`MGGHzf4q#Slov?)l?0G@EĵV z@TXݤ*vYu15GuU J`=$(x27WD b]iNO領11Ph5vUm0G"^,uPcpvhk3I̤fZjP-Cjfk<=a(,䖹 sMcSD4QUKJk%KV8E.2:6K n[Q'c ư353Ò$P˭W[!قzNn^KS;=3SZYC>nm_2?{\9Jo;[ʮXr 5)X3'"7{3@@2p%&~6e02SL# \5W=a&;+MT}ݷClDZ{JDİbծXLEC>W睒/F1P쯀BLqF{uWѿ{IRլl2(;tu a;N0$kpf[H禘#ߔ(j7nCzgq ,:-*-. %YlAWc5S$|l@F +_)΁jƕ3s'Aʹl| f);U0U)Vڹߕ%4XgLS4%Τ)ySgӔ=Mq)q*M[Ӕ8ns*q.MҔ8iJeN;T]Ȭ钱Gv*x) SM{hmT xnJD9TX\;U)caթ{J0Ri͎CӮԩĩLŐp"SiYXtMWp5;k൝aBuSN%op*qީ-N%8ݩЩN%N%t*1Tw;pwSu+Tb.tK>֩edNU*EWU dJIs'ڔAj;MbsNE9κuV)j{|*#y14횻W)fJAVδȖ@lHu⬂J*%]@[g%t#w9Z;8!ɐeU{gR9g@Tg3%U?$qḟ/DmxRxvŸ%[w!˅^6!Ԉ 0|/'_׷_gJ@hm=jX{j[>Wj F$vrS>\[ M9*Cۘ;= l;D- W59)#Sqa(' v|tW缘9/ۣ|h˼~$s^ D?&(QEg#`=p0ƭER}W"_g4xe尛zO \΢G$K&DK.r&s"AG|uo݄ai=Nh+Wl `R].fח:s"crn̻H4DIp7B[^#&J(a7/"$)B 6Dma$S,pikzTyq L: Aty) 0Gy^Pc@w)Fq\&cŖsy1yǼX3/o`>RwqV[H'q+8Džs4o p݂q(1h)r"ғ/!v2P'' wVehcMX[K w|UB: Ksf/@IlwE8fqQeY\&|Q$FM "ƀƂ5#)qH$F=ZCVH`|_Rk41m[6#ı% tf7+{+8u,x5H)x${{=-0u=ѽHDM)&IŽYg`DZibGld:K rGE*ά)T$՞(_@8"?y l$qS٘ʄ4/TZ![kaj݊K+5j2dc+J=&x'}υ7\Rd2ڭejrͶT RE={ƅm 6}_D\Z)c9_`0q /mw@AWHÏ4?'Ȝ;^#"˘m|WJOBrk" #hە $󙾢ij` z6 ~E?Dۙ1 ΖgO?^RQ\ YjQlmJ n!ٗH}~6IsVs60؇e\}3RkHCqpry=F}4&D)gLIiх&# }|pR endstream endobj 3760 0 obj << /Annots [3761 0 R 3765 0 R] /BleedBox [0 0 612 792] /Contents [3766 0 R 3762 0 R 3763 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27631 3764 0 R >> >> /Type /Page >> endobj 3761 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3762 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3763 0 obj << /Length 19 >> stream q /Iabc27631 Do Q endstream endobj 3764 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27632 20830 0 R /Gabc27633 20835 0 R >> /Font << /Fabc27634 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1d endstream endobj 3765 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=261) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3766 0 obj << /Filter /FlateDecode /Length 4201 >> stream xˎܸί9@3|?3 'ov`Tԣ{]-JTX"/RŚ%H%.o__"[{U;. }]qB%UNM'W ?i7󞘇!>-"MM}Zt'o+ȍ3%5pmtFR,{ՂK؅ZsŒ0qZڥ3vwr&:B h^qiQLI $̊%&` l s RE6LB6HSw[Q T `3Ԍ" Pd$,i cvAHZsmU{8lҝ{FA`xio8zEyoY"2p2a֭6=!$^,@pHp=\^Uǫ[!40w^FL*%Eyp~v @QbIA-4=j  NQ)qr hgs'_3vYEY]Xn9dbvXlVTGAzHhRJ?fzY/ wR( OKufe (%F2BT ygl[E`'#dat6 ?+#ʞ \:h<[zW~IG|j1'G-ljX5wlWud;XVAhM3f57L\K;Z27{I%Df>lObhSeqneaĦĭOvQ]6 I&[(K9 ta9,UQǪstf=ֲ'X`JUm/U !eVRFqvq0ێ07꠸ߡTs5Dr\a'hY-q[v+InTI[s*lVH7g4 C!JZފ(}'c23uyFM;O5'#bӰ"^>V]w<@e šWDk 899C> ti(}n9NrFhRqkq əH{ +I. y{.jh+ZJ3|V#Pr^RQ.k0]{liwrNakHw&/$i >λEǢ[n3s Tqwcnʓkpr\HӉ)R#LLe)u}^Ow0.D/S!Pqy% x`hAD*QjEIsy.^lA '?:p_7oQP͋X. @CDž+E]S?-[ɟdR]& ;m4Ht"$a#;DY%b`6@ܧq"\z/ dY?\w"^c PPnKǪ;?]>( 둝(LƦ!S=ZR3NԊkזGe[ FSrhIAR9ǝ6t[.P5|9NJij X& 펶Ư]su)s!x{.ȽRI+YtM|Q6I_&"}(o]q%Ӹ3p ȅṲ437f!s&xk_*q!eCHφj=CIH\[PBx*A*̾ÂuHpraKkW¾ `C#'~g q0醘+ʮkr(Wq3^54߇Js^{x]'7¡Sё,顆:|2jppo0EEDTp6RXr0.Ʈ+*UKP"ŗL1!F>(̓VdR&L "JŞɲ?,C;;r`mxɧٍ8{)Z{R;8l7D?vŴz(Q dz,Wy?f;LYmj]K_[xGr7Ɵ|vCώhdԞB7@׮QI.?u)3H-ڬIm:]„jz/nX{<+*5bWdzּJԁ2N+MdC7 H:_5=Ԅ3ӑB>ayDܕ+:aכ\xW=1}m'w,Rr5v-+ B [,rٲU+$V0;}od[F~)\79+H8NIvj vn6 @=:,(~[rS&O2$׿ES>8FOxz+r甶- 6cXZ`o\n[Q;:4hj1*qw nW&NhaTD:F"[eBjqUhFgaz+ܷaTZi*0u1Κ[M'9w>FZCN*⅜0@CTSS]pLTF?~^['|Cca) O0.Rws >ez7~|VDr Rk;TOoiQ 9S1s( T:Å̗7V>=YHDfWX }nH.;=X?/ٷEsU!"hʭa36隨V'sbu1*j?~O.GLfT\`y_Wꀖ)? ij@ĵ)A%L% J2.malR^9 $J'WD3:bY+cFX y)3;-nC^K7eݨaVu)o$B ~}X{ Yti-o.1βU0v;6&ە,_4z=sۃ/Y7in#&3`c? !| ֡7>j'P`qES%Tl`&YS鲫 @>XV4|UTIC5yu}xNLp+xq^Z/Ksˁ>.YxjT3-$Ms@F=zKB*G Z&M 6h3K  DT@DTsK:bX ӽT%Z J3A!6ryQ-/KbIgb!!V\έJs[Wz$#TG_WI j}9u endstream endobj 3767 0 obj << /Annots [3768 0 R 3772 0 R] /BleedBox [0 0 612 792] /Contents [3773 0 R 3769 0 R 3770 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27650 3771 0 R >> >> /Type /Page >> endobj 3768 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3769 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3770 0 obj << /Length 19 >> stream q /Iabc27650 Do Q endstream endobj 3771 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27651 20830 0 R /Gabc27652 20835 0 R >> /Font << /Fabc27653 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pNЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}7 endstream endobj 3772 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=262) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3773 0 obj << /Filter /FlateDecode /Length 4285 >> stream xڽ\I+W9:@`4r30@AN/`Tky~1MkzoZ$wץSKTxK"N_/ fQru-QYwHMw/jx`VĹ?ikt^c9I{/EK~`VE$.ޣ"OR}=s~YIW78),b9OFc-bq18"x.{ |71nX{4y\>*ʮ.y W&}I<<I;pqѐ ,3}W8{~cZi>ϾΓ([)Ac%/Kbq ːf;MC( &(#!},#P)l%6ձqa6LH4\֔I_߶dnyp3fZCn u^*ѫɑ$E YB QOiM&uf 0&"PEA6OLFD@b uB?OATeb>ֵN~"y7s'wVps2 VGKl&`%q3 fwn0+Rsc:ΫdU5lZ=wvVi0Od֨\hp#O6fmWueQ/Wԓz$L1sXXDϪ5~W*Gᶮܮd7.;;tdp5)47h 1p칐"rKn"U%a޽oJGٳu8fvS IalYIQ5fM"zow?]rY 3FQ  ucOZ2-8N,(P9=u |36M6$M/3^g8_A&.UL-xӮYc+Mc7d(!26\ڟfczEo0(6 ܈y/Rƒ%h ^S8R R8BPe`f@gNr:yND3.<]#Aɫb#S8^`lUh D"QՖ$W:"(ȓ@0;$9305 sQtf9~1I^;0Qitj!FϦdvm˃Mk7doMJ{ u=wdr[5Zw9 {aK9{cN?WSgWzjD\ŨJIuB'WxK-Ԡ4~τe#`CIW]JDY kaT|VWYN vwɵcfE_9! B-j͓n-aoulG906;O׼SN jDU\.ŏ,2~rE@Ne./K.w<UOa9G3*p*fTX9PU1䉰1;b>HtŎn$ۊ^Si).hRPX$Dt3OQ%z;6cƗ 'tniBq2hl6챡&k%Gl*9zuPբ&EHy=jrP~0%W&:puPɾ6TS` Ws[rZw16_*.N@.d17ۊ˦!c,,>n= e~5 "Νb'Pn q'VV$ F6#^<XyӐ^?UtQ#:F[W:xDb뾔Qx:AU% ܘrG"ñc HW1.:b r]uuvV%-ChWXs5'ƾX~6Miv߇H%*Faw>3=^A or5``97K ?_hn&1FChgwDl|ͱ[ĒQ%Q}FoSu|eʊi>WDzPvpvT*~$nQ?qG" J:MhbimÝ+BjXTi.-K'SαW\01rGU6ΫR19ElNe>AB|3vü-,k " /nE0J=Fމ?\KP(om`aV?ZJ[B#fP_pmMmeVaS=UIRg1[b;+m-LCvϭ֖{v"U+ S[4jeBj]7.hWkA{gZ BwVWqfZʮ рVʢvZuq*Q#&l;Sw~Uw; (ݔ5V:?Őp:TgV?%=ٵנ'u=C_"I@^]Tr{Qwܫ差W7o+wc>Oe=zԀl5E? ?-`R!֌a;bȥL|ѷm;6T]UT~T5wU2uB:a+|Iu6W9)3:s4%OA9ݘg~jR\lo[/oYCH`4%WR[G?BF[VsM:iQD2_hோ]A|B||.a{O3b l5)KU4[=p]OuŖaL(.nr=S \G r9['7%8$\LKcX(KC\ȏSR~[%(TUal7̤{׬Q.Lf򟼑jNtFy񢛥='&9Նar'IL leTy,rf|Gt5'nyNعJm?`W;9D>nʷQ>-pD-P.EdP]&Y,oˡS&_S2(Z W:v=M\)R/bY?̈kuO3&#G_p/'_ ݶ+\q=^8`'$u` sL`v\2/ Q;eg{Gvuj˺(Y_.E]=B&XǠe*7 ΉլmBrdnWd]@\_|cELGm?e1/YijPcH&ѿeAx u&X sgWL9ɤb:: 9(Aă#G( H]y~fi.i{%#pa2@CSg:b_`c{UB/O7{'OZ'<_|uޤy!dVݠyN_^ )&G *o~^!݉X=Ux$O.l]xȻb,jyWZ)Wns}/1l*,](WЯmeW~G6OM1 T#k ZYoc endstream endobj 3774 0 obj << /Annots [3775 0 R 3779 0 R] /BleedBox [0 0 612 792] /Contents [3780 0 R 3776 0 R 3777 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27669 3778 0 R >> >> /Type /Page >> endobj 3775 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3776 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3777 0 obj << /Length 19 >> stream q /Iabc27669 Do Q endstream endobj 3778 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27670 20830 0 R /Gabc27671 20835 0 R >> /Font << /Fabc27672 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=h endstream endobj 3779 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=263) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3780 0 obj << /Filter /FlateDecode /Length 4175 >> stream xˎ#ί| ,&vؽSn4 M7bXdO_';K.ne>;0 :|~9M6?ur\ UP"gSSGt:CS͌hT3EEϞ+AIxM:țQ K N-ِS tK4V{71%YNo,shiOn}C1+L`YYf͕6Teґ5n*H%='W-a s~}P7*"x9Ֆ#/@1ofZ<{wo/\Ŀ'FEq.buc ]`ZD;]Gq6D Q g p+$X4DkK[e-;ae6fȒosWXRW5I}XBxI!'&vᓍa>o~ak*:<[Z@~ӂt1kǢ=1|#Rùc'OhP<TYhkC· 3ȹ/1Wݴ1Vq2#[AYzAi \#+kxvb5ϖT:5Xܚoc>O?> 29C};t#$Ҽ?Z2}۳-ء1f{ubLjV2PRzVl. i:knk5 +5?O]%O31mI=ưJcůx#ņ(5xQa6JlkW((]H1Z仕[{LG`!PV<"jKSaPmܔܢ~kO!lHQvwmuaNQGrO^=-RS/\?i){]im͑/,po6fF]T%a촛PaT6O/UUao3ia٬`uka{`Nz| qKmeyg퍄efTn+j$mN|OT$",DaQq PZ<^]IɒNRWX6%^sJCƪBr4'19o@\ kzXoW7B Z-e 10@/ _="NoM1nҘUV`F{aS9NHom)m+VuJ?lӱf lg;W:Wy򭍚Qc΄N ýi]_H',7l-VoމzRnkё{ ,3G~\^έP^#cmLGN'D rƴZ:%rgd%[jm;~عe#ȺiV<8ճݖMo6ҫܰj;o0X[B"-fiNɉ})Νϐ,1'ڹT8p>G#Vm冪|Hat÷u L6?N"J,]}t /#gWm6x1#uuqkk𰇆T&Ϝ@t$WZqczː/{~~ކ n5g V:Һ\\]b>ݍ|Z#?yeM3EVGw'n 9#Pzsev'|ńDTFAt<ۮ6A6"10 3zv LHh܊s.6(ݘРs:dVb`sn "ZfM.gHV=jQhu2HlǸl-@M qԻzW S*_'I|]%"TLТ3$kR7;M2R: \S_8V}$[@nt ^=1LJkG3l8U49ԲAZPqq뵶PEy ;ERSVUDOOW=4,|m疰 OV##^;O\M.QGjhuZMj1Ux!-{SJ4 />3ǹV#EIee[?)tn`^,m7>WGg5ØsY3Soq}f0OҶ6R;/bMwjN ׎k˧c$$W6p]y ;1Ec#zxXqWc œi3`XN45#~g_B|]#,b#^~;&;& Bf5cs=A r}l`݃"hY>C> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27688 3793 0 R >> >> /Type /Page >> endobj 3782 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3783 0 obj [3782 0 R 3784 0 R 3785 0 R 3786 0 R 3787 0 R 3788 0 R 3789 0 R 3790 0 R 3794 0 R] endobj 3784 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 180.0512 686.7] /Subtype /Link /Type /Annot >> endobj 3785 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 200.8412 670.5] /Subtype /Link /Type /Annot >> endobj 3786 0 obj << /A << /D (unique_138) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 201.2592 654.3] /Subtype /Link /Type /Annot >> endobj 3787 0 obj << /A << /D (unique_139) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 187.5587 638.1] /Subtype /Link /Type /Annot >> endobj 3788 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 177.6092 621.9] /Subtype /Link /Type /Annot >> endobj 3789 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 191.3372 605.7] /Subtype /Link /Type /Annot >> endobj 3790 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 578.5 154.3497 589.5] /Subtype /Link /Type /Annot >> endobj 3791 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3792 0 obj << /Length 19 >> stream q /Iabc27688 Do Q endstream endobj 3793 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27689 20830 0 R /Gabc27690 20835 0 R >> /Font << /Fabc27691 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3795 0 obj << /Filter /FlateDecode /Length 1338 >> stream xڭXN,7+Ծ-`!E7("/R* ᢀLS]Wm5:1b?o'SR^_nPNP"Gǿ+B+9%}~6< C`l*(!l~;2hQ{'N6d7mtNyS$9+733Y#\#2R2|yIӛ}&2kT?qG͂g‡t8?|>@9j<8O/ɹFWhFP ^2}`\ xj;E4ՀB- 6ES#j:ieAt6-=xՄOtύvVMBOt.]N\g?|ߐW,lW0O]:˘!fо^j4pA3rzbL}SClܥ@ 0aeZMqxIE Wj1_A[ 3EMeCk?FmHo*z)5@X0DSԈo0G~?2E6G:Nj ;b<.Y[)cS=')-iG.ef?2U͙zn[26RԁX9NjuZzY4OY䪎&d},XH'"RXXUqh.ZM &GL-Jh v;X:Lb-S%LyJo`G 2SdD|0#~oDחAFQ MbqY8qs)pt);Xkh0X(`y1]A$x&opz@gU?|7( ؔ6WDl_\V4}8zl؜2޷NQi6nvV\RY B8I ,"q]݅;\Âۡ9;LF!L?`_ajpִM/^ endstream endobj 3796 0 obj << /Annots 3798 0 R /BleedBox [0 0 612 792] /Contents [3805 0 R 3801 0 R 3802 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27707 3803 0 R >> >> /Type /Page >> endobj 3797 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3798 0 obj [3797 0 R 3799 0 R 3800 0 R 3804 0 R] endobj 3799 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 384.725 112.528 395.725] /Subtype /Link /Type /Annot >> endobj 3800 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 384.725 150.346 395.725] /Subtype /Link /Type /Annot >> endobj 3801 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3802 0 obj << /Length 19 >> stream q /Iabc27707 Do Q endstream endobj 3803 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27708 20830 0 R /Gabc27709 20835 0 R >> /Font << /Fabc27710 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3805 0 obj << /Filter /FlateDecode /Length 4177 >> stream xڵn,ίsp_AF#%rrzR.¥#?tvm1'|boK3KK6X_e_Y^~:&[^ޖirBho>7[ӽڴ>(qob23e_GOvy]ԧ_ˢX24U;<),I 3ӅPʚthLՀgr cw^~B}l{Ձ`CP̝+;V&R͗ '% ց`׍<Wg6cVx%\:>?Acm:Ʉ`-kց> @"x~Z6kZl_/Za׳39cWi?-vyZ>@~0~л 'n>TwGK~v}q O(0o[Q(Nh@md՘ *SkZB10 `Wë{ X_a"i '(?3}>b}c22_hOkQ r՟u'3T]' dR=,x/{KW<+rPdX%F1CW᷆⴫1Ö5*{GtT˚wEbun@cDD=5U@Iz jKve57VXH'z`j2f4JEuU߉Gb£nqIl؂ȳ']QAf[D< 5s(g^hl#[˱0Do%FR٧|L!Ca-[͖iFp6r߻ST^`e"j*Lԏۄ#50oo^y;*ͽnRnP,gveC-_N,35''!f`Wψe7kO"@|xԗUiCg ~|8(ؤ |ai@ ۇII!4q__}o,b iF{ _Lr8q%5zQ5Vc,1)! pQa (#z N=jpQoTZ T1Q@UcJFwi]JKQL^ͥ՞9b C58X2%Xi4[I(~518?Wf6dHYbB ()<4eR9˃ZQM{Uꨎ.oe> Uhr S}? ;ݾ-c ГI632̹".*vl>>Ur.%KJkl>Z\ڻ[ OG%bXK6H}uW4[Mic(iu"0bZ&;8c 74qAJGXa%TT TB]Al9JWs''ܲr2RMaOЮNUP-',fcfc膛Y#,faY La,e:- mWI0Wl0(v?>zٗhbTHp\Cq̸pr٣#D+Z>np`@Vڙq Vks-wEx!~ᲓڬfHWG5m7Faê-;=t=f7)_m}fꁻ&V `U.RU# ^϶Xqb7(`6U6~cyUÒ!/nPVعn: =_-\ ڬv&n+8qtC?مLS jH(L2i4 _q[( F.H3 k<4E%fv-ݝ؆Yd@)AM.#㽀ݾq 8%əP-wUANr4ƺQRq1VE x-nM. r v9% zG6okׂꝧ15DeɥQT}^I M,Xq.vUHpA RYe@r 1۝fmrP1 qk͑ q}Q_=?]ҙflN&qƓRq9nI`r\ ƨ-I\6ʃ[LsH!*ZmWaӨYpW+MӋѮ<׾5pc28Y-.l0uQBVG=gCNj3~׻ քT.s?Y9DT *\x ۲=<ù2y5 gnwz ej9v Tq.Sjʸ׻ITE)'ΗBq :1}#;;F+> ?`ws@5Xkzv endstream endobj 3806 0 obj << /Annots 3808 0 R /BleedBox [0 0 612 792] /Contents [3819 0 R 3815 0 R 3816 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27726 3817 0 R >> >> /Type /Page >> endobj 3807 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3808 0 obj [3807 0 R 3809 0 R 3810 0 R 3811 0 R 3812 0 R 3813 0 R 3814 0 R 3818 0 R] endobj 3809 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 451.2384 180.0512 462.2384] /Subtype /Link /Type /Annot >> endobj 3810 0 obj << /A << /D (unique_140) /S /GoTo >> /Border [0 0 0] /Contents (delete_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [104.1732 435.0384 200.8687 446.0384] /Subtype /Link /Type /Annot >> endobj 3811 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 418.8384 177.6092 429.8384] /Subtype /Link /Type /Annot >> endobj 3812 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20211013063105-08'00') /Rect [104.1732 402.6384 190.9192 413.6384] /Subtype /Link /Type /Annot >> endobj 3813 0 obj << /A << /D (unique_144) /S /GoTo >> /Border [0 0 0] /Contents (remove_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 386.4384 198.3112 397.4384] /Subtype /Link /Type /Annot >> endobj 3814 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 370.2383 154.3497 381.2383] /Subtype /Link /Type /Annot >> endobj 3815 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3816 0 obj << /Length 19 >> stream q /Iabc27726 Do Q endstream endobj 3817 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27727 20830 0 R /Gabc27728 20835 0 R >> /Font << /Fabc27729 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫\ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3819 0 obj << /Filter /FlateDecode /Length 2291 >> stream xڭYn$7+x6Pi P(@>imZ%S%6ՙdAJVGN?=gu X27uz?_F?~S}g1b%şWbXrf h;[.juK 0zJELE'D'f7[5(*D^CI{TGa?P,BGg37xI+@|ψN?IAC݌L.|)gbM67McF;]hl !5aM.Qbٴ aҷխC4Z QA^LZ}qtȪ=>YDo]o-}yYIX8/` #~;KKXr^''@QO؁Y<, /e&"b0xz[jMf)reSفXPq:MHd)>ņpJb7E:0meTg<6Dtpn̰zS7RV5RfwŅa_KԹ@ӷFvM7k` }' .UzvX' ;o'w6-(cDrMǺUźQ<"mԏZW|hw;ۆ~e8b`v)AZbp~0:M7mé("/'?SUШUc G2- a x4T; K_/#\c8YknpY,7Mg8Mj+&ܟ=2h.Z$DZo ÖWƫQf]u.MY sw2C\6@|>*jA37=aӒgGڃRhlRCI1L!_~)er<WECH?˞Z6HV.G2#EMMhג?F^ी[)F4bMS#XUg*(.6 D}75z:bܴRRFAJS8 s=5R߁T5#u3Xq/ꆬhK+z}BxY&PZ&DgX75L̒ W$/wVh(nSqbkQWڒh'fqdeVunj֢iJ$DQ3MT ?|<ֲ +nj?m{m1CMޔQGskXf쪜|'G9ytm( )FGuRƭMK[H{h0c[V(bӷ4Ԍ_ykϪFYE6ks\=ОvGɘ"jcL`YZQ XrDgD>/9QnGJ;d:C19˙Ry;C3#}2ƞ5BkV":؁n7; w4Pԛ 2tE ~y_wNj BgFs@TP9 م*()c|}u98BZI*WalJHx<3xIP 岟VˌX@n~rh F &) endstream endobj 3820 0 obj << /Annots 3822 0 R /BleedBox [0 0 612 792] /Contents [3829 0 R 3825 0 R 3826 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27745 3827 0 R >> >> /Type /Page >> endobj 3821 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3822 0 obj [3821 0 R 3823 0 R 3824 0 R 3828 0 R] endobj 3823 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 344.825 112.528 355.825] /Subtype /Link /Type /Annot >> endobj 3824 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [117.841 344.825 150.533 355.825] /Subtype /Link /Type /Annot >> endobj 3825 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3826 0 obj << /Length 19 >> stream q /Iabc27745 Do Q endstream endobj 3827 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27746 20830 0 R /Gabc27747 20835 0 R >> /Font << /Fabc27748 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ըЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3829 0 obj << /Filter /FlateDecode /Length 4678 >> stream x<ˎ6w~oJ@ʪJsL{XgŢjŗTvۻYCd0oRWm'/AA}U?^vVoÔC^ɘ܅ޅ4?j%mť>}0W1_f(wI7Y}%N NNhBr p5fJ d.Mp?3g#g}/L^{f` QƸW,0.Iej0_/ILvPiI0]@ -Mz}ҟZMOx`2g,>3_r\ԚY;-!ZۯYNYc%?/?#griF-XMa69!I;E>x/03J6xu0h\_S_aO FhOooՂuv2KaJd i2>}+O~ <}}__l㍝Cy[ݔ^}m_c_ ö|o|_[ŁnC uPPV“Ɂ;б*h*ǹTgXYrvïT!b'&4l ws,`m5 uAa RaH% Jj@g2~sV‘S"=Q6{݁  F(A1bW/ejE @[o3arBs b 6вeM] 3LA&2% c˃F1tlBf4Džڝ/~nl K^W^%]$8A`'+0ʢeWw9V= +} AsvwHi]L+ lӤE; S*pWN.#< swԶ҉/j??) +);"g3RWIIIr5ܕ_۹I[͛>0:&92RS 6sgEVvy_'za|tVȏxqj#6*NqQ9`vk ]JSx<"[RTP[ 45M̴jlRў-hTUI黛҄!Ū.ϝ*49 #Ъn[a 0I3)9Xb=jMSE߸Z ´K!riO"#]Awc"UjMq&Ng*̰uݷ:Qfm H *+XT`t\œ 4-E @]!q5JQ58az؛̡UoC] U:$k,o$D7ehʽ,:.qc=bX\Eճ,͞t=V uJ?`@7+yeaܙ~wRl:f@䩊9T)Z:Z9b?ǬoxϜhN=9#7DSGR.&D ʽƜ:-sB椤?_؜iOӟ=_?dR[O(Sn]77=}3m%aXI/&cA6sJEnK~4tdzBi!'q=! K}.;ܱ#?kb!7cz:je af0}( uX~{AB<9 8ޔm[N6<.v#>v ؑw ^ӋL}4;4沯A},{MlEem|T߆*_gnrm#no*[;x0Ufޮûz;ۓm/4Xs=^ޔܒ_]eG}i0F`Ɓpg $.3]%fȠ9 .q(" yqUarABoݔ|j)9D{Ä[v&EQuݦ){ OmUT)*u.7s!|܋lHU~t9v ud<cW/԰^@pwO9eH^j!s\ӺUBp;)^.˃CewEwgԤ&R}L_T~mfc5PT,t`z0ו#u.A@[-l-u )&;'YHW<:A|D֣ J®!z* ,0CdX+k՚y[6 WTDGqf#++9 8Qsb27~>q7;*\Yw%>xHL+mN0)`c꾸ϩ|>G=~DWB*1e? S^fny㒽p5K,BOў(6.DUι^HUG: ̌xO%z#sޙHrNC Ӻ -)[VwfRpw ,=`w]wFlH\K)j3Fg)`ݙ@<&AiN\8i9Kvm6{Wj^{A),,?6L&5g L9X &p;pK Ӣ+Mgωfoߝs#Pk.seFi/ٮ^$s?%05)O)%7kp( ίd,nml%"~AvG~q_3Lfƣl|fyW V6`EߑO>GF&kPyMqw *D7Y.w'y/`3$Kl-".A (ۧ\m)ׇ)ī5;Z]:S *._ 9NP3ɹ)wfZo1tIk9Mmœ_HRiۼ7( oV̤k;73;@eFCV1WbҌ-HNn a;_090 g k*,4X]p]{BT'1cJ #y(q,v[wO=|mH[D Tf:z3<oX*K ߜ JK|qPH !@#[ nqR |ؤK.2 F'oQ)Sq28ԲRzTQqbL/ĩpE[AHm<|Z/RY-eF\;J_*xomLXZ""/ DՕeXrF%[Qs+deҗjߪL\Tm !v;1ەv*rPT1=K/bm^NyEߘ"6o믚ټ"s9}g,5Z\fI"]K n>S Q0nG/h~ۻPVQ>!t;,& paRu "g< <&_p49_+Wz;9˯/W|BȨ'|m*xPXD]fL%=kڝq"cv  <#圱|UG/ɻ}'>q}d?YS=,'A%O/lOm>µ endstream endobj 3830 0 obj << /Annots [3831 0 R 3835 0 R] /BleedBox [0 0 612 792] /Contents [3836 0 R 3832 0 R 3833 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27764 3834 0 R >> >> /Type /Page >> endobj 3831 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3832 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3833 0 obj << /Length 19 >> stream q /Iabc27764 Do Q endstream endobj 3834 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27765 20830 0 R /Gabc27766 20835 0 R >> /Font << /Fabc27767 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴Rg<~~?va,6{`B P$́2hނ׮nww mw/g+&-@f!Wm ZHn:|/(hF1rdbE0EH(.X*Mw1)GJIx5s剢xyETZĀ0dD@M(ξW!KQN>%;2!3ϡF[z7}^ endstream endobj 3835 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=268) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3836 0 obj << /Filter /FlateDecode /Length 4111 >> stream xڽɎܸί9@1@WU90C h/y 7QI&%ۢ'f|{znIo)oy]^>_A*w/>)^ɡNvR?sR*?/~YxuVp_u&yz_43+hriWHA"(nD^ƭ)E 𣟗]t Y+:$_1 y UDiQGۙ5yyg00T> _ X7b-:p??q-x]12,MRk@tJ㞁jD>_A驊CByFq&}Шae)he_f?U0H6 2ھcq0`22CTyO*3T3+Z?P#&>NuӀn:[^U?g}5z߉YUj~|@4/pAOQǚJUG7Jǵ݇S,䔣c-®#ѣ6a %8P5j.j}ȏo2S*h@s~- {&  hb&I\vf9q;Xͪi|@N']GBCOxX)z]c9 epBW*dRMsJSų,_$YlDTB|3Oq邭v?d92ȄƐꀓ,;5{uf`E^z["0ACOi ̑Ӏʛ6oY:s?cp2g uTLD-=E,AV =eMb{Q7')Ja V͚θMigdaT+]*KQK^i6](rPI K^)F-ibFf/\|y,|x6ؚR'-6^1.c %k׋xiƹE}Zޥ#h~rww#[?+ ZS2jTbpHyh>g6u+3w`t7\ƃ[aMǶXgk{w۟b lKvpiƽ^ 4<~GW-Q6  T+\9+{bpڸ zgj-Ճqum\5Cw {[ߍ.9s+e7Fi[le%նXeR㑎;M6>Թ<ͪTи4t#jkS4ۊF7/<쭞//|wzN;;z8=(# Y%%*nCK۰wˁ>3v};10ǙbeٛDVe}9DpAՔ4dN6y5#ed6"0K:N8=*xXS/.!^<&!2p)1[̗13 ϳԀu@*0ƌ@Mx/|2BTS>N])CP(Mnf2ke2 LHLDЙ3~ (r0EV ``)4YCyƒx|,{]vP;AbFI+;c#Gh?Susһ }T=4q[n(xT:IkE X"r@Ţ -m5MeC˛HбU !cGbmDIR{\ %m]d| xIʣ2*D\kxX aٿ -x^FLarL/ĩD xHG у5i26f KBx_ڝ:㽿>Du1F6lKre <Ǒ}(by!T4kAӂ{[!E'U$/ &ukA}ó?RlSp;9'1ݘsi(bs?11cq_+< Mŭ2oyKN&iӘ>=JrTO1U'ԳSώN=9Ⱥ9EU> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27783 3841 0 R >> >> /Type /Page >> endobj 3838 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062939-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3839 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3840 0 obj << /Length 19 >> stream q /Iabc27783 Do Q endstream endobj 3841 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27784 20830 0 R /Gabc27785 20835 0 R >> /Font << /Fabc27786 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`Ւӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3843 0 obj << /Filter /FlateDecode /Length 4404 >> stream xڵɎί@) `&q`smܴTW8()OMf R_2fSL?ޖ/Uadӗ_hmd\\hHpoӗ?Ps_qYkm_/N A/ڝj?{:\_EƵ>yX߅Ӆ3 r{Jt^f.1w _vRVvnְQLOXx#CpWnWmEmHVgxv U \bΩ\y2 Mvi\{AH'-\yIz7`FqD, y }35f1$) )_'kP5Es~bD X|׽:U7m= 2Hx㸥ǫr/\PBV+d\FzPUFɬEYE ";֢AG97( r,\(Joe [OƤi<M,lE`d+Ղ:!"5\܇^/b.nq~V){L[XO gPy|&XFd\NŢ"YU`P _;M `v5avqUekᚘ i]t/\Y:&{:lɝ8/Q_nD$T(hc7s aY4asU Z :|a^O 6sőW؅.t#)-ԼN qct*Ơ}1;ՙfG¸5n$eÙp!JTm#oGԢ8+(qHtx0VdW>j-QwI5˂32B~l!N@0Rka`08q'YDTGm $ʑ‚MawiukUP"^wwB)%`S/_ t\WvZ/^)kZ鏪8Ӆ_44vW%:WUJ t,gyiΎ;yi }gYBξz$QyUPSgYVJmJJNij4(ah11YUޭ:B{X^ kWX-d)XEl+>c.zjZeRQǺ[ے}@ NC#þZupm6(1NI)lM\QV<^<1YS vʹDƭضȺyc|WdgcjhV^v<iѦT1Ɨ\naE2.RLG]YjDYز랪wn=SXR2+U~,x@^\ۯiZ?;8rp:voԛ` U U??z0zP莕m ,nU6@ީ i#Dsle Ht'öJi#{~,έpБ[2xىy hCkhDŽDБ{q*n5cװ>a3]kcs {1,@Z0BUp-7I g ǚcPѶ:"1]Wwn9r 6`lَ{ٟڭ-x_gF',+: s67[?i5wx }w 5kMsn!B6s21bܶiߨXtPuv_|,l>,ݹbk1]?̟zښ#-8Gnx٭ "bOEm3T6UTj'`屒y]sH OUC6).Cne]P y?M9ExdyNx 齽뺝v.ѩ/&}q`=gm_,~8лq7q{֣k^m=AH0>б0nzƨFFe$QMz>r~'qK(uO13}jSrm#;볥r 9'`6y CGPc:s5.{T 6` ù=O4(sx)~0{Z&ud8_IY $$ۋ&q}#afg5PtN)kRĸwA@ mI0 ``V'< 3 ,0^Ngr̔ko@4 IG\c @nWjU،jڶx>2;F1 lx_yš=YF;9Xl7 ai6l}9;rpSr(&UԽ۱T_z2NF8l] ]('q-Ϊc2e{;']Y"ܒk`0͊F.g{ݭPU*BnSv rGm=7GmsVεnj{ѳGgcK{.UC:֡w2э RqH=֦q_҅.T(\7Q>fu>;1$91K-<`mwV3x U<:2`R=+_ nc_Lf:K14UȻ):wvÝo%vW_1[yI-ͼux>NQ5HQ ;fx̾xXԟV8~=zV4td#WAlbпwgsMpV;.gGfHAg>(9;NV]؉zF.wE̵svZ8;.s{b*x%_~kQq|Nׄ񒴧eNhZbIP>;?{9V=y70q6)L? ω`qM,6|>,"7N6œ>O"<e1!|Sp@6A2. BorsB"?v![P(Mg4!v,fҽ2l1;MbBeb%DśJ34'p=W rI "d%sn6d籿FQ` s+q#):<)6 }T==|ʧUFRg4P1BMbddMSY_Tƌ ?:Z^8>krƝ"z˵"`K}:ѩ=||sG ˬx*q)cq49԰AZP0>};&TґCᒬL7_Std@=6=4-ܹ${kGXd(ZGʌo-/рkۺbx>w.[Ukυ[ˢ/4OnDoU.F*lH.]NLv=kEC ݳUlvrU?h}ed NXLxb\YH5}9wTƆI?l@c1_N˗>9Lc:X9eǵ\辮o1{̣ pa4 8@f`pTV *ڋ6|;!s37KrmHg$U ,} 2*wHA"-?ă?и.,pg oljm@"X*joS,.AzF%a60&+ط/F601K,P ( endstream endobj 3844 0 obj << /Annots 3846 0 R /BleedBox [0 0 612 792] /Contents [3863 0 R 3859 0 R 3860 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27802 3861 0 R >> >> /Type /Page >> endobj 3845 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3846 0 obj [3845 0 R 3847 0 R 3848 0 R 3849 0 R 3850 0 R 3851 0 R 3852 0 R 3853 0 R 3854 0 R 3855 0 R 3856 0 R 3857 0 R 3858 0 R 3862 0 R] endobj 3847 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 510.8923 180.0512 521.8923] /Subtype /Link /Type /Annot >> endobj 3848 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [104.1732 494.6923 200.8412 505.6923] /Subtype /Link /Type /Annot >> endobj 3849 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 478.4922 187.5312 489.4922] /Subtype /Link /Type /Annot >> endobj 3850 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 462.2922 145.4837 473.2922] /Subtype /Link /Type /Annot >> endobj 3851 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 446.0922 177.6092 457.0922] /Subtype /Link /Type /Annot >> endobj 3852 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 429.8922 191.3372 440.8922] /Subtype /Link /Type /Annot >> endobj 3853 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 413.6922 145.1812 424.6922] /Subtype /Link /Type /Annot >> endobj 3854 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 397.4922 144.2737 408.4922] /Subtype /Link /Type /Annot >> endobj 3855 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 381.2922 149.6802 392.2922] /Subtype /Link /Type /Annot >> endobj 3856 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 365.0922 146.4572 376.0922] /Subtype /Link /Type /Annot >> endobj 3857 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 348.8922 154.3497 359.8922] /Subtype /Link /Type /Annot >> endobj 3858 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 332.6921 165.4707 343.6921] /Subtype /Link /Type /Annot >> endobj 3859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3860 0 obj << /Length 19 >> stream q /Iabc27802 Do Q endstream endobj 3861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27803 20830 0 R /Gabc27804 20835 0 R >> /Font << /Fabc27805 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3863 0 obj << /Filter /FlateDecode /Length 2354 >> stream xڭYKo8W<@` ֋{X)=@2E8H()ꫯhC[m o:[1b5Dr?O0o7O' Œ~(U9~y{4xl;_ow.(3{µuE/;w; 1gZIz .g1#:up<l.gc4)@10;xm/ 6XӬ ե'ǐ**xM^I+1OzzfiKm n+\Dcۨ`Vf|dZ&NK z?;uI#tՠc,(~B&Ju@ф)9xxW񦥡cl^di_fmүU@`568vs9OMs=*Wٌd0@L0jk Z91(fmT N;űZfĈDYM/i\ L.l V"I !`ݞQ疾-ۛ[e@!1(!0 T%ǐShrs\SuE;m#<<xy{3'\q"-ѭ+ɋ ;]zD1(=18 b&,K%lrMȁqtZ?p:;UJ馓Ƹaa&Gm=&BմQNh2+Kt!J;-vR1נ$ v$곰._m Rk8)-&Hk@WHC`5Hvt4@Fk5-0 &A|EH=lhAeNy̳ +g'e fy!V'g-\gsC UNWq:j[ndkE5ғ*:vv_{tP9ׁ¼朮,rUp'F-;8TH(~U P 41I6E )Vv4l x| Ʌ ]/D^Ə TR,ƅBWEp +BtH ,Z@/jYv{IJa%yXԚu93Qq'k[IdO6͸=#u'Z};e`Kw/Ru<}7j]vCVUtE`O[yti[)qj6;چ.{`|Dž?5'E<os5Үլ)"S_EbL4Ts.3WWGXS ޒɅ+~aL:ӡ 7Y.6oےa <3dhE㜀&n y60&ru\(|^]ןϣ̀]uF[zSQn qvn2 τpSEgN*N-M jd0 |8xEC6-oV |F9Rܴ@C|WhěҧAO=WAY]wAlHﬖŎ/Z[@lMw E&0! 528w:]RY5~pSc[,aݼ.-Nj x$'eR}[igU+YE_+HU+6O"F9_WȇkGeNeCz( N:ԃN;2| NBx lANFD?_g1<'hac#YZm/wҰ'&]=zF![]O6f:L'pOpeyvlwldu5*ؙWNųC;!rQt;OB&K}.t*"s_EBw5VI=&3'uO<$o` W$jX֎́5 Fא;x CdAhp` endstream endobj 3864 0 obj << /Annots 3866 0 R /BleedBox [0 0 612 792] /Contents [3872 0 R 3868 0 R 3869 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27821 3870 0 R >> >> /Type /Page >> endobj 3865 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3866 0 obj [3865 0 R 3867 0 R 3871 0 R] endobj 3867 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 289.525 124.1605 300.525] /Subtype /Link /Type /Annot >> endobj 3868 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3869 0 obj << /Length 19 >> stream q /Iabc27821 Do Q endstream endobj 3870 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27822 20830 0 R /Gabc27823 20835 0 R >> /Font << /Fabc27824 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 3871 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=271) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3872 0 obj << /Filter /FlateDecode /Length 4428 >> stream x\Ko8W<=zKIUjl=,y,ݗKRo?$`[LR$?a \~R:ɭAb_`J[q^7;!pfm>3r߳ՓTTNx&ѓRqjʿ3Bn r+L=h(߿QILof7SԎ׎4%n U$=p ~B/`YXGwƺ{fZSӹ >͠&niNOD,vFn ƑH\G%G|cSSP6m&@2!7^v/uVCmJ {mc 4zgaUYi3,n>R}o4^!#[J_9@:qm@gcI\u| ~='@"2x4I"O&xfHGɀhIb*, EFpg>"}JI&aߢŮxz $F>fWj!UyJ{j׸gdx {\+ҏFłEP`ծEdR5 UURQ*eXPik[X}DG8wԓԫJIaׂcnח]K4L !px5sq(զ ^,{m\s n4PʵZp{U"J.-?74d:L"txgo,"@t0PFEpN}i+[Ȳכ 2GK5Ҏ>C]I 9}oz HH1-Q(K3nilBڴuԉ-2,L@ *U۶XP*YU9*V%+- 5įVF6.p`U s [r,YXꁜjC&W66*\wc9pZj`aX j٥Qh yXVd69wF+M@ZZuӼQnĒW+vpkpRs8m89NF{z8Ép'[GS*v> NlD<8U}N>Ni6FwÉmN[>Nl>:N{p(P]1cg("s@%JQ _Sĸ9JՐYTÀjX6][̀Z< H TV^^mQ3Ѻf P;:8HKw R} ֗P݃zص%;) ֖Pnٗ*o_؞}#b;%vݗ *_b?ؑ}=b%nۗ *vl_Z݉P 0lS "X>INpn$U^6zD2JiK1`^ " s|F@n톿E QœLdÐ#v|o}A;>\V_ g҄zMO)ެl^/G| !4+sfBəyJo4ELfY/ǚ6W%%yu"oy9H~֬V^K Ђb?tJ *ܑS $@$i%Ӿ2~dnQ,_3tedI<0My{N1c s24[qs!4))fܗ#ZP Ε7q*kipoBuN xmq> \AE#bNѓHKl SX.uen3&[@@Ehd֐{09lP6DCuoϟ(C֋P_N0oq{ ףUZuv5 U|}1:sIu\+U0\ׄIM9)Wmj3NJ>}X3FF_ juLiKdڹM\s]r% w[FvvUQZ6i)~q,:McWC4fQ+ˆ ~Ǣ&W.e7z|^6_֖ \[">r"45o{S9W+#H;BGuƎd2F,;"6bRA(CwOX Ti.%/OԺj;X[CMXְbcF 5ښ*}u?`pfL|t=o8;|K9KlQM RzJlM)^]d&[Shx2:Mq2 3]n!dLS{uL:gD,+[J!!] f-!SD^(ŐĈ‘2KrϥTeT MZJBW-@H?m xH%퓺SY$=~IR[{DeE$Q)UJR"=@}-¬^O]QYLYy/QZ:Gv5l%H!Lvѽ56z%a͉~b?OLhĎv]<;Gq?]qW0 yOI/W>&'j_b -y*眺CJ]T)şrY=pͧic'Y9g0n5g >,hiT{¨"x9~Np|_>>ϧ󔓩SxF/cB? 3*XBM NSNp^;؇C9}lrGg㵚[s(ȲSMߟ1Miާ,e ĎS6 P+rN_9 TO ȋ׈^Q1K endstream endobj 3873 0 obj << /Annots [3874 0 R 3878 0 R] /BleedBox [0 0 612 792] /Contents [3879 0 R 3875 0 R 3876 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27840 3877 0 R >> >> /Type /Page >> endobj 3874 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3875 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3876 0 obj << /Length 19 >> stream q /Iabc27840 Do Q endstream endobj 3877 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27841 20830 0 R /Gabc27842 20835 0 R >> /Font << /Fabc27843 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBNj endstream endobj 3878 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=272) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3879 0 obj << /Filter /FlateDecode /Length 5773 >> stream x<Ɏ%w~Ex4x(.j`O zi{[Z}dA2M'lo߶Z7ﶤJM<}j7?6HVIR)x J Ziy.óNa[,'.gk-?l_ihU硔 : W1) /Cz ^_/N*c_zc#|i|rYzx濺l6sn n2hm'/[gS/g0 ,>K祎qSJOaM03//x'tYϰuDx0s gvzh;9(< ˣJAhrD#܉F ZhaJOi @;si GBSeTJ]xmV L=|^o-cx4,{̋A4c !+]հZ씡LWGsmKGP(J]q'C\ '51} tP_݈.Șpy<.ȠpN}4mR7Tm ̝d9iTȮLx1')fʍ/2y"Zi`uꖆRC{@<;NkT1-1`XӞqVb gzX\uVQl~zh BjFsȁRb^>aƎ\v٤ QZ ^#RLf>Ue . Xa*ޘiR']3I*2mh$ol|ӝMb`,MѾ*&T8Pi)TFƧ̤ YN2-SEd(t#tw28v,[Yguۧh++V-wc/]kXv(2NX$5G,6(z$I IzKK:6`#?7L7H eۍ$2'H 6XC*L |h"vЩ ǺTYg g*v'TD5OH"l t9mbNOe.Hd}=kP{m:4 jwb[=w3gvȚTU;~U۞%jrRJ^!QRJ]*zR-*~)g>-|ݠ*ן4Λ,[l. `sѳe1 ̢p$хيvE3:RfM&iÒ`I TARV8 Ek$BJL]0G]z-7*(5B8%$t= VH޵fP;-D2*SDZFV'ܸ&X@j0 a/`8?M4:\FTQ܋ ܃2؏Syǧ J(0y@CRڋ(Q\^X9Y`}hZ8Xw~F|H14<<3|(`7,!eN`@%@PBSWAʞXkoE.cP6 $cPw@`ZU-AT ۠Ul:Ճ_5[/L|F{:A8fJϢt{s2gDpLV2| :4*yoe3"KWz2%igW p_Y#'&Z:a<EEQGsǟcCDW>1UD\xɚHO.\N3@X `o~2=kfy 망 *ya,vOA'9o5ZB17~(9/ &,& |JK،PC1Мg0t?Z<u5dbg:T}_ bqj'sKǐQ0= eWzMnPY/>"LG29q^XMhcT zj!kV]K_y[p_x_= A!8tU@ko xjI!¸=aq\`<)$Q2$)IIYSTԖfr.vS2:lT_Ĝ+MX#@p v9Bq)c@ 3LW۝^Jmr'(:W^e~As^P2O0=EQyt]9u-I=_OE ~ב4Tӊ>?{E}>ʈĸK -mB7á|ۯcsc39o.7?5;l8 nATqwVg\3>VLZ/fx.*\j$x8 bFڀ^D_wyIlk /̚ѱCƚ@MpL͝pD+ˊzE K~V5fee~L.N)sxwoHUv ܏9*U|Ҙ 1&TМ}|cؙݐbF@#qC.rqʦc[edG-:vIWD%iF9 ҅1r^uN 31L~KfIMnzH%7yHZ蔈!>qvwpb!JQB>d89D?l3J֜1oޝh#ʍO9Иɀ)d-G1uR)Ps.Yz_# CXc/=WN:hpNg[֖#RF` ZÜ8/[D@ðkHna0J!; Չww~ i`\cfOӰG q3݈ahuS{H"0;G;ICF3'SƉxS(7w$n1Ծ(1)1pGD˒5hNMKm݂\K5=GL.ոU(jha}ƬhQc7X;B1ӊWo| {`o \e?^T8B;gf&Y<0"Bݪ]Y"M`ss>-)ԡKd}抙flo*n|5?3-(g q*5Φ~jbzo %4~f݌Y;0YxÇʍn<5ă%L>6:QEӿS競*v{G4/PŌ2^[l(Ooi$ãZ7q1/3nwμ̌}lt=?^ 3:'nx 2hsmwt;t7'5;/fD-_3&!܉~7qoF=77EƮEE{#^c9>ptSX:J 0pGRO"`8y]j|"!Gu{3e(ls <; A=x&{I,< q0~阋E9^/^k$j5+ %P@mQmju 5&ɘB?OT5ITkE /IC+Wo g&8L Zh/Ybصt]|{#ibs+5]\:EXͣ{3<<]@Y@qʒm GO}a%, kVCmJtMܒXCs8&C_K 5zߗ- Qba3 />t&WMpj񻧘5qY)7\٩ZNgF ;ɡ٪\l5}%q::92}ק'oA|7\/<^WBZhr 틠_lRM{MMyTt ]1[`XҁḎ#p݋y1Z eJ!xΏ%{QS 'Kr0΅oeqq^2v2unײ>]8.ԋݦ;҉~c Xu.z1v潎4NV2I@tx/gK||*C*a vA (m|xy:_WQUQ&xՀ4+[ryT`??>+F&2>_}X /^]2}Tr4*r4*gk#-rCĺ kG_dP=v! \WX*i$^}cԗq}ĝ \(,Z+#>㑟S]87 {w=S C` endstream endobj 3880 0 obj << /Annots 3882 0 R /BleedBox [0 0 612 792] /Contents [3890 0 R 3886 0 R 3887 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27859 3888 0 R >> >> /Type /Page >> endobj 3881 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3882 0 obj [3881 0 R 3883 0 R 3884 0 R 3885 0 R 3889 0 R] endobj 3883 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 311.1769 146.4737 322.1769] /Subtype /Link /Type /Annot >> endobj 3884 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 294.9769 173.3797 305.9769] /Subtype /Link /Type /Annot >> endobj 3885 0 obj << /A << /D (unique_376) /S /GoTo >> /Border [0 0 0] /Contents (synth_ip) /M (D:20211013063105-08'00') /Rect [104.1732 278.7769 144.5047 289.7769] /Subtype /Link /Type /Annot >> endobj 3886 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3887 0 obj << /Length 19 >> stream q /Iabc27859 Do Q endstream endobj 3888 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27860 20830 0 R /Gabc27861 20835 0 R >> /Font << /Fabc27862 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pLЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3890 0 obj << /Filter /FlateDecode /Length 2794 >> stream xZKod9"'% ؗW?ȡ A]U]Ջ6w\~~mt8e_IZ5̬N?_^7 7nYn^E?Yc:O3WcO^DzJ `PN׽v@ydƢt_{)e5bB>3܀>W~Ns:.1LNNpV!\*gF=`)I_G0Yf“x^3,M;f L7ؓXҬF^lX}3d5Eljz5{a6ƽxg9Q}[GϙE]jWueƃBšLdЧb'|x5p_]/(bkLFu.tш-2HwmvvɅyMVOĚ!bwY "Ja[ivnhS%YLt{;pwٴ ebP7#K_,s3&T)C-P/ kfBlmZ PƪMw5:};;DpeekEAj^ռ6mOk]s_GYdP/ #>WuOBW rzJȐųĶ삎u_[ G(pP;2rޮ 5tc GplbS=|PdXc)?>oPf+l,l`&QW X{;HX`xpp<@ uiQG7?>z0E/ݱ=\c- MIy9кd `+vMFiqbsvL|Z\ 25JT`ba*CNsi t\s먌gtTnez^ l7~o\iTkMȦ{ѵl;P&]u3j:/6ݦCՍO S Z3t;ʯ͒skxe*nd)qգĕWy'q&cAOTpܠm:)[N`Ν_f0y\e_fƽ6wiY 7}II -]enwPʔ.h;`o!$zH4%9arW+F(;\ nkꃙ\S ? y:>W EOsVj?3!T52qsI=ȂMZ4;sSm*DL, @<4|4X[ t\XOܡUɂqVW$< b<4A ;z~M GZ,6ꡏ3Uܼ{hK!>0Say_I*2|_X%1B" CfDeg4atAx$9jZv?@5B6^2Mʇ&@} x T_Y;L Eրd ݘ\POU_b[-Ϋdɇ]5a5'B`h;iE@vMkqXVrag^x*3ɮ:K ӛG.Mc!D[@o{/9\3=aӚ񽻅&EH*N4$b_~-iqO綴 3n?gcc=H1ѐ 9Ұr^еnh"&6!l|8^d>zi)n?)ؖ ~, #5!x%;闑 J/pF*." 1aV/z_J^}"fz%8 R!kˊBߨC~{ )>_QkYϷr(2fGd=7ZڔJ|`#~aO¸9]p=+{>!CPYQǝ=*> 7"O&> ϗgQޙO,tm۵FNۿ *Ӱp{ N|zRdom)I}OITjS|b}s>QS_C W` A' endstream endobj 3891 0 obj << /Annots 3893 0 R /BleedBox [0 0 612 792] /Contents [3900 0 R 3896 0 R 3897 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27878 3898 0 R >> >> /Type /Page >> endobj 3892 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3893 0 obj [3892 0 R 3894 0 R 3895 0 R 3899 0 R] endobj 3894 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 187.525 111.681 198.525] /Subtype /Link /Type /Annot >> endobj 3895 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 187.525 139.8465 198.525] /Subtype /Link /Type /Annot >> endobj 3896 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3897 0 obj << /Length 19 >> stream q /Iabc27878 Do Q endstream endobj 3898 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27879 20830 0 R /Gabc27880 20835 0 R >> /Font << /Fabc27881 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pQ ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?Kn endstream endobj 3899 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=274) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3900 0 obj << /Filter /FlateDecode /Length 5688 >> stream x]Ko8W<@j 8mgs0wz{K_Jeҙ>,]H`D|d` vxI^߇g`??`6c1oZ0쬆ʝ/OӃZ<}2{<J\DYRFJ޵/?ԏ /?Oeg!h_o\=\5?  Q;\B3KQk=!c!\C\q{3DZ03(=Wx]Dg[^kD5Roe&Ag92}\*o Wm+u —: yz XOWQ1A~1zidHuhhˁXX3YMh;34t(_ bUMzL4U?7X0ΥL'$B!2uࡵL(r{Qp7r=y`D2jT\X{=|X GYA@,4bl71;-2TixK;(˰6@+&TO1L;ox GDa2{NA;N.ň:Kf~L a4=qr0Evn#;dGIQ&rjdIqH?&$Q\66PTnaGT"AB@H Vtn5x03]X$!B%wk M Td%z"TCH{&iP'ITq18u7cemcS5X!?0Obӎ x z굦{OS4\pi_fjaD<x\t<#pG8ݿp|5$͑ojN,Tum,:7$Xw^QlX2s̀,ả1?LbVܸ0R&klSKZWʰP%Q Z:x,3.sU(??56 @#KI&)(3ʆq9#Ndb+KI3'/@} /t_3?"BӭԱ*7:=G} eN'=VtRodZzS eov[oR it|֞_4O8szM0K}KZ,[=fӼ.Ja&/?S%<*sB J]o?*΃(ϔu_k1ȟ/lj^|Wx[DW~z~)ksWq(EAzlvώ'ɍp7IfIzʚ9n:j#G#Z L]QwbFۻNH7iUN乚iMVEE鬗:SʠsQpe*Z 3:6j&#X_d7] yfpqَ렶> >MqU x(mVմ;yޭUO,X55pl J,s;m:+zŜV.&7~=tv$c7qHlo4W :zxǗwnxh&N3K[^  z!~ & \;*܁war5' Z[}`…j\DO"G1?)drΌIA1#uR5.QK̉H{j\k\ *|5ƍ0p6' ZRH: RJ$QD-@AFa z U՗PI]uh$1kt}:NSPY.ndh3я&ȉa K\9K> 3l/"%@s՛*MK@R.b.hύ)-,9#.%EGmU;HfwQY .W"U%My 2@1&IMRcn9}3{7&`d6M(HJ- nilBҴqoiFg%:6K!J/Qb@:J HpG-UxyηFHiQ"%B`}Du~EY+ʥs> #;8T9Vi6phȆɮxg,`af8®6N6@ , ,2K\#rgqՒ9\rGj$[Ҥd{5#ծr'%Zddp .pK8%ԅ7> 'iNp8NÝ;wعbt?8U'Njy8m}J8ӇNNINwZN_pK| P_P^}u.A/<} <]z P_5N&HsWBJ]3ԙ9AJ3Rj}HSs:;g1Hss:9g~ ڜnOJ]TjB5R[ڸTjBfP QAY*uBeP.J]u}hu{vRwX]JauwTݵ[WwWAn^]iuwT㫻R_]\-/ze땉W&xeb___WLSlcԲjuԁH/A@d@;S b9Ÿ&u 058z* ?ٸso"ԭ3[u.R^фmx-Io/O;I]bakml7@n$m.Ft{E=֯{̉M85{;V Ž7g}[֌q{r>'Fg\XZ#AT7%da 莗л Vޥ 17rTycv3  .͠_ʗ @ ~,v!" B" !%ʥA?'bϘ-LEQwE̟I@I[` h  G)290Ơt?6~Π!9 ތ jQb JGLCe! %r }f)sH?Z~Ą;JQ/I"ihgo,&ڛ8]Wјv@~߱DFPcBFUB%FS50 1S"K\; =Zn!Ґ( GS*Z#gVUShx&[,((mnc1WkB+i%܂#`|S_3.mMZ9NF\.+h5%e.ɉˁ8ӤvN ԅbYߎ3򴏦|^V%|MNM.S֫> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27897 3905 0 R >> >> /Type /Page >> endobj 3902 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3903 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3904 0 obj << /Length 19 >> stream q /Iabc27897 Do Q endstream endobj 3905 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27898 20830 0 R /Gabc27899 20835 0 R >> /Font << /Fabc27900 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7ZޚGFx|1$IjQ<<9C99 3/s#9p9`Ni)QXI*dzQUh^@wP".*x P@E ۾{wi endstream endobj 3906 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=275) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3907 0 obj << /Filter /FlateDecode /Length 5033 >> stream x<ɮ9rw~E z2зac \*Ih`$* Y\j})b$ۗ嗇^\uՎ w._/ !0Lw[Vwwmҷtϟ[kWޣ=m;خ׏t]q7X2t}cJ\wM4p?/.8E/Hr"^6=Avȣx?  C2eAؙ;u7 }wh<\7(+yokϋo<ak]\Fp߷?E|> >z>t->R>|A+SG?zFv-9\b??j\B>3L!o@Fsy2ϽB~ ia7-]{~OORJǭzN􎽿-!`wqDǢfRփJ%P\D{Zjnyur#y+G\+{g2k,1~DĔpH-=Ʋc:,kS)Eu (P 0"QWvMcʈ}ͅ%Hr&!(pd߆N6Y9wxj$91衈ٶj`e] ] 6h%y4ȥeK DtKN5HnZ\Q3D Z]0ٻ`[3릌ؘP;n~Ս[ͦԵ 2{5sS'=KH0Y7RRDNHoM5#H28S P^r' ^Cvc0 X1 V~pfyq0v۝3r;{/;F/Dc}ؾiƂ[;݄/Ɓ(m..O 23G|mA;H%F$=ek-^{ )NA&(.#w7vHH@B{0@}]CCU=^s#0^8ۂa48-mTt s#AVʍ*.K`e0/EYM9@tt.aHPȑ}]:R`У+}½bUJve;Jcm-zKe0</tA4,vMq`3tR| a7j8pNYM$XXKNuE $kMJ(hb$IDmsPSL|"[I+R <:CbΖESFV¸?NtRsmó2UdR!fڕ2|W ΢f8}V>+MΤxf:.%chaOkL9 ^mSͰܮ^KGoi^O CT-59繟 >ע]Ahҹ3o+cp{r S\/}0q s]^~mj8!FDjͶKVAsU)E\ИkD.5c?l!N԰A؋v9ރ'Rumv. -z*gaϏڄsdW{EFQ.-)9.F.ٮȽAcM4Nݽdl̓6-c!<êFA*a}$z9x 82Ya{q*#vת/NY%n2<׀wR#]_lc83Xb,X>BU;TCqhsɋӮQog"( >VҾ&YStN% ⏂ y 5Jvh YdK,jKF L3[}ʝ=n~t2 B4M ZCI̾yP*we;&O;b+Wdq>:n\)ˎ]z.uKL0˫nI}歡 {BVg|@y_r :/>6d kK5]\O!kPzy'M7O-gZ4n-^ )?K]jh䫚Y@Vgsqd1Rjs0=oik@ˁ!}zk{%7l0m  ּnS0tD4^ȟyǬ+xr"Mߧ2U=Uag=i=.=8ٝ9[|9=0y6O~[;;zm$ކ|^puz&K-DIa|Jt*:z~-U[&+"$=1{IWs:O*tBBδM ;pAi'v,G1bL3?>+'5nfXa`/[ T\flb[ O+:0ثזTd7i@zE_]dMWտK'sO_y\оcGBmXOK#n5#`>\{e`HC%? f=KcuO,.yir4S ;)oʨe;[GWT{"l"r@0_hl-3s[HI}6_ƷGsDe0a,ZmfYR!Jex-[U ;K -/gY[jbDFL{hힹ׼7i-7ֿk4%E =6>|~M XOtN||Rףʑщ~ P`վyH,E*S6&1t&΅yvg`o,}OUZVitHu -v ,*-c %Ex(S> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27916 3912 0 R >> >> /Type /Page >> endobj 3909 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3910 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3911 0 obj << /Length 19 >> stream q /Iabc27916 Do Q endstream endobj 3912 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27917 20830 0 R /Gabc27918 20835 0 R >> /Font << /Fabc27919 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p6*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@ݧ`sJ 7Lyͱ*U櫶`ѼΡDHI]@ U8Ρ\?@E ۾{wX` endstream endobj 3913 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=276) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3914 0 obj << /Filter /FlateDecode /Length 4124 >> stream x\M3 W̹0 Co!mH$E}I~=k(ȇf_'5I_!/S&gE-I8O*MM?g4nz(_J%ΉzIvg\ǥZj)hxh*KX$#BwdsYu}EP.yIkYU8=kߩ~ZX1IYɜ w nf","&z0Haaz=K*wO$LY$j^GڳD8mWNoS47VZ82lE/EqA:  |,9nt/vفzG3k"eY:z]t:stN0%>.Q x'E1C/%ܕx!gX6 CyA-WW(tC'1(/Cڐ\oiOSj:u9©Ξy/tw i ųP@\7>8s[͙&ipKbt p\#L[bO?x TW-kPy#k:~ DvҼvW9.v<mfQ4;u=0޹bh=@bwCӜ uiNSՓ U >m;X%f$}cO(@ܶ>k)=G6]u'TǘAA7O (YVr=DŽf( t״A<_ L^ߜ|E,Z_nr[xV-%$ĨWUֆ_۹g?#}"NNfsMsTV^o*Al3~(Ube+'cwm*_9*u!bf6 ^O'D eL'4Xry#?c 3fxuXSU qVw57r[BgO=z[vuӯ<#X6: [U4W0ڲp/VsJ#ܼ=|>4\77Č3}G9y{#eUT}eqUIjmovec= 5EmVG8+G'v2Эyl&En\m.lN?g%ҞUWW{eAw_=8;+;ڴ7d[*8<~LNfJ&FC`,  ^r޺Ť7!JoéxqV",鷟/t8Ԙ>&y14M=ju!AS҂H;܁2ܺM\6bxǼ>|%]'n#̸M ?!sMӺY(= !xtBj2s@t -lph57mrxHmLg xD;$q~LN)+I"7LDޠJ] rг 뎸HU2Da$:Cpz΂ߤh4cDTo&⎼Y{r\[O3ش: YHijW}U%2E?\&]Sפo)~:8CC+ !##/~iꀡ9ׂ- `>Ri8OKYK)Xͯ#NU/8dJ4QAͥwYJ<25/ҕG ,1A+̇-Xֺ%@JC[p[{|gdM$։U9+2$(k[&Ԧ(y*: + aRR>J<5>xr8'TV2idm1xmP#&A=`\J.Wq\C([E{M"5@G P`\C_lFz2#LUm>xEW9/2}h/=k_]ƇohoOǐ}e,#PtX;ꙭ"L3fwW+"|ᓿ_ZI&Ba :sO.NxNb./$A9p/|$ĬE7[Gud ՑfԘh/4R=_N{Jl"&5K٦_Q S?cjyԍei$?/F endstream endobj 3915 0 obj << /Annots 3917 0 R /BleedBox [0 0 612 792] /Contents [3928 0 R 3924 0 R 3925 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27935 3926 0 R >> >> /Type /Page >> endobj 3916 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3917 0 obj [3916 0 R 3918 0 R 3919 0 R 3920 0 R 3921 0 R 3922 0 R 3923 0 R 3927 0 R] endobj 3918 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20211013063105-08'00') /Rect [104.1732 155.481 167.5827 166.481] /Subtype /Link /Type /Annot >> endobj 3919 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 139.281 164.1782 150.281] /Subtype /Link /Type /Annot >> endobj 3920 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_generated_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 123.081 207.9582 134.081] /Subtype /Link /Type /Annot >> endobj 3921 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 106.8811 144.2737 117.8811] /Subtype /Link /Type /Annot >> endobj 3922 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 90.6812 168.8477 101.6812] /Subtype /Link /Type /Annot >> endobj 3923 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_latency) /M (D:20211013063105-08'00') /Rect [104.1732 74.4812 188.5212 85.4812] /Subtype /Link /Type /Annot >> endobj 3924 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3925 0 obj << /Length 19 >> stream q /Iabc27935 Do Q endstream endobj 3926 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27936 20830 0 R /Gabc27937 20835 0 R >> /Font << /Fabc27938 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`5QthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3928 0 obj << /Filter /FlateDecode /Length 3900 >> stream xɎ#ίـ  C8v\yZVgOY6RV_yv']A]:~_VOi2kkçc\1&  |<<_Q'AsO8?<#8>?j3]Lȁ> aP:äL8>*_ }dLv<>TR)( ">9xsszT2\EdD 2̈$rɧG=.JJ$=T?35-j~۔up]@)}S"ZPTX8‹1"å lx-&ޢK>k&rr C>_ޅ>GBBPFg  n"CNƹ u OAI`;{HLǭm|.y2vi\ .1Dً!6Pz ;b9{bCCW쮾`?Br/؛– JeMU.w_zTNK_K4:Iְwp R_[X{QJwCj 󗍴~lqA[׶ "<] Eҹ9y~^n p~#(-{B [= ԁo̠kKU/m H&-ZNxԥ*#n[{0z-`sp*v8YWfel=5I49lTWyMؓx.1^~'!H[<լx)o=_ەw*4S)b+E=)*h#:j mDKJvP4V_0s(?p)7̾ 2Kワ󋽐l[^Qpw^ yԣ;Q0۵CoʵC5{ եd2b5󆴚t׼ }KG2SMR|כq:^B!$Pv7rU)Sч{LWnd4Q&_uN~v*i ۍ-^&,[.G9VIu_Rg˦H" &􊲿HKvT*`?9.sg[ԐBBm,WIhRM+`7R4tUTj,5l<܀:/+ {Xt I [WJ7塴95RRҲ'ݚI߱PVGmﴏ6n#aC߷ Eu]Vok#?Yt-.y:%dFߚf!BʱWH! Kr@fg[%%#E>6BN I9&؂HbdC˩ɺv^+[/|)kV;"4WzopqvywS +9<~ʼi/ܖ}*SI UI\]nQқeAPǫC@b[LxK>q?NxzSsnx| !tv}͵3Xz\/~=2<3=k>,k(lf= gixw%TBւ&!dGZ's0ͦQ!oW, c9L΀w]fmƃ?dv".$;. B{Bķ\YqQ︘ņC qx-ovҜ-y?fI&9śt=qWz /(<)kc}@cK:|r(xY}7osߌbJfs WE=~*΍;쮇rs<-ݿ|{ڽs~<TcUfQ!u=RSmrpt*ҕT#^9]?tR) v63a6g?W&CVo_X7ʔM^p:{$.F٩IB3n%O1{9@ba>3nۡ'Eco',6<˵j  >0 ŵ~ G ~rMpE1Xgx}eM~v(,_جZ}<1YZ^Ar(rAu,u'WU^ʝ-{E Pֵtnډc!} s~0:o~>G +/aSstF-C]|%C4!ܒjc;bo4m`v ~a^`z2~@QE >f2 ,1mj y20*jyF^yeM3=Jo@P1܇n>RpׇW~TZAMO: N\JM 3a8a.Ƽ (K$.n6Y-%$=n 5E uҰ_K~2j \ \H2 \ɂZS]:: R ұ tG2}/t:b\(KJFX^()MYi{4U-5U MUi=іv#nR LebU3MM#cyDy1& i}f'<yU`*D'W 8aj*-h",EӔpIVGnoL_|ZDC0#C+!rn B 瘾M^V̠3nX{b]4|^4]:2MЄ-f9΍&7!Z/Ue;@2+b n sޥ.Mcw;!Տ@#|VUU/C\'e%~?ZS,!Jjw蚓d)Fv<{(>3Fց`7dJ y i>_y'h3Z\۸ב4$ tkFO7"&2/`x9癐?^,/G'dyvLwLjFsnPAdfQd> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27954 3936 0 R >> >> /Type /Page >> endobj 3930 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3931 0 obj [3930 0 R 3932 0 R 3933 0 R 3937 0 R] endobj 3932 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 208.6347 709.9] /Subtype /Link /Type /Annot >> endobj 3933 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (set_propagated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 208.5577 693.7] /Subtype /Link /Type /Annot >> endobj 3934 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3935 0 obj << /Length 19 >> stream q /Iabc27954 Do Q endstream endobj 3936 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27955 20830 0 R /Gabc27956 20835 0 R >> /Font << /Fabc27957 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p6MЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?}ʜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛed endstream endobj 3937 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=278) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3938 0 obj << /Filter /FlateDecode /Length 1211 >> stream xڭWj,7+Ydwa 9Pz8ė`RSꮱo6Xڢ}~]lv )e;f}͵R·t مytz}qx9\~^D`>#sf?AQOavk$Ý$1(2,*T?`8O$BӨ6KrDWB-6FWc_~7\ąwl%h]&޻8$ fݼۻ+r[f_ .m|W]5 "؋.ًzl3F0Ub1ІݥCu[>dngn3|G\?@^vר [PG'Nm\\#h+NXYZzU5ʳ8J_N\NG ] g[`;IzG3M, pfrGЦrJ1ü[ّ}]'͘\CrkC@PY:7b YWb6G)W-OpUn]y.Lc}QsR;RQfk!Ў[Y7>SyUsV)gL]$C1]7^df9:L)y}`JT%%¤KKTFֺ/Ѥ43 V/\4K(e9Rv]+&tiޫFc@ER"!=C!\3~371V2J3OSQ9PQrTwbFp)M\1DI>E\˚7uJ^ Vʚ4)*^eX$uS.yC0׋f]/j"}՗RvGtMiF#Z=4?t_NQaІsȵ1NMq$7j UGAa̺ p~`yuYˌ:\cslOއ]k}IoBX[;o!A&{'&<0Oy'S'! `Q>BghqÝYN\qSo$fvϖ{Y'z0'9˭`)4"S ~ˆ5B ҐK endstream endobj 3939 0 obj << /Annots 3941 0 R /BleedBox [0 0 612 792] /Contents [3947 0 R 3943 0 R 3944 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27973 3945 0 R >> >> /Type /Page >> endobj 3940 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3941 0 obj [3940 0 R 3942 0 R 3946 0 R] endobj 3942 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 254.825 145.297 265.825] /Subtype /Link /Type /Annot >> endobj 3943 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3944 0 obj << /Length 19 >> stream q /Iabc27973 Do Q endstream endobj 3945 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27974 20830 0 R /Gabc27975 20835 0 R >> /Font << /Fabc27976 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}k endstream endobj 3946 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=279) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3947 0 obj << /Filter /FlateDecode /Length 4674 >> stream x\K8W<@x $Ȭh`n=S{"sK!Ev#"k/EE$K-;%.F~wrHRߏ?IW ʯ_OJ,FΕY O_)^JJT9CVjKͧs>o䗯{*`WW/7HMjj/?g+J†I)X)* @@ HۣR OieW<) ~\?)O0xk,Я.Pf-SƂ['=hT4\ڧyb"SvAM+j !6ޭAӪWExfr3ھ__ ˬ *yҽFߔzJCޔ>jZt!7Y@~PNB *Hi&A >*AOb~E-\4VEYgU2TD3eɕ7ROuIcokZ~[ӂ>}|!9T`FDKޖ"zAѓZ.m& ;#%J$$Pw assI $TRUsE5axY溤Uv=] ۆ=/aZ -P zڣV.kU̴e믺=B[u s@er*2Л3$8ςPÓ{KqZRU}^&g 3D5W% #Ft4ql%ct2\'}V"f:]hVJ/yց*H ^:d^g/tYY#fkfUU<`Vf@YYŃfmG!hvHDʣRmc1fY_?j-ApkŁ}4=İ'q0W˽i7iP#IQYt F;([W>WM-l"Mh9iq 4cG볤VC;yĚ׼ګ'KL6:hM.5lb a;9݃͆$POpl*<`2`"F߿f>nT Y䆡'[fdrL܉U:T~uJ2_? P#/LN41wļ c:9klw+.z~k>h`?9L[b~c6@y_ S/L{mC_60q\k꣹o2߆ ظL-@b.gn5dXi.mkC?lrf#n6; utcKcƝfZ.zk wjx.LyFYw˳q5D[QZӼ |BۺUwphd>| ώ˟ixVϴjډC{<o-Sv# %_ s:8p#č#EKikQvMn0jgC\q]B|fi):-"h>6}^V|@V@s`A|B Ox, ҩYR:+\zEQj184-k7f'‡lQȃ-j>['6%jCfUIuI±J{nTk |k\ N~W'!IKRLI``>RIʯĤCH),)!)QM $߈&Y+>R+,"J5Ss40b1̩a\p޺8,g Y p@}dd5Pҵ 5zEyėjNwAn\id: 3?3Q:Hfw Ip溴ɂ֡'S)$)BSAfi̱Q*1J,؄dӢ3E)BgulBQb5m,(`p*G-UdƷFU"!T0c& jg\G DQ4NfY94PDPjC'nMU\}Tx睦X,0 gX5Xrl`aV`]hTȍ9e\rDZh4[dz53].+ N]IɌp2m8NfEV87-?$;IpjpG mB|xG85KpB>Nv NbgN 585%w!N85EaPd5pjC։-ˉjDE!ƞ뒁ϸ&8_Ew#F$mF$hZ$o>Oj.N@hjH$gOObG[d@uɌU⭀jrf;@97$2jH ^M%@q- (L*հYTSÀjdf@5LZ@9QX|Hjɼ.+oETן>&>}}wAJ\'Jf%>5+qwO\RҬĝ>9+HOJ\_ (q4~@}|JPb4n@|%Oeom|%n(q4ߎ*fλoF1sAJ|) 3+͜M?:s )*C3ϜCJ?s>I U)lۧԬ :3i$S^6'LjL 'P;Ԍ#{P$nXPé;&bgI>w/P{mӖ݃ g>oi];Jg ݦIZhB8ZP+4Lfm*ӮH:/'Kۼ=DgD,:EWv+UzU%7n(q^W);xSyp{Q@^ 1|t<&(%T E3 BCs}2 3~bO$µSnS/bԝ_ѥbv ITbim'&CI3|GkrYt=%$rS9Zbꄺ[>& |>Sͪ"Q̐ #5oS.ubk3^d/ *ayz3dIj*`w+_ 5tNeZBayӎ#_@gq)\t`tt*Xa =G ?P"Y҄632SK8!|Vl0oTW=$~nRZŢKO1 Qy/~!ZhB¸%p Cf . Ρ8J9Vf|)nto'=^Au.6仩i[n~qFδE}8.۷UOvVַ!йnXϑYlZwwio攫K4p!q~4 5 ϖ%s <r[FWD}Sa0qءiiԽ%ΙH}ͼsjߖ\K.wK2)jdN¸q3{t?Y5Li`8V ɕK:Lc54ci@ۆ(N G%;yצJMw_TL"j/&. n`r0}ǜ?( (e?>I^Ҫ "A f$pWbS4k)UbXx?`p >v+'nuZ5樦)c;dlڲS&fruJVF7L&NE!1UBjB@FGVG b{Eb6'jXRT$%h& Q~JRX .I)`]h/9E)97>kKNoIS0Շj}h"]8e#NV(9{}GՂD湰Dp^4*YI xVmƚb,E~#S؍+ E*leBF\{=e;G< o:'U=|?5xֳ 0x]#6(OAt,w)npwӹ)f{nkN͹ :ϚJ[Yt`DׅL*up4=(> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27992 3958 0 R >> >> /Type /Page >> endobj 3949 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3950 0 obj [3949 0 R 3951 0 R 3952 0 R 3953 0 R 3954 0 R 3955 0 R 3959 0 R] endobj 3951 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20211013063105-08'00') /Rect [104.1732 149.1771 266.5552 160.1771] /Subtype /Link /Type /Annot >> endobj 3952 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 132.9771 256.6332 143.9771] /Subtype /Link /Type /Annot >> endobj 3953 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 116.7772 236.5197 127.7772] /Subtype /Link /Type /Annot >> endobj 3954 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 100.5772 277.3352 111.5772] /Subtype /Link /Type /Annot >> endobj 3955 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 84.3773 257.2217 95.3773] /Subtype /Link /Type /Annot >> endobj 3956 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3957 0 obj << /Length 19 >> stream q /Iabc27992 Do Q endstream endobj 3958 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27993 20830 0 R /Gabc27994 20835 0 R >> /Font << /Fabc27995 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@ݧ`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛrh endstream endobj 3959 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=280) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3960 0 obj << /Filter /FlateDecode /Length 3468 >> stream xˎ#ίـ:|?AH99ދ?UhfػШMbK*T_1囬N&8ȿ\Z|KmXR%h|&W8?H |\㷆OO8ʗX.4fI6(_~+`T>7| _K,v19*Dg[qO=>k=* AR&FÙg*g 4A;K`Afz) C@δ;xNYCh&.`A#n900HLEj5bbpމ,kB3ox"W9?3 ߨۺWrU:drK" oIa>z@j(+-icS[xz.O0 {.gv($b^20VFB~Z*dB} {qfwJ.$'=y,3]5*Z%h=jCWzl&Mۮj7LilM{5*/*qN ;tQTAG4mUKi{Ϫd:_I%M4ۍdH/h8{h0Mi~?uXxFn7MaTh'T )Kd#Fd ެBfAr1ε6\B΃A ޥq,)VU&E35 1ze&8lVhh?x*!*XEV:z˷ĞD+,d=F-! H B#E.lO0hr~q9kpfDwrQk=L;E {d9܌מ?A6}V6'~׭A1C0jdǕp0!W15g )´mͰq["Ɓ EQ=v#}I.V|ݙ֪ fpJ9Ϛhit>t\.fcv-M gM{1_j.g~U{mycʤk ~HQe(RǕF0C;~~^]x+%0o<,h2:N;Yϩm&l&ljmȨ_i} 1pe&69k &enG21[~=^%oRl0UQૠ&AmE`uζ40p& 3XGS FCgס5i!:eEDcK?QcM"7 ;wb1E-]8s0 fO|S)Ma"6AΨ2iymce,fԙYũRF{Vljp>$- lH*n^@FTd⭖\xU=[ |+Ƹ?AlZdT&W $RLpT&tZ8V?dө.\ʱ[ua|$͠^æ:¥)u&u.$ZWsjlp8w|W^JT+ŵ ܈{2g{ <7T~AxOM+Bb|[jj;=|WqSm|^lx$Ͼﰗ+q NqS'5bPOrFV|̐Дg9$N/ʔbT锓q\8t1h$>*P t6v&ERA5fblZ<+8.|V{?NbO||ٌYh#c?PVe4@ہ=S>/}4.Lzq} -VD7JNrz=a6=]VȘyMNe>|p^;Ʃm/W\6H #0{&_?KKqxaYVc#/cė6ƵQ۾R!RLץpnj5H#l}!4X%D ^;|X/6@m^ )m=ȹ =sFuqrwH2 :QvfimqEqhKk\6$h 8>e)t |N8E 1n &.f@\ #HثpAL)άHopXDFqPz76wvD=D8^;ڿ %'u%*y9qJ&ECgV | sC H"o84qƫTʮ7J =]Ac#T= 34}٠嬀 mb:TLmCO:D;m4I_H"M~@<ΝwrW^4v+/Zn K x4/d(v1{h4z`\'h~rp>٢;<m4#H/.dA[+<" Mij22.&b;U~di2i{1X u-_p®!\A蹍\>|B^@R. y7awnt~1fZ]7\'ﻌ;bb`Xt1b`C]mD2lHؗrta]) 9U[yE6)8F_o' !h;X4E u i߹e=fn$ьci= uI U,Ϸ@ pOU;W-JU-Rɓ9=*)-ԍw4U̚*MiA-+ȑ, 6M- \=]V_UXtP@,,/ I&x^#AAU;~ c*Dܫ=Fc4 r.^ h!FWF< TGL0xg|!ND5#6yL`RL_e!2fFTר1C^7Ώ`Ͱ(G6NCS Ec4İSS/&7&FUe;@r+brn3 .DY 7R@#%|LvY"A 1Py}BWʇ:T硄5훖n;YKJu+wX_캞\ڎc,h?GsOtҔZ3hpNaY39VPȘ'y:^Ny&<}O~OkSA?238*ef΂"Oxwz3ׄǭZ6T_¹i !8 5EUD;C$ޣOeB>?csah<]Jl¾17`EkH3Km endstream endobj 3961 0 obj << /Annots 3963 0 R /BleedBox [0 0 612 792] /Contents [3969 0 R 3965 0 R 3966 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28011 3967 0 R >> >> /Type /Page >> endobj 3962 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3963 0 obj [3962 0 R 3964 0 R 3968 0 R] endobj 3964 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 357.225 145.297 368.225] /Subtype /Link /Type /Annot >> endobj 3965 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3966 0 obj << /Length 19 >> stream q /Iabc28011 Do Q endstream endobj 3967 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28012 20830 0 R /Gabc28013 20835 0 R >> /Font << /Fabc28014 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 3968 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=281) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3969 0 obj << /Filter /FlateDecode /Length 4083 >> stream xn,ί90@4|{^b&ſݳIzϣnbTo\q vIG^a;ˣB/˵/?U`ˑNJNKxsl lt+55sb6*p^Nr*5Yr6r{ȟ_712w+wUO?KS,)Q&Sog%p58RH$tUp:bdOx:iC2LCcͿizqa + bc"|` ,5DxC|Vꞑ2gQIx X?SPa}:=wH)|x_\I%ǴĿ` .g&&tia#;˯:7!Jyᗀ+IR>>\zj~ <<|H ?C! )%C>JC:H&5:)%&WE_GAQEbbBM+0`My"#f!/3>[J+ \s9,4L0zUc5#bd5y$:Pa־+lt$AK]ZWC ?NfN2+o=}B?eK/xG!-!7 m" 2jWCQbK1mV18BgMt-g@F迿 <]q-s*^>LNQ5ÿQ:vXب=-dwQ1{(ڶ%o﹥‡1U3QMǦ~/|>=0sxV~qĝT}JE]vrGnʡ#f1| !ݰr@8- +ioSlp)j"rݚ&;"ɈԊP_yǬ)MoĻ# K*l 6P)K*g+,^:0S{LR7@ -d թDgMPm5u Hג6)^(sDK ;6$O~CZ7MJHk󳖛S֚9C#|1x|fM c;cg`f)T9[^fLt-jO=kЬ:26 XM]PUZh ?cE+ݾn3'[Lh K2%]nYGme+7 ڊT0Ec2;L@H,cZ؁H[R57<⬶I*3Xg:G{M0DTSVvdU dlћp5Z*s91J60ttN^a] m69ebirusI6MSc : 0v$K,=` Wf17q) Kh>mlcNkЈfs-OVқL.]2-~ea<+ =Hq@7XmyjNg\TZ`̉]{9ٜ͉?œ`N̉'bN[,>ΜئǜX>Ȝ Ϗ1OVo4(vb~zA{ mO`Pl9?}A] 4O4 ŧ=}ӧ=`ObP1 m8b$$W@2Q鲺=gCH;aHv;R!9+&eXQ؂`7[Put:e>M WHg?Ah }6ľ\3xF1\Iw)32iQDrIdϟB^aItAf"cףMr`R=1137 l9mTt}ϳjk`57\ p ESAq' E!/"cvdP'3͗&ke ;m@L`: * Ǫ)Z!Nao|`L_(rFƑuԗI6DpmkF XW%pɚ`DV&JsYM ͚zY5 a%cwPnI!Af[ P} k*bz"SpJe I8Rspi30F`q&Szn#*f['trI +_TE#m|˔y`6<=,'zm= i'y J[dqW A5d1q-`r{)Yp{JPŏr!u.SvW$eE|!TaJObA.aW뽊'x2nw# 6~&ȵo k`Zhecu)Cm"QErվjIcZv8)#ۨr]6rYkZJ7jEJ*[Z0EO}8gRMj\^ K9X즠OF, G.jv9Q lZ>̈́ ַ>mBbNa{;N>]2',2 e"p{Mcjňf2Z;>Aut|mq|y2_5&7Н~}|4}`N76 ~.U ~h~HIVx*;\Ez#NoRr*/CM|7ڊ䠫"ι*'+0J?~fX˙5#Ԏx+:\z `r_M|"-o >,3`'qU qBiphX-%l7%fCC!' rK{"fGuI%!M r/mKmL>ν0_#2$tx11` nc7 iaCV}B^7(cx7 XaSJK*kBHuY6H HX$ltSlZgw Qޠ|[w Ktvn`?_Q]Th0UjQ*@*^Y*4}AWYl9Rl)WidNJV2*FlkT 8TϦj굤rG%m*J˔J9ҵ*0 SRHOʏ2qܐ56H-pݓ6FؐꔵdkJ@9i2'Yk痮J&XTYxmr+#9ֻU#!ALvU [)Wr÷TCoA鑍 䬿k }XǸD[o- endstream endobj 3970 0 obj << /Annots 3972 0 R /BleedBox [0 0 612 792] /Contents [3982 0 R 3978 0 R 3979 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28030 3980 0 R >> >> /Type /Page >> endobj 3971 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3972 0 obj [3971 0 R 3973 0 R 3974 0 R 3975 0 R 3976 0 R 3977 0 R 3981 0 R] endobj 3973 0 obj << /A << /D (unique_208) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20211013063105-08'00') /Rect [104.1732 426.6423 246.4417 437.6423] /Subtype /Link /Type /Annot >> endobj 3974 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 410.4423 256.6332 421.4423] /Subtype /Link /Type /Annot >> endobj 3975 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 394.2422 236.5197 405.2422] /Subtype /Link /Type /Annot >> endobj 3976 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 378.0422 277.3352 389.0422] /Subtype /Link /Type /Annot >> endobj 3977 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 361.8422 257.2217 372.8422] /Subtype /Link /Type /Annot >> endobj 3978 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3979 0 obj << /Length 19 >> stream q /Iabc28030 Do Q endstream endobj 3980 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28031 20830 0 R /Gabc28032 20835 0 R >> /Font << /Fabc28033 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7athǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM ^ endstream endobj 3981 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=282) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3982 0 obj << /Filter /FlateDecode /Length 2690 >> stream xZIǯ@ľ@PK׀o2 > sj[cLAbZZF"ۋ|o(/j|n}VǠ.CO糅1>>xmMO;cS1fZјA?(x!ZWF?saodZ]g_t4YX`;Â3>Ʉ`}P駿MhkqgИ:<4<^P?B|4&G|v'.|5i%\ mA>9>(gzɜDTO}drѼUv>FY/5ebk4GHL7I;fF;MBԣ PpB:%-X4ϼLRpc /t^Iw`6*Fd4&*%@-|w7o>KZ#ٗ7E 89[^__kE6 [B:BF~5^6feY#`X#05#2-34IxGy=i/Zk87Ar4Q`8&;ӈl\$3 ~wݰ٬x [.lSEG+LÞ42J k20 (>''M+;R wR';'7r;fN 5A=f7^MoK!U| H\[P|nIIf42:&EUw.qX(R(SF-=v7+mFUH(oLhִsBompNr{-+h$R`6 ~Z3i+&!ռ~uɭ(GF_kӏ8.VIt>i ; :JxoYša&]`U+~'۬ΧILD'#rBX@sJj*npK\^x09hqRiR1Rc˒ǖ[Jq}bSۖWIpA^B@Ƭ} {0 13A$@d3.%\Яz~h|~I=* DK\S~el3n:32cFq-ɍ}zwϊWD8fFo%p5 !Hg<TgK(kJO8j;fQ&<>/j_);ܲ&fhcK'W0<}J;p?^\qTMdtljR6$ #]$}elO\Q.Gab}]ӮzAO.r|ra9jȰ! oB;A :,ES&yX RoK z_%);C!ChZX'_{qxs9|&a^]2ye&՛ZgnZK p>8M$fc^q/XI<1s{;^ }6#V;5B\14eZ6Y/j+Q4DD7ӟՆ\Baڂ#EMm %pazClGlD3kJ?}3Y6.#015z1^nIrSge0B"U`q-і< UM0 gXZˤFHgFPfcFuu1 훈i}f'=< ' K{DBƸǭi-S-S%ٙϤ)GL'Gi'^$ P~裆b>e?v@jҮ+{>45~mէ endstream endobj 3983 0 obj << /Annots 3985 0 R /BleedBox [0 0 612 792] /Contents [3991 0 R 3987 0 R 3988 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28049 3989 0 R >> >> /Type /Page >> endobj 3984 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3985 0 obj [3984 0 R 3986 0 R 3990 0 R] endobj 3986 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 194.325 137.278 205.325] /Subtype /Link /Type /Annot >> endobj 3987 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3988 0 obj << /Length 19 >> stream q /Iabc28049 Do Q endstream endobj 3989 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28050 20830 0 R /Gabc28051 20835 0 R >> /Font << /Fabc28052 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p JЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 3990 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=283) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3991 0 obj << /Filter /FlateDecode /Length 4513 >> stream x]KW ߤ{@n4C$A`?U|l3%XERR N9e; &ZQǑEQoRMV+ c|Lt\>SBg.rSw\-\|=sU6zo_wWAw*'<_(Qp_DAwVwROlfC2>Ye(7OW44`Yk)g  4ƪ/Tз @OA˫?h3pEα |+_P}??2A:12U2nW.bE_2&'eU5~90ic]۴md6TMZ7YqWyĭ ɨJm-ɿ^8Hk'قֿ%xC⼚ &e # xޏ^1b)ypm0Z`Mp"1fffoNͤ@rmToH^yrRc).Td(Թ8$5Wvmu'j1$3hq"ƣĮH`:!&'q?EaZPqw]AtAymt&&1a !Wu槕IÂ!@#V!05=0"yr 1Wje1͓U :rFjW&L@|k Ftn2WTR50 69ێ 1y>-[^"kE-2-oogVq"=[-zooyx;W}̓JzRY '9%KB2=1o6nٚ1(pnBPTqɅ/I'Ihƀ^giaq9 V(*LA箮_Ti0TWi^tEZ,ƯlR'L=Zf &2t8WxT%qhcNwU<,*zyi1elЛ1(C'ycac2:wrюAZLZ fxQp_]T?Ջq!\Dyus+V)Z=T%jp<:[gø;t^qt9ClLâYucvN 0GtAl͑~XCG}aisL6[luSaUib}85C֮-5nN)ݩ9n8nSx`?\ jRseCKӺ2+#:]A%ȭV)S1'nl#l$v7] ET?in5uO-;5ΛH? ۷ba~gT<7  4򽾠*K-شp>Rf+*BB T-廖ʩ0}bvʕ:OֵDFIk *$p c] Ey6+8u 5,PǼ`pBT,g 1EBvUj`u!%fr1)4[Rl$Z@WEs'XIp=Np=D'r^8 5?* DZ8Lz8%III!C:ǯz8UkpB>Nv N`gNU fNUS~T#rȈNecXl guM Nߜ ('@|"DOOI:OwjC|"àH|"tT|N|"_'>P7ޕ'>O^d'>P7X)rso'M El)ruH&"k|wC\R&ߝ"|@6*ru޻G#k[}έ>re޳Go#׷ٰGl-[}tVٴGom[};^{$Oz<}è&N'<yèA"==zaRQCHFa8NFr%ՋOw?~>tKƟ;'hTS`zH X"8c: n,Xjߗ5JܕE"muTq7HcWtaQg܋!:ϳ'12 ~c/Н:]#1=mNLYCJ"r!/mJdȅ*aD8Mr ={cOt)1!  ,Z)e|j¾,^:) &f TR^ȯ"X.޼L@_7mԚ# AvhզD&ǯg; ^M;hZŰ)9`܋||vfm=@2託1y4If;P踭vnD9|y%/&-4Ҵ9_"PӸIC@t#@{1D [UdaLY k5zS3 Bh3< J;X rSc<f1+r @2pì&|YCW'3I1PAmoljgPRqBbMLbR6Cs0}̕KhhD+SNܒB*q?஁YM)kݖȨ1p ]'>ż #{t)kӂ߶I%zo.<}`oh<މ+)?$&,C1|N'T<'W \cK\M!^rf5C(6^ RA8/) %R=BG/F'-Ŗ}TtFa/F?_o!e!Z^,4'#$eJ^axw)"Ԙ'*r17%"!Y.I[$7VǮ$& 'LPxvYKW~(2*uϧd+jkf\Y9ѯvVO+Fcgg9W,F\tb=}Pewl$B'RcJW)trS>L #ʩ<+'fJπaQ%%e_?TQa &cV*} dO t0L<}p.b1Q.g& uO9NsLQ h9EJ'1 ?#ɉCk%)H-CdL2璽V6NʨɄ$"}Z%'ǟMX<2KYee,rS9+\ZkS endstream endobj 3992 0 obj << /Annots [3993 0 R 3997 0 R] /BleedBox [0 0 612 792] /Contents [3998 0 R 3994 0 R 3995 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28068 3996 0 R >> >> /Type /Page >> endobj 3993 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 3994 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 3995 0 obj << /Length 19 >> stream q /Iabc28068 Do Q endstream endobj 3996 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28069 20830 0 R /Gabc28070 20835 0 R >> /Font << /Fabc28071 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy45ۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 3998 0 obj << /Filter /FlateDecode /Length 4443 >> stream xˎ#s !!i ,mLcW&E +$|mޒ?~/O7(|j*%ܕn)}]|<;K!2t?Hx BӃpy]3/b|]CW y! qm@6x0 a Vh -1#v{1^EW+Td3LH 03.[8q$@`]\o|"&^J^hP5z}ZO]2*9gȿP + NRa aRKXK{`ΰV#Se )Vp">Aʾu "#8hTΒЅe*㙐E*<WY?"\"*Sv+]5J!Nҙh`e>P łM!d./Vײҧr1UTsZzRI,7Tcf<7Zfd1$JÄmYi^֏MDVufFSڛ\;43 d5DT2#`šUFuEg͌:c%Il9?Ru>I,L(,AfCTYYC1o % ~›$'cԪSHaّ(5xNv)*Y)Dͦ9$Zcfe%`;iVB5UzL˿i/r gVLq[{? h Rwj] fSHD!SSR6wK:ҺGLuFSm|$meFYՂ PrŘ۽cૉ?W|lf@dъ:QGqc頳Lqt2~ULbΚJ/zH!T=(J [UM,P[,q;p!Mҙ68hq}`r 9HV\ \퓈ԅkO6Aκ p+(dg/xyݽ S!ı˜țN~u:pѵ+֔8 i*%!i_E/KuՃ%{#Ʃa%d'(fQzU@ ;U F1TeX9*AH%+9zQ<Y0̓`rW%_Ѥ%̡!7=f풛Nry\cjnǔզ!Me 77JpB o_Z;.nqKvi6UmzmVBS3|awK׷5oaC!~ҳ֊e2ߪ^j %\6+y}|`ꫨyyncf6D1-zy. MFW0&y؍3wJ :}As7fK蟫`x{ QV+Pq[-إe`P *StVИ 8jRe3̜yMWZr\KJZ"Ub]e,oFfCr](>ޫ+?3:,XDxhZ7\7%mNhږ9k!qx~NuBe(Yί} [%#dU5\7_~7~~X*.5=W-GD+cM}263E /P˶>&i^'Z4bZ1Q@N@w5(yy9V*d S&%>L'* bNGeD&i9ked-TSu~Qdr ˁ.v6 BMgrXd]X%'g -TwQ_П+*0*n9dWU1Lkk0ʵ~39)+Sӊ=G$n|IH"W;.5m˝".y<g,4RtYr9wUQbչS;߫'^tL4Z/&mf܊v&SXQT3UX*->6: ƊI~,(lOaF UŰ .}Wq0T~ &˩(boTJ zh┩bV5.ڜ`4灙CUI)72KV4Xsi&5cqD8Punjiv;x\7%pc}9˫ٙ=!wolMP| ^Qk6dê Uium"vy-:^R\!nNϗ=3Q6(T{?{Co$S{PX{Z@"㢑gK⣺iU5zbG_0LdWC7e++qN@LF6I|$:;%hf5c]₾C/T%roψ06iNѧz8b7n#dw MX ao+U7&\!x>Te*,"X0YmmNOtICK3R'ehZƶ{MʬVkg#hDf.>[9&~AդDV=+C?#C9c`?cپ!3 $g Y)ܪC,g yML2@4-1',QZ=)C#)Ί#-M[n;01)Usrꆦ%2^T̈׼y3<`F{)3;z> yy>S`s&_n5=S{m7|oCr]l&X bн+GhǽN4W1{ /(;Q1we'5JEw i;λ>MH=j[#ulK8oDb`SW2WQ&Ã[5%'[ȯR95 %2/:]j ؉))3`\=!u=>z;_{ycy˜ݕiguWsF:qk+$p%$"?)!:2{L,` K/_*~R'uU۴RҚUzS ByӾ 8o9v#gWu-kΟ}Ȑ`#[L[T^ߛkQz깹V{s6.. P;c_npPY&ش C4 nX< JWfȝx).8(e ߍbRXy+D;4,8s$op1vn{%f L. Yu4IH+yW"<.yȟoXI}O~O(5-hZD>ȣ]s.~ག.|j"*H{VkV\X6e?bPl TA!6Ve<ӑ.U<#OR!M%22~3[BddK#81O@4HjP{LX endstream endobj 3999 0 obj << /Annots [4000 0 R 4004 0 R] /BleedBox [0 0 612 792] /Contents [4005 0 R 4001 0 R 4002 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28087 4003 0 R >> >> /Type /Page >> endobj 4000 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062940-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4001 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4002 0 obj << /Length 19 >> stream q /Iabc28087 Do Q endstream endobj 4003 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28088 20830 0 R /Gabc28089 20835 0 R >> /Font << /Fabc28090 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n` jЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?G  endstream endobj 4004 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=285) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4005 0 obj << /Filter /FlateDecode /Length 3598 >> stream xɎܸ9@q/@ZA99u2 ~BRK=3hjJ|Z(SF|O Dإ_Ͽ6HJzǭ*u<*pco<-l9AlR0񤟄pN 0ܰFk{a_4 i00. 8'v2Pn`<,0&erjt1E,*9 T,tkIS< LTRk9h -!װl'F9GhIc΢g; ,T5Mh;X@XcnYlc:FЉvC] WI1Ô7(^L!KyA2gcRSJMӕGխyc v~c_4U߶l-6)W mޝת UZ)[Fݢ22,|PLWqYQ81Z"+dLjI}>n]N@5獠՗c_I!`ns*s_;WwĕSVU4.vM1昛^9HE=4|f%ʾ5O~)_"w%nrXɻ}\Gh@ɳ@Ecn M+5QúKf%QݔAsi^ޞStb`WAj 4YҪwOc, eڤD+NK,-(ԟ^ 5u뎙_07=0@\*!{hVZ`EWsӗ_oTwU}t8J ShO }a jfeI/yj S+{k9TrͰb[Ip "pTK)t!PRdMPH>(. @1#5SgF{7ɉInBh(k#6cSa3=x˖\-IniXSyPY۠ #Tdݱڥuፊ}]^Ӆ;[_zk^_fťՇX'c6[mFѻ2 ^}||RewXHߨÁceP.ѬJq>>^gz7P xn4Dm|>zY-tD&OC4RO{у/\\s|37G̻ʗ)ʩ<*ZT_Hm(x"b愵R+!|.T*g{]h[ G Xc-5߳ &Xf-s`[ϱ;-J_~r{gk95q0`";|VƘ!6:`Jփܣ>|L`i ؆~@f1͑n"1J|Jz UrC(Mǻa2(~$6"f#@{vm]2sq7= y> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28106 4018 0 R >> >> /Type /Page >> endobj 4007 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4008 0 obj [4007 0 R 4009 0 R 4010 0 R 4011 0 R 4012 0 R 4013 0 R 4014 0 R 4015 0 R 4019 0 R] endobj 4009 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 607.7 193.2732 618.7] /Subtype /Link /Type /Annot >> endobj 4010 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [104.1732 591.5 162.7152 602.5] /Subtype /Link /Type /Annot >> endobj 4011 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20211013063105-08'00') /Rect [104.1732 575.3 183.3237 586.3] /Subtype /Link /Type /Annot >> endobj 4012 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 559.1 176.4817 570.1] /Subtype /Link /Type /Annot >> endobj 4013 0 obj << /A << /D (unique_325) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 542.9 193.1522 553.9] /Subtype /Link /Type /Annot >> endobj 4014 0 obj << /A << /D (unique_331) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 526.6999 166.6697 537.6999] /Subtype /Link /Type /Annot >> endobj 4015 0 obj << /A << /D (unique_337) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 510.5 158.8817 521.5] /Subtype /Link /Type /Annot >> endobj 4016 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4017 0 obj << /Length 19 >> stream q /Iabc28106 Do Q endstream endobj 4018 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28107 20830 0 R /Gabc28108 20835 0 R >> /Font << /Fabc28109 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7RPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM$T endstream endobj 4019 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=286) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4020 0 obj << /Filter /FlateDecode /Length 1744 >> stream xXK7Wlj20Cn96Y0^UԯcxMwO_Ԫ_]rqI>cЃ.ӗ-]RU=>a,EԏG2=V~@48}LN=N3f;sthWϬۢQ Ve\_1V34J{imccA0FZָ7 HsXC]+3fF Mݓ+ :B ΄6읱pO<7'1{FR_tf8Mjq7vuBIL1&fy 4,Y FsD\1" ѯ]|wQv۰AR+rc>ixaB]@┟3[cr;pƚ~PYKQ֗ޤ[RXm >uJϨ|5PF[m 0?m-)2ERS J:H,#ǘ)^P%nIfp_sCzMV3!nG_6PD7AERR8u6K BB ݜH $p| +% `o^RQרT.i-XM잚S/8!ïl3#j,%1UX˛v0#K'ժeI'uɬPq~ށD/v6G.R;}s_Kal|6k5K!^Q5^>S*(WЮ~j]ϗExX9fq> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28125 4027 0 R >> >> /Type /Page >> endobj 4022 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4023 0 obj [4022 0 R 4024 0 R 4028 0 R] endobj 4024 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 366.425 137.278 377.425] /Subtype /Link /Type /Annot >> endobj 4025 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4026 0 obj << /Length 19 >> stream q /Iabc28125 Do Q endstream endobj 4027 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28126 20830 0 R /Gabc28127 20835 0 R >> /Font << /Fabc28128 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ* endstream endobj 4028 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=287) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4029 0 obj << /Filter /FlateDecode /Length 4527 >> stream x\Io%9W־?t}n}h)g{K!EjxIa#PPɏwlWYN&Y8>˿>9i|}|պ%|~Sʅ{y0 w.;מ{zM+wlvvʏ=x*eʻu/-&/Or=㽀)gprW"m akOԧJSXN\}!n#5ؕN[\ N2K6#{eȄA '{2 cSG㥺JAz!;+[0$ySg NuK(6y@.3#8{!L#='}Éz\`ޠjO!:z :yڅ'q 5um[qMyꙭ tawt6V0@{72rPt ݆^ +Q/9~ߐ Q.ިcW=nu~B/ ~.d\$߃\q<}J 䛐(1Gm*B2Q'͑BQ@? $2MUF0/Uҥ$M+TRjQT dW%+鉈BK4 ^d*,2 yb=(d~S8TR=ϰtF@}ak{ 2a 0a hu*lS^牳^ 5/$Xj InI!ynYIzi9Z$]k9 gi*s:6kj0ܢnLʅx\2-4!Z`'Bml:2Vҕf.hσ),ُÄŪm62' *b -cr'StbTrCKKd%%TșBKF43+jxٰ RfDg{-O:GllocU"##jTݎɆvM/U83 ~7d:dt0aguo10OyuNgY(sCC1.c9P;Uuӌ@, +,, yf`av`Q11Q;mH VjfblPrdd6]+;Nݵ8Iɬd= Ndp2k8+b$? '1I~'IpO$8$v18w 8A[?NLn83G$zOXNN&~ }~+ރKoB;~?j I!0exl3葞8Rhd@gJ'!%em/ML@7sfLJ*z\y?!j/úҺ*nD:/>xQvn+/3ǰ$%R[t:eπR?oK;HZb|,A]ȐȠ4+]..Vf\] ۡc9`O0{@sK*Hq@t4fݖ{y3Fـ#ڔ 8+Q_ 7<;՟\mDaL99 NXPӣń^WM3ޫ_Ym"KcS.** 5{&Up.ny`|M= mӽL\7ݟ~MW *qH\°TwTT.cxH U1<}`*8Ӎ:-6jeNQg:_H<#׳$uJ좚'|6aQ_mc蜁/ԁehiGNx1 *]oPҎ93Utd =N8'А&6>n碽ԺjFyJ#@~_,;acZ #W,b ٻnVA o:ă+?;ݰфCW[{6Kq,OdڳV7+yA z@U֩oDWHO;pQuwf}Xz㛊[zK}9mochzT]] *"rcorW_<7^/HJl:XqET4ݱ(%'dfۺM(\Ԡmte_&[=>re iUjdqtq.ڜ *^w F/ugC35*@B -)w`Qm60rB\"v4 ?&lN~ h+PC뎽qZG07 n9%rLљ8Fi+ɢtҒe}ȡ;Ե]nOQQ84eΞʰ^)?v@v ^ h;jXuO:KDp ywf+:xh{ߘ^C8drˣ_H6Qww-:cmlj 'յS3)O(9h:l 06!-qU}.x':F#,q un9s}NUIznxqycԭ1ԉlQw9 |V3f.Pe@-6vIh;֪0TLjaEi֫·[z]ڼՓ3 ^269]^֣9jʯ6H780D08'N\fXCqWt4}n7#:,opx<,.c&RSb^%4v0 R l7h1L]16t ?F'dM]"~EB4ie0[E!\K]SCŋ9[͜'Śy橔xN%vrOy\2̀~ƋC ;PQ-aT/B}pW(ځl+0޵e5'ҦVX/jHC&Nl@9@"t? ?bQk.EfuA9i#2TN"\/l{?^Vdw+n|_oRKtōzRn5O$bJ<=^F2+](R{KUI?JRĺŁ $૔V$՟(^/q,5=m.icfZ**:ZN-Qb~'xd8/T%[U3xǔ=)Ze97T6Y]FK7(΋T2N~k5nMzz~x}}^LU|*JMK^D38lȫ^l_IʇVw*'Rt;;0.$;>"1_h7zCV 5#rp4 *s@Bu c4-!EH-f ~t\I5ǧ#~ 5Қ343#tBDf$SH-C!ꑞGzOhKʢC6M|C9DZZ~ OڨGJ>#[^bѕc'\zQ<НG0z#fQPl; A/&" endstream endobj 4030 0 obj << /Annots [4031 0 R 4035 0 R] /BleedBox [0 0 612 792] /Contents [4036 0 R 4032 0 R 4033 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28144 4034 0 R >> >> /Type /Page >> endobj 4031 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4032 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4033 0 obj << /Length 19 >> stream q /Iabc28144 Do Q endstream endobj 4034 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28145 20830 0 R /Gabc28146 20835 0 R >> /Font << /Fabc28147 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1X endstream endobj 4035 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=288) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4036 0 obj << /Filter /FlateDecode /Length 4004 >> stream x\KW9z~um999lH=5v!KfŪd{mQ' I/~]58D$ufG_ru-_]zRۗ% e.&pGwNp-&,1pk 7~>U@^s[6!1\(lx<$eI,_ S2LG:};|"z][!7֎ o|LA įGY+D+UAߚ<`bʼ 0}JI%|!/-I"> eA".㎅(JWV^"?z$gz4KdU挄pzyˡZzuĞ"Χ̿̍27DHK,oK͂(.Nض Co #|o:_鑞A hIYzYA*i+tu[!MrU4:EڸH8 7Bt["x_#N^X"c&^D:Z{_ [RTL=嗃,e1#'Pe,oWw^A<U .pKOMkMQeP3 #>J̇#A;ufM֦Tf|VDzu*wQIdyEخ; «NUV=q4⹮gQF<':b ;]׷IPWs0Hea-MkPN,cxeJ_"~U-V,i+#&ê]0&4r5 ԌwŴY7ܨ"@y\",rZ&S-A([\g'bż\fD0؁dya6-L({MKq!2VEo:-APai+DY$! ',@/ۍ%\??RMbd[A[mqPti.>ync90~Ke))Ҕk#K˭,x%9F?Ņ1L/K`vfj,rpNa&Ԫ4Gr;}ƃxQ=S낒Q$泽artik''mh>iWk\,p^J Q5bF?~\6n̦۳0=X8| nЎ K1rAgK)ʼnj)$XvfD !v?q=`D9'`*C.N})(80\+0MLE9Q;[xڋdӼ|8tSb.&A> j}oIZ)C$z`Mɦ* Jib-Y) I<,<腽Pa?b)Sf݆wU8Sa7Z=x 4"1Xmy-qv+n&f=5w hx}*)uɤ`>Ur9%\{҇I! R|A6!=A̳ G\mH1i'5 7}X^yicT˖' &ޕ]pqo*W@g NAHr. e;|vӪFW;ь1ĢvذL2Vr'6X?=ޱ^gJw3.t엯vrm+nb%OoYCHNG54:b'Vo/U{rCCƘ[&V%FVe.vM)gn,KXut}eyrS&k Dڭ$Qh}*@Ts./9̋s/.J .-#>MV{$P>)Wأ--p,Elѿ7T/ZSW^oT$ThP1#6\1ئN6D,;r5#CroE^P\2q_p.\z-f]RHRt@LXus[&dq#-ѹ{=#De0`ZڬC|3= )`~.le)ݼ{]<9Z8'TYeAtdnW[PG\w7eyg9>R2_*$ǐ WOZ-lfzS&W6t)G9և_4o2|:i~u^קs^pYE><~oyp!$#`ȵ,@|8:ėE3f|J^noB_n'|'ߚ'i4O)]sɟ]齀 2T[| das|HwB,ݳuhoaɜ*ZKD!2yWgzz"'~(Φ"\)<~m#[ 25s8..||;`A/ endstream endobj 4037 0 obj << /Annots 4039 0 R /BleedBox [0 0 612 792] /Contents [4050 0 R 4046 0 R 4047 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28163 4048 0 R >> >> /Type /Page >> endobj 4038 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4039 0 obj [4038 0 R 4040 0 R 4041 0 R 4042 0 R 4043 0 R 4044 0 R 4045 0 R 4049 0 R] endobj 4040 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 488.3 195.1267 499.3] /Subtype /Link /Type /Annot >> endobj 4041 0 obj << /A << /D (unique_259) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 472.1 204.6307 483.1] /Subtype /Link /Type /Annot >> endobj 4042 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 455.9 180.2547 466.9] /Subtype /Link /Type /Annot >> endobj 4043 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 439.7 205.2137 450.7] /Subtype /Link /Type /Annot >> endobj 4044 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 423.4999 165.4707 434.4999] /Subtype /Link /Type /Annot >> endobj 4045 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 407.2999 179.7817 418.2999] /Subtype /Link /Type /Annot >> endobj 4046 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4047 0 obj << /Length 19 >> stream q /Iabc28163 Do Q endstream endobj 4048 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28164 20830 0 R /Gabc28165 20835 0 R >> /Font << /Fabc28166 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}7 endstream endobj 4049 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=289) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4050 0 obj << /Filter /FlateDecode /Length 2130 >> stream xYK# W@)z?@n/rԛ p_*UNw#TȏE¦4_^us:E]vY:\Q;/ԐA;kj.!Z_Mkc O? Lp M %}U ƽn&ОegKB_m &dap|}yA_kv܉:.za{XJr"C`qr>˄HG!}v_`,,.R\~ ?#.{5[Fo2dxBH%wQ+4Wv ^Ļb\θu. xY4av bSQ/^,^߻tޚUdMbf 臝%ܹ?^>_mMacotvj$]op7 b:vUAAoR f]Mx;~-΀O'zwh#>~L];iA d>W26+rHxf>$Di',RӉܢ@K%syh-|N$Ulɹjl)>މzQO(+p}`;nGh;::wcl?cK.Lya[V=#b%IlB-mP&|?vj f.VC`Rځ>Kf:*yU͙$Cu'1V~nj[e%%' ʃ7q6aw Pd|6`'/')|]3gŘa B&;8;IBG 8'g^2`]N4P|UA/`88i.UV(d,iЇ|JП:+.| _|\փSt0J)y+}\6ZޅGRF #$jS<@ p `L'N< ڻgy1,\⺒Lt|_Y(l~h5o?a9Lj+:2~U8ќUɔdq{ò+?`S|ןhL)-JVĂ!ҩX9LByj28*6ٖƁ:@US(1XxRHp*Ad@"dDݩ]1K6Wh8߉Y ;6xփQLLzA4N#ʚM4 GHG%Ѕ|SWY;EӔXI$B;3uit(*7,,k^QIm9vكD]uĴ2((/P^ŴBYO"GLJ8yD]Zv )yibҷECa6JZ|c:ɛHwTV#@G:1%dUIƕ1BӰ?15Sk R`zaR-+8zGhTSr}IuTgETPc绣Ԡ"0p$ #y2;HVZ'i L@ Ys,p>LgP45h " @XIY3=*8Au+ԹF<Y˱4?K=8xs]qMM84YֆUiO$G)Uq5}Ɵx.nB=p% ~4$G|G3MCy781/< \7yO endstream endobj 4051 0 obj << /Annots 4053 0 R /BleedBox [0 0 612 792] /Contents [4059 0 R 4055 0 R 4056 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28182 4057 0 R >> >> /Type /Page >> endobj 4052 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4053 0 obj [4052 0 R 4054 0 R 4058 0 R] endobj 4054 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 412.825 137.278 423.825] /Subtype /Link /Type /Annot >> endobj 4055 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4056 0 obj << /Length 19 >> stream q /Iabc28182 Do Q endstream endobj 4057 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28183 20830 0 R /Gabc28184 20835 0 R >> /Font << /Fabc28185 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQY2~zY8lo0< L$́2hރ׮w*>67^vqW$MZBsutyѝ/(hF1rdbE0EH(.X*Mw1)GJIx5s剢xy"q-lb@r2dv"&_g_5kDN 9]%yLP1bG QO T>JGܱYI ^v4Lm'٧Ĵ⩔L$u$Ɵkp23ϋ-Yu_/? D?cxyۯs"rԠsh*/S9pw !ɜ3FPs^8:c dT.:I|2X.S(RΠQ8N!`E W۾{w>\ endstream endobj 4058 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=290) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4059 0 obj << /Filter /FlateDecode /Length 5932 >> stream xڵZBth,{8`@%5>ޕ.7aD&=ž@%$8NI >MJmL̪s Y؈VZ4M[\q՘uꕭ!ָ;Uġ5a;Giu4LC33PW [PTUђ8mK!ZUhfH\N,rYAv4 )T֣]ubW٢a£nq4lAe,A30òS;4`fO>2:.挜с OC>Ba:!%^fiyam{&Z=TDYS{B6>,Q{G8m>pyl ?^S+d}z n A{a09PGErjt]~4"3pbz*6q5T"j:A;~v5gɽGz hyt#l'y"]aZKSEX'֬YnIil6ja6jf=R ;r]-sP {U[@=b\ѕ:(ueh9)))M_q ꤄"ߝ:#u[u=M3ݪݪ ^uR:-?NjVgiI'?ETםNj"BaT)quU} %C,0ց;x*{<*j$zp(`A1Nq k?^ \tQjπ-m#lF~PxmLETad`JfAמX@8-kP d'j'pdXO|rɭyO x;RpSg9?f9X|R Rx\(Yry\bŹ1vG]C~كm<)r#jf137<*쐋6xBr;w09FL_kIxLt'4 4(ryU-}LH>˙a:Û׸'9;:W@*PY3etqΌ Q& b4 Y=}*K g,5s 39D}1 xj22{q1u塝D(ɠdۚ5o."(D"C$cn#'W@cpw#(UpH HDiXʗn.߰x$^iK2ݦmPhzʠ"s*&жQ&E,lT{< A]C `m#%r~sռL ֔8wu ɾnaGy#ϥwSeJBv fu(3na mN9-WA'CY]Vnc=DEVNُ0~QcCw$)]$'g+~\`I݊ϫi&'2:J]>rxCJ/q#uIMD S+s Y-a ˗ΞI"vQ< D)ɨ9[dW3~ZdžAL6^RY-<4˅vGI3qEl k:̢ռ-^W QWxѭ>:MD O*TLCVSX_nۃfDŽ;Hy\X) Jor/՚*p@ u0DF yX @^] C)Ò5 PkjHpΉ$8 _UkʾuW0J9j*|^oc CG"` +x,{P8h^ ۠R2$Қ?Rjwo6p)lHdT]&`2p5$gLv/TQ`Q n=Xt]XZ~TYC @f3͂!TĂmCfińij3BeE bnPIaPЁG`MXn0`p%.:V<;k(;Vx`Ӿ AM6էƎY? m&+3DvA}Y/a<?Pʜ~łQF[!)^ j]M8Q*6U <5k:!%u4~Q>}l;o@gooV3ViBfB H52_TkNNN"~D!(ӛ"`7'nH <$N*3F 2'Aˎ5 _7)D0*dd*Z, T4_)$䖲3,WjjGw/Z P꘵bJ\},ԋ TlE4 }afӡjte\P}, 6.ۍf|P00Džܝ#W|wO_;uchWT9W\g.'EI{4gx8 9z/$_j_[+\qT&_X+}Ie_zę] ! #gvw+ y|><Bb(]rI|ζ/1Yr_J?jyTsѩTgƯCbKY8vZO5݂}'բkyap׿dz03ĝt<xƒ:2 x舌@SW||h\K jO6 endstream endobj 4060 0 obj << /Annots 4062 0 R /BleedBox [0 0 612 792] /Contents [4069 0 R 4065 0 R 4066 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28201 4067 0 R >> >> /Type /Page >> endobj 4061 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4062 0 obj [4061 0 R 4063 0 R 4064 0 R 4068 0 R] endobj 4063 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 91.004 202.6782 102.004] /Subtype /Link /Type /Annot >> endobj 4064 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 74.8041 195.1267 85.8041] /Subtype /Link /Type /Annot >> endobj 4065 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4066 0 obj << /Length 19 >> stream q /Iabc28201 Do Q endstream endobj 4067 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28202 20830 0 R /Gabc28203 20835 0 R >> /Font << /Fabc28204 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ ` endstream endobj 4068 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=291) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4069 0 obj << /Filter /FlateDecode /Length 3779 >> stream xɎίbj_A@K !O/y[-(AldWoߪ~WFiK*V}3W#M6__^2F~ퟺ8k OtP?Z[PEhNQCgy'3\I0Y <\L_ XJ>ftPNu+\gН* g #nc87GFw7dG~O؛"ϝ}  @V qOz+ˋ@P1Z?EB@k`g`W/m!&d٥^66hxzcX2& 0g*Kv @AJrV$4#s'6HqV1".|V&Б"%@9 sʾtEQdS叺?NwܨUU= 7wnJ4q.,t?8V׃J]J\|^0`u:?D2d-d σѻPa{a"L  0DL߸B7@Dա|ћUw1/隖{{HPju1ʚ2]XXa["^ݥOd y(;%1KTl1.NosGq; dh/=ZR>J={Ĝ&@~#fu̴Jdۼ4UA/VA.&%8V?@)~jKQ|qW݌CPji{nmH[8 Ik,cfmnPmÇǛ9SYܞOLƘc&G-7üMd#\Qj:2jc-bfc5SdZEת vYK!hh. C=k֪uoWs6YbHY[[ˍ9?H1퉭MX\y.2A7.tnwIvA:k Yn,xg=k[?d;>ך: M\2𺸗}fcFԲreoKo|3n[hn%`DӘ*9EdxH<1}Mh}_/>9z/ᑆah;0&dIMB1ZrUVe<>t3hX[>h_ߕ/ѧ~rQPX A_O-=;ӎ6U?۔gYdYYVqQgwG;Jy¼2SeUËʾfۺc k dOZ#="AXY sa3G]ECOD!Kg0tM 9%[bsZVwBJ@ u$alQ4pV `gد@3d|$#pU. o !fO4[&eJS/mo92pD-tDv@LHwؖ `-c;eފ!W IߣwDKR Hy0_<'AUrgA/9GQsH#W!pdC#[ h;{'P>6dtv4d\+!p/fMS>\n|%J6`N!tsJ%Xrwl.$]ȱyPCv;LmbnG,̉C_l4Pʼnߔ;<)=7f0{S_!݄ Fȓ3z"a 7ivsu7#yypnr*,*OGwW1܆l"\o׻+yb#n8'YliT:F3 K G$w/^FTV/| D0ti@,XnE@A|'`YF(oQN^e+u jo F05앀m{<[.+K_Oưa4D9W7ڊn:)p5HPwN[ϾR:({>RU]e/T%=I eE:>d=jk:N0횁Rb; L Ǘ ㋡iǗ# ~I-js8n 3{|9T8yqC> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28220 4082 0 R >> >> /Type /Page >> endobj 4071 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4072 0 obj [4071 0 R 4073 0 R 4074 0 R 4075 0 R 4076 0 R 4077 0 R 4078 0 R 4079 0 R 4083 0 R] endobj 4073 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 197.7557 709.9] /Subtype /Link /Type /Annot >> endobj 4074 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 192.6352 693.7] /Subtype /Link /Type /Annot >> endobj 4075 0 obj << /A << /D (unique_271) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 187.8062 677.5] /Subtype /Link /Type /Annot >> endobj 4076 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 166.3397 661.3] /Subtype /Link /Type /Annot >> endobj 4077 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 208.0022 645.1] /Subtype /Link /Type /Annot >> endobj 4078 0 obj << /A << /D (unique_305) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 617.9 211.3792 628.9] /Subtype /Link /Type /Annot >> endobj 4079 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 601.7 172.9067 612.7] /Subtype /Link /Type /Annot >> endobj 4080 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4081 0 obj << /Length 19 >> stream q /Iabc28220 Do Q endstream endobj 4082 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28221 20830 0 R /Gabc28222 20835 0 R >> /Font << /Fabc28223 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw723|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 4083 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=292) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4084 0 obj << /Filter /FlateDecode /Length 1316 >> stream xڭWMk8W`>- BC;}[a˞2Y@J'IpU^,g@M.^?C rP'Qi: ٖe[t%%!FtfX"ຶݏm;g^3˲ݲzô$0`d zQvgQPnsޛ~Wu09':!c5ituGƏ1MAR5^]%y2ŗqLԌ6O7#-jhA_t6X=ml]"!3nV ̈H4&*s3sn=&?A^Fَz3WPG6fL-3hv@P+8X"Y 9Zw,2۫EXn8K77 $Z{,mzI6@GəϠ ,feEu4VWpQ9y s#٬:7ͤtYVG>ږ5ꒈaWD > 8|F 8 Bƙ#5W897H'[ƣ'a;8GIcK<~C4[$ޓΕqϸ}dR ],-;MUj.X>)i(q~߮PR5H8 endstream endobj 4085 0 obj << /Annots 4087 0 R /BleedBox [0 0 612 792] /Contents [4093 0 R 4089 0 R 4090 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28239 4091 0 R >> >> /Type /Page >> endobj 4086 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4087 0 obj [4086 0 R 4088 0 R 4092 0 R] endobj 4088 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 366.425 137.278 377.425] /Subtype /Link /Type /Annot >> endobj 4089 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4090 0 obj << /Length 19 >> stream q /Iabc28239 Do Q endstream endobj 4091 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28240 20830 0 R /Gabc28241 20835 0 R >> /Font << /Fabc28242 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶd endstream endobj 4092 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=293) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4093 0 obj << /Filter /FlateDecode /Length 4743 >> stream x\Ko$ W@W 0 mf& ;e~HzV2iwK(EU_ +F~yNKdXo''on.D+?ʿ)½</\\tMmX=\[~uJ9*?Q>_qh#_W[I (Ԣ^,iHbVQb/(D weR *tP~ӽ0PVوm;LJhd\+P&rIZdOB;MSpGP7eӽL{h`Y%hV$$Ⱥ`,߰-p2#| ?k .oIVz&o$?bgtF%%P J,.PO, @>O0G)(#|OH{4F:NaI Q*L?m fA[n`vq\ͽ>SϮri'H [cZܯ_m7%>S#ksV v[7dGןj17oGϧFep?s]gP晕HAإřkai!aHEyͽZШ^T >{|Lџg_^D ŏMwbNV64uJf]em6*,:)]ӮkzPLűI@TC/Gr *WoR?^:̢Ɗ_(\ԨB:]Z3SoS :]|ё<4H_cޠNy_麒T`勄GHxI(F%xZ:?@:xOO!|^/o}LK.42R܋~_R,a_@(D Yv."ʯ:Z PMnR%Z VtF<@}aˈ[ xaKNꘁd@C LgP镘jO\yiT-H*{suusOE{584U\\٥xrIq '1r2P~%#}Slȕ;iVlB1Y^DS=2ج5ODj۾XQb.V v:VL& c 1>[YVNUphrۤoS*;jg,:M `'X.0{0,yXHفEAvĠB,U=3X)=N +]@fMr\x(wp,`NzNfaT8Wpdf8 '1I~7'pI4?Na$%Ib{$#$:}~ }v#ępgf؛>Nb~Nn@ ~nԅSS B~ԌŤ&L^HGp3 ȍOrB@jf5n̝.T ^Ag 8!־7&Բn0)+^x\{l^Tވ}K_fI61K {򧴅) 2 hپ~7ɧqkG 3(0+z4ǖAI%sN %ʕ%oS^S\_NĽcgcC_1x<㸘GR=Y2OcB=>tRk ydCY F*ҴLZ,]Q꽌Ԓ:SČӽp}erJ)2ԓFh'$|P V T" I޹^,-%Cfv$_mS 7ȷ> {Mco8|eyL}M>iXA'jRSk9CŬs;DwNy#NDI tZaB9Q m k][.T?tY1]K܍\صSJ&5ɈDBσ$- qRVT/ 9(jo0RБ XH7\A4cl5fgph-kEmijGN7DhUC{[P$M,W}'4kMIC#COH)zjH 9 x4,좣 ۷ѐhV`B7.@ְ1nyQIAL5y*iK|GUwuVq1w-.˘VS)Hщg2L2&^Xh! Uv|Wc z+ y8' B;aZ5;ĕE!"-F*>qP7!7rA}aS[dbdv~asPC@~]@dOpUG)8,V.?gE?mXMa] r0m x}^U5xhGF7с^LSۇe N{V 6^{>wpjͼWay"X4>55X(Kt.){'\~nЬOsFVuS_qU[ˎ|.Xcaaƃ (WX0' !9;inc_$3۹zeu!b9^^HvmOc>S)شgej}8۶ۈv|@sA^lt݋=+nwǖ2A Sݮ'>ely1vǤpv!$#`X)tG`LpM4_M=qO:z<=§|?'o>=P_@tӗ+=v8 O(A -D7ZD؝vg3tG1L]Vwa!`d5#E endstream endobj 4094 0 obj << /Annots [4095 0 R 4099 0 R] /BleedBox [0 0 612 792] /Contents [4100 0 R 4096 0 R 4097 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28258 4098 0 R >> >> /Type /Page >> endobj 4095 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4096 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4097 0 obj << /Length 19 >> stream q /Iabc28258 Do Q endstream endobj 4098 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28259 20830 0 R /Gabc28260 20835 0 R >> /Font << /Fabc28261 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7rЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽? endstream endobj 4099 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=294) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4100 0 obj << /Filter /FlateDecode /Length 4848 >> stream x<ي$ɑ,X( *+6RZ;ZD>]~ED5 +LvfxxmE'J..u,/Cߗxs1/QWaTA'^ |7w0my -YMJ//Y7>~_Am~xBt\CH|;a3U8?։q:'F'g:E`2E/0A.+3-?c1ZߋHv>+YXH`m6 .K~bp2L0uSꊖN;VXDP0#z H$$*mS6N}ƽfYe!DH<\K :Y<" $:RYC[dv!0bH< <.D~vb"Fyz6-9zNwBkt:DNYQcUeYj] fŭRذ|Лw eLY3p* nFI,ɬrxEP,nn! /ڨj3Xj{mk:c&y'`IAJѨ^,vUg9Gd3Z1 (o͆KjD*SMjqz<W+.p WK|D(Q^<\&tcXg&x]وLeVv Pƌ[͂2*(lԠ,teДaR28 U!ھuYw/fU@5.v4.i A.sj#s{c)j1X(~cwQj**dQ;+f}Qj /k;K\ W'ͳ_A7{lVr!xVI\5{ 5("F6" [>TI_ݚmbu!v*lFhF{*#8Y  N03O] TpԗWjӌ/G;5Q u7 F vν ,d<|Yxaq6EB`,]yR)Mx)QǶ x1-^Tjy9Qjs}Ի J݃ĄM*|h,dJ)\$- gG\"EEΔNˊd]\ה%VǺÛ&gK/Ճ|>f aYQomf;P߽|(Gʼ:ŠYM(ayaQ!HZؗ ~INNIzHdM\ 0<)tPNQ2b)+p!oHX Qlm$1?9T S\N$'!S"^pk2KBw~g@i c1 HImhj^tZLC<4ĭ[x0̗ct4stƗˇ*3? ' 1+ƒBZ?i`E 8o,sքVmfZl<E !FLFpⰦtc9bE3}J e-!. @ Mxp Å=~6 !`,C.AFed 㟘Cg'cILi ytTݹȲ&"Uesv#۳;Dyt:c4~o:Ǡ<i1!ڞU,nwXSYqc7LeE;w7-G-w9G% 6Rz&Jx.T!9@UǧsUCAP.3 ɏi!Ի+ۇzD]tEqIv[?cZ?m6h!sY!\ȏ1UM_QkjC *{|ٻCHCIqj##+@4 rPQ(W[VZ|(::OXd0u2~WcZWSx0IاJ:ULoQCGb-=m;Z,s3 K~%*$XFچ_=J:4J-;Y+ iFZ/?ַE4k6yɷ]zx.^+aXWGz 9n$ &+z2+k-c~]r%v\ύ;ul,an3}s!7xEfOz>ښ;kPz: z^kyCjWޒf}C}ټ<1TCbyL;hw]"X7w{n<V2u֔ 4kQvv.>[m^$Bܡ~M^l8W׮Z;`l`n/Y{)PSt>@•r1 Zr 7îWׁDW1W{̗1Oȫ-@gwu-Wa{<:/+w Z^np+0!q{mP2֧\;ԑ {&ón]8&x#M3&p+pbҕ{8(eNߍb[!='Auz:e܎cF)ԯP@\xX61aRGJ?}=Džo#|׷TmJrZ/02?S3$k[Sr!#V~m:9/^]? ^/Nr+3F 2'A#L W꟭W_72ێRIVD~GXH)~hZ/rI-ΰ4/D7J?:KF~b4:f-eeeKR}يhbMS3S/4qAvd2O7wn`[Đp/_|mG1j{cet&탻;L*qNnfzS=68Ɲ {MTB:/}) Dف0tDMA<5d+]>px 8&~֓y4 YxCh X~NBy6 O g$my&S ,{t5 ~ Aׄ1ADCct7IC jv@( U^h%}1zxzV'~HHLBIwk7K_ʯ HF+>>5Bv~_, endstream endobj 4101 0 obj << /Annots 4103 0 R /BleedBox [0 0 612 792] /Contents [4116 0 R 4112 0 R 4113 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28277 4114 0 R >> >> /Type /Page >> endobj 4102 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4103 0 obj [4102 0 R 4104 0 R 4105 0 R 4106 0 R 4107 0 R 4108 0 R 4109 0 R 4110 0 R 4111 0 R 4115 0 R] endobj 4104 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 310.1308 187.6852 321.1308] /Subtype /Link /Type /Annot >> endobj 4105 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 293.9308 195.1267 304.9308] /Subtype /Link /Type /Annot >> endobj 4106 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 277.7307 192.6352 288.7307] /Subtype /Link /Type /Annot >> endobj 4107 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 261.5307 180.2547 272.5307] /Subtype /Link /Type /Annot >> endobj 4108 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 245.3307 177.7632 256.3307] /Subtype /Link /Type /Annot >> endobj 4109 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 229.1307 182.0037 240.1307] /Subtype /Link /Type /Annot >> endobj 4110 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 212.9307 205.2137 223.9307] /Subtype /Link /Type /Annot >> endobj 4111 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 196.7307 165.4707 207.7307] /Subtype /Link /Type /Annot >> endobj 4112 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4113 0 obj << /Length 19 >> stream q /Iabc28277 Do Q endstream endobj 4114 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28278 20830 0 R /Gabc28279 20835 0 R >> /Font << /Fabc28280 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)i+*5;x77Z fc}Lh < ӄ9p: 橷൫ӡm~b1wE*(YUCQ?WG?{`'3cX-LFV#Pl!2Rh, ?$WJ2'ī+OSr&A!K2?:Y#rU (I(~R1fubG /N٧j {Vqi*J9Lڴ[쥭}-UaoKey͞\w>@lIHBJ.\[-:ufg[XL[/? D?F<<%C9P2,|<30-s@%`^u#UR,Wm'@;2!xڡ1 g^B9T_'ŶM endstream endobj 4115 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=295) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4116 0 obj << /Filter /FlateDecode /Length 2853 >> stream xɎܸίybS=@n!ɉ't/yEJ.$D|JIN[K^~mpoӤ_֐ax`{'pSNr{WGb֤ p`]ZϿŠ x=eГG֦^O2o%z_ˉ3g۶o,dTk\ 10Y;cSj'=\y'љD> X8–u|9&k3Pugj!)k-)^WRHԥLp9v~ 9g0Sa:=Б 6"8~6余BE A>:X *v)Dp5-Ȇ<;'hb3PPPI{B1\#ÅxP ¦=w-#9br6,w46f{D8@Ȟ.5Ēo$Kvt0 :k*^Z6*WmM%ү~T q ?a2!CZ5bƁ ;n?!}~{`{D.'8O=3?O~<1Wltw {po!-w3#,QpѿK.O`cqBp@p3aP@lKb[F p WEbƩ91kv1 7NCcP;k8s35j}lBa?s1#0ˢ̔IjKgAZ!uU|+5Y6oduWfl^l6w{®+"͌LB~*< :zV97c\YLhQZt=P;jP԰vTUI%8fM3d5A㺷 E/M-3BH_w2⥏pJhbvqF73,[DZO1yC#hey5E$gr}| H_}gϡ$XvܨzWm4v,D{cʎ `D-+b'\FDPMc}l5bؐ@+07^X qCϿQЧIPR9b&*YFH%bf F\򎃌jY7*¶*֍J?, Vd)`Tx`9]?Rku=ׇDУg>Osr6 GFh4[Ƿ|$Ud&'>VGB+O$ giIS}R\ vB X_ 䘦‘/v’)FL[PNxɶL\Y X5EP~IEџ!j͠h:pW t)W)/Wk;Uv]ݔ:S[M S!p7F6Lh6_wx 1$4 d8} ďCnP`Z8,3yZr,Hw<p@@y [SN4jA\ui_H:!mS]H̠U@VS` ͮ9މBj0"MC+(b$cBe힀M2B}ϺxvhBQk9N`RQ x!ƸǣFM3 >R>pmLTnnf~fbh_B$,#?gKc;b݇!Q sx1PC߶N"C2ee9e֛KG/d;@ +iVMG^*ixSO%է ><5I_|֯MBէRCwMjCQ5;lݙO 7~ MV1Ss΍:5<'B;o`O ~xlC_E>YGkO,Ei& _ 0$OBN{$Ohp=¯]+r d53Q~x@uT:rt;Jt'މ,ʛjtgWPE Ul#I~ǞxY= kH92=djO֣b;ՠ endstream endobj 4117 0 obj << /Annots 4119 0 R /BleedBox [0 0 612 792] /Contents [4125 0 R 4121 0 R 4122 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28296 4123 0 R >> >> /Type /Page >> endobj 4118 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4119 0 obj [4118 0 R 4120 0 R 4124 0 R] endobj 4120 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 329.125 137.278 340.125] /Subtype /Link /Type /Annot >> endobj 4121 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4122 0 obj << /Length 19 >> stream q /Iabc28296 Do Q endstream endobj 4123 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28297 20830 0 R /Gabc28298 20835 0 R >> /Font << /Fabc28299 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7)|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!KF>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}eV endstream endobj 4124 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=296) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4125 0 obj << /Filter /FlateDecode /Length 4306 >> stream x\K丑Wl iE*3;|XcQ@eTVtgI`0"R JFjw4[M:#`?狗ȗ_[W/o򿞴)w6gʼQJ802wzp(݋Etm9`gN1$ -!'ۋ@dUrSŸ Dk`kNl9)hWAWG1E=/{Qj5R?Ɗê-׉֪Uֆ;lU0Uֆ1(U;Z5sP~EuE!v G+W8bpN{]@oXP,<r8;W퉡@ANoҬ ); Tdg1ܺnoopK^vZ 41[pi`4G <̀UK \+If-ƖN\XYAzQe/5`Ieb3}w_nk.Y*0 OޗhItNd ,^%E@Dls9蝎CߞUpJۋ?e|Ag乱Ϣe~ӂ~ ۶w,1&;Yi"|}d)_Z7yLhA 1@2KSQhzeV8 +1yHU]ʹԹP;`*X[1rULt49fyD'Z, r$߅c PYiFV:qtMt/kNJ3D^C'Sy܄ mzāwFTLxF\h"I[xC*B*a XLF"9C&a:a?(c[ە/PHTsY#55mq/ʈ[q & :ȕ"Y3TzeSz#jAK6.H.{;ƺ=$ =ԫUKI(_}KUF Y`>2*Kpcۆ.+2UԔ]J`4X|RFXʹS0O[%r%\4+6HmztWQ4fz66k'B/Qc F/VJ1`@Rƅ^;7+Y]EK&+Z+K \'YN.Wphr mз)`,`a@7\`a`a;X배,f Z׉A,U=+X)=N +]@v]v0P$}7-p2[pK8ٌ pK8%ĝgE$? '1I~D'IpoOh$8$618!:}DρdI\N>'>Nb?8{6zPPV@zߚI@z I1.@e\M|}qH~|/-ygn=`X0ԸvLJS*|my?!vELNk9\%e3UU9'#.^<7ުKoiq\^u \N=k5s+r:ۃ87>>h1.r3\iw?A(0rz;/k>j,zb5y5EC (ļ+ı-ZQݕ3ӉRTZ5E%KŊ p\S+kn3!v6D:m2gc UjeaieY2)_- ݈'f™^\|an4-L " ^Q|-{# ټұ5SF\{0[q/Y̞Q֠c6N<x3GXԝ:-=LY?JDJHV sLEAb7k"i*PQDN,6L\3$93W0Yvcr΀K%(1,,hAq-CY*Lip+QtŒ{sIa7oEH9ܐYvJNgm*QF[Yww1*.;X\D9^㫫`(Ii(UR4[ D_Js1p>/ui0T,$,5U` 5i]#:A36jU`L<7k!%5-HhzqwO䒛sڑh)r{)-^=unE$^: DWjb抜_}M(jgz-lIת<8R\ml v:5fUF=j]ۅ- `kNM|*:QԀf 8M̀c5vj{qz ^3}dݧp~ xu-z)nIOƧC e%=ml-‘Ôx9Fiv!I37}⤍>^N`hsr+3mxs˃tt7:L} VYs}4]8m"/+Oܢȇ,K.|m!A(]mL!eh/P}| l/{U#7Gcnmϭ<efO:^.[ 2 o endstream endobj 4126 0 obj << /Annots [4127 0 R 4131 0 R] /BleedBox [0 0 612 792] /Contents [4132 0 R 4128 0 R 4129 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28315 4130 0 R >> >> /Type /Page >> endobj 4127 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4128 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4129 0 obj << /Length 19 >> stream q /Iabc28315 Do Q endstream endobj 4130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28316 20830 0 R /Gabc28317 20835 0 R >> /Font << /Fabc28318 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7L{ͱ*DC5_}tw%BJJ‰pT[z7}1Z endstream endobj 4131 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=297) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4132 0 obj << /Filter /FlateDecode /Length 4908 >> stream x\K#9rWy~BU6v>{*{v10s/"TJ^L2 ƛZ~]̢D_%%˿Řcn1z19|ekxoO'>/_FWi]igm"&jk޴~y՘%pƾ'_*VB)O@6O mQ b &'e4fij.E,}>zii Fo ii 6oOˑi/~E8Gkk d1ǘ =kPEvC-« v0v3f W*r)Eiѯ4 b5 E@Ҵ qY)S;ٓa1hk(D6ȕ5?LR{qt0cPqNH6S,B/eC4 !t; 0Ū%J^DHdW͊D&HV1@ԣ<,oꆼńPL5+K $E$NISK#jB$U4sx^],DXqMd.UY"ytY\'BTMR#00v.ۛB I!"JDd"Z=~WN5ŁQWd 8xKVeb+uge =VtO.'S2kj%uRg(feo.yl4ckv4W}!aTSϑ, Zf2 Gَt^\շy>s9%i؈˖JtːNeKd֢`6 JwP*͸צ\)k,zlojPiYCﴰq EC_пnBB}o'Ӊ1Qk)`pow#ݥ~^ø{2ps"GG+<>-3Ѱ?Ͷ6B֯07 Uz۷t*b}u|"_퀌,,&lЈj|_q$֧ijp24yд)5ZE3 f5_rYm. ėů1,a-0e&}gM`B!ޤfhYv{>8ɦ>'m[}Hm-&aBЎM֦NPCIxAgK 'Tdȗ=ZEif2>N$Hc:|2S^ a6z]SXusbN;:埋CЉ`#ص~Y`|&KK8pbh: Ou* L-8— p6\,Fb2Χ61>TM=47CaD154"tP0 3w"R&_Wт=Qe͎xNȬ9Rdff!FXhl"` Pm|%cXnՑ$0tQT4#I)5gfbA#v- )n .wX4:p2=Ewk%"Rph nܣJ\M3( MN7`1ELҿG q OAQDj)JgQDj!ZsS-a ȓa+EZ\YԎ% 9`ciA6ޙ>v8wy&zI" `zZUz=!3`7hZ ,%F')}~5YٓӰ?_KJde_RsDvJߙܭ[l?Ccry8xo۴GHi7'&Yn%{Z{$m܍[<æ2c4MIg@aIOā-%jrIcڑ}F#0Q$ @+2&&w$߇T7١qO*2^wIx([Tib'jO9ܡ~V v:]k`QGg+8c׉eaqggb&˛JIҺz!1v ^&`.<7Lq7s9Rz" #eIrq}9 <'/~֦T=˄uXE;EW$-YS؜tfb/*o')?z§wS`cSLM}#.uMJ /g$ۍG|MT\\UTgcAٜu~{",1).o>w g8T#(TAxu 9xl,$(FxO3o ]ӓL6!:Q͛/>lFoؼ%ѕOgH ix%)ܯ8'[桶5j}t+uY4tΨ;ΦW/dq]|~6Gf n.<5sKk{J)sBw{GYV<9y>EJA' )}u!޺=,Aw%.rx?Nt[踿+;O[|7W7tӭ +/@K%˴Jǹjd_@I!ӕ+|F_J[WZ70YNș@<?b>̷<|:TWvA$*~|gvYP9wy8>#3VbHM]' Q|{f`IѐQ8WVSHkbqh '] ɤQ[[J27ޙRK;eY(^ۼ -˗Ke8#uhZ;LSh촔Pani6d3ZEu V[e@Vϼ]wXwqRC"LD?mF`5ohNp*.CP@ds*pd ȷ?'_%KAmyf2'twp|`뽢 <מkp]$IV<}8&q<2~2?JKlwzD(vO@1T e@>6F_,zy{}?곕M:+?e ~vJe d?q:;h;<!JKS\/ëWEvP\~B(8d7rb]WGc`> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28334 4141 0 R >> >> /Type /Page >> endobj 4134 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4135 0 obj [4134 0 R 4136 0 R 4137 0 R 4138 0 R 4142 0 R] endobj 4136 0 obj << /A << /D (unique_261) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_probe) /M (D:20211013063105-08'00') /Rect [104.1732 596.1 187.2287 607.1] /Subtype /Link /Type /Annot >> endobj 4137 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 579.9 159.8332 590.9] /Subtype /Link /Type /Annot >> endobj 4138 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 563.7 177.2792 574.7] /Subtype /Link /Type /Annot >> endobj 4139 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4140 0 obj << /Length 19 >> stream q /Iabc28334 Do Q endstream endobj 4141 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28335 20830 0 R /Gabc28336 20835 0 R >> /Font << /Fabc28337 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+"%;x77 f߇]&`j[i8-y-xvw7dth۬_{9u ]J* ,䪍(?WG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~uQF䴫3^JمQQ@;b)G?+QO T>Twl@+pצb/mk: S[${JLh*dKRG"WrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4143 0 obj << /Filter /FlateDecode /Length 1766 >> stream xڭXIk$7W݌-Ds;{ՉHm[c5E[KjOXo"Wl'%XD?Cc':vut&snk ysM˭w.lB;t1SCXyRzym4ஒRz*cnd5gZT80^uTx*/v2ZH} JC1S/lܦuOJJÌzI5Ȟ7h9.=Mj=m"֕Prϛ]~n1e[E$R^K 3GQ+UA߳⥼b݈ É41('prfSve%ϡ0un 6k^+K: )A8R}|3uɚt|DXVHT[l''p 8*G7I[;CP؄H'T!oq\S廈!8n~{o O\0cqOq9`>^O8A#6-.AT|sg^T121 "gf9賖g*pjj,Ed.A/y??ăm.m#1j-G(hH Gl endstream endobj 4144 0 obj << /Annots 4146 0 R /BleedBox [0 0 612 792] /Contents [4152 0 R 4148 0 R 4149 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28353 4150 0 R >> >> /Type /Page >> endobj 4145 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4146 0 obj [4145 0 R 4147 0 R 4151 0 R] endobj 4147 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 315.725 137.278 326.725] /Subtype /Link /Type /Annot >> endobj 4148 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4149 0 obj << /Length 19 >> stream q /Iabc28353 Do Q endstream endobj 4150 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28354 20830 0 R /Gabc28355 20835 0 R >> /Font << /Fabc28356 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pUthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>^ endstream endobj 4151 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=299) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4152 0 obj << /Filter /FlateDecode /Length 4423 >> stream x\K8rW@j& `o.ç^F}Nj/IalAJ~Lf瀗T Viu|z5}Wcr/Z8ʝקQ>[k@cl\l׮P>Wz}_^6$5{o7FL  q ,LMOYh&3jхy9PT@Ļ;jmOP-5QxC<9M3}_z,G $nqbԸ"}{VFg ;.URZ`7s}7G#R'lH=kOLZ/T?;{e/NV_"ug@bЊi_5HG*/ek 'MY/swlőm=d'8 fPEn/ H Ȃx-/W@9J3 S;5Ԉ}E+ڈYDyC!vAm2q*@_d;b|^o].s96C8]=:~kcw;/>Dvte6zK #Vt~l^&ͬ39zӾ}'pj8G-D'6$zx.|'G7O9MbC8w]ƞ^$Ci"?O_r~s+\ZVY4{JP*k\*3U]Ӯ2obů+:TU" zo\=R ?!A!C2&5dP{ N![ڇ qQ׼4hy 4fY%džD6 4[5v7˄>7׵ <Ǚ~sBڱdn !so㟤>l sh3xPWF?ٟI{Fnsa<-{~Ûz(̋ E=CR6BnwW7V!ZŴ`@Jȶ(χ‡kv[|T<;yeҎmڹWxAszX(~% ,̈/ԶPjToyKm53W ?>}@O7k)Ks䗴 vnWsqeh<:-;TBh * /;mTY fLBi18e|+u_RšjUe/D#5w@(ǁh"q0n "314{ C :J%t QF$UD-N`A&.ԾTc]o #ԫujRXj=KV9 BC6&lRg0>Xɐ…z\3q-4qa{ r X϶j4UY&]\О;SZYr Fݲ۾o$ TEXqS}h{\91aNR49c;{7'`$ORkƁ{P6=:KݛucGf:k@TШŊTvh */E9\gCn%봫h"B]`T&'YnZ(@۴oS*;jCUL}:m ԱY¬`nv.v@,, k]JVd.` '5ie8NWIupN}%pR ;_'"}.~NjN_'ޯ)> 'u!: ^t8ut8߃ӭ7uT >S= Wt@g@]_LӀx`wm?SFPV܇>_OWk;,4_4[`0M7>\}jBٌ7 ,E)_BySԾieN#B8?v3=r:K.޽i%iXsgM" L:,)/R?bsP%ߦIKĘYYSp(e=OLisRjg6C&+$ ɪm&!3ŎZF%JJdv~غ줞_CPj+^ SKI3ؔhi~Q 1RBr<ˣrdh k($TZdJݫI)a'fҔ%aTm=6yXXS\)[Fj˹WGMQBrX Nq'Ckde\NqKBisgF@( 5AUoAGG+2g&ؐ7 t8%ow˻7В=fW IsV[5&NrHo$3Ǐ`Zr>z ?C.CmFj(6K :3]aJ^,W[2@ F*/ Ē!P `aYarB&\We@U?ڎtN@[lSyy=XC©@%RT"ŝ_'ؘW)xa7@kbρ26o{îv݅ 7~t7,Ѵp UdԕI\DauvV7K1X\\Ж%u CW nіH ,Lό̄ʫ.ޤצҨ(Vk oN6&Q<$nvޯmlyXDlBe7Gm6/G+RhePF_^0aV9qw0 3('%92_">C54co{;hi!ASlTH3in#p(mwt``%=cu6O<V]+gg9ـ'(攖`3 '|1=3 TOtGT|mjDiݘ(U_\T\SS|s(uFz ]-(b/η3~ L)qe K-\8ȣs<8V8qjؿ,uޤĂ**Ndd*|'M':.$ocL?&``W"Ft_ϵ/} ׼뵡;$,x|g9crU=UO-Kc9Lk|9},Y67(gp;wR`p/B<Ӈ&~|x \+':Vz,I`:GJ XQg[5>.<Q G~֎O@Tl[ rȳ4Q#6ێYrĮ+G;LSprFyd9:uUϰz/;`A_V endstream endobj 4153 0 obj << /Annots 4155 0 R /BleedBox [0 0 612 792] /Contents [4164 0 R 4160 0 R 4161 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28372 4162 0 R >> >> /Type /Page >> endobj 4154 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4155 0 obj [4154 0 R 4156 0 R 4157 0 R 4158 0 R 4159 0 R 4163 0 R] endobj 4156 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 133.126 222.7367 144.126] /Subtype /Link /Type /Annot >> endobj 4157 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 116.926 195.1267 127.926] /Subtype /Link /Type /Annot >> endobj 4158 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 100.7261 190.3747 111.7261] /Subtype /Link /Type /Annot >> endobj 4159 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 84.5261 184.7812 95.5261] /Subtype /Link /Type /Annot >> endobj 4160 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4161 0 obj << /Length 19 >> stream q /Iabc28372 Do Q endstream endobj 4162 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28373 20830 0 R /Gabc28374 20835 0 R >> /Font << /Fabc28375 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4164 0 obj << /Filter /FlateDecode /Length 5338 >> stream x<ˎ#7w~EP.@($u0Sz=7^|RRUwF[$3 #Z~_̢/R vi/~1f[⪵[^sL-,;jm!<-3giǫ__|R|-pkv)0'K{h}zÄN.g~t}R Ӹ)kNY*pWDSۧތfY.XI 7 Fģ.pOEm>(Ski),<)_&r#^o _a0B‹iq MaMi+4ui=Wkgմ/WL '}=\X&M+ӑ1A lj2bn1Y0>8bN~ %+O8e+RS(亂l=Qtk np^ IMc] ȑlpdlݣe(>}VUDj:v%ͰczzNz&X_A*R)yŤ՘i vBX_}J4JX`9P&ĂBt) պ:k t G" 8zHfEx.~a kЗ } -Ԧ7fMx MmL(kA /ՇTB" hG_ѤfJ62}pG/yأ%yCeI ՚. D wHc:l%|q) ]Ĭj=2z] CXufs"N:s@ xͮieq@{0K;`ÑZ+w[`xb"MGԉC:`,bޥJ3 i͸?҄J= o6e HmH`&xx;^7 j]px*?!_ N5n/ı9DZ`~T[yvʲ<̂!T`ĂB7Pj3Baɰ@SgL $0@CJ/D@R} 8k8edt[ϫd?Fg'Qj, ),Ut,҃Nc"+ɏop2.`EVot@#lQ;DK$׉@KQ1ՠQ ! Cfw)#[J z[\mox8wGzA"}C;|gZ =Ԇxn8"5,Krը={/=E%ne[]zrZ"j;*l&F+-Xd4쉉E 2g3 +̆`d>6C#+V#1j,xm6h- aܺj#^D#DxVKmeԊKzdE?NUf J Q [Y 3綷͵gٮx13ܿTdv #-͛+iNQbfǽp/ǁ 8(:sa+b PYȰ% hw=D:j-*l䠮a!`g3hIH/ 4S6gfHB{@ȞY΍qb]!kk7 >(4Úg)'G丫$pF2 FpWJX)*R)\ղ b*/JփcXWڪ V(8UepaB< 3K&0݋yr֨gI-X~![Ce:ĩ_$ ljԗ _Gk݇-x /|QQDHqD$@b0d… :?dwA<ĕnpxBo0c7zVAv UmjgV ^55ʘߍ>$*YzIUy2+b,Y^9 I~ +HDe>NtӴLp0LC5nj K?˸3#1wM)Fz:Cf$1v@&e&qɅy Шږ>1Ec*C.G%H8_'7%xS[8'66֏lj<nSc(Nw]mk24ZcF^GW w2t4{ɫ[LnT\#_H(4U6["+75L\)>"j78r>icJ$KSC;~#`1 dIA)J8=lo!9D &U3^}qo>j_+Teqzaq )8@-^`xgGnt9s|Էɮނ`UIh@xwsƯJ;7E6 AU_,lL%WqA-P5T횑mč՘dЃw=ޤCNY-fQmV#X!a(nٸ\֬6T8B *&db>Ê9AvÂ#+4ϕ܆1QwDǷhΜy\#ͥ~"p[4CQS_W5]5*e޼CT<'#қޣ>Nwj|wwY(bd[1H]J+- NPQo@@ (X⚨ %%0W’J-jҫT&ʚz)aH T^/C:a= N)qb4Z )(L͗9ʆ Galӌ\Vj U`OK^sʆm  &)zXU# cv$%VdUo|!z?ܪa= 50+}.Haay' I~Jg4lD0n04Wh8s$1-݉ݍS8Ieh}\{r6$YhZ 27 ;v6LR8DC=JEd̞V~@ȱn\=%{Y9* mNL_{V1^,@i:Jmj[1Tywqj٭ep݉N;h,t7B ϛUnY(\@_󰵥:Zx1YUm2qaoyGXm%I>ǪI仩`[ 61y~4 p|xLwTy*v@ .iV@9}|{w\w ;[۩=>ވ[z݈5Au ̂up>+F8HaCHZ̕hB`눦8eiǂGhEl_뛠E8:An0ߟ +MٙqO}y1S䘀Xpb<}TNG~tXq'ִy1 o\s{&KM;t,đdGtHgpa+Yxs - .'*}g3?>̏f~|6㳙?lf}ә9`f`f9Բ!gc 큕-1X M n ?HŽUWGUi[-~C-˥mN˾.~oXmG-PcűyFh܏vIS{>" 3xՇ1' |zx*2$Ppa@|ȫA bW(E5WʦPj3w d:R~x?ҽxrR 2*rEuo$~J6TRߊԇpC;qX75܁'rLGRTO:Lxe8@C,[W OvbrCw_xMvڂZx fىŚ [-b9iL`32*hEʹ2][1O>-5˻1Oȫ-W owu-7a{M ih+ʹUgt[9E-mg>vtM Y"A^Bdˎ VW4]Y`CX5V3^ gyӨ \Ai<֧bTW=î_3wo3@M6`~wo)]䉍KzS?q9uFNݮ F]ׄ%,# Wrq؀^exC3<o}0482N @Vxh,+Zj=v ෸qG FBĹ*DAKT][o: \[4L dfjD7 a}i=\C0C|qi\aiBQo}޴OF>RMe9 <ƖKS#M1%@[7Y.m\kUI5n&?PgoRE" ATLo3,6`RxT\/:B5 R?yIq5Y[×`bvGrر"JZ')w0|Kc:>|{1&zkI'3iRjU|'!> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28391 4173 0 R >> >> /Type /Page >> endobj 4166 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4167 0 obj [4166 0 R 4168 0 R 4169 0 R 4170 0 R 4174 0 R] endobj 4168 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 212.8147 709.9] /Subtype /Link /Type /Annot >> endobj 4169 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 200.7202 693.7] /Subtype /Link /Type /Annot >> endobj 4170 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 228.7537 677.5] /Subtype /Link /Type /Annot >> endobj 4171 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4172 0 obj << /Length 19 >> stream q /Iabc28391 Do Q endstream endobj 4173 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28392 20830 0 R /Gabc28393 20835 0 R >> /Font << /Fabc28394 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7pth۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lMKb endstream endobj 4174 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=301) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4175 0 obj << /Filter /FlateDecode /Length 1234 >> stream xڭWn6+. OQț-?p7ua7˛Xt6f..>h>驑+v'=X"&U)Wt,:MTls '2Mn-$ep7=$6@f73?6ETʎ4RiH^orXcBgͦ-E,ۑ!0Cm/껚mdW-OUnmy.Lc}qV}RX}_@%ܱw>Qy2`U)'L},JC1]7^df9t)y˽`JT%aҩ%* pdkhRBy+mztUiĥKh2 O)MŇ.?{fETqPz\5~371e6f>F%s2JؗǍBSb|5o.'nd7@~55iHSk[=TսR07oI$DS6yHq`+kwP髾Ԗ_$|3|qB- OEND83@a9*l^dv^N`:g> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28410 4182 0 R >> >> /Type /Page >> endobj 4177 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4178 0 obj [4177 0 R 4179 0 R 4183 0 R] endobj 4179 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 359.525 137.278 370.525] /Subtype /Link /Type /Annot >> endobj 4180 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4181 0 obj << /Length 19 >> stream q /Iabc28410 Do Q endstream endobj 4182 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28411 20830 0 R /Gabc28412 20835 0 R >> /Font << /Fabc28413 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶf endstream endobj 4183 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=302) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4184 0 obj << /Filter /FlateDecode /Length 4301 >> stream xڽ\KoW)w۽@n!i \^|Iv̶%RTX_UQ$w6Iÿ^Rԙ)i1XuOL:?'^ߧ=htB(w~w>MjdlmwힶOaݩٮ]|Z;S}O+ϦC>GO˫FzB6ۍӤxgKp&G3e߅f MfԻ s>)9P@ PqX֖Qxd gyR\‡S|hHG}@YE؊j@T4/@y:`J85Ȝ}0x>&'͜&,8}/P AУvس_t?2;;pRA#2p|~{=?D򳔟w>GIZ=?ϐ0D'lC& -b٘vR٧E@6HE։]lq"%FWQkF 23PK:Nk2{acSbP#qOΙ0G3#LWw3L }@/6Q*bT `.WAt :Wէ܉zv{WWPEz82 F|Vn`f皂VƊæ-ש֪UֆWl(va PܫlLĘC"t+迫EMU%Pv@l<1h2F3=30[lmo6 2Ζ,Ŋ˝JՈCSs$.%KJs,>I3r,ӄbDԚf&MRjftYfD5 z H hTnbET|Zw.!~uUqR.0P]c`רq^S,7Wphz mз)V`ج`aV@7\`a`a;XLaa;X vٵS %Xlz2Rz(V3UfV  ѕ0PDbm8=85W85NyIp~NjpROzh~ T'IH'gIu9p#pR5b{XDR=,1 e|*F6 0<*Ξ:8$k=w-} Gn4n})qUhCN<? E\lseue!,9P>?O?bʢ8vP\I-G`NYW뺮TZ^s!:VaoqaBX_?Ehk?Nrˠ8%$bB;)6zlاƺY7YcE @lOj<B֖s~6ϕ6ou[v8`=mP8F{tX+POy!" ^6Xmf^h"] -ADlp;԰OI 2ըwN G rq3f[`P-.XǔSgm@ N&-ܟ) #1700lg$yEfŬ1bcfpI ,qr'o[AYr iuT a=>K.7TQ ! fm$;v6huŭÈTv5P;1cq<-ӻ™MeD 7A!cֹpѕ(|9ʸ7~k8fa:F։JQń)&N.@'i5Kl n 'X*XlBpaׁz>)5%ZYY{96U}8HHne՝zw-Јv97m@+]`8wPK8=lK9-2U2la]'SQn#:".$}- eM~ǎEˑ46 myOe OSC-%5hf{羳 Wsce_9Y_!~_%l%K.!YQ:9zg<e^$h~][Mi;wCG_Ւݜ.9arT`B(Ox؟ɔ$‘d(WҬi·nΖ$aCzh猉;Yv|w6!%tϮTݤ(zIa۪op,EO f T(M=.9܃`ܾ{`@ W8jK~qY/aseX-G2TKBiݣZZ`6Dx!ZeIxɋVSg`0 B\]fI|jyjQ. i$I{Up*3NS\UCʗ8.:/z{61V;d2˰-j[u o3x T0S_x-VG۬q]|Es1RMcMMyԿ͡yʓBHBW BGG Ϸ3zf{EĖD{WjA-> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28429 4197 0 R >> >> /Type /Page >> endobj 4186 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4187 0 obj [4186 0 R 4188 0 R 4189 0 R 4190 0 R 4191 0 R 4192 0 R 4193 0 R 4194 0 R 4198 0 R] endobj 4188 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 363.7423 194.7032 374.7423] /Subtype /Link /Type /Annot >> endobj 4189 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 347.5423 195.1267 358.5423] /Subtype /Link /Type /Annot >> endobj 4190 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 331.3423 190.3747 342.3423] /Subtype /Link /Type /Annot >> endobj 4191 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 315.1423 184.7812 326.1423] /Subtype /Link /Type /Annot >> endobj 4192 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20211013063105-08'00') /Rect [104.1732 298.9423 212.8147 309.9423] /Subtype /Link /Type /Annot >> endobj 4193 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 282.7422 200.7202 293.7422] /Subtype /Link /Type /Annot >> endobj 4194 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 266.5422 228.7537 277.5422] /Subtype /Link /Type /Annot >> endobj 4195 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4196 0 obj << /Length 19 >> stream q /Iabc28429 Do Q endstream endobj 4197 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28430 20830 0 R /Gabc28431 20835 0 R >> /Font << /Fabc28432 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7F!thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Lph#bwo endstream endobj 4198 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=303) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4199 0 obj << /Filter /FlateDecode /Length 2943 >> stream xnίy ́996.U-K!Q"|jM[mo:nsVǠ)COK/cO08U}oFxHx0Owbrfdmj:K3С7.V3"z9O͖Iԥ/iFXMnwhv/Fc !Pց z1Vuٴ)Z%7M4 ZllBsQs5ҽ_Sëw\Ga6D '񡰛#;E|V"-ayto04vłQ9<%d ,sOL0P@Uh8 1p{ uF#z9X ݙ`|//SO7 fFp**efٜ&͟HU5`df\[p@otϛ"b59 C]EΧS8E~1H1A$!-޺Z{znxXbndzRkyS buIar8%Wvu kPlix2ט`aŷm">*vǁeU#ވ^F^a ,ukM4e ǸCfG&ݪŠ sln#*GB,uߤZ5/3ꄸ1iΧf+nնKkNOA KM .wRO-sEu"' [ yܿ<.85/Ox $^#G2UlKxZ4o~|XTp=MJ_F4[%낅`tsx !6r!dBXѻPH@|\ gMģD<#?166.,*̟ԕʠj+:[$\Zd[6/SX4wۥ}ԏ?_nMK)zPLjnB hT1Kg'k\b躥Bر#]OAՠדּ kP~b1Âd؀gzk6=>umzh) ?L0[:^b|)r\,+ ίAf mk6yzL-w.baIBAFWEZw؎5hR"< q) 27I(9m.̵@M $zD#z}NiJioX5y%ӐVwibb̺̩%ޭԪ~C7u0ESR6=0zWoԬ $}oQ>»'rB:T3.ӗW Ԓ]Zo@ ktV]}Sų\eAX\2}#^k8kja2e\Ufb[, :X@;qrt㙷>#e0"P1$6f(}5ՔWī-*3Ѯ^:S[zQzFm!.@M}^*b~CO6ixm^H9s?7D!0-8xZs ɑ*';p@@۹%d5=7,+_K~#"zjYtD+v`A)sj>)zf* )m)=13q3 MV˓=)mYignYZ[KUnyk[Z7D%-yU4-e6gy'uD` |@@,XxD@NB{&`EFoYIN^' q͉vBGZJ 6==h7 K6%R4LV3~1S |$7ְ #s6Qce"&BG2 ;*'IPN8|^ ]1 My&蹙Ha%vIMamPubӻ4Ԉ줟FQR}Z೪" S=gD%W__v/q:B8#_/b{ucU5LS-_?~3djy ~9I-tҪV m72; 2@;r둈?^WI!̣:Q*̠> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28448 4206 0 R >> >> /Type /Page >> endobj 4201 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4202 0 obj [4201 0 R 4203 0 R 4207 0 R] endobj 4203 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 319.925 137.278 330.925] /Subtype /Link /Type /Annot >> endobj 4204 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4205 0 obj << /Length 19 >> stream q /Iabc28448 Do Q endstream endobj 4206 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28449 20830 0 R /Gabc28450 20835 0 R >> /Font << /Fabc28451 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4208 0 obj << /Filter /FlateDecode /Length 4367 >> stream x\K#Wl@i>LP@Ij [ `èZ2Wf$UO;QgIEY|oY 7u| Y׾S s˛Ǔ1a~g-r} kzV'jc- N5uT_ \ugO/z=8 3п_6Ӽdd&Otv/߅f7:.S2Ҥg@[4Ɲ!z$ms>c޳-//R`}z~Z(g-$ff0` [,6#6BAagu!$NMƄS)Z9bKWR䕮 y9ƀQ!bbNknYހhB|0[ci yVX@'?bR{~ E[c1fҟќyN`-mm~)d[_h|Gcֿ/ t8ݢxOt/УShiug=3mK`i_l ng'7YKe'"O!/vp'Y`46{ v,Cf߀i1b'f3:3g6vMB1ߏgY> עub'D]b~~=Mi[mڶ.H{I H v~4#<ݑ5k3IlBcd$TdE-ԜC63 gkqbO\AY/W$.[_svi.[ .>+JMuHAqϰ73;aQう@[,ocacT+2kAP^&Keւ)L-bEs?;/SpQE9s!n.C/}xwG/}xM]@c]@PV.c5nr+~y{bHP5{}@3jq9\WU^">;'ꚗ;-<0e!)CUd9CĉV|M8aawCj||D *w]l,gFS@ wEq_h\M0sɑ"Z%i~G~"t(W@ʋL(Eۤ<:ɍPyT4XiÑ= ]ci+<^6jݿcs[qgtҾ]IhUicU'D[5E㫢(«cNWRh` Co *|78 j9q).6LlI(Q7!=O+?}*5)u|ʩ*nE%tbN\a-A+4?Ηޥ{1y)_-/-5;O="&xLbߦo7\=x2g-i̱o]-q oPKh nh^EO{e]mgo2RbWȴJolLz7ݛS$L4MUȜ"8%q)]R9c+w?%`$O-RkƆ{2=:KޫycGfڈ2k@TШɊTvh */E8o!~7ee4aPWkmo10q'Y^gppaZ:80)TGd zXh7O& Tyǽ5,]Ɔ{\â浰Ջ,Y==t-N +]ɖ5E>97 ծ+NJi~&V2D]KbU+ԍ%Gy#te/.ˮL2n/ʸ;t@2n'ʸvvԑzNj5EN]SCNj/a8uD*:E!Cu݀SUJ ` RWӏJms?PpPƒGaiM[OǬc6<+LD`IGp3(K}3L ۳&`X ΌI= ƭy#z?W:,49` >8t>g\ErNfvٲ셻[r[@Q/'ɓL;adգ1)!?d9hy??+Bȩ?ZOj"B;hLg]#= _/rOlg!Yga՗t.')sK8J;X{F)yVQ`.Zc-ElY@[,tױ(hkJxh:'2ޠ x,M, `wnS9$)BݿQWT=&1+XY3RMSrlCO[h%B\ZXR㦮 pWO_T`2M>vv{ѼG(pq3s :q;YHOʔVlR 0 ]o_HO|c0BՁy;8X9&닖6βձ0Pz Dbz"W _&j$؍dhrʢ'>]Cl1uA"ڈ0u|Uφs'KKߩ]WҍZ* H5"l붨`hFCoFS!q0!Pvl"e9ߴRZTjH'~Cd8[3frsʄ$T-<6D`Zx5&|2Ls0_rB^>+-P̛#]"ґV 풺NܦyϹr'>00ϥLǻzW-K;`P8ܧ"n#uDq`>k348ɔkzh;GZӚKm'Iip-tu^x7w"3"ꈹB r@0e@(L\%a50(r:A jY`L<7s1)~݊}4=R0>}ԣ׺T|Mex"u\pX&]SQW~9<+5q'aN BGGFS-L&^wErb&-q&9qOu<V8qjٿ`_x^%U]X$-S+I}1V/p\R%?|?I-iFZךSJ:5,[(#Dեg5Yr!~F)ZRw+ld.6GNVIHqQ62ګmW۩AQbmO|l}1gy<<&S歪26-@qN_Vc@86Xo,'~w~“* Ed1+o=a _ݴ''+"= #Lwk% :4r3/'}t.;ㅺ<_j1vOq]^^G`Ҵ<,.sRm&f-ϺUI9fE(ea]ᩪ\yTW Tpn-|i9prie0?O"vjgj2r endstream endobj 4209 0 obj << /Annots 4211 0 R /BleedBox [0 0 612 792] /Contents [4220 0 R 4216 0 R 4217 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28467 4218 0 R >> >> /Type /Page >> endobj 4210 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062941-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4211 0 obj [4210 0 R 4212 0 R 4213 0 R 4214 0 R 4215 0 R 4219 0 R] endobj 4212 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 125.6502 208.8492 136.6502] /Subtype /Link /Type /Annot >> endobj 4213 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 109.4503 195.1267 120.4503] /Subtype /Link /Type /Annot >> endobj 4214 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 93.2503 189.4397 104.2503] /Subtype /Link /Type /Annot >> endobj 4215 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 77.0504 198.9272 88.0504] /Subtype /Link /Type /Annot >> endobj 4216 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4217 0 obj << /Length 19 >> stream q /Iabc28467 Do Q endstream endobj 4218 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28468 20830 0 R /Gabc28469 20835 0 R >> /Font << /Fabc28470 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫%C;fũc8S.@˂r!swT+_P$&c QbEP"$oXS4GC߉b"Ks¯";%̕'I"fZĀ0dD/P|}{Y#rڻ3^JQRc7uoBl(Y|zǣ|"j@͠zfQJxpf%ehwm[;0Rccb>tD&r;Z:ɭU4sZ yp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4220 0 obj << /Filter /FlateDecode /Length 4178 >> stream x;nH ; X]j`9LUR?o$ەɄ,x-BY4?W*v} /,o.Z5}Qki p:G5~$'_X.v.ŴQ_>Y_#\/r ^Z;mӺIq{S֜F*pWDSۧތfYe~Z 3Q8F'}yZOkF&Nڼ<-'Eb<\d 7N7P 3y.L,d(X65xЗԙ =W4G̳j!+cS~Y&hL^Ș BDSNǵ(_C&*f B~>0Pui{I$ >F>&YS$12+0\ Z'LAtX;쨐IpD':"J%/"z P{$ .P#R:ׄq7=aSZ] `n$/je3+*p ٹ9e&hxZ9 Γ>neĺcATmpLIAhɌI02iiYP ȥj`tP3`Y6U0> ‚yΘ( }o'񓰐Xq\Lt܃M1ȅiK811xKq,>?]bs)MVlw$KW10E]HZM6+,õf NLIfY$?E+e UGUb1`ۑ7X4gq67J/ǭq?ōƇu=!8)ML1+N*991ڥY<t;q7C"g1;"QXW>`7:fRGN@zPlna5Њ#sY<&ٍ¬Z0P ]Ysu Y{SL'^6SEўzB9_LE@dE>H %\_wDS1W6}t[Rlhg|vV«zl݃=-F\Pۂ:R.M7"Wy >~>0W-=XK@>dJ5Dqqۏ\~0p5Ic٦F<șs7^pCp\`Z#L:nc#L~\ ^U?Ro n -wC`[=654'V302f0.Ni;,Pl:QCeTBO]iW<1a \@*I]ߍI%Bq =t~#6yE2x@0/ڀԇ 3`ϡ[m\m'  (Ff/?ի|KҾ.WSTZ=I2+k.-)2mR/ݯI"}KE5%7Iv4ӱ%mG~q?dӦNvF8K<$U*v4J<'su;NK-VgΣUV BNv]i\yEWUoF[qh{ NQSӊGHlxoқ4.wi=/qg;>^l䱶nwPG<%cFέ]p ڏhcVǩ7EY,hkektPz٭ʒɃs׀mKK5_lq7U’Z@lwL n}~V'YdgR5ލJq_:;u!iA/D {ip&l`#2-}[GC>⵻ǶS+պH2ViӅ y0f`+_wK= :gjӲ\.P8c1+KϭJftp]ԃ5f.7$.wZt%T%)zX>RS~pv]8 md2Dq/`NS %][l{xnxToqUhprv:]Cm(]mCUw97M[=MyC]BLJۮ7 >(GNӫV? U7i(S9NNսjo5{|[uAN4b*32f[S5\փOe[S9m)d^vsZ.5Am8;cw=R LxݑeO 2O~=@>羂+>{@V56⬤kdw 왽15F5SH߄x( CJ8Opws(2w2f}Ψ٦d9FsmC1eؕUPhN|Ul_ϭڜp4;.:In@  7`|7+ݕYS]8 ^S&܍ζn2q7ذ'[kwwlZʔ_&?X_ѧŗb--xn巿-kvx(ބhtt,vyc9UdzBɄ\cWL!J`Wt[x#DG2 ?>bYϩ"t>F{3= 5%B1@%m9j+HprBPszsLt"Nq*>@. 0!D.پ1ܭ._ԌG5uDh'MZYSwřҘ G:FB CktmN 3DG&դƃB7NuSWe&ԇ1[UCa9f5Sc!zzʢ/-BREhhnCXr nϿ0o ),xL!p^K*S]F(z>sM< k_@V<C1Hy/~_ @;9,KZm°.Xĝ"g\B`hhEvn 6Ø' [z]:c MXG*0}XV#/g;x|(;J|VL@c( g[ՇXuahɰ|}_f&p-%N\/&p ]}bMQu]eB5O.&'p+0d9IZ|j=u R| tr}tQKJ7yR#G%)ΞYSj-m52|cOꚰrC]~C2rԀ^ezLk C-(c\ `|&`YF(oq~y`*D\=Ft49԰WZH]Fa눦)ᒬLoĩ= ;SU=~`!eri|Y;Ҽum{G9/,c<'FY8ri:vd) &9΃&7!FUe;@r+ bn R.Fk;)կ@#%|ib%O?(ۖ$zNŕONta)qӋ롖Jm=6׸=8L}k(Ue=LYY,Y5x 8&G{Y21n\Rm& y8`-Cw2 Tع9Bȟ^ d|3|\[&Y&~m9g7xO 3G|8[yk^YwߐokLUɿ"`jXUL+1NsS/=}I:_* e{>ǡ+{^ JlQ~\h5h/^ endstream endobj 4221 0 obj << /Annots 4223 0 R /BleedBox [0 0 612 792] /Contents [4233 0 R 4229 0 R 4230 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28486 4231 0 R >> >> /Type /Page >> endobj 4222 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4223 0 obj [4222 0 R 4224 0 R 4225 0 R 4226 0 R 4227 0 R 4228 0 R 4232 0 R] endobj 4224 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 205.3787 709.9] /Subtype /Link /Type /Annot >> endobj 4225 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 185.6062 693.7] /Subtype /Link /Type /Annot >> endobj 4226 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 190.4132 677.5] /Subtype /Link /Type /Annot >> endobj 4227 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 207.3807 661.3] /Subtype /Link /Type /Annot >> endobj 4228 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 194.3402 645.1] /Subtype /Link /Type /Annot >> endobj 4229 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4230 0 obj << /Length 19 >> stream q /Iabc28486 Do Q endstream endobj 4231 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28487 20830 0 R /Gabc28488 20835 0 R >> /Font << /Fabc28489 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x]A ?RoI2ɭs.DAZ/zTЂ7ݩ-V &$y'IW??߰jfk> }0+PNii4]ocW5§C+~hUJ* ,CQގ*p% 4Ab<Y@Q 1B5dLdirS$y$CJhx&$gBDM>.PkPYuii*B9r7C[nj?=9M<ˡ|2ْԕȅ5~J.\[-:-uf\g[XLꣃGFx0$~Fxy /s"r,̡dXx<30́s@`K 7bNFzUqHBW!XN;2!3ϡ*mтGŶk endstream endobj 4232 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=306) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4233 0 obj << /Filter /FlateDecode /Length 1262 >> stream xڵWj,7+- ̀gYdg"d7qENUIb;MT%ԩ%guN#jߎA;OO0kO7>`^k ksio {kNE);xgm:t*r1ӊUZ|ӿBXĖs[)SP+P(} AŒ~#"|=CDX`S%v۪?^jv(E>'>?/?Ջ&|Yab5Ik{?Tī jK&5qjf Œ&> WMVgq"ޓ~3Z1db-Њݥ]6Se2f>oȫK eF].hݩ3~ۏ^ϴ:`xdhi,f"I}8@pY*6l_ 'k0eXL-Y%pW=$^7@'əM,~!Z'ͨ \E"0 [6NCS[͐U[j[jլ~t!][+2=)/i5*Um+UJ͸u,vWq55OxuNVү Ym@,ǸuA]3b3Xi;d WAf0pdkhj43uV/\ܤiKxjQjYxHe.h*Mb@IЊ4!Bˊ!RnW1V4J+3KSs|ËiBވ/+ŅhbaK)"5w9qt%k%- CXr5I̔M~ 63#*탿ag D\C8F\~ba5D83XwG}>;aC!KWHG[q.gT=l;inspX̭q̲yŀ%h!6[{x,.[.grUb$s6!H{Y-[Jike3'YBk!tg5f߮X>)iqi?^PR5I{{\ endstream endobj 4234 0 obj << /Annots 4236 0 R /BleedBox [0 0 612 792] /Contents [4242 0 R 4238 0 R 4239 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28505 4240 0 R >> >> /Type /Page >> endobj 4235 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4236 0 obj [4235 0 R 4237 0 R 4241 0 R] endobj 4237 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 305.625 137.278 316.625] /Subtype /Link /Type /Annot >> endobj 4238 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4239 0 obj << /Length 19 >> stream q /Iabc28505 Do Q endstream endobj 4240 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28506 20830 0 R /Gabc28507 20835 0 R >> /Font << /Fabc28508 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pѐӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔfa%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?7 endstream endobj 4241 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=307) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4242 0 obj << /Filter /FlateDecode /Length 4691 >> stream x\KWyu& 0ҌY999 e~Wwk$^j|UMRL+-v6<3?eY}M?N:ɚ}ScrǷoZ8ʓV'ckc- ԇzgz7PyO=N>yz>q;NA`÷idys\2Y;|[D3ge߅f&3ӅyY⧰I"T' DJ2N\im93=eyp6@,(aZIR1t@%dqO\n P.Ȏ 8xQIgԩt*uS4M4;KWD2~Gn2 6HH9A ŀ `@XXhiu[:h`&eB+6yW}mrh S6N_9 ǘ:$H=2 s 7_~ ;<,Y3= m3k˨~^46= o0w`< , t@&Zev6Vy!(S)}zn2bCV3w c0*#j͈$K.3ܨ"ǎ*Cʑ3]f<f8 Ձ8v | V}I[&BapE(*4G,϶0m3؄a6r_PC_9(a]0G{F.>ս|sqjg퉆B 蝴q}Y"Ѥ.(Aݧ\U\5Ogh/NXnO ^Mٌ$,˄Y+=Qj&S 2ixI%jsc·fJLQ- A"#! _6/Kf}hlMxNwy,Ɖ6L`~7z^ى``Fc²f8XP9"|'e] PuM*o;4zsU}=hugj n.58Co, xR_U8]_ oX/ϻ"~Jt#vS,(L:}r=^'#y> s yBo~oP_b_ $7z_G/A yZS6m.v@ u'[5Tz+d/́H԰L{kb~PhB~?S)j ^?`ND:RQ @4\q0n 531DPƄuաd*eL5z'z#ja^91q뒗vTy =ԫҪZ(SV8]'BC80QYRgcffX$C 굣=o)Ȍ ۋHh -lFQ7IVsA{Lieɡ7!t~;W7}kF Y`>2Ζ,c;ۇ.s$.%KJs,>I3AyvsF42+j츧Y Iӣ佪=6{dج(FA*U>YQ j.Vv:fL!,c 5w4j|GS''ܴ8$UN]^uDְ"bqv= 6ihʳ].sc= LaQڴՋA2Ylz2{Xz(V3UdV->v@jW@}wZl6͆ ѧ*pzWRXՊ'uҽpt^58N[58{; \Y2F"2#n{NHG 4Y2&88ud.L=v'Z"+CNj/n8uD~Qd+:ٛ N UnPjw0?} `PJ:c@]{P?Pw+S nѤz ?zWA84Gp3.ȏ", & z_{ ΊI ƭ#z߄Wk;,4[` >\9-up<)r(i}D䲞b]=emC7f /vTN8rQx=+9^XC{Lĝ?voVr>=ywɗȇ>L׎v^|B*RW4Qb@G$PMD2P.@L{9oe׮ +!CG;eD.BY2bwy: aHЮjNUY61ZwY/c.i(₴ZmzQޢ`0Q0z"UCVveS( BSg)"!5Hh%]k %?lڊP/"2ETkm8xaw)Wgj`jM4?{cpc9#^jl5+F*-"8ţL;Îomof 5 _Dr\obk)\) ]ܮV\9OIUjBwI7K0::6^uu6W`‚b/I4,E]8!:gqpN>Jֹ:Z' i=utyq{^&Ẻ7\.ͼ48䤃ژm<7{>fN-R͡.NV[6;jmg ݷޏvhYӝ\9/pwc^=E,?F6S&kٷƍ] [Ljfeߞ;9Ȩ֨YE^{Du렄/m,s0qH#,Il/ j7!{0[/H~jJ}y86-DS&Ur/wn9|w5Ե` Z+`O(5{{ϵ;W} 򧴴 "Bn|}YLENyXar=d0{ x5jDF+6a>&]SU'~8<#-q:N4b| gMkgEr-ѳÞIMp8HQf"Օ2a#N  oqԫxv EJ3%>Z x1 g%g//I$▴#-Q$nKD3AuYM֮dȁ-K-jg\b ,Z xzjCemeGש7ۮ7sу!,'> 6۾^LA}JtLZ9-nvڏڎ;nbc s6Ttv?ם7d#g9ys.e/7/ܴtO(׳R$xLCHaN] 0)@y6N"-BDJٶKr]m q` KK݇"`?ӥ A>h] G.kwQ : q l[$뻋LrE_fGu=qG/X-z[Yzܳ\EնL&Y C\ endstream endobj 4243 0 obj << /Annots 4245 0 R /BleedBox [0 0 612 792] /Contents [4253 0 R 4249 0 R 4250 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28524 4251 0 R >> >> /Type /Page >> endobj 4244 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4245 0 obj [4244 0 R 4246 0 R 4247 0 R 4248 0 R 4252 0 R] endobj 4246 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 119.9502 199.3617 130.9502] /Subtype /Link /Type /Annot >> endobj 4247 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 103.7502 195.1267 114.7502] /Subtype /Link /Type /Annot >> endobj 4248 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 87.5503 189.4397 98.5503] /Subtype /Link /Type /Annot >> endobj 4249 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4250 0 obj << /Length 19 >> stream q /Iabc28524 Do Q endstream endobj 4251 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28525 20830 0 R /Gabc28526 20835 0 R >> /Font << /Fabc28527 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pQNЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>` endstream endobj 4252 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=308) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4253 0 obj << /Filter /FlateDecode /Length 4964 >> stream x<Ɏ$7vw~E$̬@7y aSA66.pRdpy|.-/ߖgbRߞbۘ6z&.ߖqTx'c3&'0r+ xV 9//x`[ qv|:/Ɨjlq9lۥU>ds _Swַ (| v2:i"Boǧ w&@@Xp^syn,:%_B2膓E{ lD+i RNSN&h{L<@ _aG8MÆ7<7]nvgnꒋA]4$#.(')P8X#̦_!h"hmsT}M㰡Y0,vUel+pl"!fz;5i|DA(Bt/[.? КeSP>dغ#ɉ#dA 1S4d=NE^"LZdN[}@K? [X.E`MA,&70kfAӠQ#V"CF6$ZDt;fZ}M:U2`?V_Ձ~nKf 7׹Ҋ9 Q}bI-D nݽlQh ܽq*~;2i#6 pS5k2W`{bz$Հa( AƆ)h.K5CԖjG! h@r{,SS"ǝPAK9ۊlhZuvi$g5;4{-IF;6]OژkU\HDТ%>4:0#S2M9\2ARU\fJbݽ1t60=x=N/g%IۙvҰ5Ў!:]lB]y[}ֵlfP݄FDGĻ 鉧"{mvguG+DZd[g$z~( SCMMڹ)]\8F똅"1Z}G1۲:6D[O"qƎ'vl:; .?HA!>aP9-JWQjmO{C1l 6>N!/1 4gժk>Eo qqF.ͽɝ ݸ}ua:g^o,vZA?;qqIAiKlg1UKqBpIQ g@`zAv@x|,Fݵ@_ZXmr4X3.r,< >px4*v LjLK@<(e 7.@%UZrMD:@6jgsP N3|pVXXӎ]/jWkO! N SaK&N |:;:)`tE}Cא.3t8#+P4st$`)6E)T{봧 6.c-r*X2&(US47؃9[1djPTq"xA `B! GO 0/ |`0HPciRo鑀G#0$3ʲ+< 芫#V -_Iz@6>y-tZ 0xJ%[u MQ 2#Iq!&h//0kx 6ay_R}yt^Qv T*zq\a" q MN`2XLԿqdž@EGQcI{(Ң !Gm!ZsSyo ;JxԔCpi"k4mSF5Z.>#>jX<}g\ >hӀg1L%FUL3h|ҊY9Ț綕.9B@wr8.(/oӣznpavgI6.4]PHeEV=q{\rFW=k 6:  yƛ{;k=aֈߠ!K!_ QwBJ=omTiVQw40Y3'ʦoy^gh\wAnsF` $/}Ra yXj|s`l 8JE)qo bJ{.+M InMmjoT_8A{{ {aFuY34籧C İPЏ+9X< 6$C42xLۮt{wsky%SN_n,a;6 qښl1A0FW(] n,EphPG#<Mޭ{ d9 S}Vp>,:$Uv:eӻ+m;smѝMT>\|Y}m@u?"vĆ~ ~b{-&3rfH!'FiCZ!dNqL1((+?vs}EWa= CĈo; nkS!I4ߑâT*~9N"׬PQ艫qϞO+'>ك._{G ;`;&0#kV?PE6J/<R$wP1l6 V~l'y5".?_]gb, "";y?\ݙx[K3H7ь27_|;m9b&Kc1C~2@cbJ{?5NWoug_TF2w.Xu5>)`" :ZSy+D,[\ɉo` _~DG~guzg l!C>l\U *| Ϩ!JuKux3v,7W񲓶S` =oi+dEX vTzߕ)ⶴNNv^fM W/|^|5苵iJ`LTζ x^q:: QQkfOsĩj˩T5sl2X)Qp%}}BtPf>3m X:ùidY Vxp,_5^\3^hi"-ό*Ƃk[oFkJXZFz:5Kk4%XBZXA4<@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28543 4266 0 R >> >> /Type /Page >> endobj 4255 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4256 0 obj [4255 0 R 4257 0 R 4258 0 R 4259 0 R 4260 0 R 4261 0 R 4262 0 R 4263 0 R 4267 0 R] endobj 4257 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 198.9272 709.9] /Subtype /Link /Type /Annot >> endobj 4258 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 205.3787 693.7] /Subtype /Link /Type /Annot >> endobj 4259 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 214.8662 677.5] /Subtype /Link /Type /Annot >> endobj 4260 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 195.0937 661.3] /Subtype /Link /Type /Annot >> endobj 4261 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 199.9007 645.1] /Subtype /Link /Type /Annot >> endobj 4262 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 617.9 216.8682 628.9] /Subtype /Link /Type /Annot >> endobj 4263 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 601.7 203.8277 612.7] /Subtype /Link /Type /Annot >> endobj 4264 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4265 0 obj << /Length 19 >> stream q /Iabc28543 Do Q endstream endobj 4266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28544 20830 0 R /Gabc28545 20835 0 R >> /Font << /Fabc28546 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pѨЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 4267 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=309) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4268 0 obj << /Filter /FlateDecode /Length 1309 >> stream xڭXn#7+xM0d됛999CjcfmM;mw"W_uks:E]6Y}vGsҧXt55%}|.>..7M.PݢyshKe9CK]teCE -V9xP{ {Ժ SE蹙gpzZgǽx%PQCw1u C)"3cf1P?lQmQDNGzϔ/}r@&~H_ Q=eMe]ef|qF='>o՛&|Qab5IM?T{LތĒɴ!kl-X6)|B0duǂ>l•i3l 8S" +nޝ.W@S*==hgpi e(щ Ýe l,f.vyn脟 T遧^=i1p{W}[p<8x^Zߤ @ ݅l9'vwHw04U(w,uFFA$8;Fy[`> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28562 4275 0 R >> >> /Type /Page >> endobj 4270 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4271 0 obj [4270 0 R 4272 0 R 4276 0 R] endobj 4272 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 369.525 137.278 380.525] /Subtype /Link /Type /Annot >> endobj 4273 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4274 0 obj << /Length 19 >> stream q /Iabc28562 Do Q endstream endobj 4275 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28563 20830 0 R /Gabc28564 20835 0 R >> /Font << /Fabc28565 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4277 0 obj << /Filter /FlateDecode /Length 4554 >> stream xڵ\K9W<@-P(^÷k)?v˺5.*6 z0 ?㞡`8xWjlvX|uB:h@(6CMJ["iKPNm?g.:o|FgDmies԰.)9;r#tcFe7 DůrI[cl_/ <ش͙YET-4PKcm@>c0G~[|ȿc[nu fdoQ״(Mlʶ[mM^c_BOXzdO,&4jѮz0ޏh5)֩N^~:['-5@MFPDUuՃ"c]~E;VzAwCbPOk,vm;S;~6 [X}Um]ܫ r)}d8-bUu_G~46E:f++;?6[j/j=tTcel+M\ОSXr F}d?Wow}]0$+'Te.cRub4޹!sTcťDvIyI' r2v">M(JJmi6lBڌuF16 lQ0b@Nv,6HppGnxy¨,ӡEKX{GuyoQ[pz@q.2.cZ9PTuԷӍ@ ,+,, }n``Q1P}HVfjlrvzWWv&Xkq= z.+mpRlNje8N38Ozh~ T'ImH'u_Vπ9p}pRm] }as+z)v-|>RR@ʉT3u0P`}TZ oˑ?[gt>~ Q>=?<,CL'<1˳CfynT ΰGM#-gPaBU94(y,!{43ΚtSŌ ce[O,6B;15mƧ 9ZQd3/"$6I`hɜrp :66ص&X1*GMc˟IF|0ڍd rn&zH|ד"YS;CVB΀}#NTMO[VX{]WaK{ ]^t+Д Cɔ.UX49Odiс6 |ڐH gr6A$ WhDF[{=j^72-~vk[U詶nL~ ` Ky͖4tdmJEأ;]_nn =!' #TÂ$X\3[h//60h‘uuTV VGkۂ1$8خig2 Nfjg= 'rਫ਼uNPLf(3!4v&Sm`:uSp4 !s嘎L*Kqm॓_a'Ib\V@_ay u)UZݰܹp.ssfUl(Qv1wVFb Gx CD~ K)XqR&?`G\k7#RyO ig٦F(u޶lȹ s1y7INFa>ݲ Ojky3ȭ:Ŏl?2ٶ0w2~okI?ČK<NBs.ǛUQS\[Qv gZѴFY4m"&ҬÆEL;&K>[tpsw=.jۘMWYS쓇Ыٌ}a["mHSUu+=xA] WT<^gH]S\]l"Һlj+i?c xGމW@rT6Y9u7Y=߾ PC@q]@dOpU0:V+G6]Il*e;Ǩn k-̮F;@ 84Hy؛r.o$]@0uu@ώ3gגrFe[]` y`&ryE5=v@|{QW +W:W{;-Iy~`R{TmPbG4a~19}*ӵ (̗xň%V:w:)cKktZM 6|`)Vn<؅X<.IASh01b&<㰬d@ U7st2{@9cKyzRie*9<\9Ws>W[O0ϭzW-EZ`GvOc!.΍D9+?Bhic(sѓ)2c\2NzCi_o8qxk0zJ@< |iȌm&b.@Vn rrKl(Kea;n:C E1X4U`F 1֕bZ#ys\RGzYn>^`Vآt3=] j55eZg'dҹ5ҁQ쑯3*hv1/oEJ{b vi 'yTXg#Sc O ΅|jUJo{wY3_anhr701ޥϾ 2г}:\xNa߸`D@y`fOy!ϖϏ[.*z'SYFƦ(?ÇCETfm7+| :z֯Ƕt3J;U~}d]*zbNybR5^֋'bO)|>ԑ,wQ~ N$[G4j4 endstream endobj 4278 0 obj << /Annots [4279 0 R 4283 0 R] /BleedBox [0 0 612 792] /Contents [4284 0 R 4280 0 R 4281 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28581 4282 0 R >> >> /Type /Page >> endobj 4279 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4280 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4281 0 obj << /Length 19 >> stream q /Iabc28581 Do Q endstream endobj 4282 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28582 20830 0 R /Gabc28583 20835 0 R >> /Font << /Fabc28584 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0yE;!%]vhw%Tsh8r-ZݛQ endstream endobj 4283 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=311) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4284 0 obj << /Filter /FlateDecode /Length 3694 >> stream xڽ[IcWl@4h4ed 7 Ԏ=<-Rwkc{|Ūb-_9wiJׯ32x o":i|ujt/_ߟYWxvpYC])XLB˗_`1k}ZSB R8÷ sc޳|weN s:F&_~!4s@'cFKm)22iLM4T9Ezflک\)Z ⓯o^Y-}r%ɑ=ayE/0U#nYp: އ#3` %.Sj FJUՕXP(}2ꈠDn˳6ceN|U֍)CaMd` YMsѸ<6v$}]8k{ؤ7=Ϙx|}9LiDؓpk(t뢷reO}Pp3RylDY`FqjhZpPP=^Џye*l6ΐbQ`ӓ)qο2[Ϫug:/t1 ˅C0ǧ@LR@UigA),k촌Bv8/A0ݖSSV9`BVSف ߧ=m*Ȇs&δ&\˴-$8L,3l& UϕTF5θsU^Xj {;8yĄJX^nUen=uf>?Rÿk@k@DDWT*8^h ًS½NSYW1sDf0 #x[TP]_p˃Xp7Ā\".ES}'&5ٮ(hdvV㏓X\ UxxӀ6ОL0ex oL<  B?Vl֍H NݪA4pxL{Sk%jQ,o:$Z 5kZ$p$I.j nN].S@KSlM`7T wRHz(i}aQ͏ĵٓ-6 9(&[dӫ&lz&Ou-fupݍ6j'T*&m$ff,/uΞpWrĝjC Ǵ2"hf?bWKf~Yx4tr_* )Eרwj9W~3 5rtbbtCKg&b p5`%pi 6ӨD  sT.FccctZ[_0/Qz]:s[TI (~CpǤ<7%g8 \[c(#SQ%< Q{޶ iSūMwʖi&łt ȭ7De83 r'6q)sn n$Ӊ+#9 \xh6{VgvbM#wO|H-1D@ i-K^\LMkMCy_ǡ3MkJh4tjtu}RXT[*'ߖtav0T:;gH>%˃EҭA>w;cj'~ʥ+8gSt' .K*َ4K>'~ΗmGX̞g>ѣAT~ w%l5OM endstream endobj 4285 0 obj << /Annots 4287 0 R /BleedBox [0 0 612 792] /Contents [4302 0 R 4298 0 R 4299 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28600 4300 0 R >> >> /Type /Page >> endobj 4286 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4287 0 obj [4286 0 R 4288 0 R 4289 0 R 4290 0 R 4291 0 R 4292 0 R 4293 0 R 4294 0 R 4295 0 R 4296 0 R 4297 0 R 4301 0 R] endobj 4288 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 497.5 197.6017 508.5] /Subtype /Link /Type /Annot >> endobj 4289 0 obj << /A << /D (unique_38) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 481.3 190.1767 492.3] /Subtype /Link /Type /Annot >> endobj 4290 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 465.1 192.6352 476.1] /Subtype /Link /Type /Annot >> endobj 4291 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 448.8999 177.7632 459.8999] /Subtype /Link /Type /Annot >> endobj 4292 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 432.6999 166.3397 443.6999] /Subtype /Link /Type /Annot >> endobj 4293 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 416.4999 205.2137 427.4999] /Subtype /Link /Type /Annot >> endobj 4294 0 obj << /A << /D (unique_330) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 400.2999 192.3547 411.2999] /Subtype /Link /Type /Annot >> endobj 4295 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 384.0999 180.9312 395.0999] /Subtype /Link /Type /Annot >> endobj 4296 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 367.8999 165.4707 378.8999] /Subtype /Link /Type /Annot >> endobj 4297 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20211013063105-08'00') /Rect [104.1732 351.6999 168.2427 362.6999] /Subtype /Link /Type /Annot >> endobj 4298 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4299 0 obj << /Length 19 >> stream q /Iabc28600 Do Q endstream endobj 4300 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28601 20830 0 R /Gabc28602 20835 0 R >> /Font << /Fabc28603 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMoA +.؞J= P"!TqHJ Ŀdziw){Y2zY8lo0<ݏ } |;Me0O]]nV߃χv͇׳Sp<@Bswtyѝox4S0rdd5F *c*h;ɘҔHr$SBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6dh߄}j]֠zf=2! 3ϡ*mтoGն_ endstream endobj 4301 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=312) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4302 0 obj << /Filter /FlateDecode /Length 2307 >> stream xڵYKW𼀴|`@n4CNf'e~zPrݙ@-HV} YE[7[idGO$aK8΁}fs.x J{36NƠIgp[?m89W̫L B M;S?ͲMepZ崷a'fh_D;W6`HA`{} ;aO}#C̓WT>#!C\΢ 佉c5H6!4G ɚ4L#B+B!YİPYdvY,¾ȳS^t΍'4Å2V3T >f!-|+k'D-14*h3;-dnV Y谔"ʇkQ*fШ.dc_1+q,N*pɲMٽuze<4:+*іE $Z]3 ?0ƦXyw^0`ƺPd1W9i\9~p76hpq>}!V XZG&=< ,p24~cU?`Udb#|=6wF;^<eTZ\(WB?x`&aہȢ#zAsi>XIhd X+C85LPF ^(\۞xl {؝_4Χ@w݈p+PDxF69f󺳴$xZawȾrźmK40M= %DJ#? *S-rl!a,Ѐf'FfN2)sf:>J\}\IgM0)P`6͕o, 5 @" ^`u ]J$񃈮+8V,~nk޴ޥFcSv}[ Y֝h3c0֍8> |oi?MglKp ZU}Z 4(0e`: %8֗l/?b_ʔȣVYPo(q">^o'q )Q 3^]Mf?)щe.+f~2Ty>^բX,tگ64P}, M?6:,Qympo#7oۇW5x 25ͻVS?8{nWnLeZ^͎&I7=7#z;\cgY1̍Ů_fQvnS#MWb72օ>|W)+Xcb7Ji±v!GtTYhRBش&!y,EZ[`l,yD )8M-e+R:RψTFnd4bQBy%_ß/^^J2¦<@on:'j̲@I6@!Rff߱4d4:"Zv#ɚ{"c^v%±M^5ͱxQ+dnfxMCGm>\)0,FyXּj_)u:{i* )(FT~6TP~)XVP@SXbtm-\=Pk م!o+yvMlܔV ٬^.'U2RHF@GN`LݲF=W?t_&pS},S=НD{Q/l|a SMcOWh,mHKMqͩ𽅌A6Nzѿ Δcy,Oz&ҳVu&1`6`=vyP4σC᎗'xx9AA XYi3=6*df(0%ǭ|QX}iv|E#srrՠ,I')-|$d.ń(Щ.WfHN"'3¦qJN ~R(QC endstream endobj 4303 0 obj << /Annots 4305 0 R /BleedBox [0 0 612 792] /Contents [4311 0 R 4307 0 R 4308 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28619 4309 0 R >> >> /Type /Page >> endobj 4304 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4305 0 obj [4304 0 R 4306 0 R 4310 0 R] endobj 4306 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [90 369.525 146.6555 380.525] /Subtype /Link /Type /Annot >> endobj 4307 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4308 0 obj << /Length 19 >> stream q /Iabc28619 Do Q endstream endobj 4309 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28620 20830 0 R /Gabc28621 20835 0 R >> /Font << /Fabc28622 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7f&thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM$l endstream endobj 4310 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=313) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4311 0 obj << /Filter /FlateDecode /Length 4137 >> stream xڵ\Ko#W:|0 Xfc EN`?Ւ'lX__uɳ:=[2۟jv YG_Շ)~y׿=ң9o10׷PGz;r,٥_ҵQ(?/E}bvNhB{ 4?B/Liᓙ>%Y鿄fw%%d[˔M,b39 =;BHI8g:bޣm^8Zc(+3=!a%uL },㏏ ؎L apv -SJ΃E(jC2 lGD?-!Z|۟  OYc&_4RЂ(yZD`Ma69d~zO1'~ p|:һ xy\Q~@Ӓ0RyF&l¹l+U1?\>Cpg};Bz|mT.f]sak]ȵdIxvn"l]hb}#y)K1(vxosiZKvJ`g`NfNq;z(6LM ,w¢Wb}p;ܨ,yKX W*HG@ OLWp5S 8W) qZY OVfXZ0ɜQlL醺n ]rG-H*wTq[B@7ـA͋7ۇd\&FZ%໣@2FB[3: UpZ/:T=τeE՚v-'GW<<X`GDŽ4yGQ`aG(+go}{$ϋ5Gey,T P;Ug܆i_^vXN3wZ^ki9cpDE%-0H{=mM :G|>3!ÝG1KT$lB7(6nU_Q۾)kgn} /FPWGdTk}lQz׼VJ.& &;7л>)j̱N 9/C, n64ׄ%)5CO{eY ;TAn 2-5 Ϊem%+G&!H/6{le *vSR-Ͷ0'"qqT9 _z26Lh8`@C TB眡*35$띨E &.%BXiphy^m|ZEJ^RnLZŃ0gmTg0!ɦØ93,%cI Qf@cdE$PӭՖHzγFQy/Miܙʒco0B#Y/`?ۆ3@|@eY'+.72U# MSxo+2H96NY\JdT| gLc ӄbDԚf&$LΒjatYklc6=$U4d}@2ՀM>ZsK;'ztdvM/BX2ZgTg:j1UMdM2%v1T5@,  ,,\ }`6`Q]:1>QyVOVJjlJWrtU2l< ;8)w''T8]Vp[ɭ>~/'pR#gIupҟ'>8OZM>Njc?'5Dπ9puN8WC =/"bYǜǂ^Vrp>#szq@ ~5 }m#Ӹ+qOSx]yɓ AOx֠~ǣmY,Wj$jʶ26~R]ʹR>cٔ4 9Nd*?91DVwo=޹sۛ7;`ulzh!03#E9ɉ*/9Exm(W"`$A8;t+1#Jǖ4UIz!l-|'?Î*{9LMțdsoz%i2n1mz8 _zAXT0-߉d%g,U!|hj}33Mq?I,)cA\O4d-wiꉏ,^!)zIو:e~5t=b[_>ӳ#as5ʚ+67 \K.ED(mOu Ϯ櫋vZ$Z>@ GDZ@F3Qᔃ@uƓ$: 㕝{%np-.\p,lduɳ ~e0h8$N[N9v+)BPʞL1=?4Œc#z, N*޼ tnp KŲGA8 - f0BYpf(tQocyZjӟV42+(t*暋Se,N~9G|&OU D 6!N1#*x$8 4D>8)G<7 ;7t9̊rXm=NP~xSFn/X'ԕC-/|3A+YMS~=E<څWoI'M00:Ok9tSKt3iX&3 ]&+RHIZx(%e6-n҂Gjl2yL>& 0nYrB< `h32"ґ* 풺L沖͹:\yO|`^<2ԙ[EZ`Gvwm!NDg9`T=IO\ F;tGZL$m?&]]OpH{Yoܑhܪ#]LtTaBDvn ax˾"r?bqL,k.I޲0 g>QƠ ,0W&ɛ9ǔX?`Rn>^O`|^k]*>bZ2iI,▴s#- Yi%ךSJ:5,[(#Dեg5Yr!F)[Rw+l /9V&#Eպ&hSSh]eoEcݷxl1e<fq}Ua4n{z/[~cfLkv TÃ8lw76e C-r!$eAp0$^a]}Y 0z\{2Ox噘ߟpl~,r;h+}Qק+=  /6?`923O|( *KyݎFuD\.(G V ݯro{RWy+=dꗐDQ|jVi endstream endobj 4312 0 obj << /Annots 4314 0 R /BleedBox [0 0 612 792] /Contents [4326 0 R 4322 0 R 4323 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28638 4324 0 R >> >> /Type /Page >> endobj 4313 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4314 0 obj [4313 0 R 4315 0 R 4316 0 R 4317 0 R 4318 0 R 4319 0 R 4320 0 R 4321 0 R 4325 0 R] endobj 4315 0 obj << /A << /D (unique_508) /S /GoTo >> /Border [0 0 0] /Contents (delete_interface) /M (D:20211013063105-08'00') /Rect [104.1732 546.9 181.9597 557.9] /Subtype /Link /Type /Annot >> endobj 4316 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 530.6999 159.6022 541.6999] /Subtype /Link /Type /Annot >> endobj 4317 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [104.1732 514.4999 204.4272 525.4999] /Subtype /Link /Type /Annot >> endobj 4318 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20211013063105-08'00') /Rect [104.1732 498.2999 159.5252 509.2999] /Subtype /Link /Type /Annot >> endobj 4319 0 obj << /A << /D (unique_511) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20211013063105-08'00') /Rect [104.1732 482.0999 165.6192 493.0999] /Subtype /Link /Type /Annot >> endobj 4320 0 obj << /A << /D (unique_513) /S /GoTo >> /Border [0 0 0] /Contents (set_package_pin_val) /M (D:20211013063105-08'00') /Rect [104.1732 465.8999 201.7377 476.8999] /Subtype /Link /Type /Annot >> endobj 4321 0 obj << /A << /D (unique_514) /S /GoTo >> /Border [0 0 0] /Contents (split_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [104.1732 449.6999 198.9382 460.6999] /Subtype /Link /Type /Annot >> endobj 4322 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4323 0 obj << /Length 19 >> stream q /Iabc28638 Do Q endstream endobj 4324 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28639 20830 0 R /Gabc28640 20835 0 R >> /Font << /Fabc28641 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x~?va,6}{`B V&́2hނ׮nwwk>6W^vqW/ jc(Jrt~ƣ1H[&#`6P\PS)4ECIDE+%̕')gXk9d Rɐى%@}}yԬ9*{RvaqP?@A fgя'~'DS=xi*J9iK[ZNߖj?ɞӦ+<ʡ|72ْԑȅ5\Zu8>϶ff^dFxy /s"r,̡dXx<30́s@`K 7bNFzqHB>W!K\|5tweB2Fsh8J}-Zݛ* endstream endobj 4325 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=314) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4326 0 obj << /Filter /FlateDecode /Length 1724 >> stream xXIo7W` `b-:E!/}g8I1"֏?aQO9,?V~9}z\l YSs Aڴ6F(-|G=oo1ޛJ.ImeIThCsm6AiF;DN ÁiF7sm?/ښ"Dzϟ! :4.?;d2!k!lN@J A{}ze[P?P%963[>9źeS+$@rD#b'ZC'S|Q]˞^^ 0{J <. q ud0^;3.UȒgXV BPEoN➇S\F/~]կ}U7`_ VL s­0(ZDcNG!m9X/b_QBZSp֜*k9BG^'W^K08q5Pc4)t\ !`9eSƩo羽bu|dkOV*,ô!eY#GS.vxr6QGkJ@bk)]0{Բ&-Tn$&U ,#ľpJ؄+o?SJK׊MģXC,98rByŻ<M-:lXd[*Ft4-v6M"Y GdJ.ֵ %" H7V$: ;u[+MK-W0`ffMYsnu1G}"Bpt3I|03 s&h}W )aZp&0_Tϲٝq=ڣdIUU^*@Gљ6y #E ee4ZިF^nx%rD?J6M-CK/[nlDKY--)]P/( S |89o.%n@ZVҜ0)E^*iKɓA^9R}\qts/YU6ջ[}á$ / ț[k-;u֋X xӄ"c ,_f+ozV=2+}1Ǒ>#7k(֗'i8C_0<=ǻ{M |W t5;DNm`~C'(Wv~tK8'o 񥉤&&4vXeѶ%M=j2, '< ]l=pE"/-Esd{=bZw$ ӑQ_ endstream endobj 4327 0 obj << /Annots 4329 0 R /BleedBox [0 0 612 792] /Contents [4335 0 R 4331 0 R 4332 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28657 4333 0 R >> >> /Type /Page >> endobj 4328 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4329 0 obj [4328 0 R 4330 0 R 4334 0 R] endobj 4330 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [90 209.525 123.198 220.525] /Subtype /Link /Type /Annot >> endobj 4331 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4332 0 obj << /Length 19 >> stream q /Iabc28657 Do Q endstream endobj 4333 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28658 20830 0 R /Gabc28659 20835 0 R >> /Font << /Fabc28660 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)i+*5;x77Z fc}Lh < ӄ9p: 橷൫CfŮc8TRQ 6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~uQF䴫3^JمQQ@;b)^G?+QO T>Tă;6TriK[ZNߖj?+=ʡ|72ْԑȅ5\Zu8>϶f5o#x#0$ d_ EXBYB Ȱ)xp´!bd,98`$8F$!īXN> tweBC (cμs0I NЋm߽?Z endstream endobj 4334 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=315) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4335 0 obj << /Filter /FlateDecode /Length 4977 >> stream x=ˎ츭{}˒ 4Mr,:E*fטc)OÏA ;/z9D&; Am^jf9AJp6M̦Q4W_N^R?8o7hCNz&i⣅AnQQũ=8# As5nR/0-S􏃁j'根-upt8kF=ǽ?xHfE" ~#р;"~32;jC`-T'ʈVƙ %f$ç8դ?NaLi`P,/f7Ḍi pX* Gc;)<8@j~E1?ډL @=Oy'jP4KLzҹBLR? Z ~? %frHIf* lzֽs9&  9ʱ`ztj?|o0ӛøq0>}1t9fjF]$ NK vz#b3!&'c8ʃep (gXO ud(=0W.@5g"FH3ݯ]'~Gvn7^-5=5IJnK9wt3Qfgܐ ?tq*N[eOIdV$^#Z%zPV8(r;3r UNz4G꧙߄+&5kX234FZ8G8 W `uL^:ZEM0q][ayt+0OFBF첈s7ݭ|F}&cs6#\42[`B}Mii<&ݔ&cdR)P(4*y ҔDEDU*#(aWZ0C0RjT>?"=z0p&۹M{]]]#=k gZJJ¨--; D-эQlN7vK,RkuwIQ̢kvaVML쥶=kgLkz#􉑾yyw0" ' @^ :QPӘp!BV {]qGgܱ;sNYVp#Y zkc*KٸZsC?3c« oTk|dϾ2}BoPC]:j(0jeujTg '4e_fmiG}W&G6UR]8]|e.2Ta2 J|^~X%&T!}YVG6ʰ(QwnlAퟨF;~fU6KZpY6g1q13G@"m"co~/tÚcx)\HsIOS_dzw !FڕRNҲpi࢛)݋F6%ʬ*Gdw$V XKHJH:iZw+xky;%gYyiz+;Ё;&~R2[m\HG\wfי+o !:y3 agQ Nq1cݒ:8,7b$m>uwuu y-D}'SxsGrwmZ;8͝-U^=afwr9qQfgaLE!A-WKR#'t2Qt(72'tr aOzߥvK@Ob<G9R4<4ɈƖ ~Pѿr1htx+g 'hlȓ lm(˖=NU? YZc MPp8 %ZmG9\U Ƴ&(2M $l lB#K [V4^;`5ru$_MRJ]"DY!cZ3q3.W[XgG&ck+Rs T6ML}av-jn=kP:"R7ͤ.υ*5< È.Of3J=  +f^ZFKR5]"#&;dDmDž] v}i df3-U%hؤ>u&؇H0<ѵ<6( vfTtU-9| id[O @ #f ,@GzRp˜e&@`&XesMQO6CUoFҚ\ҥY VmAs3Y.q%ٚEUA,֠M7+IMEl+E"MWtV4T s߅m)90wZ`I\xvԜ oD {"I_92M1QaNG|V8(c;Q2'mcNcW$-Ns*b[s=as*CT&s*ZE'ӋNB): 攴Jă$6pmti!:^tnNNÝys&:]iN;膬[SaNwN}˜˜Ӆ_eO_t.@ˤ|AW8Τ| &%LJl#6)q6qIs+MJl#n1)G{fۨđ$~|GJI1*3ɷۨ$n|JI1*q,w٨ı$eǒ|>SzftyeT֨2e{[3]7gλF%nʜJ\9>s+O4o}=z8=V~)_i#i0*F Vt!UF$[#+#R ٹƐtz 9;hLbE1?7Z R%y"qkf"S˺n*t\mB+.g!!{~2wεqb^}E3X^P÷5)/O*ѻ\ VͩQ,^J(3 ¯j#T@E5*(KDK6kc%[Tq| "G,O\)-@Pu 'bPwj8 k8L)=cx尳xT\ [jFɢf"B법-\ I%2[e3ҙ[:v֍ˌe-հJȹPgΥ7[CwY_R\QTUK[!9M.( `<1l(6F{h={B]L' j!-Q p͌}܃\u ZWr2A]iM@կ ?/NMg"m[6 O/{lGP#ȩ -{.̅MhCV~nuyykE#eP\c;ؗ%bGBRvZ7E~`p]7^~]XeM ObhT%[jhOڃceXd¾BĶ({װU 幭=U,R?;EF Ь+ L C!;,zjW (8M$2!/J]h4ѪqҶE˟Y9V}l+H~{2`jpoaQ]K!' }0@,^úlw]V(uql[Lcܶ,Q|T)Jo áe ]ѦG􂮇G?3zLz^Uܚ6Ö)3rN!RoV<]O^,~s$.Aq3>ӄMS=fB6Si eq"jA'z+⎭ms75nK%~K\Ԃ}mŠ=E 8[j]" 2Oѓ8-8 &$^|hRvoz]fwkn3MKG%Rw0r0$˄e\ODnF%9HNVA 91(g3 RI X݁ ɰ,;;bLQH Xyy)%z3jJQq7\P>qT򔢏W#TC?36;f.$k="L!8S}+ !@#cMNGL(>炐ޒa89ȬDx5W'&#G_p.![ Ԝi)rGwT"t xa©泄}_O(2mk\2 D'Hy`;7yvE+Si(~ e|n4XSёL,x(C7;!ѿ%(IeSSfNWckζ޽5ѯ:-n53E?09 + ǔ30!KE個g?XZ+b'XJ *U c<*tJem82g E>Xmfaio"v.}'y>rJXv,;&81g`0>e,EqD)H'S5E0+դ DXS5Q;-Hm,LX=U칲M["ӊG)Ĭg[X\sERY+Y{`w@5֠k+p endstream endobj 4336 0 obj << /Annots [4337 0 R 4341 0 R] /BleedBox [0 0 612 792] /Contents [4342 0 R 4338 0 R 4339 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28676 4340 0 R >> >> /Type /Page >> endobj 4337 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4338 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4339 0 obj << /Length 19 >> stream q /Iabc28676 Do Q endstream endobj 4340 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28677 20830 0 R /Gabc28678 20835 0 R >> /Font << /Fabc28679 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"$/ jc(Jrt~ƣ1H[&#`6P\PS)4ECID_E+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fgѯ'&DS3xi*J9ߵiK[ZNߖj?ɞӡ'<ʡ|72ْԑȅ5J.\[-: ufg[XLOۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4342 0 obj << /Filter /FlateDecode /Length 4211 >> stream x\K# W9рCn 4Clv'?gU q..F&syY4(푎W&?>뾽}Y8f¥3}0؋ )g6*%w}YqtD"dRg'Q#@Ylה^vtii ]NQOpUc+bvAcj86`ٗpbS5H ^ZDȁ!Aultg2Ӷh$[0LʫؒWH>oF;zYY 0#zӜ,zٲhF-/bvdj倖i"›egéc $T^U*rV0 ][@a d[L.KB6kˠ)Et5LM.HsV{NZAsO[0ZሴWQg.# T9^EpQ%E`E*Pǁ>\#,\Pt%_<aMCYT *|FJOlZ&~5~C`b⣙I .il)esStYKYt_SgJEkL,y=\)B\" 54_nhZ_k((Ȳqӯc)PGލ=VZstaկVJiOhQ)=neŃsG{(P\e^$2domzdI9Bf(t=D)nd;jH:1uݩk=KQ=KIR)ćOSE/O-?MpäC>N%u3brpl"U43e܏2HrKg`Iv"ؔ4ƵqYO0,y` @;d ւr3 qiē6:"SVtZ%?ɦn/N*4l i674C13S }6ؼj8e~&?TP^/§ߪkyGre`=ۚm.|ЪI m-l|nϔAoil܆/jNO1]ލ9Af~xnU2ms7qCrc9_b1$ot\z !.c럖,Fȉ#v0x:@:xN)cO1}:̣ȥ6Nkj`u0T;$d(by_o|Ǟ56%h.9"[74ISӬoǡܓ&.=?aA7S>kn*MAM> jaPj@\ug&J>%}~/GR.YH<#`],@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28695 4353 0 R >> >> /Type /Page >> endobj 4344 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4345 0 obj [4344 0 R 4346 0 R 4347 0 R 4348 0 R 4349 0 R 4350 0 R 4354 0 R] endobj 4346 0 obj << /A << /D (unique_362) /S /GoTo >> /Border [0 0 0] /Contents (convert_ips) /M (D:20211013063105-08'00') /Rect [104.1732 358.9461 159.4812 369.9461] /Subtype /Link /Type /Annot >> endobj 4347 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 342.7461 180.3482 353.7461] /Subtype /Link /Type /Annot >> endobj 4348 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 326.5461 150.0652 337.5461] /Subtype /Link /Type /Annot >> endobj 4349 0 obj << /A << /D (unique_379) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_ip) /M (D:20211013063105-08'00') /Rect [104.1732 310.3461 157.3967 321.3461] /Subtype /Link /Type /Annot >> endobj 4350 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (validate_ip) /M (D:20211013063105-08'00') /Rect [104.1732 294.1461 155.6367 305.1461] /Subtype /Link /Type /Annot >> endobj 4351 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4352 0 obj << /Length 19 >> stream q /Iabc28695 Do Q endstream endobj 4353 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28696 20830 0 R /Gabc28697 20835 0 R >> /Font << /Fabc28698 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fO}:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fh^_ DQl˜K(sh^2 'LsB.&s.^u#UR,Wm'@eݝCr\vhwe™ph#bwox endstream endobj 4354 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=317) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4355 0 obj << /Filter /FlateDecode /Length 3046 >> stream xZI$WGL$N+K&А{! t'*xxT_Yj)+YVJ1XU O?!<BF. =\v !ٌ1{5owP.N=Sw 6\.}F[}Z֏%@G`*LRelm2x?]x@3|PE0iQibTQE7E2%Q%\9M h f܍C\t;0vww8b~N:VF7c'\uCMEyITqX}5ĎuyZstSxE#&ΈRV!-St&_o]n@:@r y [QQw Jh;?_y'Ů8 9+%;VE}ݙqH)CCp0h}8k߼͂.A05vG[6Xmws <|Pzch $їƺ6|5!v{c~-{aI>7Ցk\Yi]);}Sj|!N.yuyg `=Wf'dކF?{/8w5UʆIqE#\7(/;cF›rFu܆ \W$$,>N}6yY;ӊ<$'uCLSf h4!ib(C7߰./6ʋ`>pE][?ˋ6{b*ث<_~Ͷ֬ӂ'Z`Kᮛ°T׼-tPURhᒗqK ?La], _r[q1GPR~+6IueR_֞FN i5 ?٦툓Ǖ߱0Н4tM88NEz؎z#v Ilm^RDjt8v5V?F It%G5৳Dwmyۗa'Yaz˾=6#v0jE7. D#l!: J{?|F٪>]ɩixw {ay<r@Qx5mOqY@Hc$~obنrA,4E6; RoI4UAnE JڄلEtN4_WfGծrb1p~0:/\l"U__r)L_[l-N!j7}cqtegbl.tuXjQ _,΋xISYdx3rEI+"h[6q+ms9oW{70ϽĻzQm]MZwog8N3DXg>h͇3?r0'LrZytՆ}hFQh`0uzȷ6YOj+Q4DD,'ӟԆ\Ba-!3Rf0 Z;Q7zCDAQT0 kN B*u_3Y&*6Ԩrĸ+]\( FI ![RG UHV=Y I_Gjue@|C<Lj4thd@`t, [#z"%ϬG{q M GQĹzksBƸǥ')bi%DK23>to1<*DI_zvRz!%7E׆" q.V!Ȃ!;\=l]>crv'deg'y+ZMk[˂J;_7!7RۤFx6WOϲْ}h>G}Ckk6>T^/#UQ!K^-t9?]@Xy_{/˻c!c!P TV0 rw@iBY\$6\{8mo #s_Bs4l$L,=Rdl{p!< Qg ;k1szT#אDg2t{E3eЈ}П endstream endobj 4356 0 obj << /Annots 4358 0 R /BleedBox [0 0 612 792] /Contents [4365 0 R 4361 0 R 4362 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28714 4363 0 R >> >> /Type /Page >> endobj 4357 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4358 0 obj [4357 0 R 4359 0 R 4360 0 R 4364 0 R] endobj 4359 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 412.825 124.1605 423.825] /Subtype /Link /Type /Annot >> endobj 4360 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 412.825 162.6715 423.825] /Subtype /Link /Type /Annot >> endobj 4361 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4362 0 obj << /Length 19 >> stream q /Iabc28714 Do Q endstream endobj 4363 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28715 20830 0 R /Gabc28716 20835 0 R >> /Font << /Fabc28717 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMD endstream endobj 4364 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=318) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4365 0 obj << /Filter /FlateDecode /Length 4676 >> stream xڵD8ۚl|巿,"`S'aGrf'@Ϯ~!Ewb/ߑ_Ӧ , \ .@1z* !f/i[q5L ڂ]9Q8BuqO0z!bEw VF؊G^ZW+jlcD!o9Yֈf}6xgQܜYA&bJbҚa2U~k;NrjZg-}NmcXQgCZݱ3P,c2ԍe]D-hj*>'C /eh5}ח7#FII a8 |4ۖW&he ]u{KnIM3*wr,(6j 8-AԺ$]ϒۺ*7>7]x\!?Դww">0?Y{;F&IAQ<[rE֔ Lf4>,WCGEA4lж۩g_g|Hi2K-x]y}ɸ'Nu;9h* 㰃PX p?wHߨEli!w &S nRxCә=;W3:|PLyu&SKmx/^zBMJĞ9\߸D96)ݧ JWc1±,D"765{Ons+`v|;?ӝGB;cVe F܊JWC:5>g1gd}6?gWL/GwOH(,! #iKfvjϸMpu4}}>$ [[WyM3.!2#[.dd]Hr1v80iέ,Lԏч޲nκHk2],|䙏9PޗF|nϓEH]N]i~~2/^q̗ѱ"ɧ'Yk9ģ#>M x_oD}0 e65\KcOR[eWcg -+'@e6P4>%aHvHaLUD)ir{68̘2L 7{0z4{~[uS򾹻|tVUL^dΉ}+;Im +Zu;I{USl5Adh?$ <-[bc>O8 pҟF1)<\N̆ 3 ʽ8u;mks_߮OADYP[?;X]v<@+?ă÷/Y;]kDPzxap(kgyr/goq3/ |v۹q=f>/8`T/K j1A# endstream endobj 4366 0 obj << /Annots 4368 0 R /BleedBox [0 0 612 792] /Contents [4377 0 R 4373 0 R 4374 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28733 4375 0 R >> >> /Type /Page >> endobj 4367 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4368 0 obj [4367 0 R 4369 0 R 4370 0 R 4371 0 R 4372 0 R 4376 0 R] endobj 4369 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 413.1142 155.5267 424.1142] /Subtype /Link /Type /Annot >> endobj 4370 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 396.9142 144.0317 407.9142] /Subtype /Link /Type /Annot >> endobj 4371 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 380.7142 138.1357 391.7142] /Subtype /Link /Type /Annot >> endobj 4372 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 364.5142 165.4707 375.5142] /Subtype /Link /Type /Annot >> endobj 4373 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4374 0 obj << /Length 19 >> stream q /Iabc28733 Do Q endstream endobj 4375 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28734 20830 0 R /Gabc28735 20835 0 R >> /Font << /Fabc28736 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫%§Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XL5ۏ"cH&`K4>S~3cy/ KaEr63po.IU1" ^oOcj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4377 0 obj << /Filter /FlateDecode /Length 3414 >> stream xڭZIo%Wp_A$͉8!\¥f4F ?7MVkcUlon;ѣ4m}m)nє/1_2fv=mֵ% rA=,VoԀ_7օfoXNⷌ`}2bB ~LtvkfVpӑ)"BиMO򞑻[:|Pźxgc㬊6AwFpDdc8}^VJi^;(!D̐N6{2^2ڵ}|rq] Qmhk3 r01s劌2|/ɚ/)[;vL$&1\ pO݁GO3KP!>ȶd%r`G=N7\n9bGrE$!?9qwEh0V{x>Qͅ9ޔWʺ6wjb-&FyXt[-_i%Բ˦=P .% E @z q|sU>gDNOwtaYV.a ZpvC?uDt]gS?{;'zwk"q/taw Yaw*ϡAC2&3^OfeGxD,-=», ݻgu)7ږjHy{Pďj}2p pv%BH Oe*^1qL@eIֺ̝cu/c) JE02$N; ! q, a۹P 2G(^-7Fyʬccݻ)PY s+d69}*x{4S/jI Wq6%g^` ߵD9unE%m!QHcʄ OP8Ҙugq (fiB(N=,7H'/0lzs y ۑ 'e&^p0Dt$1 BN//K% %D[Tw>@OT3xϴ -)*6XtFB?2T $]hk/*P5 Cl6UqZ_{L__*2ERq@OEāxLF V ye"%DV!'AtK-8ً+qt0`k@N xq!2]srm'P#˴eN0īpUlqGIfg [\+q]u"U:4BtUjpx%M!%`D_3%(颉#ݑAtpSyQd2 S ( {xQv..s++@l6[0ܱ"ZeLԄZ"+?iF` i-1K!^tfeOr3[ "ŽL zk(4RiBfqbRrqgϴR>SbOޥr Ԩb>c31dޯ$8O}Qn[/&i}DZzFkSj` 䌉 K s9 ݪw|f j/z^*ޔX .}x .K zkwvnM(?(}:fT-=kΥxafu|RҨ#2d_ Ц`4bH|-ܻ؇ ̠4PvJn=(Bq /5^;sGX1PykbI_񵃒BҁWe٧ʍk ~pC_[ ¹= aG 'x M@Y!ǯq7J4HOL|Aג4'CDii}F=Ň!;QRMK8CLr6G ;dd~!ݔ=%)kW|Yo؄F-|8-Q.e5;<ڕ@<%_yXӦϮƶSARv0caXً0|}R )E:_-Z'nd\׿^m f&#P3δ;jèj#M݄>F"qns}ys'Uv|Umۇ`G;=u㌉K{vSԈd)O:$fjCDϸ,,{AO,z". @+"s@025YK5_kN2]Z+xdQsfZ|rf*)ض O^͜HvգLg3jQ"u暥nK5^ N+wZ%Cm*I\ef3b/289';^z1PAj,c 1b_cFV"}"d'}Ur4:F/+d;Iوb^sTzU贀Cͨ2/,meXa>Ooq&V"!Iih1ߨϬh,!'h endstream endobj 4378 0 obj << /Annots 4380 0 R /BleedBox [0 0 612 792] /Contents [4386 0 R 4382 0 R 4383 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28752 4384 0 R >> >> /Type /Page >> endobj 4379 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4380 0 obj [4379 0 R 4381 0 R 4385 0 R] endobj 4381 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 437.225 112.8525 448.225] /Subtype /Link /Type /Annot >> endobj 4382 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4383 0 obj << /Length 19 >> stream q /Iabc28752 Do Q endstream endobj 4384 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28753 20830 0 R /Gabc28754 20835 0 R >> /Font << /Fabc28755 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4386 0 obj << /Filter /FlateDecode /Length 4480 >> stream xڵ\I%9nWy־z\6LU&ER[˥ʞR(GRK}3boԙ--ߏ?/~f=u>~.V]rsiƶr}U}#lA~c?PMdxwKpD7ew, RBI[({Aġӗ5˕cw>B=TW(™e|汢wjcH-LgP(=^ڋ/ѧ~fӆew tcP< 0zcN0oݾ@nYg~8~~&S~pfEݻ'L~ -d>>Q ,[*jvi]u!Ab!=at/F==C5[S^^׺Y/{>P*;SuGP=uZ4h)ЖUzGZ)D/z.ݔco]֣{q^ޢ8^W^>ʫiĬE_ʠYd/B﹠QL[{Wt.XqV=d(<Yn4Vey&BCUʼUfnj|1O u,Baml!Oe̶{,1mmS~PwkrR:5T"rE?fU\BK_PMŘ9}F]\鱆,8P\(Ha71oKɷ/w_Q& q A̩fp|xWWsJ.f[5|Wd¥4IXWR)2}{|%;dt1a?(csٟW걤!իz]s,ҙ> Pֈͤ[*Xȋ,huz+92$S^牫^+9K6*ƆiӐzyڴ^E]役Z3t4򓉬M) M ϕ`L5(\[4|@+VB9Vͦ+cwyW.6sA{Li0 z~i?Iʸ gXlYa26 , t { ;b ;i'dA z,H8Vdn`Ez(63Ud;V7'@ @7yeaz>ǀ^r ,Dghd@5ω_}} $Ÿ ~-[.5KizUhY/ AkY`~?϶zXʕuc$f}S.^f״e*;va,j)򺳅v7Ua5"-w.,eŞće zQrE TgENLqpVR+S㖏OvXʓ9}_8'2s(˴Ԅ08Nwd3PFtV*1Ǹ{b|K6z0_p`ÖH 4v*8Ȝ˕HK"q!,Bгa}x6и#۹>Hr`o͚ :FɶX޹vԔYnngԇZ$G GꠛjĈcB 4]4Ĥ!(s)f2MDvLjTd *adu`?˺i^h0PJQAUJ>v, v}Mß $~hA,nbjRb9'.u"f%Nypo-M5P"DꖛI nrľ/ G(˥T~;}s~:5ťk8n%t,j2 x5e1etzSŕhqPddqt{*!.3KoR9ϺΦHlYqɔcHdHٛ mpt=Mťi׃5O?QAI~/amɵ2vkiJ$2Mz7NI0dE(YpPl%Vϖ1qI W>>g0E6:ĞZ/A'xd&4)5ALcb:ϻ^,^N_D7's|?N&7 L;]S\̦ML ”ʋٺ%7NzVuiSI-3,~u S9ۦt-oH]ƟvqFJrۻ6{vXs4d*(j%}` JodMBa[έ~D[~cn9hAg,脯18q Nlꑶ|[SaseOv_lWNu+ng wCHunt$%P Y^cԓ'xҪlЖm&횶9WGηs>W+O0Oowԉ[7iO{iHih| %*jʭ1 Ѥ֖xҮRYiO06‘8_4d]-7ba "O'2~"(se 3UČ;gAM>֨AcG)u-R\xl0iVhw hzi7S5>$.SXԻQ?\ WW]WI"u[⹷ 5l#pC7<߫J,'zw5i ~Ig#PľŅ * NIK4PUyv "%UI':&!o0BsMtK,n.[;ԑPV\j䍗Fu/"qVR[W+cɥ^TbM@V|8^xpUqAve[7wn7AC óx^Grs?}\\x_X7xP b4Ω2 ?O;zX 樎x8e4dgN.}Wi82&q~do9OG>;g~1lSJfE^"zf=U8/pO"njF00+ru Ȩ`Tm;72i|-*QkҳQk;Yj^*@16PX4mS\=Q#/"5{0z#X"㗥`d5 endstream endobj 4387 0 obj << /Annots 4389 0 R /BleedBox [0 0 612 792] /Contents [4398 0 R 4394 0 R 4395 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28771 4396 0 R >> >> /Type /Page >> endobj 4388 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4389 0 obj [4388 0 R 4390 0 R 4391 0 R 4392 0 R 4393 0 R 4397 0 R] endobj 4390 0 obj << /A << /D (unique_747) /S /GoTo >> /Border [0 0 0] /Contents (delete_macros) /M (D:20211013063105-08'00') /Rect [104.1732 465.5384 173.8252 476.5384] /Subtype /Link /Type /Annot >> endobj 4391 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_macros) /M (D:20211013063105-08'00') /Rect [104.1732 449.3384 159.1127 460.3384] /Subtype /Link /Type /Annot >> endobj 4392 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 433.1384 165.6412 444.1384] /Subtype /Link /Type /Annot >> endobj 4393 0 obj << /A << /D (unique_752) /S /GoTo >> /Border [0 0 0] /Contents (update_macro) /M (D:20211013063105-08'00') /Rect [104.1732 416.9384 172.6537 427.9384] /Subtype /Link /Type /Annot >> endobj 4394 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4395 0 obj << /Length 19 >> stream q /Iabc28771 Do Q endstream endobj 4396 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28772 20830 0 R /Gabc28773 20835 0 R >> /Font << /Fabc28774 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n*ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛXj endstream endobj 4397 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=321) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4398 0 obj << /Filter /FlateDecode /Length 2159 >> stream xڭjG_Lz_-!7A!'%vOOmӓ,Hګjh .'欎AS~?hkçq'Y~xҿumAA> `1E9 x1? Hxzdr͈(D|KH:M oUزw BgFɘxmӉwp0!AVA\*#5#Hi8K$`LWh-:k҂ecB Z`>`beX$Y{qذfjȈl5kCybJ Ƴ8F9,7tT_sb~Qx6;~ZJ|ZteƃBšLdOXp0p}¹ AE%^3I5F#6p"LwõF9:5="pk슉ù[BjP@6|lGrt(J75W.6-&z9O͞Iܥ/iFXM͔nؖt NZ̈́Dmo&jDXlԏ~0t.z-uvQvƻ(9iuޯƩU-}^f6FZ 'P8Nj֜A@yGW ^( ;!g9mJ:׵&wx0 Ro]U^IN\L+1~%K멄(r!6!M9,T)`Vo,VXep`8B(;i8sJM˘_1R6WTsk{oZ; mU 7INF*"D6y H=/pW y?SjEM/'k5O(Xg}X~q㝍!tjsm] ~핵hkJX w r U&|v5ÈpO uSV~؄-kaapE-6 H&w-}vާ“b`℣piV_mAqj9+,ۘL`iS%L#iJf, 0S |4/綸 nge1 "oh"';)'('B!7k`F bΰQ \D\2\.eBJ+Of os0Rcwr~䍒fH~U+ ^wP؀ńzWh,-p/P3صO*efT UHpKCF@29=Bַ-|(Gd=׵]K&XZU0TȒ3`1% oOFЩ!D᧮-{ `✕ endstream endobj 4399 0 obj << /Annots 4401 0 R /BleedBox [0 0 612 792] /Contents [4407 0 R 4403 0 R 4404 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28790 4405 0 R >> >> /Type /Page >> endobj 4400 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4401 0 obj [4400 0 R 4402 0 R 4406 0 R] endobj 4402 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 406.825 121.8835 417.825] /Subtype /Link /Type /Annot >> endobj 4403 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4404 0 obj << /Length 19 >> stream q /Iabc28790 Do Q endstream endobj 4405 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28791 20830 0 R /Gabc28792 20835 0 R >> /Font << /Fabc28793 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7cthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !bs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4(//d"ר`O6OaePD%9 /s9p!W3.^u#UR,Wm'@eݝCr\vhwe™ph#bwo^ endstream endobj 4406 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=322) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4407 0 obj << /Filter /FlateDecode /Length 4415 >> stream x\KoW|S v{zf& ;e~ŗ~S2vUUPӷL~MLO*M?W_N~f[s1}|VU/׻QwYxbDvzȷoMPˤgKmMfge?f3Mf s)/Ssҡt]t$vZ8 ,ku|GER/é=KD8%!D #Q$^"ա 3g ~E''͜&,8}۟Ϩ/`c<Ȁ<9\v ȍN_?@ҧE>$>еAu5=*\O?5d^)9~kMOl@-T7T>YO#\H@$nO1il: n`N8ooF6J/MB>O;sl;lIsa).nc$pfE%DvhD;t_jƊæ,s\ZVYB^Uֆ1zCqr@S]Ӯpґꨃ oJ[qh/d!&fo`aaC TBg+PB5zM&z#jaA&.g;6?ȂzrZ6)5{'.R}jPE/\LkR0>X0,!gE Q@#-41j x϶jtUE-k@|iF{buΕVzBgyۖ=@|@e-Y+.w*U#M]doWd΁jL!)ͱ$AXʵHLRkF=͊M(RU:#>D5 z @TШŊTvhZU7.[:*]T35k @5.cVWphz mз)CU\};m ԱY¬`avv@,, k]`ɜJMwU; qI߇!yp{u_ޏv@gM6kRm%};/.E3i#@G<D cV5e/!r/o95dCjY&mjncO>"R9^Ijf_\-}T 8Krr7.XS`k]~<konj,ttfd,mܓlH Q"zBc'VT1 ޣLt9!mmy1K~XD( QDJUn-f[uIGQxwΟ@4c{vV,A5F *W!)!(9,07]#& 3uqӽ-Ag;x#)̳tGnBE:YIt$Ino:#kB&a_7 *( |"U(zIDP* *9ġsJ :ntx/:HƹUeX qa{nីv EGF ..;s^Z`+8@03Av'K#C ˩1L>03 ,ilಯ#Q"a'hx(7mY;fv(4}_89-Sva-cl#\-2f.n 5+:00fSx{Xv ը7M2t^M2P~'U4t(ˍ#.tcZLu& ,2tuf|i3ONY4"`ڣF6gWVW7fncUBo r48EF |=L$_B>]tݮS/+m_Ix?Aixui~ xF u )0Jbe\sIUPsͫ@]YvT|g뙅2ȱvA'v HV]` 0b%mJRfRfwjOZwg_F- 4GV*?=Ӝ>X/b9ںG> z#5 MeC'@!T>hMnRW[`q}PV>& !l#Z#S7jLAFv$~(mE7zۋ8ufeC<YaGۆi90K=+sYb)y@^_q!{󓵓;]g ]Qļ7XӨ$L+!eIJװlJ`7XK<"&g Y?\[n1DڲM]6Y+p'x:U !vs|T*ޚ55ta5oߚ(fJ_hBP'5ᛕ?8W}DBs{٨/puxYn[EetӄkSc`9 /ƺUuclRl͔mrsJ9؅Z ϳ^!mTmC fe;p=L-bUx[ yΚDVla J5 ܕf}M8:=:{[q~目8ZJ7آLt ψ8:I +j55eC3$&$ҩ ! #,K.fkEĖzG9#,p[ ۬'x(Ww8,Ij8`_8 ^UT\nP$4P(%pG/)$ꖲ#-͌pi֚=cyxaF>$J$Uiy/Ԋ2k+ 6+k n92\P첍 v:3Uf.vP0݋͖7'>)B#6g_1)!]%!ȵ ̺)Lɔ'"ֵmg;s0]R /@"'a_-Q(g0,Ժӹ+\:_rA>H^3|?ç|?'ɵuVR LY>}?(3*X|eܙbI܂UL2<<ʡó(NpgNpl0:cB!9CRW;Ͻ#ly9w{~?$󹨰Mn8g:R#eDbaip Dic endstream endobj 4408 0 obj << /Annots 4410 0 R /BleedBox [0 0 612 792] /Contents [4426 0 R 4422 0 R 4423 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28809 4424 0 R >> >> /Type /Page >> endobj 4409 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4410 0 obj [4409 0 R 4411 0 R 4412 0 R 4413 0 R 4414 0 R 4415 0 R 4416 0 R 4417 0 R 4418 0 R 4419 0 R 4420 0 R 4421 0 R 4425 0 R] endobj 4411 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [104.1732 272.2116 163.7437 283.2116] /Subtype /Link /Type /Annot >> endobj 4412 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 256.0115 154.1957 267.0115] /Subtype /Link /Type /Annot >> endobj 4413 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 239.8115 159.6022 250.8115] /Subtype /Link /Type /Annot >> endobj 4414 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20211013063105-08'00') /Rect [104.1732 223.6115 177.3067 234.6115] /Subtype /Link /Type /Annot >> endobj 4415 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 207.4115 145.1812 218.4115] /Subtype /Link /Type /Annot >> endobj 4416 0 obj << /A << /D (unique_446) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20211013063105-08'00') /Rect [104.1732 191.2116 161.1202 202.2115] /Subtype /Link /Type /Annot >> endobj 4417 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20211013063105-08'00') /Rect [104.1732 175.0115 174.8427 186.0115] /Subtype /Link /Type /Annot >> endobj 4418 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 158.8116 187.3167 169.8116] /Subtype /Link /Type /Annot >> endobj 4419 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 142.6116 152.6942 153.6116] /Subtype /Link /Type /Annot >> endobj 4420 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 126.4117 166.7302 137.4117] /Subtype /Link /Type /Annot >> endobj 4421 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 110.2117 154.8007 121.2117] /Subtype /Link /Type /Annot >> endobj 4422 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4423 0 obj << /Length 19 >> stream q /Iabc28809 Do Q endstream endobj 4424 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28810 20830 0 R /Gabc28811 20835 0 R >> /Font << /Fabc28812 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7*|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}* endstream endobj 4425 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=323) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4426 0 obj << /Filter /FlateDecode /Length 3152 >> stream xj$_.@ݭ^mسh 3}+UZc0Tٙߴx_u:],N:=?]V?[}Zښ~v4cb|#< <•J 0[)Mt6yx6⍩2=(RK H2)1mauJv̓vӃpAɘtP.&탖Cx3AEODE;{FmИ5# (]`'LP&wpbscSQckilK[XbM6 ]/,R.;${jpr\,7jm^<.]pV + C$g@\m}eE\DlN`ea|lJ1@37M+Ri P9DGYVrmZƀhAT3OYU {=8`Em!xgQgY*z$)!D( W@1Uy=7A[S.j;] {ӹk[s] ؑLsO)D?4gjNq}=(wv3o | yԙ֒+goy2(Q+~a|pdFbGH㍈{f @uۚ#@7N;!"(8@il[ό{f@ fS0XqA+HKZ4oRGfC$SL?Z?/~0Z>U Ͳf26f7rH[Fn0w P8#Ϯ KF֭x9&7ܐ0ԃ7;&Kl/]\jVFrKn8d]r17ݶzˢ3[<ܔlj@% q%$Xd8/-'z̜\MZM17!MLGVT;3*ĺ槐 QvġePUPVZ~p )ږw ),ui1Khb]jTu{Q<6*^'o>XUWrM]{EFζ69pjoi!~1NM^:v~x) B4'"yJ/V~Aqp/tU])E FRu}q;ZteJxZe ZTs-l [ETFf5Bژ[$,BUg,]>"5muob[fC.ٍdI,%߭_2qSeє/Cy&YGk;t*fP3m=aOĸGe9]p=+X',ώ>nv95;pTAuYWm ̂EЭtp,;G'-t !9.*Oblj#a'̛wo?OIXjc<;0<9֠*" endstream endobj 4427 0 obj << /Annots 4429 0 R /BleedBox [0 0 612 792] /Contents [4436 0 R 4432 0 R 4433 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F13 20878 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28828 4434 0 R >> >> /Type /Page >> endobj 4428 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4429 0 obj [4428 0 R 4430 0 R 4431 0 R 4435 0 R] endobj 4430 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 396.825 122.505 407.825] /Subtype /Link /Type /Annot >> endobj 4431 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [127.818 396.825 169.6455 407.825] /Subtype /Link /Type /Annot >> endobj 4432 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4433 0 obj << /Length 19 >> stream q /Iabc28828 Do Q endstream endobj 4434 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28829 20830 0 R /Gabc28830 20835 0 R >> /Font << /Fabc28831 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ1r endstream endobj 4435 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=324) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4436 0 obj << /Filter /FlateDecode /Length 5266 >> stream x\Ko$9Wyiլ=,T3݋Fy=_RL]R((GQ#Z$wŸu)ujqv do.Z-/Y^^%\9WlW b{Q5;Or[5zjۇ ;?-O/{गo](+忡o\}pK(W_^`ƿ-_HfQd[S,.A"^ L ̱9KB7,Gg}j硻d~Q>s2'3҃ءLll+a˄OK V ʅ_ :?ކRaˏ.e*A!!zQ/^^~?Ӈ(3  8~~ʁ(NSŵ'#{x`/C'@T\-J!Uנ=I!mCaʛ_#2 y3͓i>m>sZܭI=YgD'Vσv#|XS6Ưu.ՍMiU asiҦ5n(vwPܫ tD״)>y̍gޘx) Jt]%j`6+rÌW4Fž_ ؙy 8a!Axs &>ϙPY8aBJ مFmӺһ=v F (sn &hf1ކI;ܝ>hnUAeD[x~F%0f $yM{x֬UYt?ݽHw:.ޫ(`!_ğ)',N ?D,gUnf âN*?{EV8c0;Ph@'+o/|[‚5*[ M1tw $׼<ͻp!|gWviE\t"_YF{7T_yHX¿d D|{G4$9IWʾ$ZS!ժ>IchP(4LqPfu ,XTX-R0-CWbkNbp@u`c!x)6@r O:E#m@@Ƅ_mz'B,2ov;n5nέ)T 4_a'e~~ªÐ\9H? 2ftk6+'&m{?jή~Ra^ 0t<ҥ0ӧr# CɻQ&P SͤZ2S"?N'r7U&Ni!68Mѽ ގN+U߲/,P`5h Z ,NkِOH |W؄?k0AFC@*!Ixڠa`(FRf0>qh'9G[`2`r7)pȠmZ \[@+;<,]f 7e\mއý=p,1Eo^|: .A#*W%pz1)%\ehiSeJA75F"xU'}Y@D4~[ޝO ϻOتim2f)- (i{YX* vȂL%͂-tƨ9F(*/t &S`Ѫyk(]3m4p+&ɚV5o{2=tE|i6gz4uS<2%G4̙PGI~w+R|X!1}1 m_E%W5t#+ f'gF_ܙ1}"K uFXkHC)"^.ceVյAY|'+ 8JNmz ;@X dzcP:!V2sW$??ed Q4Kz 3ط /6wPXzEܤLp3ѳ\0\ 呬ۋzaC6xb3̲mɻ[7ICdgDrЁʍ+tb=.u#1m8t6}is_D)$> :;B;}3)aK$FGve6w '3$7#;W5ݧq16ҏaM~(\ ? Z} 3a1g h>#~W?6RE4xr}12`lmVrxY9820L9nmyq߼e{ (nD"M\v0;e(mi(܀#bpsv⳾uwL7y D'9Ұd%u!Az; ҂eF?x^*&bKr&iXQtovśa cohqcB4Tm-Z=1*UƬ89?g[nHMt/ޤtQ?ؔag5~HŜaqA`Q?B%XFDXӤ~ew- 3z[q~aʛU#<)橲}{6%"޵24n=X K4i#}0l_pbfɜ<2.QH%!^gȩ?E-'[BRR0K{s(:l+7gvZ[Ԅ]r5ͶCÏlmpR mg5RI?ø)=NZEy>l3i[TUk;ej= 1dבQE(B}sTU7AacTWxǗA'z}=7m0dg!ĴDJ ')Yy" x`g`wiA y0]\*Ȼ_h~a4k!OJ"ZT 鷄U7yjɦ"_n2S&k{Xey@ DR约}A?#Oaz7(6vMuYZeAR#UmZs۸nlxaׇGWN/1NQJ<7QyVS0hW^_.rKϝ3黯 \zPŎjcˑ^Y9 RsqME2=..uW[磑/n\+۽e:I ,]͑_UH{tΊ½tw,O;A`:x(,uk~wH$HWVk"u"X[Krȟ/&3])/Wջp،wm-"s;-&fD8࢜wNzwo3z1-n80༮-OKcHm|k:LHhbsYCH.r,=S`JH jmJH: M*e-#0e1VUQV10|ܼUHLڭ@K阸 %kps|_><MiM;=iZdQJqĔR x:R&K8P+Ƶ0Ѥ51y&Pd `=kr5ҦQh Nfr@],tT=AI69 qP, ce;X1AMֈNcGF ̕sjMع$O#ص:WGӃ*[x!ES-p[fm?_\Wg]禤tq*u<[ҡЕ@BGGz.WlCW3xb#q%uIߊPľʼn 2 Kh"c7l\%HW/0 Pl}~dZ$u01Wpk)-gd똟nE$^:։8ڕ NEx_2E& K)W8UhC%mhSm]e֢{~r"b/%c)'9B8ǐLRϜFmZ*5f I 'i=U>Qg㊟QzS0>;=AyEJ*70./|^v"ewS&:o,,DH#)/R2gg+ZW4mZJ :g˕~"*XmzTa/u{ȇtgs4;ӽiC3OMb A!5 >4zKRUU;rIEsAзCR0z#$X3`d5Є= endstream endobj 4437 0 obj << /Annots 4439 0 R /BleedBox [0 0 612 792] /Contents [4449 0 R 4445 0 R 4446 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28847 4447 0 R >> >> /Type /Page >> endobj 4438 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4439 0 obj [4438 0 R 4440 0 R 4441 0 R 4442 0 R 4443 0 R 4444 0 R 4448 0 R] endobj 4440 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 228.1539 218.5952 239.1539] /Subtype /Link /Type /Annot >> endobj 4441 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20211013063105-08'00') /Rect [104.1732 211.9539 219.3267 222.9539] /Subtype /Link /Type /Annot >> endobj 4442 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (delete_partition_defs) /M (D:20211013063105-08'00') /Rect [104.1732 195.7539 206.4237 206.7539] /Subtype /Link /Type /Annot >> endobj 4443 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20211013063105-08'00') /Rect [104.1732 179.554 191.7112 190.554] /Subtype /Link /Type /Annot >> endobj 4444 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 163.354 165.4707 174.354] /Subtype /Link /Type /Annot >> endobj 4445 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4446 0 obj << /Length 19 >> stream q /Iabc28847 Do Q endstream endobj 4447 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28848 20830 0 R /Gabc28849 20835 0 R >> /Font << /Fabc28850 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nRC;fũc85 e!Um Ln;|/(q21r$bE0EP,3wPtNUD]IeNW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)^G+QO TϬ>&ܱY M^RuTkQ>U}IRG"e*)sm.\gy45ۏ"bH&|ud_ EC^B 0)xΰ.s@9,`sJ'o.IU1" ^_Ocj[}K'9).Q8Ρ\NZu^lMa0 endstream endobj 4448 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=325) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4449 0 obj << /Filter /FlateDecode /Length 3529 >> stream xɎـ x[A9>3?U&-=c6Hb7gŧ/_ӛ EJ#\ƈ/_/Rj+s>O=^aY*pK4ˋT\.R3\wHM~vc}oPfʐY}pZ*Va 0') axג_S3is{+n.yϝL77)s2&|v5LJ/ʇdJ%S A\c@Yc_2qY%|'@w|&yw4e)1_#%=D>wa/egMR"2v>G)<,Sӽ^ilXq-D/D=$Wx'oݗ>g#UӋ!!E)ʋ_MH%)KK_\J QZyUFVL+KW-F) ȫ&SmD^m&o4 , p6C5xlT\K`1/+bzDGfRȿ\2Ia5telydpbr;VP_ʦyc:TkNӕt@{ZdrA,VmUbLL*cH 4E6fRY o:K5=(_NNac6 `ߐ{}?5fqm0Z O,gi-H̖\*ᾡ TC/f.ķl:2ݥaHfZؔ !x&\LX^qJ BN^_E}_,FiJ!i7/ۤ]{]~:Xf9X%iUmK:<&?lϾﰗ>S> =״S\T5cהpFs-K3ɤ^}Is |zcV.SuhKimtO@!вۘu4l$(69!] iC8u^\gxCƮ jXxil]7[ƨQ~]zv%}? )z: =.sSs吏>!hy%{BP42ӃikqٗkG^g |Ӻ_b뛢nb_l:Bc\o4KUO:SC1SN}rţuKCod;o{6O2b,1aX=3/קk5͜@V+@`64YmhׯBE |o/ ) ?A)@݆U OQhhNy# ޗG#_xozwK_|ST Q?W.;5,1n vw~Υnj1ޖ+UOV]'?|5? yk0RUO6߿&C)|?EjԖYD9qM#]ܩ#7kx_ܓu+EICƥ:ҜDJj,:A.B/Qyksn[W9}J3Zp^BW8uP0|4gCrEfhVy {Av|$Js1 PLƾPp3qeD$O !m1Ocȋo@>ϒ]glӒnҔ?>\0܇ =bupj;c,ycCC:]~ ڪŕQ e5!c!d\ҭ5Kv&dL#EN] p@i瑘o6ņ)Sw_v{L )-$#ӳgS/> [TH9x`r’ci1!x=ck+zICN2r@F%{N3^  '~u&Lőt Ŀ~\QAfeE򗮘  Ů;O̼3)Ux܌p1TùO !825YE ߀ S^Ĭkf!]겾h6|G6OM~ffnK4-Vf> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28866 4457 0 R >> >> /Type /Page >> endobj 4451 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4452 0 obj [4451 0 R 4453 0 R 4454 0 R 4458 0 R] endobj 4453 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 384.725 112.8525 395.725] /Subtype /Link /Type /Annot >> endobj 4454 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [118.1655 384.725 163.7275 395.725] /Subtype /Link /Type /Annot >> endobj 4455 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4456 0 obj << /Length 19 >> stream q /Iabc28866 Do Q endstream endobj 4457 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28867 20830 0 R /Gabc28868 20835 0 R >> /Font << /Fabc28869 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7jVthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMx endstream endobj 4458 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=326) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4459 0 obj << /Filter /FlateDecode /Length 4092 >> stream xڭ[KoW`:| hf捁=yR?⫧G {GUYlU[m/yu˫uVǠ*CNߟvV}|ԇ%`LHG}pbwZQmZֵVߞT[kG OG~/:o\\ gmh2g:&I9Ь4?LL|Z1d`L>j rnw3TH8k`QEh++'&a9@gpa#'.)9 I 3,JuW"e %ܯik5mSRʃX层<P">M(JJmi6lBu/F}lA٢`Ā,D *Xl(J5`>DRʅQ;w+YCE׋ u>-([Qv[pz@q.2.cZ9PTuԷӍ@ ,+,, }n`v`Q1P몑 ԑ'L َ 7]MJ\y(pR"` NvNn ''ip'I8% ^G>f s z))m D5fnadȀj0W0~i 4R; o7;Q_mmtnpOӫBuzTG jX? i}z ޺㷗q0̀'(~oHǸlGpG{>L4.N0p*rC0_NuJ haߕ_=d o^?htgzy检rMUX>/04ƺ۱^~i(1sI7 T[D:fgˉ"yl?ΗzЈ]]*$pGx/C&E8U C*sA88ScJ_bH e?Gy/}7 ^aQޯGo8PKY|'&  4[,"@Ӭ0|5Z8}~9>qߗ"7!΁TRΒǸT#y5w%j}.N|=к6&%ŝJ;bN뻮vjX Tu)~x#j\׻;ѯۡ d֝O=}ZB}Mb;wB`ぁAY{3{Ϋf`U&yw]\b@7yG#ԡ+>aF =Q'IkR+u< Y{7GnW6z5Qx9CBaFi5 Q2Z9g*Gp~? 2 =1ޞĎ־u}ٚrU}Ԑv1Bc?#79%\b790$ zqΐOIuviKDsDP\齳Hנk͋wZc45sX' BFcJ(KB> S"2=EdXLN"tpjoMMd%CX-o:ؐOF_1qe>[= 0=[%^E^0qhoADed"L$l{ɰ~&/mqQ/w-8XAN<.:43CL!U}^qeL UtQAMu[Km\$5s0 ܀ʤ;/q}y+&$t=<ʂ[q-dtt]68c5, Q{y^@vu 4lމZW#1Hs{=\fXvVF^G0)$7oowabK/qWw .{kx0*tvjߤ`8gMlx__r^+k*Za ;d7ށB,wjZog eu+b͊+l21V a c\/:/.)GC9iJZHYJny1IϹ\H|b^m`{wԙ[o;JrO3Ź(FuXq@Lvl2=r+%6#Ҥ"&6)*`2<)=yiȌm&bn@Ⲩ-!9Lq9,Y\Aa]-$l,8)5j*0W&ɛ5ڛX?`Rn>T~_R{ta;O?1{X&]SW9:+ObF<Εh ..sA+,GGZA l$xq8qjٿ\8^K/R**a)V)TB-&G Cb+AJ>>),▲s3-Ye%/xϘ><݋HF!9k6 9=as/6Xo& +8^drTd;Arj ݶRcqhK/͞ߋ>O0}d ؜O m^%~'|8mBзHpoxfx|r}'i8 Yk0|GggW)$F2|`-@yN<53ӳ] ~,'gu9o,7zi0DTFN (  Ic!&Dx L;A8D5vq( qr`(F_CzEߜi z9_ endstream endobj 4460 0 obj << /Annots 4462 0 R /BleedBox [0 0 612 792] /Contents [4471 0 R 4467 0 R 4468 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28885 4469 0 R >> >> /Type /Page >> endobj 4461 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062942-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4462 0 obj [4461 0 R 4463 0 R 4464 0 R 4465 0 R 4466 0 R 4470 0 R] endobj 4463 0 obj << /A << /D (unique_195) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 399.5385 200.1757 410.5385] /Subtype /Link /Type /Annot >> endobj 4464 0 obj << /A << /D (unique_200) /S /GoTo >> /Border [0 0 0] /Contents (get_pblocks) /M (D:20211013063105-08'00') /Rect [104.1732 383.3385 161.3292 394.3385] /Subtype /Link /Type /Annot >> endobj 4465 0 obj << /A << /D (unique_205) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 367.1385 168.8862 378.1385] /Subtype /Link /Type /Annot >> endobj 4466 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 350.9384 165.4707 361.9384] /Subtype /Link /Type /Annot >> endobj 4467 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4468 0 obj << /Length 19 >> stream q /Iabc28885 Do Q endstream endobj 4469 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28886 20830 0 R /Gabc28887 20835 0 R >> /Font << /Fabc28888 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶd endstream endobj 4470 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=327) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4471 0 obj << /Filter /FlateDecode /Length 2528 >> stream xZKo ׯݩ,9{R e~QrvwH~$dXV;+W_u:],vwuzm~ڗ=O_z6G|:߁a*ʙ9Vc}5Ƹ~fxiI!O@5crE3!`9;y.oyMZmںᒰe%Q3\lU xk"\ \cqr>i1}N0v8vP}0TkX+]hsFY&Wl5>\rp Y< Q,$m`XZ\7Q" G~G|P7{Dyhٖa0BA9}@˞lžJ^@BHۂ& D#N%TQ*`?uؓ甚Q+J+͌ ʃƾ槉,8:+6 6-iYլY6*hMjaƸ0 ACl#0h8>Mx#,)]Y1lo+&3\a5~ :h$F[`sA+V-0 b 4 &BaDEv}QM39 дFc\l$ I}f!Z|l6$L诿/)Eh;֥9dfq?~ՐekL=p8N i/ GZtGLxIp)T+@5\l[}%zb`:vpoG@4 jb{񑞕/C@~D >e|KBKklE]\0q~l[wP0b $ …L.ü8b@ KqavzLU|u:ME ]p3YMjxE9 U#IlTX.uj_WCqojEE ƉEj+bsMRrJIz1ۊ>Le: !burH7ykn *JqXjOiKֵ:BY qs.U Zbxb5b71oE>l+w-ͧwW$R)qG6Z3V`joZĨ4&t3$O ~VEW/Mp%pR>WT N\iʇhx+/;%}7dϋ&ٶ+0?OKwCr7  td\/ i^V!-N-i˿i1buK54W.fD( 6&v >J768"_ՑZ8\ɉoH|q <1^ N<2 DVnԽ.,fL vM]r~YY3M\rW NIx[6&#grvW={ Cс/qjs|zl_+sЬMc 6wG0w6sLV.a_gHC7s`pp[erAW &Vf aOe R/+$]5 3JMVD: 4hm6ܔ\\#Zx ydWwB%vDNM}PA!5m; ^W{*r~HYjMBMO> o <#V;՛B6! '3a:ac dz^_Q4Dn~66 !q Q7*h)T* כYD[T/%7=UYvo3HE#ƫ*P'7 |םl4={FO2{V7$%іvJ7Mex揩Y^Lj4t42+>K:O>QPSоXgVxҽ1Pq"Q'85kmh1X8rT,"ih֢eJ$LY fϯ|xP ƵˌUx'qCyu7x{#qw9C3#~2w UK:XZ Rԡ0h7Gtph<]N,ߎAz *kI;@ 75-*38x]E<Eyg6N> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28904 4480 0 R >> >> /Type /Page >> endobj 4473 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4474 0 obj [4473 0 R 4475 0 R 4476 0 R 4477 0 R 4481 0 R] endobj 4475 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 357.225 124.1605 368.225] /Subtype /Link /Type /Annot >> endobj 4476 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 357.225 162.6715 368.225] /Subtype /Link /Type /Annot >> endobj 4477 0 obj << /A << /D (unique_77_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20211013063105-08'00') /Rect [167.9845 357.225 248.774 368.225] /Subtype /Link /Type /Annot >> endobj 4478 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4479 0 obj << /Length 19 >> stream q /Iabc28904 Do Q endstream endobj 4480 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28905 20830 0 R /Gabc28906 20835 0 R >> /Font << /Fabc28907 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVڰ//d"W-j}'2gH5{ _@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4482 0 obj << /Filter /FlateDecode /Length 4113 >> stream xOs5}Wcr;}VS/tVZ{iwzMJןߦ_gƊO  "C0F Pby:P?AբTo}0}j\6sl_@?գ |/:EcLvzZN`g>8>٠( G`JOR9{ pg6ђw[x1Vnhn><1H-NxFNP#JJvˑt@AwL{pq~9 r/4`lcvY#):6σq&tITȬtdB9*v̬ 3hc4Fa1˜-TkՀwc k%56ej@q.Ԙ쯐 $]@!i TJ}x y+:HzT{@R>YLXU1cB>cV5='Lu;&V`Tn!P-Iv=x^  "辀V<@ ".2e01<%IğEZNG\qdڥ:?;'u Oςab!bEޫƵ`2$Neyw+J]O?PB~CtRӡ9{?Ct+ ??+H?P3mv!k9^;8,1׏?H=#q<Ξ "?l^v Ը;atǧܧUw2)vf ·ԗw&Җ3N.stx鰇vp^Q9dzv^\K97fEj=|(ea8X v ;J2r&b4;Y:*zwɩ&pe6ݽyajCR'PaOR_yDM 7,j\vu"<7nX?r,v7.PFԗm+ )#ab!s򵏑Ƚ[Qdg3:bK94ъwRNrHރ3 j$NRg(61%(df< aM|Tϖva/3[z0^XcCZ.A?uEkB6R{tO⪣dT$lCG" ;@MgXT',r[&E)tSȟ↽r+u X䁕E\}*6wD]w*+1$Lf`Bǧ ||m`%(8  3JkESbvt=EW}b#Lʹ#-J1Ak[bԎz{dl/>vgeMx hKh'biҝ5$og% qjgӻ] ̠`.ؐM6sHf83؉AUlK08?Bk424&UPMחf"$QLT.qn̬loW&mGŔTڏn2 0t÷ |$٢;(HH7qO19=v8bJ;Z?'nQwa3$A]Dw ʋez4{cla^[ĺ !#$:+`1_|r  Y/xг>,gRPж;0'H:':-3 uNciaKX&>W;`LP\Au.#7~\m9>y|`^~yn;՛Rgna.pgP80;!#AܐX r-9fXqjhKo+E,q679ͣp,Ηy 3&t xai 3@r|es䲭 NO >z\u xJH5gDuW+#zH8#ص:{[qz1>u!oES-pvtwXW4#`55eC鉻 G(8u*xt<=E ~FnfM<+BX#%R L ZXg=CZ l0sĩcw"% ;"%L$VCQ8AH6_RrI-ekG\ <ԠBJG7һy!FTWjb%e oxtK.Z 6p7S%%U袸PdB ujͶ\aHEޜ2?'26럲1)"Kqjܪrr68eu2~B7hr{^[o!n/[߮z XosGY]"ig0.p:S&BBc{b>ɕ4[wΎyPQ>}_,rܪ\`=m첮Cm?x)8g3pY0dQG vG/EK ^]cOID7ǟt(c`eYG0z#eD$lPvij{q endstream endobj 4483 0 obj << /Annots [4484 0 R 4488 0 R] /BleedBox [0 0 612 792] /Contents [4489 0 R 4485 0 R 4486 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28923 4487 0 R >> >> /Type /Page >> endobj 4484 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4485 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4486 0 obj << /Length 19 >> stream q /Iabc28923 Do Q endstream endobj 4487 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28924 20830 0 R /Gabc28925 20835 0 R >> /Font << /Fabc28926 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&{!(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶQ endstream endobj 4488 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=329) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4489 0 obj << /Filter /FlateDecode /Length 3647 >> stream xɊ+G^_Qgʹ/ $U07`|j= .-*gxZYGddjuԣ;ٌoҧGƤK/ O4j5~Mz&3~F_F =C}pglzRlj+ńLQkQ<CG>M}Na^>jLs@O "^;$γ+@ xH YV1 ;^)4./sKp0xxm9^Xȧg@g!=7\ %521(^m HS6vO8m>Z/ I{%֫"L{󫸫ZE[tȶ K>.}Yu]G%B#a.shѨđ|(s%fY y*y‶ 8YÉA]Pk'Ql1z)s6 &g)~ yMco=9 JB.Wlo] ci% 췣KZوD\zm 65!xQ↷cw]u+Ihkorg|TDeU߬ӤceIV%VT$tnӓ]EgO7mwe! {+)'4c$q{Rtp<3OdP̜[ljx riYZ{[4RoUDڼR?yo[H2L0&~HBP\7Sm2"@P}Rj1.sa#rqSnkZg5H5lOS@fm14W%yT(sJСGZƬJu< W4#{yL9 _q4vKYXhoRºV q$-rBX%5')CJnVUhUGt= (DZKz$߃Mx]qzÅ |n9= ȅnVҔAd9|Rĵd6LՇl)ypM6Ԥ}؜>f۸'sep=RmS1ToW!W)c Ӈ+cKr_{vnjÔq#aߔk &T2H!fdm쒵~GajyrAޒy 5z'L*<}Ąg)GcnCƷe:.On? ~}JD)Z&Lgd߽[.CsO}.ĭh&Xsm]Չw+ d|Cg;E%H^DWB}6a^"=I}JH0ݐ=ƅ {܏|/mmT$ ?[B'ڍh} /*5V]rE?PEI_BnQn<$,|7,olߡF& xL8V Pltvd=웢|rU4'8,RC]ǝC xyX[ytxsw~!>DR?Cδ 9`rg!7E̠+@{HX`u:N \|||aypnrS  an0?2.aMK\ہ3(=z2HaSگ1g\7̤kd6Sa㿘j^! \ltP1axL.:,FF1cKk,łJ;TkݖˤvT@]'o4_-@Ms׻z +xGgT|M%"TТ EK7ɚaW̄&"@W !#VJ!h灀6O ^;yXf=]kuo ҂[bBj dejx~]=/Wzh/i(얶1KX SF9-,[Ũk5j.e%! o-B)he> UnB TV\F{\{& wvMl6r~Kgu H 0- )+g0sJVk=r+Mh e:Ɵ 9Sr#|u'j=s|Gzcyd}\3.RuMcY3@ DI|+!<㍎ G|<&& BfU9ʧoWx/'{|)5u2*gAat+C |jzX8\ld#c!8cQ h%WI2q|'$íPkRC 0Y~f%+;^Jl^~"fQ{Y E_oo endstream endobj 4490 0 obj << /Annots 4492 0 R /BleedBox [0 0 612 792] /Contents [4500 0 R 4496 0 R 4497 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28942 4498 0 R >> >> /Type /Page >> endobj 4491 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4492 0 obj [4491 0 R 4493 0 R 4494 0 R 4495 0 R 4499 0 R] endobj 4493 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 223.4462 686.7] /Subtype /Link /Type /Annot >> endobj 4494 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 200.4232 670.5] /Subtype /Link /Type /Annot >> endobj 4495 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 182.9387 654.3] /Subtype /Link /Type /Annot >> endobj 4496 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4497 0 obj << /Length 19 >> stream q /Iabc28942 Do Q endstream endobj 4498 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28943 20830 0 R /Gabc28944 20835 0 R >> /Font << /Fabc28945 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&{!(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛXl endstream endobj 4499 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=330) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4500 0 obj << /Filter /FlateDecode /Length 1259 >> stream xڭWn+7 +.0 0`;(ʽEHQF)&KC,_Z: 1@Me:Sk<`.){;1Sӽ1.`dLD}t;8P^18])۝f0`t CDam4&9vos2qn݆A F(k$>G;"tS-(B^g܂= ѳԧ(:bYdZ0N)g++S#o_ ^\+4k#L`K,蘬d.Xd3O375y #S_Ϯ!aeZuqxR[!hϠ-N̙~!/QQ f,AvStjo5k]EcufHGW-OteyJР$%< VmjkvKt,ˊҀrBYh`F93+_Tx33wmphf/ЅCz?,|ĤoaĘҝ(O}_ajpִC/7 endstream endobj 4501 0 obj << /Annots 4503 0 R /BleedBox [0 0 612 792] /Contents [4509 0 R 4505 0 R 4506 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28961 4507 0 R >> >> /Type /Page >> endobj 4502 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4503 0 obj [4502 0 R 4504 0 R 4508 0 R] endobj 4504 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 381.625 121.8835 392.625] /Subtype /Link /Type /Annot >> endobj 4505 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4506 0 obj << /Length 19 >> stream q /Iabc28961 Do Q endstream endobj 4507 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28962 20830 0 R /Gabc28963 20835 0 R >> /Font << /Fabc28964 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4509 0 obj << /Filter /FlateDecode /Length 4546 >> stream x\K W@699Mf.Ç{bJMQ$?)oRK_d;4v)WEI_K[ /oJ(F///׎G:zԦcӏDFJ\˷oE'_Y%ԢA˿$Ь4*?_r9J|wY)s#2ІO Wt}[T HF<e| H CbCDPjy XP?qPA5"%;+ؿ*mZ%Jl K'e _5 2 sNY\QI;jJ>%^`fˉ~k'ADqOk5Xx8oe`tp6g*{f gavq}>2G@f#Lp O0@ xx8c8$aN8m6'd4I}4Wkeln$C"0(qn"+qVg؆K`؟V2~hzOS8@ ``8=dU/ q [Yqh>DawtyICg;1Em(u491:t'g սNQ8V[#oU8FkC@/X!˳dZGd(Z/a*큃g$zP^ p)/:oG3*0yL0( J"ĊuˍV-841k0y )/M@+#Pi&&kX@4yy~ q# Z MG}XxVvW˜m%@a|ආwy-}mKJf+ |͏"?¢/?Bps̑/rpQܮmJg{7PCOC?r.B 3uUq0@4 iCP*ސe _4q_S_uo:& 3SnDdIHAcwjl~ơ]Hgj 2RGR;6 + hu:tBgP:O덨yi-2^ /ܐhR sD GF7G)GzjWm3 Rh* !PY[usgbXDM*urɀ摷, Hl lfql<ʒh05Y]ۖx5A|BeX el6\tNl/n\pp*\wx3IXJGC/%'fb R*e0Qn(UgKUD*^Ws;q2[8wG\t`B96t([~pArU{-acne .x`YunX)Xh3ːav6lZiwhtmS, /,j‹P^=谜JSrOS}kW9|`ᒣs9kw-V8}jP U9  ԥC%z.q 1k -CSQo*L1}`A/9Xva ^8}EJ'%mwSս)<2s);UwFl#~n:n :>Q! / ^r%;KP3nu_IE C*B2~^DE TM%ME%r`Ã>—`pWߋ]tP1ހT͢!_%țH~ǀ;V Ҟ**:O\ZϹr.o|$>1/w0gwqQm^YZ`Gv}c(oR5^2s"7-rk%no#P6D! &õ̐6²8_y" ^Dt0CD|6DT%(Sa3|Zg8oa #R{Ġ1һ\'o6t[bo`<]sHqhz_R.#m DvzEn5Z?O+#*P=C+^]oAR;#%CrP%HP)XQP`S%%ZZ{m2(bsm_K 0mJ[Ky%.XC)zOw$\Ou\^ko[M{2'! ]os;^‘SJ΀a6l^ [z' EȇE/g>7&E:U14B!u ;ӧN}y@}@}%Ǟ":wЉ`z.<iL?O endstream endobj 4510 0 obj << /Annots 4512 0 R /BleedBox [0 0 612 792] /Contents [4525 0 R 4521 0 R 4522 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28980 4523 0 R >> >> /Type /Page >> endobj 4511 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4512 0 obj [4511 0 R 4513 0 R 4514 0 R 4515 0 R 4516 0 R 4517 0 R 4518 0 R 4519 0 R 4520 0 R 4524 0 R] endobj 4513 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [104.1732 202.1539 155.4057 213.1539] /Subtype /Link /Type /Annot >> endobj 4514 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 185.9539 155.1032 196.9539] /Subtype /Link /Type /Annot >> endobj 4515 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 169.7539 159.6022 180.7539] /Subtype /Link /Type /Annot >> endobj 4516 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [104.1732 153.554 163.7437 164.554] /Subtype /Link /Type /Annot >> endobj 4517 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20211013063105-08'00') /Rect [104.1732 137.354 177.3067 148.354] /Subtype /Link /Type /Annot >> endobj 4518 0 obj << /A << /D (unique_445) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20211013063105-08'00') /Rect [104.1732 121.154 161.4227 132.1541] /Subtype /Link /Type /Annot >> endobj 4519 0 obj << /A << /D (unique_447) /S /GoTo >> /Border [0 0 0] /Contents (remove_pin) /M (D:20211013063105-08'00') /Rect [104.1732 104.9541 160.2127 115.9541] /Subtype /Link /Type /Annot >> endobj 4520 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (resize_pin_bus) /M (D:20211013063105-08'00') /Rect [104.1732 88.7542 173.9352 99.7542] /Subtype /Link /Type /Annot >> endobj 4521 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4522 0 obj << /Length 19 >> stream q /Iabc28980 Do Q endstream endobj 4523 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28981 20830 0 R /Gabc28982 20835 0 R >> /Font << /Fabc28983 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw72|:c֯޽:H%_rP査=0G3cX-LFB(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMep endstream endobj 4524 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=332) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4525 0 obj << /Filter /FlateDecode /Length 3380 >> stream xڽɎί9:@0FrrrϗKFltMWV[1bo'KO_Tcf)){?}11t.xpeAC FLs?&p<sqƼ3<olT?W )%cQ(60ɒuJwA; S+ܳ;7.pل`=hل!/)Wz0h{ox8Ұ̀z>2&GYmSfI4MŒ>b ". ,iJ╱ _9.Zea54Xv93J=ĺT_dɌﰊ|gP[VDmo|ͷ=E0\/#HJ @΅HWց~-b_-M덈rZt ,\mWFy&\*}8Rb{$ rLB2Ƃ07(!y2@/pvio}SQ_g8AD-C=(ĂV>%,h m'/!ᑌIdW4/֚Tb~g{QU0 .]wvq7lcM6Dt QWt\QEa gj:3Ig^{&][ A[\LZaăf'R_K1 s0b@V F l6 bF1$g@Vc<^V3O%w-aаuN}ǡ2,xE3`$72ne^W֤ZD-Pj6?öqp8@[bx[UVþƷn-휆#.Noً /?s#l5@BaT2i1i!w!T钰orE-Jx.`uexuj]cvu!MyLxQV"qA1jw.6% ]"Ni73BWmVaEhޥ3o[#-QD2wg2onZT}ke❃DŽ>ե;>Bp*ԇ2[1FɌgW.ktV,Ef0:#xqj+uݮ8ht?(D[!;nZ)ϓht~Sޘiӯ8ԢF<5{t :D@4q -<~>i3N6hI'+b, 鄣㈣RSR#y_ͿA lR2<ҍjEh~PlC6u´j=܈rVP# %h$b'ϳ,S5 |}gVΌ1^iOKlGA+isLDH6xJBRUg%as9RmvrӉghuG1mcq,. ڂ%Njgi|qiIf>r#1]mD" +Hsָw(׾{hρҏEF`@Roiw1;x6W.?܏7n7?a 6'rā7\W`X_=~sAJp7+ssv@iW~S6\żep]:êh;@7B}9 VkrH9lP""5v`BwIsс";5؋"/!~ɹFWhԪ1(f0jR3؁+5R]BdrA:,@ Vo,Me< }_ $ 9ENX"fд"qI@y10.s43jypW֥ޅr>axfs3ЦqpS_q׻+a`MO2i%>LmS)Ai a)XLsRxZ(wsGxAp3m疐YRVY! ZQ+W*P)` { F@pSohiUUX@x$FG֭Pyg!)k9 s-IUkI?CR,۽5`q--iUJ7 egy&uH`)6L ȊG/gV h(nUqkq)N`SV Bv[oMZ4M dej|&Jtp?66rC0#C+|yܜ[2uSG9f,,GŨ#Ĺ50ó j'B9ytm(4ATp3Soo]b\o5ɬ?)̲-;`}{1]LjHHV_R{dq m"U^걢o^C;:ck)CzN˂Ys^D,gqu,\)1xuWև< N;# yRiA]Ua66J ĻOKGAI}Dx+FLBħ&2V 45$qv'udA%10 endstream endobj 4526 0 obj << /Annots 4528 0 R /BleedBox [0 0 612 792] /Contents [4538 0 R 4534 0 R 4535 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28999 4536 0 R >> >> /Type /Page >> endobj 4527 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4528 0 obj [4527 0 R 4529 0 R 4530 0 R 4531 0 R 4532 0 R 4533 0 R 4537 0 R] endobj 4529 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 219.1617 709.9] /Subtype /Link /Type /Annot >> endobj 4530 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 187.3167 693.7] /Subtype /Link /Type /Annot >> endobj 4531 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 152.6942 677.5] /Subtype /Link /Type /Annot >> endobj 4532 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 166.7302 661.3] /Subtype /Link /Type /Annot >> endobj 4533 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 154.8007 645.1] /Subtype /Link /Type /Annot >> endobj 4534 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4535 0 obj << /Length 19 >> stream q /Iabc28999 Do Q endstream endobj 4536 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29000 20830 0 R /Gabc29001 20835 0 R >> /Font << /Fabc29002 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4538 0 obj << /Filter /FlateDecode /Length 1267 >> stream xڵWKk$7Wh- yxr990zm/k'J*}Cݒ~N[ƜNQC߾U휾>a6]MMI__vŇ%0o_םyǽ?tv8ci 3w J{<ȫ Zߵ'0_7}y)7~xD^! T>`Y{wLk Q!WD@hwK])q:aW;]D?qqZ1OPP>VG\$,x$3#{G$ 7`ԟt!dρ?X?^nU=ROHBk !\N'`bȖOPFiqIOU/rR endstream endobj 4539 0 obj << /Annots 4541 0 R /BleedBox [0 0 612 792] /Contents [4547 0 R 4543 0 R 4544 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29018 4545 0 R >> >> /Type /Page >> endobj 4540 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4541 0 obj [4540 0 R 4542 0 R 4546 0 R] endobj 4542 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [90 283.525 146.6555 294.525] /Subtype /Link /Type /Annot >> endobj 4543 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4544 0 obj << /Length 19 >> stream q /Iabc29018 Do Q endstream endobj 4545 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29019 20830 0 R /Gabc29020 20835 0 R >> /Font << /Fabc29021 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4՚Gp#?$_l˜!E_@9?ɜ F0P|sLѨ\U$x2X4/s(RҥC ( 'C9Pma#bwoW endstream endobj 4546 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=334) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4547 0 obj << /Filter /FlateDecode /Length 4231 >> stream x\Ko#W@ ,y6 Gf.S/["❕dU6_yqˋ.uVǠgU}M???kS){EΘ\^z7߫ݓuWnKПԟ>$/ zpYGڅ߆OP6SZfe&쒬߅fWk;ߧd)X`@@Dv.'qPNpm^ADڵ9q{z4iA? X\xE5x?K49-!ZJ!oA?5v.o_BEr$gF-ӲA:7z wa6 x?=ҵO |^FxޒeuJS"5UЉ`7 T?\>|2\$#ס<ًʎ#Y;ָi#w}Q(YN6sS+ nNV6 ua2,ӼUֆ)NfCqr`Gwg<<*2pä`L;8W8?8H5W-4Jע܂j+sw?iR&HQukaQLi5Iv6E:7y MÀY潐u4PxҲ_iV&ktڿ`-78>(z#}F{xElK[^˃u 4Pziā3xWjHᅐ;^Em۞e@,,`OAd _0gל%l _6m6RŋQHX]/6{jtد4}IBUcԸ׸%Tx; _z*PAYbPl(ZK52iVlB,uϪFg}lV&ʬQc@F/VHp+Gc7ЪYCn%봫hvRxo10n5qN8fyrC9ofM쨝 kzX v n> ivp;(ȮuL_(Ŷ's+@4۰ҵF= ^+ NJ,ݬ8ɭ=N \prk8 [o᤿Nj~8N^'հNpR%Ib{आ=:{yÍpRgF7INjotz8?8]z@}@]zE@}@]x%<}<]z%@}@]UR&_ Sa4@Ȭ!d6`9\yȜSZC\pJa|@ wp7wqXK%Đ$CMZN7H vEvz2R{[ցoB&?rIz)qHz_v?4"oo5a[z(Je0N;>/298{KAC&iqK$ /lm2y5bg:e/r,`2Wzpr)FdVD:Na]JJR&K%« s+ɮ^:K K 4q}z':,7C F\Ӕ1V]6LvRğnmmJop6tzC |TdFCV1gb"70\eS@9ݵPx` 5Fuõ76jULu.oE3-H) 3.<blMM_P<;{(4t.t<@C(iZ|9uN r\gGjYr fYOP(:|,A`HR,%u;Ţ%L/vD;B:3KJ>H0#KgHK)-31[fTJMZTϭ*v_⊘fh k n92\T첍 v;3Uv*vP0K/Ͷ 7'I4n 3ӈͻq}2 x΋_Ml 118,eQwsp'':DiT h9?EFש;۞v&E9zg0rcW eCS!qFt'd)tO~xڵrʱ>Q>}óMaFˌ usfT"鲲r&ħt#kYj1U/]oK%ϗ`܁şrN;A9B SwbCG =tꗐD'> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29037 4552 0 R >> >> /Type /Page >> endobj 4549 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4550 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4551 0 obj << /Length 19 >> stream q /Iabc29037 Do Q endstream endobj 4552 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29038 20830 0 R /Gabc29039 20835 0 R >> /Font << /Fabc29040 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ-$ endstream endobj 4553 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=335) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4554 0 obj << /Filter /FlateDecode /Length 4019 >> stream xn$ί@tG§w Cc`~B'InV_,ߤ++횑l*NoϯN#~Z֦*|g 5 L| sp\;œu^<v&̥> [6[H>ʑIYXSw$iA"T0L$b[=Kb!&U\(w\ev#JH1eMQV_Ɉl@2M>ѫ;veZe҂ƥ.FT*F `lZ8Β< t[Pe>$2$/%!\QqUeM?^*͢b -nϷ8Gp QC$84RˠUl*\I 79Ymx4g7?BHڬ&+meP62;M#r+IYأ#ֆMl@e)dـ+v)ǩ)+v&T9fkoֶƫӒBYĠ!ӄf`hꕱ AF  kV;60`}}U1f&q*cɓ&Je4tC&6RsČ!jBwxd\@C4DIS( :SuźɧE3i!` 6j֐{Cɟ 봲v=sg1e4IW'뭇=Maŋ֓߈?:*Q Y_3biEu˜ fi\7:!v!Z]y6d*Qd*1 wH|2*d2+3v~3N٪8ɾl +;UJy'.pdS1~dZ7cٸ1^H9;k6m$d&7` /-H[IBN/,$ UNK~vH*KE%;7@| 6f.X >}'IEHRnI8oEאM4+6k;@`uJ#ft{=Sd,( Ӝ@dC6en{( °q4PpڗFMBh *jB4I(w _#}zm^v4h)s3kXkZ)h]Kx,f? X`:C|d`3!;PJ;H1=9Rf)+`4ҩF^-Eo׋U8Dm~-ǦR^P]J<2=߹ + ޣ3f՗n Vy#V)KsvdX`Lưv9$mժ#53E!l(Ǘ# Wsg?ocG0}ͦcڧgW%V C^ id-ߦq /ڶ9org ve/L̮džd鉚}boa )ؙCH<\iS@-W#f\8] ) Q~:6YeeuIPK^an51j #ȃveЬs Ūxoģa/&ojt쎰%MƟ9:6nsMTES<طuGO[Fëhr{V{ìwX>若ZIRD^YPI K1bu)kS\ʹd?7{&F;k#oebS)s#l`t,F 3Kd'{g.Jl}&Q5QO>+{nƀGKJ(^> h@Qvt3grt>IJc6rezʉ w{GײH(-++PYC`5C)Z+@"_/0ˠZEƳkLkR M*Z6iɫ-6W _MLNe `y/vC#2iJ5 Z3gg[iQs[5^z}w _.KWz>6#fZ|XX~lfCy/6;W6+<}`ncF쮌2,.c}>>;UMJ9,hܯ#GʹS1fT6Q\6x7IKE/\wNa-ř[]f[DuLn5S^ǛbM; ^*cax*ɃWn|t~+37b dxiwHAa ZScρjd&JR,,ڲَȇgm?N&c^)_Ck|rlP}5L;&M?hRy xmU2<9[*~Zw2N,?tpYm1{:J_>w0p+w-Չ 8PbV,7Pì`&덇A08h|AKDL"@^#!'otCdib0;tv<P-ч=:}b@,Dfb  KY+M!=DU;@K|gͻE K Ɉ M-tP(`e&A.c.˻1/kYz]\:c F",>Lp@Ix |C6,xH8:mpJO:bd4!vOAMgʖa.]753hڈx*X(՝RXk*"W)1q .%b@l20a9{JGܭGxq7O<*|cK1X X"r i-be5Mev'p@WȅU'-m(**_ ď/ɃCg3CkMwCE#L  J2.oT0OێQLDqGXa<~iд_uR%w[y!|ш:ޯ=O!o4a_ D([0\CVlC4K1ߙ+/ |ӾIM:˛> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29056 4566 0 R >> >> /Type /Page >> endobj 4556 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4557 0 obj [4556 0 R 4558 0 R 4559 0 R 4560 0 R 4561 0 R 4562 0 R 4563 0 R 4567 0 R] endobj 4558 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20211013063105-08'00') /Rect [104.1732 325.3116 181.9322 336.3116] /Subtype /Link /Type /Annot >> endobj 4559 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [104.1732 309.1115 204.4272 320.1115] /Subtype /Link /Type /Annot >> endobj 4560 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20211013063105-08'00') /Rect [104.1732 292.9115 159.5252 303.9115] /Subtype /Link /Type /Annot >> endobj 4561 0 obj << /A << /D (unique_511) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20211013063105-08'00') /Rect [104.1732 276.7115 165.6192 287.7115] /Subtype /Link /Type /Annot >> endobj 4562 0 obj << /A << /D (unique_512) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20211013063105-08'00') /Rect [104.1732 260.5115 179.3417 271.5115] /Subtype /Link /Type /Annot >> endobj 4563 0 obj << /A << /D (unique_514) /S /GoTo >> /Border [0 0 0] /Contents (split_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [104.1732 244.3115 198.9382 255.3115] /Subtype /Link /Type /Annot >> endobj 4564 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4565 0 obj << /Length 19 >> stream q /Iabc29056 Do Q endstream endobj 4566 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29057 20830 0 R /Gabc29058 20835 0 R >> /Font << /Fabc29059 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 4567 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=336) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4568 0 obj << /Filter /FlateDecode /Length 2702 >> stream xZKyu~f@n!ɉw/Udh nb=*V(4薊U?gT*/Y1S⬵SF9&m:w^=RnR c]I=K*q-{e":Y^eg X'4OZ'+}ayNNeDi"WA\H` pqh <"~ՠM>cb٫翪s-_-OsP4Q}@#6kCv3" U_cSW G~6d'??'[qaQ/R1Τ_Z^=y& 9(}DDs@a twO;bwJ* sozx XQ 8 6A ~g:LZ' 4n+C(ru!~SʘkCe4W_Lc$ƐvkGq3mj_MS09!IpV]Ì.90F7[ q3vV-^AJCU1-Nel[qw&X>-eM'O7w,eW*l`Hn(5zv>+&@V{҇)E9SʩAzk7e[5=Ie[:o:Yuuvo}r+׹`v)+&Ɣ`YUn6czrxcb}6##}FP.N:o܇y\:.NEn ϲ+:.DPچLD\fj /fབྷ: "Y2e?.\3 V友g?(|9SPf#|/lb13|ɸonbqA@!m+ʗ \1kE@IV،U™v!z5LB]Ύ7O,uw&ΖMոFӛ K}h޸ҷvaW5BHoVвtmU; }dC=/g}IU]׫ݖc .xO÷H|"äI)`3Z5b;d:t6~ E>d'ziC_Mbl4"j2i~V~.izA>iR>aSJCA4 \"4 I>-l[6qf!79.9Wo|$b~2ӫZn_ޤ;5DjgH!ngzWh:IqX1I1vq3ޒbmެῚ9i$m}8elD/i-QLDs54E=v~ e*+9ݹ̴bzE@KΆnd5kg.{bx2.G5I*WE.0=+5Ns G u F\f.!2IwǏ/S<2=ߞX-KzCFIcW_e[9w"mNls̩YR[[MUKuen|dM^V([hmpaAe4h7k״,d^{ŸÇ9Ӵ;,e{V|[.MVلQu*'Ke[ ^d;A+a1GlW +E.v#5&d8釾A^I>F@FNBVia[-/ҮSq8ʽ4';6\3[T8Dz*f! [G nU({|4܏+g+B:+B@EP5z{*df k)[rtWae*$ Gy-LL5vnJTs4bXW;J"=OX<ɤ::\/0X;8|IЩ+>>Tu=QPCN_ endstream endobj 4569 0 obj << /Annots 4571 0 R /BleedBox [0 0 612 792] /Contents [4577 0 R 4573 0 R 4574 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29075 4575 0 R >> >> /Type /Page >> endobj 4570 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4571 0 obj [4570 0 R 4572 0 R 4576 0 R] endobj 4572 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 329.625 118.9685 340.625] /Subtype /Link /Type /Annot >> endobj 4573 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4574 0 obj << /Length 19 >> stream q /Iabc29075 Do Q endstream endobj 4575 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29076 20830 0 R /Gabc29077 20835 0 R >> /Font << /Fabc29078 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4577 0 obj << /Filter /FlateDecode /Length 3696 >> stream x\KoW|S{ۍfw63_j$l[b*VG%rmO/ЬAI}SOO>L9ӋcBg.rz|VOO}sy xgstK!֧K* εoNgr{LAFy?/BB~фvcj _2#3o}K߄gW+{k;zާo)Xo>W4&=59f9(;qLk_:a]4,DZ^k ddNL^wRU!Cb3^>9c,cNTYeۣg4e.ۤ9† Q f2p\)0?V9MӺb7Y`(r4p,)vb}AYb=;yy-Wy$m2<"?2¨mԆ >zjH+,@8r$NF@|Ue.' dN ])]qbE%)?1&,#x:&=OOz 8g ZF5EL\$UTW#w7salMFqƪU }Uݻ--:݆<nRwu{uvUj+ jP4D*~TZfAee7[: Ӄ9¯!Mϥ9L0 1b.;ΪWԵ.WܙVmaef"&| %AUaă|6‵i${n&]٬N#E1:eDN2sf4͈#za|IH.82qΥ;{F\81#(D?8D[ħQrfDt4hL<%d(ہq}#*ouy)6$>³Cgߖ4f段 7q-EE:cױAxΛU`LXRg%Yz + DZ 0ۊ1|>ǻHmj/ ^DUW/2r I1, }`g^4dbG9hd̞6%j Fj('&qFT8_zOtL ڲ۱&3;PCJ+TR_IH/-jgdjAsB늗}<8H)OjBQkZꍥ8ᡊ]< ;&f)uRl>ęa-K*Zh|MAfZ8^zKXmT4`|iN{b .VFg}u%,Fc=*]2 .1ۆ })Ņi!ʥi.ct]OPd1M86"jZ{X::+YUat[Ml6!ʬQc@&RҴKpG7pN6m_Ś3k ΰNN8gy8فYC_;nCthc_5,[,eXȳE؀EAvuf0}L礞lJWsP]J^t8wpRb`N~ Na p SXI]}qz#@J]~ր(NREJm-RR?Pw TrXR[3YC RZYdc{HQr'w8Z\ syeא H9jԱ\kcuiR(܆bjueOcҧ|b`)4/v _t?%7HըZq]|;^_Ɣ%?M}y-Ӕړ2MyiJvOSj޷SꖼomyiJݖ]my>Q&*s7LTjDoΉj?Ή6P}R;s[&*um7NTjD'{5_NgPz3yI>439\yȤc'ARߤ+`Fo]9'&ai+V/ߛUj q?RPP)G-[1&LZR]2p8b$WK:DRX+bq Rpmk5׻5ʫs-鮾ku ,(Gf@b梧4emXÀr`کV0Tjp/][~;EC6{$g*`j`3v?sHF1jPz`Đ|D5R)4&YS:e$Al3HC߳j֏WT6 OaudS"U#RU뾕b-#%~B0Ph[RҗTQqH@YY÷ܢ d_(lg6xҭpGCሲʑG%苤,CWK)H'OLe%@>C<#ssg!̳˰ 5_rKVDEBKM3Qt('e`jVy(5e s|8ߤ/-@K!Ұk|ǶPg9(5-J~j੅$5La!eۼGKqbz!g0NQ(1Ϊ|} zАYm-SjfBdozrAT7ˡJCjΤ36̕#.?@wh&tDXs<=l t x:¯\ ߻vԠquhŠ 33,!r$x\d]NyћXvWNxgmg]tq z/ݹ9Oݸ:)X ٟ)n'n|mBʑ&YN>ɖ~_B T;_Ahp H) endstream endobj 4578 0 obj << /Annots 4580 0 R /BleedBox [0 0 612 792] /Contents [4587 0 R 4583 0 R 4584 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29094 4585 0 R >> >> /Type /Page >> endobj 4579 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4580 0 obj [4579 0 R 4581 0 R 4582 0 R 4586 0 R] endobj 4581 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 295.525 112.8525 306.525] /Subtype /Link /Type /Annot >> endobj 4582 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [118.1655 295.525 163.7275 306.525] /Subtype /Link /Type /Annot >> endobj 4583 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4584 0 obj << /Length 19 >> stream q /Iabc29094 Do Q endstream endobj 4585 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29095 20830 0 R /Gabc29096 20835 0 R >> /Font << /Fabc29097 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~m~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4587 0 obj << /Filter /FlateDecode /Length 3450 >> stream x\K6W@Z%3%`8%ŗ~Gb*+)2/WiVǠg$C?NA;?0N!M^?>҃]](Ozz<Jp.C;=g~фzcj?Oڌi~K W3kΧDq21+Lu9 7wI85"<ُPwBN}f SՠU }ʠeLy&hI4\DK S~z㤱 45fA<.KZPn }_(/q6}toɘ ƺOB?IЏAA~ג gsl6Mr?N`|$}5cӇ %YPN=Oض~bD3ub7<@-e4wuؼѭ6+6YUxDŽ\n\>H6E>1s9u|-ಖ~rn α+IڕW|>Gq<4m^$VDΊ!@(RYkIznJnTܷԍPZIZA217nϧoog|T M\Dt#:^G<ŋ98!{˭IĖ+߿KyD_{=rZ,ϻy9gcf;rfd޽~ֱhdwMzfoJ? 1a6} } }[~FZ Q4R,-+<1%ڿ+T5&Z(xb\Wx#iڈwQڳ /KMڕ&`IAd Z7 -5g&ʹ ^58G!ac;fxDӖT1dVT?H?NTs^vPH<|q%"zIm'F3;jWCJlʭP_IH-j\rQPޥBhkѨ,صqOJLQkV9M#Cex65sqhS' ɒ,zi\r-4ia r-aR-wUDiR*.ύ+<9#ߪo߶0$3[T83+f\UeM c$ "!ʥq1@. F<d&+Rk[X: : Eatlج(FArJN[.W%+UDiCvBPgmo1ЯU~ N8fyꁜjC['ng*Zwc_%̰{ ,yXȳE؁EFv5j0m![R Vr⦪lJSP6h]+ NJ,])=N \p k8+fwJ X=3j\(Om * ޏnxPJnyk@5@@5lvrDZ$)ʤڢ`GTWU'Qtȶ(Sx]2p+Jݼw@@]^~;t|x;tE RY8Ooഁm<:5Bl3+>o3P2W6RW̶]k/hB E{0TO[lhx3TgWt`נg!ދ~E4:M(R-|C_9o=5 M+^%fI;\s<[;x,|F^sXn^n[olsHh7nd;8m ?|V繛Y=9Ђى&:iZ"l0}5@yy. q)R[Cc`▀խYMfQQ3Kn\[gΓxd%V:Ryy}_r\,y˼^okFvuQ,-Y}!ZI4З,xa1-N\ӥ 1fo;U/GҼC,gKLohkἜ$p'S7l[ͽmypި '~ITzl |G5NQ~` \A?j endstream endobj 4588 0 obj << /Annots 4590 0 R /BleedBox [0 0 612 792] /Contents [4597 0 R 4593 0 R 4594 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F13 20878 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29113 4595 0 R >> >> /Type /Page >> endobj 4589 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4590 0 obj [4589 0 R 4591 0 R 4592 0 R 4596 0 R] endobj 4591 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 363.225 122.505 374.225] /Subtype /Link /Type /Annot >> endobj 4592 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [127.818 363.225 169.6455 374.225] /Subtype /Link /Type /Annot >> endobj 4593 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4594 0 obj << /Length 19 >> stream q /Iabc29113 Do Q endstream endobj 4595 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29114 20830 0 R /Gabc29115 20835 0 R >> /Font << /Fabc29116 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4597 0 obj << /Filter /FlateDecode /Length 5560 >> stream x\I8Wsk_@/2[u'0jr~[diUϒm"L[.T b6뻬}Zz'.Ux"˯mхhwOJI#KȵXΞigpvߡH(tȕ\14!C~E^:Mz_o j 9))m_soF4+_HVY6l8Q#}ޢU طajcy2JW<$<}dٟf|( dA*@+4>rܮL1V䕷4z@',-;'%MS' !&Ajer3K*x"u%FRo k9&+xg+~_Lb?]Id J;Gß6o$FPfdxF`\*Agb=gJ'2q08рh@b?܏tlYC;ȇHd2n2x4J\ʘYm*ϱ361ߐ#FB# ݬ^_} ,PWM]*-59wLc͌:fC`n'vDp)g jB'άD;N >PWv!:]Ҏ.n3ƯcߑlmAw^9giySTiylaW|5:q(㻝yւ k:5yӽ; 5吏NMj~}n{7+ Ƕxd?V9vVě1Fdo{rl{5#sa5Uj3$p P|H[nR {G$0Ǹcdmv8lxz:YX7uHH(Ƅ ݟdǣ~+|mē$ !NQأ˿mS%eڕ}KTā ^pR/k?)NJ\46YY֧#6Gd;jED j۱Pb!}V 睃$ӡ sX{Gu$͠0fY(PKsxM] U0Uu(cB/@7\aa`aX˰0, s7A,]# X#OA+Õ s Ur^y(p,` NNfa48]VpYdV8;m U6֜sy NbHdrLڮ*7c|i'#'N\nIti saDNPe469a8 D.ztbrkq'uAL뚂wT  (q!>`?P@}ֻ %n|OLGR>q%JՔO~<)x'.|)} RZ'?)O|w_Ɣҵ$ф!bH0A9)Gh31Xr_[~7N@$Ks+ں-{oBPc`gAPW+j(c66pA@M&~gz8߆Bi6[1,Tק7XՈX =X]Pzb!j>zICR`{ 'z_ ݪzGP͵/QrBnUJAs2WpQ\آ+M&rF`ǺjRlt=6|X%BxN$mѰY@"zJZp2^#W ӳ yJpe T˲E;\ѐ_ ^w3E8+ܸin=;MF-'as@ҀOdĢE]:"E؜3y=w`N[E;触H#dPm,H( ?U2^UlӼŻ A@3sָO2j ׹tv+;$؀șuw;g~΅`}AZ 7#NF\MVi] ͺN]8V!NMLTD0oMJNdiF$=AzbUpv s1cWD:I~Ϭ]dNNALź'a &`=G|a؁,C&CFК/*Hώ3 }D+}TS%wZCt&dL 7]]Xꁞ匯֩c!K2l9u:Zcx׺eipϊ㓅u6a gyAjɇ >?zD_jYZW p~ju3 V}u:63Byh]{Jin]LM~zddm5&Kg Zikj®ܱ;[=on&LJ2A08:|D^6E w&jX ;Y#PL ^21ۧ+PnМVh&I}RdKY sl12,bAnN=l3F`|^oBY8>82p_y ݲJs!|_?g3~Z~k~1k6; tp\O,f ?1?03)N,{cfh ~O{ՇɱůaS|&9ƣY-~ EI$yS^ o;%:zYE$S5aEG]>֠.dRVǘ|1O΄Csr:e Yq{}P%s04ff72vSy?o_Iteef(ޘeL͟!qeSQ'4Xht7$ZǬ++ u,s\ۏVV^l`ZF4HF|*+(UJ?!ONTd*Á8zBojNK1C.c&X _>EM%1d]<];ԥl&ɸ*^lb^6V4l&+se@9b*{ߨ΁he:=nGbe^~yJ R'n!gH (yģקT}+jXM"EswuNO󝧲 ?U@:Vo(!xd{o^Une?f 9>XjgР!qSt6T@Cn13-E Enm=o{JbhuZ e>lMy* R+IߚxrEq^veC;un[Ճ(s#r_/sARTzI3hby(~j˪TK⧅Kא5|PʓޖӾ|mFo0V4XAPR5uEݱ"ugu  /o> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29132 4606 0 R >> >> /Type /Page >> endobj 4599 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4600 0 obj [4599 0 R 4601 0 R 4602 0 R 4603 0 R 4607 0 R] endobj 4601 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [104.1732 110.2502 201.6332 121.2502] /Subtype /Link /Type /Annot >> endobj 4602 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20211013063105-08'00') /Rect [104.1732 94.0503 219.3267 105.0503] /Subtype /Link /Type /Annot >> endobj 4603 0 obj << /A << /D (unique_461) /S /GoTo >> /Border [0 0 0] /Contents (current_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 77.8503 223.5452 88.8503] /Subtype /Link /Type /Annot >> endobj 4604 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4605 0 obj << /Length 19 >> stream q /Iabc29132 Do Q endstream endobj 4606 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29133 20830 0 R /Gabc29134 20835 0 R >> /Font << /Fabc29135 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f}#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4608 0 obj << /Filter /FlateDecode /Length 4029 >> stream x[KWZ@n/ 4nعO(vl 2eXIiu2@4` ݑsv)& u& `5Ɏ]a|udPQfMd$:!E1` ?jC "\Å$z Sn"[DgMQZF]=MǤAf.}9hrS˵ ϡ_<oN/3;% %59fˠX1/sb]%!b̠eub`рU˧=-^'$p0bdc2d ޣ,Ro Ѥ׀QljG?*;kXfc])+xf,i6 tvc8 31V԰6.Qr4EY 65"3ɞA EZ yHN"5v雨6T|X`6cO6KVƙ׸Ki9!̜~V2؜ D],RD. 㞝\i];p4eB67Ÿf }b!5R(?{_ )x5 nȫLRaޘR 4$E E:9Q9(.k*C=6l!.̿d6Ly=;}BJ d @צڰfPgłJw7 Sp!ln"|sF =nx 0!IQvHY2ω5c9~ԵZZiJ ͚{-JK_-֒5CEWnQj_lmGت&t`'%_  B>spY*̛Yl־4[xĜ,:8V}`]81'^Mf2*\`<~keH- @<\/K)HHTZb bJVVotsX~oa&ꞙc[}Rd;]ZR?*c\Z.I/ͷs*(pTQwǦqE_wYgDo}brPƂ55pܭi *]6+Z䩏yzEFkl 䒾@R2-@>$lؕP_& p% ρ?M?1s{rfL@\3Tˏ^;vd)7F/DEf34SݽmQ:߀ߖ'~&lCgؚD傦〪 {qͳЕk/U v.r]u+!FG 4|ºwXo{{t^ǐx;<}[}>;'/j~!L(v֘oKc$VI58.8 U~B=-y=bO 3B }4e-@K4 ǍCqHw{~`cvZ$< "=E?d'HRUI^Ll Ai\βT6"P<$|R/cZпE*}IhVm Yp]?.<삍01z`ɂ8`V=ˇ'j n~ҳ̐ 3ȏçPs vvl(n؜" ׿U XVCd%%mFԹkX3,2m͞DzR?o6n^t"eKPZ{}79obO>I !fMu =y~W> MrF(YxHݜR ot]'=hEOcX2Põ1饠* f=)¯:'6;LoS׍u3d>m\urCVD:$Qim&.ɹr>=yO|`^><_;]3{ݤ8`P9ܧמhX8x!4H〝3&:2Ƈp?{mU m0pM^{ݜ-8/5(h"髴M?>)j;HQ:4kNF L ȱn$y’SM=eB3Va2l3@M3m!F{+S]J3yRz-#N}fjD{ UH]FWi]ɐ%_E_ &Х /P]'Zn fIu a$[DD, > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29151 4618 0 R >> >> /Type /Page >> endobj 4610 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4611 0 obj [4610 0 R 4612 0 R 4613 0 R 4614 0 R 4615 0 R 4619 0 R] endobj 4612 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 223.3857 709.9] /Subtype /Link /Type /Annot >> endobj 4613 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 208.6732 693.7] /Subtype /Link /Type /Annot >> endobj 4614 0 obj << /A << /D (unique_607) /S /GoTo >> /Border [0 0 0] /Contents (report_pr_configuration_analysis) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 260.9562 677.5] /Subtype /Link /Type /Annot >> endobj 4615 0 obj << /A << /D (unique_505) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 220.1022 661.3] /Subtype /Link /Type /Annot >> endobj 4616 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4617 0 obj << /Length 19 >> stream q /Iabc29151 Do Q endstream endobj 4618 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29152 20830 0 R /Gabc29153 20835 0 R >> /Font << /Fabc29154 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4620 0 obj << /Filter /FlateDecode /Length 1277 >> stream xڽWj$7+FR LۏEv,BVN՗IIMavľC%V}m2M6s?}AI^Fn죽"CRh蜩K;c&lḬbff/o?/?ٿ͋MV³v6JSAwqeIU:y7w#V\Zl |[}](4flt9]muxeC"3a6 ]܌Ht.} !sn#yK~wͨ [i?B?@w /cbA&Eciu-*QSvd-QmSG$[hDr4[ pm{OJ .]eFYxHuįh+4U1 "h4BˋƜ5~371V2J3OSQ9PQrTwbFp)Ml1DI>E\7uJ^ Vʚ4)*^e$u2S6pz̀|C0w[U U_jK/V>@qb8I:D83pK1}Tؼ8\8 gL]¼Oa;-YoX^c\2c13Xey|2%> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29170 4627 0 R >> >> /Type /Page >> endobj 4622 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4623 0 obj [4622 0 R 4624 0 R 4628 0 R] endobj 4624 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 283.525 124.1605 294.525] /Subtype /Link /Type /Annot >> endobj 4625 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4626 0 obj << /Length 19 >> stream q /Iabc29170 Do Q endstream endobj 4627 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29171 20830 0 R /Gabc29172 20835 0 R >> /Font << /Fabc29173 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDUZ ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4629 0 obj << /Filter /FlateDecode /Length 4327 >> stream x\Ko$ W+z?À^`o1Clv0sٿRԻv vT*"QTUQ\|T+/u[Ã*}+ɟofy͟_03k˙ ԫMWWWa)?̟ٷ4Nni'2 E?C\,.$pT2:)GYh!h.Gh6.^""﹆%^uGeuµΫ;эZ$T1}m~%#,%+osrCp$L w(_~?G?Ӈ F_t.- Hמ{Ii$?%ȤyŎҁAk`Wv"emT. Fb*d!5$t :j>L64c~Ɓc1HG'^nK(EĠ~wP.3y?@icp'! x Zá6Pd:X2ml>+͆@FcGCʜ <<z=^]H3& كX=,m&.Etj ;ǞMP{.]ry ⑴4]!wQ\#y|^)%:7ocnU#)cU atsn%(vXQܪ `ʦiW5LuRJ̳I@T;5UvnV9ebkm4SAku]QPDJ}@!W F4>ޑSHAh$v"3vM ѵ8r++7Wqǔ )J׊|$u#I bV{I w!`)3 8/ǟ)  o1#D[!yؤ cd|B/^2XK4;.n{s w;mMPT9!ofM쨝`T=,Pr`nBmBua:X jٵ eXWdN`\ 'vbvz7؉#pb[ Np:bP:2P:Oxx:P5:IEbCN+(]`!1CH $A7 Fڼdf(Pb+N@ɌowbR8b7qd~bm=$Cl;> 6 XuI"m="a0.'EvaX*is-MhnA\=v 6}ڇlA\ o?z;=ܲMr]4&kCrU.{7&+1Wzx^`qX@{=Pfr"@B82|>F>_MYvE,hi0P`fn\S LlBi%\-QUy91APK8 }?ME|SE8T'l`bP]b!>)PW s1-n8St?tHRrY8ly̸M;,ZAB r*)Ԩ,H{ԪND](̓zw#tAhh%!8+ r?ey_nԳk2Z`, Q5ǂGh h/V6:{}MEdOoV N5 Y\lf;j8& \G.A3̉v5 >%Nwn Ădmw7JaF > CA-s2w㶹}-[Kj-%m>dh"nf["2`ivݤuBqvVo_I`Q5JBg,^L@n)?, ǜUYΏaO5RHn5mg:v5(a&e@Z='V`s$T" S=n?6g'{f6mj+E iƻYlP,>F(fe}h> iFC=z|G"REzaō'8!dIB,ELYyX'kA#\`ж1{p% IQ:>wpv(+j*6)-h2Ƹ/Y"mӫBN|n9[s/'>0f;V㝽)u6.$-#w6McÃ(}E+v;ħ/CG:r-r>岶a+w\o;( ʵ6ti(4RiE"7 DJ1+b

O(q_-JgHk3 NúuCN`D&o4Klk`4MÕ`whzo;1>v!/ES-pS%d#W4SSW:ٕ:W*G+4/tE!M!cGC3%b^)R %vR wOUb  ^ؔK.@Y*n)SkT"l24H{]P,rI,\Vj%W\ZkJvh[R+5jvrE~&}IVdv=E6YGQTxz˃K LL.NMv\Xjh׌h+&¾̰ߘiƦFvތTp6>ؽ5*`siϼ.p5mXJ;zS6QL8ԧ"ۋ1*[<sǍ">s Q? 괛G E4vise =s;1{f:˕n1 Sb]a7|YveբQѪ{bT.koZUه&g͐^mRhyy/|l|=Mma!!5tYlTGQIY ?>*r endstream endobj 4630 0 obj << /Annots [4631 0 R 4635 0 R] /BleedBox [0 0 612 792] /Contents [4636 0 R 4632 0 R 4633 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29189 4634 0 R >> >> /Type /Page >> endobj 4631 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4632 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4633 0 obj << /Length 19 >> stream q /Iabc29189 Do Q endstream endobj 4634 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29190 20830 0 R /Gabc29191 20835 0 R >> /Font << /Fabc29192 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 4635 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=343) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4636 0 obj << /Filter /FlateDecode /Length 5523 >> stream x<Ɏ$w~EH @7Seè6 ]-#r=3`o_~~lo[ӛw[إ&_ R)U+}I)9_»RCW<&sLYh <PyOB <ØV&0ϰ. Ha|} m.ܳOʸ=?o,R<̩VBIFPqZJ:"P_(5ifvDc' ##n6eW(Iz1aHgt_O[­T1} =lc"fNbt/#9 R{Уv.l͢lژZ46j *I\eхٻf 'V3AIz3ƼޡwzVBx/Ɲg栝 B]`Sx:؆jR7ehOA_TAauaWXmC(*њ^ $ %(Mr"R.j/T> QmՑ _XRLShb@-Z:`w^g)ۼW~ExGWjkTO2x.d; D`Y12X4y]tE(9Ov1=&w"Wkd%D迆UBV;ڣo|&;-U!{%wD, WY1Ĕ#|ڱS*)XI5MdrO+{H\zH~=Ԛpw8Q}]UZ_eƓ{Zx.,sůPuyR%td-XRM=I"5CFe5xDWKqm~ζӻ+^R0@Kn(0\ |8$CHQbI[1}g:,I VOAmFl몍-BAlkXoaH`oڏ ԅTm٢U9>"S~:8s%qcZ#)In$IwRc!TO ieh$p,4}%-vJP]Ȥm36܆֠Euײ $"ç 8nւrcU`vktIߋWBGBA-HoTVqX?;0k]GpIv̻$%{]&"A4R~ Qaei߬I}8 <]Qm힫%c"(Cw Yxf{#Ff"kX3^1jrF֘ k-X5ژd {4nQ&j,uðP!tAɣTK\*A떣4.({!nVgWm{T YI5EA*CI`)2SsdeFAO:LPJ9OeE}~p>+luZNUeOVv3߯iphS:Ycvy`"]f:bǪ߭WT$Uh}] lJ`-\Vm) cq+svZP n#QeaDe#|-('1ɽ.d")ިHwHYıS0Q:œRhRU@] n/3B0a58A@=ވvv٤t9>\Z7Ռ4u䣛mY5,UY~9#2+uOQQH;1C8qi!żx˓|hXGHP.Rwu \lIRS6]0f=9S(+d|߰dtfW WZ:?Uk/_Eka|+_A=4=8A5 %~rP|ht;Illi ֦zZu]g߂.FzKɜ =5~RnH896JlZjKWc` |8@˜/} -,  qvz>*Mlx<4i|b] 'L'vpLjH/,*D rH2My DE脊FG7va^6zp5ڋLPehzE;t0m=mSuR%;EǻCZnₓqm{s.a#t`xJ%IX G9^4Rsmj ah^R[/0YC ^)LH҄X3CB_5X&5b TPp P<"nN[z SY"/3c@P.2љ?Ͱ,.P)`0,į#1XXhI*@s`jp)d ȤJD >p*4EI⍔W2bbu5T0sˀay]q+)w} ^G"YCB#rt.1`+h}âCo D0@MԿr@yC⑁1ՠ-aiYϽũ|ⷘ=_<5%l4@z]{%CB5X_Z|nh[F8Xwo'ŊO W(b4%ݴiH(p p#aTLib'6nST"r; /̖w21[~k'.ׄ?Z:&V\ʒ#c&QjQɢ *N yWm|ŷ2m汐9 >8zq3 ت93菦4%'Oul$yWҀvj\n}l.yv$:U\yzٸPƔ.rnnԼ)SIoAɛ>:hd4خVR-JSVځ#e>?R1@04j4{u Yk4tc<3]*?JDT:z밺1NoWO:7q)5,FQWpBA(Ee8;|zw}y2'K,i4zE!f im{QB̟/_Z&3-*~ 488Pnc. B2ןF i ٬oxta}y]_7sRvw)e9.Z{Uv t]8tKtPөsm̘]-ق 1θ}VicSRCp nTq2ÁHo|xYgxzA=^< u!☵* ns ͢6 wrQA~g^X^}ULDtVbjn҅F.vTܺGv I2 ilt˵`=z _04P`@1 ! @/ޱ_y6=>]~Yw}noܡ-jDaI6Bgocep,r .mj-)Bn6x;T#d,&BJNbe in!}.ab,  !TZ^S2L.Oː=ݐO;Qj]\:C ~Ź*sed\ބqON^R r+6j4ʊzۦ4xnR ;) &ûjEqY}A~#¼f`I s%[:}&<9e? b#uV!]TR#a8ʓ{fcO%6;8:J|o%'e[t.BZ8gp*,q&ZP9>]ބԂ2L뼪@&`,H-_\bҕ&K"/+KrT%8Έk OSÕ17ZgiF5Ӱ+ L'ʷWf>_)[C<ݥm<4(SG|wT_ݛŨy1:dٖ2e|Ώ-{SSRalt+#yѷ,'$ѿځLv=LV FБOGsiZH~-蘩Xa@g9$ {o_ [~^Gz.8ܮ/|W )m`  endstream endobj 4637 0 obj << /Annots [4638 0 R 4642 0 R] /BleedBox [0 0 612 792] /Contents [4643 0 R 4639 0 R 4640 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29208 4641 0 R >> >> /Type /Page >> endobj 4638 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4639 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4640 0 obj << /Length 19 >> stream q /Iabc29208 Do Q endstream endobj 4641 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29209 20830 0 R /Gabc29210 20835 0 R >> /Font << /Fabc29211 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 4642 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=344) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4643 0 obj << /Filter /FlateDecode /Length 4259 >> stream xɊ$_gAc_h&Щeɘ[bͥF5U[DǤ& ޿L嚚K|]M(ڳRII7}9J壔 g/Ex@5h0R"_RJ-}柳&<1Ah3,Ӥ<^DLUJ{XS &6sT[OjԿG^C.:NR"{XU Tj5;,* ԑZҤٺ pQțLT]@F%N&(8os~*yB3s7Y(aR;R>$ܑOn8Gq  2%gAIܓV9: ]>)iBPU=) z)>1V Бh" ڏ+f/cF^cØA Xg"=Ie+1!AR)E[b"DHܑǁw Ab({Ft1kt4\ĵb.$"ciI5yEw%}Ps1΋lj}oI(A"sxg͜p@U X᎙Q22Z9@GAjQ]YɋRufwev+*İ^U8# F% (.ydy<-Cy6v ڑ-㾑 rkEf%-oP\m6}E'+9%}a'VJȉ1_P1-RaQgƠ)ޓk404ʢ-AXz ( 鳑A6XW繾̏9`xIU[圹Xۘ|NDfD.nk9M:G޺,W!Qo儊9`t\_hgR_66fCWl$L*P.\<~lTr|ne/v~ ΤlGdǣg1XY0H*L?n] Q.]Sp-wc,1wJp N zeRfT#c,slrb-xacr𴪫_S*rmw FHj:EQH lcQ.bvYBmf7蔅GcLQ/Y$Ckk"U2 \­jЕRFW#MIY/;e2sӊe% 7zLY{ {Q-QzX.'G`xOs naAn2c] uWϣj]ׂZ3(koK34^q2M݉SvVbۤ67XxXH'qPt -ʘfo;]A0`Ht 9t:L +$d6>cy~\>UWzs=H=Y\aXTYQVBUK`񳓶f-W/tvcI`!HNK3mƣۖX cVXk܊Mk_zWbAEײGa$+E六 JюW6o72捸 vElop-|H7h2 ˜.AMMWgnfe_B -ҙ^ϙ*rQd: s '/.dUv}|t}dgEa-7v:6j'v\/'X3 5*j񿵿A&<v͑[ek[^FTES'%lm*X(p@Py|t-jSWS >p=M *e=9^3u7v?ooܢwĦ\CA]na"gܬcקJJ>Q6% ([yjܿ^y*ao}~qk{{Nec^K2^,ݺ*~S6¬,Xx>o`PQX%Bq{ʅ͆bm݆>N-L]j JdA}/׫n:pp*=XfXnwW; >Jѡpnn3椺SYƒdlKRwl.NbVsM;sPE>&9/QNņҴ:&+v#{-YMY^DO<ث!F0ǁKbϯGN-%iVǡC@f1eL(|N jCt|"殳t;fޙʌ*T]jQ ^,;;@Q)2[ ~LVa.Л|׍ |1npGg쬴د+j&V :5}swTTۑvv\v nefv{!tMGʄRu~O=h'knyf<12popgQ3ۚw3`6Jz֠q{8ky#M[YqW苑䍂m _y, *kn+$L9Y~<2 Ygoӑf oqz:H/oJkO@~"j߂zG&!O|xI2 D\X2aؿ -xGLap)LwT#]}<6=JA9#2X䅔v9zhȶp~ xY.X'QìR:_ F Ϻ~dBXIM<5Ýw8>2q$8' tbM+X-h 6jn#'I3F\JF؜e%ctڠO G8SQڙK]3Vt7୧^w v:e^j `_D>{?xZq S0gRe u`e^2f'Th r@F?KWB5~g[3F3H22@ȨrM?az “MA=̼ ǽ=[+\y)-:(]2԰Z3*1?R>J/'~(Φ ؎/+[^Jld13߅fI> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29227 4657 0 R >> >> /Type /Page >> endobj 4645 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4646 0 obj [4645 0 R 4647 0 R 4648 0 R 4649 0 R 4650 0 R 4651 0 R 4652 0 R 4653 0 R 4654 0 R 4658 0 R] endobj 4647 0 obj << /A << /D (unique_529) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20211013063105-08'00') /Rect [104.1732 348.5424 167.7037 359.5424] /Subtype /Link /Type /Annot >> endobj 4648 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 332.3424 195.1267 343.3424] /Subtype /Link /Type /Annot >> endobj 4649 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 316.1423 192.6352 327.1423] /Subtype /Link /Type /Annot >> endobj 4650 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 299.9423 178.0272 310.9423] /Subtype /Link /Type /Annot >> endobj 4651 0 obj << /A << /D (unique_554) /S /GoTo >> /Border [0 0 0] /Contents (open_io_design) /M (D:20211013063105-08'00') /Rect [104.1732 283.7423 178.7257 294.7423] /Subtype /Link /Type /Annot >> endobj 4652 0 obj << /A << /D (unique_555) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20211013063105-08'00') /Rect [104.1732 267.5423 167.3957 278.5423] /Subtype /Link /Type /Annot >> endobj 4653 0 obj << /A << /D (unique_566) /S /GoTo >> /Border [0 0 0] /Contents (save_project_as) /M (D:20211013063105-08'00') /Rect [104.1732 251.3423 179.8862 262.3423] /Subtype /Link /Type /Annot >> endobj 4654 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 235.1423 165.4707 246.1423] /Subtype /Link /Type /Annot >> endobj 4655 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4656 0 obj << /Length 19 >> stream q /Iabc29227 Do Q endstream endobj 4657 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29228 20830 0 R /Gabc29229 20835 0 R >> /Font << /Fabc29230 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM3 endstream endobj 4658 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=345) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4659 0 obj << /Filter /FlateDecode /Length 2953 >> stream xɎίy+0<@n`NAu~F*Wucn$RWru欎AS]_^[?/[}Y3E=^/mL 9U`TxE.tиaslLvoja.{ą|PN9B'@6%&%^H T0SI3OX46z95fcO.G-o4~X6QLI0oGdTF6)_ 8naa쓴%Npp8lأb'W4c@pCgaQxu6QcBKn-o_l6Lh4tq'l*Ɯ'zG#:D ,3٤&_c$jY%^y-: mϦ)y+Y-\0iㆻ6s6/dSɦ$ мE&0$[P[sz(YonYd=Y4B!Z!yꔧ@DTL?/`r|Qf̾eC+E2 Cg s`?l h'*P~гE<]ZJ$s3c tAqZabI0d#b뷉_~h}5 ;hkvC"RDygQ$?KRvW~дY*ov2ܮdSUlZ"s28'\N-Tf>a+*!)sk~"$)M{f,)+o:'KVmExiW5>ܶRh][.Rrm=!1ȡC~T[}.lJxEsv jgCDm0P@ƪ`n(Gu N {эJ߫(ޱc ;laŴ= ƧYm R$#aJ&@ &ʄZMϜ=3rgΏjnDgMnl^뙱=]+{˄,klͭ*Kݖ֮,3׊D6vJ8'7 Iq}ֱ."m :EUXhѐ n1M#@+!%R)Qq(Jc.ܻvL-KmPHb>ưX]; kM:)xh,^k)aay1'G,"W~+X`%-DЧWYVF 6{wzEF^@ǧLmMAcZ -'A wi_'m7|< ^B/Jo JƆ$a"17SXċPOTFOՀ,&_tb  ΓDP܄ 4CΠSI4mtT)W[Ô՚xyhWJS (]6'zাnw1`- ~ŧ'i;GJvj lpVC/^?ǰr}R#GiF?\Bf>m禐RTܰ DN4j zWAJ{IGe!mS~NS` VJ NBpsneuzF,{̂Xv/CސDh`2C= еn!F bO?y2M%ͣf @ +qVz^̱{%5"x%;/KQR2೪" d\Ꮺb¬^r > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29246 4667 0 R >> >> /Type /Page >> endobj 4661 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4662 0 obj [4661 0 R 4663 0 R 4664 0 R 4668 0 R] endobj 4663 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [90 239.925 201.1385 250.925] /Subtype /Link /Type /Annot >> endobj 4664 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [206.4515 239.925 229.304 250.925] /Subtype /Link /Type /Annot >> endobj 4665 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4666 0 obj << /Length 19 >> stream q /Iabc29246 Do Q endstream endobj 4667 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29247 20830 0 R /Gabc29248 20835 0 R >> /Font << /Fabc29249 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7qTthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5o)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}KZ endstream endobj 4668 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=346) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4669 0 obj << /Filter /FlateDecode /Length 4670 >> stream xnί9@+|K n۽f@AN ;e?UKnO.ζ%b|%I-;e&LK?EUхʗ7;\t|^,>j5yZ0W2fx/!_~/ ;t0Uzʍ櫃jԠ#\$q&/OVOLJG@MjFj ‘Z H6d3h4MnMF6$0FK>RqnҚlZрWie٢cC=/O֐ (pp0 _ZYa=<^=Lj,UD1dz3*@ʳe#lE+cfDapَ3MS D) Fp7h 91!F^L1W|  a6&JaCC$Xs %͇>xghFCGh }0[YT}:ҩʞX-b=*{b7Uv*nO bQ`@+v(* z̓`aėi zHm @lz^fFB ) :jJbKZv;TљZS;еѠ&H*M Ӹe@f_!t1g֛4:5xf4WsخfKm^@XM,2:\ Ȝ̜kGzNQT+EY>*/OQn\qd]E !R` h,O_,S;e6pFnJHgj 熞 Nf |ޞ ;9u"btp)׸`5>JMV٤n}tUbwbuyG3V {W8v5cݨ]a7zďATsq<Џ/1w'gXXb1s#s5YM2p%0٬kr\zbK!ˡ8yk` }v~Cc<*׆Sԡ*> 48FA̱j4OA,LL-+!,W`4_/GҢ΋0zot$zWRl&?~r/Y }=h[3WYaac搮^l(r5/$:VsAcBVu^u}D6s) f M~5|oVJ`߄ FMqk^AU{9 ў9~ hPWj6+%~0ZF7"=z禮TuIcbq/;('6nl:Gc j2 զYZD|&6/eWQ c!KWVy`ruZjL2yca0dܗY4U-s~٥!$ĖӖtoا1DĒgM(R:UdYfmmFZZAmu1[Ec]:Js LKbJwȅ3@lV^a]@u"ڭ0fYPXq\C*: Xa U'*$KG6 i`k3XsYT.qm5dX\EUAՅ,֠MVYMEb+Uq[] Ene@JǕseNb>_k,O]sU 939S(/SE9֜q1'pYze4dRD0U1(cvo *ʘN1ISf#9i9UMq 1Ks=T!U4dsD'݋N @Tɜ1U dN˺РDc,`Pb#>bPbe`cP4c:iPiPԙO{O{}OiPiPGvXJfR"U&%XJ|I" &%6fRbmRVKaR6˾6*RF%_bTbkZzgC:{Wwx?N]gTbGȨĞ8uQ]qĕQV\nCbDjI4Hg ae|MP`݆b綡TwJ6PpB?jpTdfm 7櫭HTOZ%  LH|1yIAweO7v;P76Wd| [CD21u#00~zg =b?#(S/0ïTZBܱ6U+v$[Wn b .@r0':4 rƛIaGxOgGXR Vd\hQI ژRF䞼Y ){ߖD]Uw Qpe gO\|KkQD TtIY:g}LQ_!4k#p1U1.P=M,_Ʌd,eV#<$idDR %+bG'.qTJ*Oϯ[BFHUO</\#ƴTlHw_3$x-0]<5FEU*bn0^X"7VsWL",KT_#c5ˍ;Gt5lAH.JLvU~+fY^/k"v\o<08\-7yٶqt ^iJS*.NŪm@cB9Ui\Ҳ7_7-t*,-٭S$fHøJ `̀ƞOd駎G=Wfᗮ^m{ `MCMAxȇLMf|^V@ ?4Autyǘm}8P>0#gTty>ŗ|}kZd6PZvcyjg(TS+Л? endstream endobj 4670 0 obj << /Annots [4671 0 R 4675 0 R] /BleedBox [0 0 612 792] /Contents [4676 0 R 4672 0 R 4673 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29265 4674 0 R >> >> /Type /Page >> endobj 4671 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062943-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4672 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4673 0 obj << /Length 19 >> stream q /Iabc29265 Do Q endstream endobj 4674 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29266 20830 0 R /Gabc29267 20835 0 R >> /Font << /Fabc29268 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4676 0 obj << /Filter /FlateDecode /Length 4026 >> stream x\I$WPi  ]U `|=cz cўY[ 6CM2P(EZ~_"oߗrM-.Q%IM.~R_ۣƯRE5`򷣔J}>_"| U2Z8J/RoC ڗ7~a |FhLgw@[aL^5(q8 +)B=}֠-i)'_uzJ—OL xE`zY6ު<0I ˒{D޿,—À̉{ 3IV\H.QHIbxå3#f.|![c+<ydxp9Fud2Af2 ;D(6H,"c68V]](GDp3LQ2A6qMI>|Sͱ k #( O#A%3Jg)kܘo"aG`ʊ9&­']=y`Z뤴Yi%-(Wb 'Ę+ ̪]Ji> t>K~ ~8=*h M("} ~bR*GK-[kyg,N]TQwY'ZM`6!sb^;RDN~u tէ:tM芊kBWtLnǤ5yզ,_VҼ]QclK /~] Z/=V.2ٹdnz۠&DF<j1prwv{?[ſ&~&* ϕ@AƬ4×@5IxtZYv iГfOBCb`7%TL WtFdת$*܂YUZv !Lfxb!o@\bx>giyR*J| 6~qzW. Eb|ڎۉ7N$fsd,5V k[Q%6`EԶ{VV[th,UUV9dFmK zF$k(*t u>#zzƟO4=1ZfZG_:!^хqJAXj6?φ=xf{BJg:· Xhbp Lˋo)iV@!Տe)P&]֫tlUsdkA؁A_\7 y\T ,]Y Y1wcrEEB\j b0?kaIc)zt .ͧr > !k<PY(1¼w s1AIld $S@$W>6~qPn1~^7^v^JY !ItDd&/kjDʘ2@:71hd3 Y>Z,xX-HBR{νCR*]Z{$57b[5ԶgWA4:֐[-@ʴ{9_FfĨ(OײJbͮυr|/.`hL.ڬ)TW[|hD%DTY̘LI3ީCsɏw[rxγ*W.]2*Y^ցߺ/nm-Gc-s> ݝjxms[]B^ݩK9xy*:p0ܦS&.u /tNԢ/xlnP>It*=G -;6;\\{f疡1~pvЊ{LLW\ux):( Nկ?pKz˅1ՄpK̾ k7?BӍ)*ǎ1|@jqg7wޭ1<1X=[oW>kճ 0wY"|h9UVq} F0LP楁 Ŋ:C/Z.jD6/M<:C cײu\ܼp ~YΝW$ /_Eyyastb n[?-/f !9,q_Sm>jo]4_nye7֤ 2ߨŮ W,r}ue2 * Dѫvi]'nu^\l9_'>0/f0Ͻdǻ)u*-#>MV{$L2O>)\ndFڵvvp%nn`h\ueЬ8vnl [&4]3M%[(xVڔ +іV\EP]TLkM]yտC8CC+BǬ$uVI]Xz |+"e. f8U/8d.nOTP3vH)Lo$vG0g.i>n>2!;iIHihVO&/DjfJ $(Y׷LhMQkTta,Ko,qnu˓sMU$h_MLv=utu~[_ۉ~}c.%#ylaӍ*$ 8d .Yx!ɨԳڐmI,B90_%B̟9S''i4O~Bwt)v"*S@D9jt=Z?ȇt,IJ=[Ruu])<^ƢV>J#==zx?EgSa. byF<25c8.nmjZ_IB endstream endobj 4677 0 obj << /Annots 4679 0 R /BleedBox [0 0 612 792] /Contents [4690 0 R 4686 0 R 4687 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29284 4688 0 R >> >> /Type /Page >> endobj 4678 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4679 0 obj [4678 0 R 4680 0 R 4681 0 R 4682 0 R 4683 0 R 4684 0 R 4685 0 R 4689 0 R] endobj 4680 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 464.4308 166.3397 475.4308] /Subtype /Link /Type /Annot >> endobj 4681 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 448.2308 164.9372 459.2308] /Subtype /Link /Type /Annot >> endobj 4682 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20211013063105-08'00') /Rect [104.1732 432.0308 195.5447 443.0308] /Subtype /Link /Type /Annot >> endobj 4683 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 415.8308 180.9312 426.8308] /Subtype /Link /Type /Annot >> endobj 4684 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20211013063105-08'00') /Rect [104.1732 399.6307 175.0572 410.6307] /Subtype /Link /Type /Annot >> endobj 4685 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 383.4307 165.4707 394.4307] /Subtype /Link /Type /Annot >> endobj 4686 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4687 0 obj << /Length 19 >> stream q /Iabc29284 Do Q endstream endobj 4688 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29285 20830 0 R /Gabc29286 20835 0 R >> /Font << /Fabc29287 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}X^ endstream endobj 4689 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=348) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4690 0 obj << /Filter /FlateDecode /Length 2223 >> stream xYIk$ǯ@c_(E5['=Ɣ }hRI-)eo_m>:1biQ⵵zէ9vX&`;h`l87;\&](8qi>[ƅX٣;x=]Zr cR ${;9È`DQ<-{qvsaPZ`6(Wsorp 3z|ǮqVq]{A\4H$ሸ{KM9-4R 3+f6b۫v3 ^vRW*[8ݓCK::lX߬Ly%:w1beWFղ+mf=j)X߱K32H:3qBd?}| v뺍:7ivբE\E,BF. <4?:|_qr`"_Atn.> MbKu-T:_M'V=X;90W[X?/v|[9T~Î0;M46s '~_~Ì4m/(RCjHf+r# L-L r_ӏ/Xqwp\@ Z/g[=uG9%KB큞ɶxC'B9ѯti NA8!XwbֈWˀ̬IJCPY-uF5I&.(n‹j";T@o{%۸_]=u׫Xs )sK] 7sgƲec;EQH~bhK}% w~? 6r^'ٛE7ڰka- VRyҀ-: #Uk̵FL[S-4!.މ O,XiNL79x%(fz=y[R,'M#"PTG!`OHnP|\(~C+syfv*s`Od$! "zn v z2;N5Қ`Jtn+H"-)T~JK7ĤmAT.c\cx@2,,Pe)B?\+|j3M^SFYl{P0"GLrxsv%,Ι_Pr@aj^gL.$8:QauV&3;b](3jg SV : m6Gޤ\\xuKr w.ֹ v>A)3ٹh4|3؞*aRJ1ԳM/4gܦ~۩u`Y S89 /]9'/r-G06F@ D|R0X6D_K$!zO Iu'&hlkjoV~2hv -#ZWV>brٌl4+,Um-UFKgK'i0`G[$xDe2x׬ܤ.: ] bͲ`H2@B3foEI9%m(ZN"ZvM !) 6=]ljRjyĒˎYSQ>g~*D+? 3 " D u]qN xG !2C(k,(8|L]VbZ#.F($.뢷.+n]ɮ9E5~PSmq؀-*!'I NIms¢_7$n=/S:rO]lm%Jg,_;gOw EJFM`밊P81`P H_mI ~t98_Pt40\=y !&('e/,k+bQF/ 6u7nVa;0&ឰv7z{KMt!"l< X8`>I!IgR4X 9{[1 endstream endobj 4691 0 obj << /Annots 4693 0 R /BleedBox [0 0 612 792] /Contents [4700 0 R 4696 0 R 4697 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F13 20878 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29303 4698 0 R >> >> /Type /Page >> endobj 4692 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4693 0 obj [4692 0 R 4694 0 R 4695 0 R 4699 0 R] endobj 4694 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 313.625 122.505 324.625] /Subtype /Link /Type /Annot >> endobj 4695 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [127.818 313.625 169.6455 324.625] /Subtype /Link /Type /Annot >> endobj 4696 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4697 0 obj << /Length 19 >> stream q /Iabc29303 Do Q endstream endobj 4698 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29304 20830 0 R /Gabc29305 20835 0 R >> /Font << /Fabc29306 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7IPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9" ٷGӮBxgH h@1H!6hxfxoBD>.PkP=(%ypfE3Rwm-־0ZOt⩔L2:)WIkp:3ϳ-nYMޚGFx|1$I d_ ECC 0yW!ӘV;!JϡmтGŶ$b endstream endobj 4699 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=349) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4700 0 obj << /Filter /FlateDecode /Length 4916 >> stream x\Ko9WyI0*Y ̭g a'v/7^|CU%O.eɌF|}2'lo/S3Sb0KӿO~f[s1OZy:Y w!;;_jb6ʭ;Os-wv(-j^Kߦ/_wd)hn\=\5Lq hSh~emɌva'Ir ;&PIZGȸLku|*@ޒ1j6AWXf"/=t i}Ԏ=~VB V2݀M]"hޓHE /r`/OtoS2_ \\ㅟ=|PUHG|糂s2@S9jܑZ6VjET+~fSF)PRxNjbYE]IԢYxf_*/kzWyz~ܚժ\QH3br^NߺxUXRO _$"xr+fOxt$-2F<*_f ;2c;@wͺK%L{e#S=+X Oűd2G33D۰(xBZ)͙ v 711˜-Veւu^eւ !2<{X3W2n괛k¬Vdkxp[v\P]mW+~IvO7+^ݣūAD$$5gI9CLmg.h{}AX=HI6`k@fAFnO%`*`NVxoV0׵,7z$1UZo nyZ:(˺KUMcew9-]5aF%,s{+h_ eIyY 8 (jY]!;M ީ{LΝyo}? 4ۯb(9zOCp<} BI~2+L1j0]p|&AJ)h$@V(e^rs t >WW@k5&b. +~٥97lc+GY sW6{=$7 b!/S 嚆`c e/6^$O2 q{ulqθc}*= -Y=C\^o8tTIK ]t34p%oy `r%FB;{Wct?ߟ_wm)jjb-Z4A~HZT->ݓ{{D{m 4/аjƈsX'n=ڱLBێuqhՆ"8^ǜn^pI M\»4au'*h'˽@ WZO@Z4w9d@ X&[Y$ TB\4}LC!a _;( Iw/B̜Mr#j%NTjvԸ֐GT8 Wj2 * BL&1agXPB2 TP^I^Zg$'&Nw);RjKd@HyZTŵ_K1U*c"4TыàcX:&̅a 9K,Rk4rBS3h[m -lFQUݲėfIVwA\iɡw!tK+߾mH%8K]dNj9P s8$9$BwsAiBeTj-ȸY I*ӣ==6{d7Y(FAi*U>YQ j@R‰:w3Y]F u X㽡8=8aUřy6;8eJfGj2>xa:6+X,0 X=X1,l uj}4۬V buSia+9Ȏ{j]W6(XYqك] z* \dpk8+k9Wy NjSOd 'x88>%] D/{Szh8ar w NagN=8uF8uh'9j/n8uDZ$DjLEP=kxonߢq'A4}RIO'nj^[ڧ7I6ZiIuIݼu/ZC@RcZ(%lPWӟxOwMRW$soW$SIϧ$SGsoW$)ڜ|pN=}>7'qˊ14_ ^k-0  FdJzJj:vKRWvq!2w#%SǐHɯֆPc;d2!(Pm7H.u\KPvKvvٺ(jCAud)Cv?~GQ;/.Ad); pcm% C@aF C9g(-zz4_& :/+g:UtY",L-JT11 v]ԙʵlPDxSEq9yz9qK&$o?8 LF z_:ӜAǞ/rGʣxF"P2oA@F''N)C)?pCF~#nj4t <={Z~%]pٻ`3kOߥOB"Bu{E(#.AZ9cc@N \r)6@E1F1J,*ubSg_r? 5d­Jmpp@œ=LagbsyS2rdP!ع)Hb1ZNPl!Vr{r "XNeSNG 6 5M\nBbo0in/&j8rT=YL7L~*Q RSc _ϞaާhK@+4%LԨ43X\{$;wڢ9ӬA{uZ{NC!\s \.l֦9¤0TƶI*a.|PC@c|E|!.Bi'8_eܧ&NhEs{U;,l9I?0: #?haZHZޏ,@؇pu, QAL>l|8>9h'~&WJ'0UAY;nG ER3X_qvl8) Н_mSV>V^-yKY8V8pՙH#жox'UOźL'4+k,%$B}6GN/NК}E;euMASfږoFlN<<7 Põjw"W90X*etrQ !BoJPZcǐ> aos>{܉A0pFGs۩=v.c.#X#-!o78McgS.TjH28\D)9DcF'3PPHr3@G0iiyz05A8e#\H+"f2:&6KO7KWk7ϥt'zU,m!-x">MHC#sav8g0#q3OI:46ѼLwy is-yoHEfDnU}@fu0eA@w ~(絔$f;?[V9,w5I˜R{zG߭G׃,目8ZJ7XLt O8:l5eS3&. %ҩ5! #%f%"bK}g`8ɣm<ȫ{RD $5_.TRϒU;%LoD DᄳB+>|6/rI-ikGZꓤ՜R⹽cy%xaF>.$J,#jyOԒ2kϥ* oqNQ|sNHQ>P+Y~}B NDt =C9t8wA(d=v.r≨դO>ST0.#\{9~]HS^{iQ.*zSqS}$ G>CZ~^D endstream endobj 4701 0 obj << /Annots [4702 0 R 4706 0 R] /BleedBox [0 0 612 792] /Contents [4707 0 R 4703 0 R 4704 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29322 4705 0 R >> >> /Type /Page >> endobj 4702 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4703 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4704 0 obj << /Length 19 >> stream q /Iabc29322 Do Q endstream endobj 4705 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29323 20830 0 R /Gabc29324 20835 0 R >> /Font << /Fabc29325 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4707 0 obj << /Filter /FlateDecode /Length 4275 >> stream x\KW hm-@ANAOHY|Jr={Y,mRXϯ,?|T˷K&k H/Ev\*vy,2/K]\xY 8"$0+="^ٛފwM}L,9/fHJYɗEsD w[n4p 3,>s8āwx%& `cl\؈2WAʛvPe?#AtDg4-ǰ>RCTQIppB>nݽwܵ_([{`S Bbhkj &Alb +˰k@\;IuYlJ$v"AE U$PZ"i`.~h.eW4iUyK*-&{FzJ9.EgFZaR4OdɪF?ly\FkMBU9RGy$g/h8 rq? lZꖋ2qbλ!5NqM9`j\*? Akq Vv`rpAKmW4[i(7˻eNΤldb;֖c÷X;>O;- |nD<.5ObgT4*E4s<?Рm՗H>ޕN\j׫H8 ް [>RdCXw22۰ aΓ1?2d#tp 2dRU9@̘a[AqUͣb.qv u֭1[!h;-qhC7L0rـNO85IᇮJ Ykg-K>FtS܂ɥKѨ|+~3>y*@Fd;wLra5fe^ћ8F@,)lkJz(C,V'-HlE)ƢbƏ=] .$񓌞eJr}-v-,&rs/qk+f}zR|ɤ+fi!N-V?C,(@:!BӶ>?@0D%v-ؔhέ)̋QN]ܖ&F.>ȹclVTpYӡXZlb@Vu^rHBWtZv:[zCҭlh~MǐȝY cm@u3YWM[ HA޹GnF6o_a|%W syp \6Eyx!L]-3<5W&w |!W % )&e^*!fꊮӻ{B}% dYKo]$e[\ML`.Bn]bX+I\UBCBQqx\U0G jUXFW&b}Ft^/ԳBAAc+ew=ã4lKaYo/*r)T %p1i=ɈiACni^v)\CLDM;Ctg1?U ]zNPZ~k}#[w]5?[{#dQ:+[z=q\'@}Π4jWP֝;`A`.-*RF3,dkk-OQ7Ʉ2+CCڮoَ"F9"nBZ˧ȍ "WSն$z}nEʡt;<`(~V(FbؓIg Jd_jhܩ>џ5{햩AnYm'hă#OlhQOPyh*A 1CϓFqvGꫴ]4Obǂ9Kv_ 9bb >]O9RWZ9w5UrxPV>h6ge;8tﰇnufC}T \$<k2.v2x=s+Q ?N1V 6j?q8jkXw+ڤk#ghut+*HgO,DU)B]/mK>%Illq騨YԒ+Sѣ|`g[r,`s*3@Ux:z\* c4=5Cc3Ԡ,:ƝەPw,jrk͙D4@9֭& ' );۽tA,&5#_.u`b/؏EsU!5 :b'Ƀ8Io A7qiý1}z{G7sE¥/G6p3`e,*>__){<=!Y"g04K-w BKve9gkΗÜ]=z64۴ 4JDӓV"NS0CL]y-?kG!T"HAB7&ݳiU,Ώ?y!3H䦅84gTnDNa>g88e?bQP#Z`Hri Hv qYql8b[ +ش:v7zO=k|mI%K3E&HtnZ5IO??@H)x${L|ťK* n;KBEpS긼?7h Z/@z澋ĝ[ߚ*7p7G`0H.b**Uńr/'r@\ӸLx+|K<\Kwk{\ xFPVY+(0> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29341 4720 0 R >> >> /Type /Page >> endobj 4709 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4710 0 obj [4709 0 R 4711 0 R 4712 0 R 4713 0 R 4714 0 R 4715 0 R 4716 0 R 4717 0 R 4721 0 R] endobj 4711 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [104.1732 587.2 201.6332 598.2] /Subtype /Link /Type /Annot >> endobj 4712 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 571 218.5952 582] /Subtype /Link /Type /Annot >> endobj 4713 0 obj << /A << /D (unique_504) /S /GoTo >> /Border [0 0 0] /Contents (delete_reconfig_modules) /M (D:20211013063105-08'00') /Rect [104.1732 554.8 224.1172 565.8] /Subtype /Link /Type /Annot >> endobj 4714 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20211013063105-08'00') /Rect [104.1732 538.6 191.7112 549.6] /Subtype /Link /Type /Annot >> endobj 4715 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20211013063105-08'00') /Rect [104.1732 522.4 209.4047 533.4] /Subtype /Link /Type /Annot >> endobj 4716 0 obj << /A << /D (unique_607) /S /GoTo >> /Border [0 0 0] /Contents (report_pr_configuration_analysis) /M (D:20211013063105-08'00') /Rect [104.1732 506.2 260.9562 517.1999] /Subtype /Link /Type /Annot >> endobj 4717 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 489.9999 165.4707 500.9999] /Subtype /Link /Type /Annot >> endobj 4718 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4719 0 obj << /Length 19 >> stream q /Iabc29341 Do Q endstream endobj 4720 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29342 20830 0 R /Gabc29343 20835 0 R >> /Font << /Fabc29344 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4722 0 obj << /Filter /FlateDecode /Length 1817 >> stream xڵXKo7W`Y @+@o)P) @|X˕N+ppH?k-Oؔ}N.KO9E>?,!kv\BǍZ' [}TŪ@>@ 2XVg; 惵Xci*7?m@%A蒙+MUe;̕sh;jfafDCfkaD* T\FGO8\vxgpw?j4 \7n}`eJ!VAGw\\h$twV5-&Mei>AXLH+ 9 :N@ =^;HHbzosxo; : 'e&څ`@Ć-X+A c2ѽ'6IV E6|qtӘaj'+# <`͈jZms"<ͅ+,aDg׿\\56B30Ra!ZO􏼾%O~=gow,߸Wڒ8/Y3'/'=w\5pI(sum.T,Z of 8E WcAuʲ`↷ \d?ҏecJem)U^Na^D!@TW|=DgYX%qצm?kB뉌o%¤Q!_b mԐCUau3wTWUPHSJK׊M*d&e'5-T98HByŻKPko7@gam&R1pi \5E]1/_p(lnj.ֵ -H( H7V: ;rWW5xWhi/Ab‚3&XX 2sVu% 59tpuS0Ksw }?#8{xzȻg'g'! `={yFzַ *38VH<8i Ib!j N;b9$=bZ 8* R'`QE endstream endobj 4723 0 obj << /Annots 4725 0 R /BleedBox [0 0 612 792] /Contents [4732 0 R 4728 0 R 4729 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29360 4730 0 R >> >> /Type /Page >> endobj 4724 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4725 0 obj [4724 0 R 4726 0 R 4727 0 R 4731 0 R] endobj 4726 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 261.125 122.505 272.125] /Subtype /Link /Type /Annot >> endobj 4727 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [127.818 261.125 160.51 272.125] /Subtype /Link /Type /Annot >> endobj 4728 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4729 0 obj << /Length 19 >> stream q /Iabc29360 Do Q endstream endobj 4730 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29361 20830 0 R /Gabc29362 20835 0 R >> /Font << /Fabc29363 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫&ӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@?a(Rɐى9:Y#rU ((P[QSqfgя'~'DS=Rȃ;6+1C+pצb/mk: S[${JLh*$RG"eSRj)\Όl iVR`^$jQ<<9C99 3/s#9p!g9`Ni)QXI*d~{QUh^@wP".**3h8r}-z^lM7 endstream endobj 4731 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=352) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4732 0 obj << /Filter /FlateDecode /Length 4562 >> stream x"O(]p=~/Ec%^w.^nc ?8;21&l14P 7_/?!qSPg랰={*2 T5R !db 2D T LEM& `7S_^B<=R,< FӢC%cijt1wXv mJF3_L^)y>{9pb !^v;lvv)Z'9E$xvLv;H9GE ~H8| u\hfdHe"'r*LPHv<xZIi~Xr./:mDm@dl;*@%K!b+lLPЏ3r@Qk uiQ6빣ge;Ks|FHB:g3͂Zɶ/kz< NՓ,C#K2$!wIzysr]t#hă%ooovjv e;}ȍfP2Xؓ 򲗃Xe3m]g4,wcȌC۬][ mw!2G[kd]jDML>=|S̼gfVĕ Wr+ڐ9wTt[ט M-z+XƼHܶ}!٠JVš[u1MFŔm̺2wc6khܵSG,ڊ|ܞ˔.\4KU}E!:sdǭ{Xx '_9 o G <HڃIRБ4-t !'ܰ >M}*`4DAoo2BKEиP)_qo$=vo <-Jq$2j0JїXkq(ZU;MLwq C 7A .}b;&-r%pQ ᔫ4˕HI)PNY;NFW)O+jRhւӵKמ8 +t`vt qfj3VR /{\qjft%Sl+ҳEUT/ioXIm/05ߜmbPɢV*4XrUjfcwTIIL-t.cr ٦eb3V=̢XLm:u ^7cm&f-u ;R&ȗJ66RF;MוDӮ% bmYZ6nV>KAQIx5;u۔YWpQ >Hi'ГZ E-J-LZN-ffEk]GW`JyXŔumҵȌ dV dv t9l8 ;ubYu+u2:=U3Į?ߩPLZX45[U!9;P=Y MePv U^P(aBպk Ś, atBuCH/faʻ7S&p.4.v鲒xQ+X wPB ~*OPw({LU|l|l'|=;醀-|lX?}F:~~Nn=e~t&b'.*RlؓDb=;'nZkTUTجR3T*uϩybm>Nvt3[hP!1ة&5RUhfz>XAx #+{{K=#J}FR?C2%3 5<>@~PiOL < ?U/IROSI@.鵽ZGy_NI8܏ߗW^Krت?ؒ3=e#YD9Sߒ[ڧ-P%FݙqqUI;!~,^pNm)Q HG; z6ukcY!яmԘVm}m2v)E;S+S,WwϽ#*#gJ"7nJ.؇"b6̷en+Ө. c|ĝamgj T;+RE,IA%'(uN $fwe1.06eUt J4H, Hy#e;9^Ntf/l1kgj h5ƮQ'4L)ғZlB6!i& | 6F}$@SrsQ%]@(LޔQ1 v|tCpނF(H7;Y{%& |C>5|&sNwp[pvB |Ɋ̝U CBwɪ vsBD FbGn#/ [" ʗ nG3{GX>GCRfۇ @(iFy_y9/0 r.xq3SU6;j#B&p"[c{*|g(i 75/#9#eP9+4_Kۜ)̠6s f@tQDO'@7Pz26@ "zQfa h}+ ҡc!fa+5?@?uP#KIAe{uNI`r?0rNdd%YȈ_!9bz|i< 2ƢPdX(O9%=.B:v;tѿn ?a# j}iO޴mҧ#]\oGBj5%z:@&6 jmC w ke=IGUVvW!0ߕ Myz쑙wS h@tdT`yҗ-5l9<@ZwvmYX Gt5LcqkJvb6><uZƏ@-<݁`RoW`'*um9Iח^'C4 M|CiE7MDJSfs`w9x~Ow@)0`x8cgDZ]Б} 8cDlԪ@\ M֬i[;j 4ԥr7UǯWڔآ)k Stʵ=Y EԔnN枸q@WɅY)n7ܰMS03%`>0ȧ IC~g=CcuO CFKJ/8:E(\QGlR9%J'.`=ei>|(ь.}&w.+54Leoxm}D8nE^KƲ0:LH}IVdu> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29379 4737 0 R >> >> /Type /Page >> endobj 4734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4735 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4736 0 obj << /Length 19 >> stream q /Iabc29379 Do Q endstream endobj 4737 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29380 20830 0 R /Gabc29381 20835 0 R >> /Font << /Fabc29382 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr76 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy46//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}>j endstream endobj 4738 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=353) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4739 0 obj << /Filter /FlateDecode /Length 5579 >> stream x][%m~ׯh4Ч{=@;B/sCY9SxV_PUP{봢AJeo|C0Da e X{Om"|!j7qI8O}!w1%йgҦt)։i&#>)P@y yVJҁG/ ( ~y\}3Ve%n11jps&'Si x4P\ _4 4pBȓi IW:06IFT0*}ٌ:krWϽ<.k;U :ܥc?.an|5'!b_2%/*u&bh&MΗ0.; ͎fu;JiEY:+8^W-ŧزJQa)Ep,& $cO$ <9tǫe(2P2UXez'+AY{Y:7=3^ʈ K ^]b 1N ,bMA4{KVyYXVOl!lȾW~ǩؘ=q_`+ W6mq.:'LKdf`J3/&+R^sKE;zJk $X40ovGZj1 AXl7,0f!Y X&ѠѢtχʁr/o mUlAlLs,b6>Ҏ1f'1V$XWgwV]̡T#LMZKSSlv6fukaBV{Y̻ RaAV.,Ċޒ~sKaˬ=.,8L''"*Q.eɤ:,X@;`hqzUc3O xb@BR4y`_LZwp2Du*KP1otRWo/~[c;kDUK:Ҍx/73_/4 H ܆^.n,:\v55\)hmvcYR B Ȫy~v`HstliBvvhDWx?WicRFƗ@`jU_rBUhK?o 龜FA7( *_im(j5ռ :ohu 2кP"$!m&Ci6۽@?q#Kt34,^f[ v@}d4:JP8|$Aƛf *C2 U*YjJwWA*cw )6ώheE3&ѯ%(UThZ+Wx0ET{)ETSaemXzJ9L#>Kѵ";ZUCAy[TC}u4IE*b6xz~Y~@xeY~q~i7 D(c337WY1EZIy"ğQ Ll6߸ ;b`9EbtM.+N P9%` )*K#eWF0ǥ`V'"yH2,@:jذ=Ƞ=[v.`Xx i^ LtnD[1D-Tk)D{*V!m%%xS)^^} cG$XZ/&q[ + $ }'nxθW!6= 0<&KZQK&KlWa=ߟ_{KBdT;5~犨ӹ'b}F TTMbpHsXva3h]n;Rh`KUn_ 0e0y=7x`+Ÿi6LTi I+6u\d[L>/F={a T3ʸ=O,XǗQd ~Kf ĺ(IN$X+wQ~TVcn h$~qOKF֨vNPڈ;[恉ܱΑXf;9J;HڭlwV0!~o՜4`ъSX sEY~{VS SoG%Xd嗤DF|8z\BwQP>v$~(aF+/͟B=j;<%Mgqt:NǛN;wǾshv=TO(\? Jƚ;P$G1,.zϭ $#:CR@/r?]K:1Q?\K?\K?\K?\K?\K?\K?\K?\KĮOH~#k11 9 %Lijx6mgJXJ%A(p3qЁu M8yFt րmh?|8pFs` p]h&Rp40LyؐWbp{c*9( /yKzyQ=/v _>hG4aTQ=9%ϣ9IHŎk; ]L)a'# Cçd1FćM8W3EMBHd%w&m&vsx[09f6 C6I[~qP}Ewӻ:%]7!}v̜Xz>HQ}ԥݠ8H{.X7bH(r5/,q380 e9PAzRĻ1L%H[674ƌۺT8rB0)[nRC̒{KP|.MPiɁsdӡHݞvy+洝1iV)LmST}QSߎsuOopsÆuzǁa`ө{t:sI?N?y]1SmOgniopî9d)Ep[04tvO)nʏ{ل#R>+dGa_Ќ[hejķ%kiEV4 ЊQԌ1e`Ыc~9DIe> WA\T>^(JPw=MuD~?*Jrws]\:;tw?Z6f0WU[{.i9ɇR\vK م*0!&%sB& !G'iBnַC;qht"7DT# X&A)F ᒀz")h 8N`rA#/?ј!Q%mJl @% 6и(ZB<< ttIa Z$PpxgN  P$e%axM@詁9P@@$x"Tt.n/7ܥH`^+|5*zG봈h*0dCV2~iR1m[t>՝dO+Y9(p}94UZ,6W.p}* G m/`HZ!G|*>yqZ<:o0]1wŜ}1gd7s4 L_b͡3?S0hHCDo\PJJ>yif=R6Q { \Q<rJwkc-|G3CF-7blL_xncqr8u1ȁT8D|4"Ǖr,1b+bS-j +vl1Dv*iR`l0z'naV㽻+cnʭ_4į9#w/e~<(oٟ1}l6ݛtmt؍᳘즿y0S1L8ֽͦ5l_2nӚh D90kF2,[宾6ok]d3l>c γhunl2:k|O #=c?y.(}1{A|t3[2 (c!&(SP-uv& lk@1JU]3pQ#vn. qu~2f&gO0rGoe,2^'a!<8̂T3i[~8:UĿDA@ Wx  ?2jJޱ5ҰY0ՄB2NU4*DkxGq*ð%)o W6cj齔D0m*J\xcL7ҵO(ߏ 'CχSJ&t3,;ReQoxqqub(bJ F.K&he*+KʒυF29&}e;@ d< nBa6N[`vԹ4b,snC2MEIIZ+>M!ouJymbKN3 2g-|7Zl2,[ue!v ͣ"gT !Po XQg' kH_~%Wq <> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29398 4749 0 R >> >> /Type /Page >> endobj 4741 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4742 0 obj [4741 0 R 4743 0 R 4744 0 R 4745 0 R 4746 0 R 4750 0 R] endobj 4743 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20211013063105-08'00') /Rect [104.1732 195.6105 208.4862 206.6105] /Subtype /Link /Type /Annot >> endobj 4744 0 obj << /A << /D (unique_465) /S /GoTo >> /Border [0 0 0] /Contents (generate_reports) /M (D:20211013063105-08'00') /Rect [104.1732 179.4105 186.4147 190.4105] /Subtype /Link /Type /Annot >> endobj 4745 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20211013063105-08'00') /Rect [104.1732 163.2106 193.7737 174.2106] /Subtype /Link /Type /Annot >> endobj 4746 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 147.0106 165.4707 158.0106] /Subtype /Link /Type /Annot >> endobj 4747 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4748 0 obj << /Length 19 >> stream q /Iabc29398 Do Q endstream endobj 4749 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29399 20830 0 R /Gabc29400 20835 0 R >> /Font << /Fabc29401 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ n endstream endobj 4750 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=354) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4751 0 obj << /Filter /FlateDecode /Length 4420 >> stream x;Ɏ# }RRʀomSz #ץo2ᙩ*UC[Ye/:U>bPbW .^ qTN0vNfՅl:Z??,t@F\YQC4ZMTqZ,ũo)_?.ХV7֏^]Z ZNQE] ]r@¬trXkuSϛ "sb2u'&ǘ hФfXh/G|<>|h;H.0J@Itn6jgsrBl}@]vx5!` N;9^PPE3] )uƻdҔY?+0<<Ի| pT&KǂM,Jk*]GuIŢ}3[05(-0.(|ez< "O禚@DZ̅5^u?տfo @5[ip>zMU?"   0i,k:~Ele|.9 Wt uGLI `ˋ+<́!TPĊccaY6> Bc)@C¥̩xm*);LT4HV\HCO:nq`@V`SAE5ʺ[5`tx. vTD*[UDyCWs(\ X) 7-: n`)";$K,/epёyTPGJ5pOEYT6$T-*4'sj=ox #8ȣR kԕ> کy! :}{ {7 = ?@ SABaCˏrNDo2Nm4;姑t$t N}v4P 'ejI]}̮2Q]}zRj?fՂm] `- ^!`^c,^݉_-YƘ[[MujY'21ȷ'"//=6Β> ȐF@ʙʶi:z]F?_(~MCXm_0?x<_뭅[-D"(ccN̘ebG㞖rbF6g0P1bfJ Rۜ;u9N(8@il/tHJ 1/ey홙+x\e@ЉkF݉Za$3+HK..fػ @i[lʩRwF O nST{.жp߭҂ɥZ֮!$a]r*Vyx3(=?A!,rk e"p endstream endobj 4752 0 obj << /Annots 4754 0 R /BleedBox [0 0 612 792] /Contents [4760 0 R 4756 0 R 4757 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29417 4758 0 R >> >> /Type /Page >> endobj 4753 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4754 0 obj [4753 0 R 4755 0 R 4759 0 R] endobj 4755 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 258.325 124.1605 269.325] /Subtype /Link /Type /Annot >> endobj 4756 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4757 0 obj << /Length 19 >> stream q /Iabc29417 Do Q endstream endobj 4758 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29418 20830 0 R /Gabc29419 20835 0 R >> /Font << /Fabc29420 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM:, endstream endobj 4759 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=355) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4760 0 obj << /Filter /FlateDecode /Length 4037 >> stream x\KW@2ߤ1rrZb_zZ=NdXŪ_ Mx_^diLI*{?<:i|պ9|z 7r2 /w.;Wzz]_FM>$??YJo2Yzڍ櫃'/ $ԬF/A_~F~gǟT Xk/sTT]-72HJJgxXY3ӯA ~##{#@7tbQΘeiE\tӖ \,9 c[9ZX"4-0Ԩ&XIK<a&N{~1p#3deDرY82`,x^(F?D+[Kp].-hV9JLCo?k@!,I~P8gf~8(|n)IG!kTAz8 ?-2ldK^LՖ6EJ3ksv93agSA@xbZYeѱg PePifo% i >?v K\GHgfHiӪ*4밣3u4.6Z-Gz<B6TY&  (ű8:ZGl靫@̼ܽX>  l!$ tcA d }C6˄md Q ʣRP,BEZ#?VsX bGܘM"[(֙ QX,~>$ TFläߌ'w7{vtP>3cpmMNPܖچvbwČ#fuVie-͇c˕j?)  ӱ񙙥2>FU־aX :t^]7U;GMXLw׌Oo͐^2-=Z!q݆k]DR%_d#MH|%Rt[?ҔD6@V _E9^0*'1bgKW}K"4%t"#W/}I kfJk&E!'=/:Z, A/}S Fj_?U:rZ/VPcyRFv  !uLY%Vr0Q0@Tk21%sҨ<aA InNN.yꃼh#iRR(j$]K1U*+y;/K_T0.YoHL"x@c[h22BVیՖ{uD/.텓]П;WZyU>6HP$ TBpɊhgs)$9BsA4؈(XK5؄dӣОE)Bg}lBZ7Dk>YQD1`WVRogDiGhvaL'keo10q6NfYj8!;of>O!vN6UMp4=,Pz   B`<;XXdWZ'Jc%lN``r㒉0\`hק]$-ڞ@GK+jVPc:,ـP |U-lcmhϵ>"s sXG+,6m!!-HonMe̋_?A[T8(Ux[ljl $AM*Z)ɇ8C9Y6n4lm6ia{c%aP fH{F! z S;~وǼc=BP6atAi+iJJgP/>rO86@餀;_;[0{i*-i|.M;E{Ň;^MjЬ. [bU>ֹyk9i2G9;4a^ىW^h!x椟+FBc[ՃdG 痠27K=t 0Һc ==T|YBU9śs 1w tmp4|frBC&v/pVfTLBi؄)̬)DZ[1p.aRTwIf}-/J.K3k\]uI^Gq">Ohȍf?[Ŵ`8\P ٕk:Wkz 'q\yg)Zk_LwmzAT K|Tdz٭*NDǥd 'w<L".yZKp3[КCk,e,]Ѭ4Sb|R՞`fzxOq>q*1G3-H.\o/.9+Y LMSXֱUBnB@FGD3 sաs|/2TbIJMPQ" 4?%)&˒j/X(gNQEiZ%uhKΉ/YS?3\%[}h]8e#ƌgi/Rr`kbnۃVXB[Cwn_9ͥ,]OPx͂ͺ<L Vm;,O=eΞ9t=`w(W 6ƢB9cnս~t#\o7w[sBU3;OI).C׼_ mu'eYp[4הzjM? ۾>~T{ޠ[fTğ%V]ސ}1m+QFЩ]\ 'o\o4P endstream endobj 4761 0 obj << /Annots [4762 0 R 4766 0 R] /BleedBox [0 0 612 792] /Contents [4767 0 R 4763 0 R 4764 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29436 4765 0 R >> >> /Type /Page >> endobj 4762 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4763 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4764 0 obj << /Length 19 >> stream q /Iabc29436 Do Q endstream endobj 4765 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29437 20830 0 R /Gabc29438 20835 0 R >> /Font << /Fabc29439 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶQ endstream endobj 4766 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=356) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4767 0 obj << /Filter /FlateDecode /Length 4719 >> stream xڽ\Ic9r_B))5omS=Ơj}G0ڲY[#X]? r}nN_ x&/׶2.83_+Ur~_0xOpN«]x˷a4I o_g#>{RaD[%]鹼wcaFqIC(kEξq,ΰgEigm^WZƪ#%hyܙM#=ko Jx"KDkSf^)`qc$t'2KXj@l$ ĥvdJ!ЗLRLYq‘:7)ˢL{:i&c -w!QE~"0]08_ybiρB!|$^&`p9ez~k V6jwR~Ә򰺋ZJAit+>`wDY;KKDb/v !yIY:޹9˴-<<ӱd^48bBܟر H&P}#,^w"ܙ8aH%d<|u 9y/Ȩ-n/Ž8hY0dw@v+@nK\:.j&T'y+mTDU%Xt74V:u052/{kM} ߭e෻!9nPkAث=Hv81P{H=h$VP.8ZvRP Z; MhjE.2'-&դZ Vu`M (Ďh%4ee6ZB$Jc$\̺;zuInVE gIO A P.0a6q/OU-O0s`?YfU6FCL6w%jjW, GSt!Z&'W&en/>o_ryXiZ6xd? *m *M| F ‰l'͓$Pߘ|+#rlKqP`QdEܐ`Fg"Dċ 9$Nk:2#Kȕ%3QrQg&pw}ق˺cyQ9 ؿ ۫mj-ŋrn:II1Eu+ N Urvu0U2Ϝ~6J1m+-Kl.P锍jj( %#:&$2đmo"\A@5}vTsCs(3~@jP̠W⛵Q6?b\uFOFݟhW]9@1x?m>'.-'{wQ Br\KhBI#+! N#o{DJU>˜R)(Kl䎟:IEH|k8gZHCPS jǴ&RPc!XH . Ph P?+u+^ d4Z`_-s-Tcd'\ce22Ol!fl nC\y) ǠvJ~O$cU?F$Fg,xrw;pc ]Zkp@O[oqGkz>Tmz [a 0vj bVޒ?/S :QGt{US&2Tى MQ!lS=K{ϣ)Z戝LKgnshϐPCzɆZ٪Q=yf,IDYV:HS” !b~~T'v K8根ku{{U{{y-R ImwbΘ*mx>Dv~a 0#'-X)8=z1s$]Ef݃]!I,bه=ަ30g|.N%#U=XUj 5αi3Bki׃ CN{?bI}zo0܄"o5)IEe_TcR6%:lM7.c\b= aD lc])n= G[DZ֑윯(ImDs)(Vt]LMml22nJ1Nܱ)N;YK ̡m UvȒɑFGJ]Z=\\LN*ozk4eZ* ;^'. ^ ݤy狓*}&\dp*uƾs.=)ryqe/]Yz<صk Wx<ٜjM#~ڵO/'}hrz9mmϯ{Kŀ{ᚵE·9<{4UEU=x3gzߓP[:v|&:g9B;IvkrNNferU7FN4č[!z^uuIb]@9U`~jaBMGR#=< S&yclw]|40M Ӱ*$X};58}d^9>MV(9Ea's -:2U'{c@y2ETyP=;A<[+`pDz⡏/66?vvew7NߏfsÙjޟ0 )ork#>MGW\t75x]On99Ze8Z>4! 9& N4+DwDq~St|l*qbF"Hy[OiW{Fv<瓼YjUxk_ң%Lm&WXܭ|b瘅d_Ц z'`R,%BS`@.*jmgXƊ6cV[ʴd}~@/LgS&eil 4!9>]2g QZK`(;B-;pALyI}*"EKf|^ v:c!|si"^;d;[c0)r ؕ:7;uWj%aazRu0*%eFZ%NV8o+H^Wi׿җC'RKW::/O 9&~M_!Kv:}bg<3p .鑧=k;<e*?HOi4 \ | '#pշtE omMR9^|O)M]Pq=Jzk>ҕrZʾ/Nx(]-wj^N%v7ٚ:rYF$Li^)W/2X}"4=m>R.}KgTbaU5ۤ+oCg_44kߪ[DmF\"Vu3zwAhbA .ȍ~xɭ9Rm9 Ymc *İ |72xGA Rw0hue|xN4bp+S4GGx9MZ8gLǯP5ZW}3x>OW3 ;]"EXe&sJu  XTRFJ[,'l{yPw1'z楈L bt,R!m1MǑh8Om齐aO(NLY"uF #k Ld16tήq J endstream endobj 4768 0 obj << /Annots 4770 0 R /BleedBox [0 0 612 792] /Contents [4780 0 R 4776 0 R 4777 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29455 4778 0 R >> >> /Type /Page >> endobj 4769 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4770 0 obj [4769 0 R 4771 0 R 4772 0 R 4773 0 R 4774 0 R 4775 0 R 4779 0 R] endobj 4771 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 567.2307 218.5952 578.2307] /Subtype /Link /Type /Annot >> endobj 4772 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20211013063105-08'00') /Rect [104.1732 551.0308 203.6957 562.0308] /Subtype /Link /Type /Annot >> endobj 4773 0 obj << /A << /D (unique_537) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20211013063105-08'00') /Rect [104.1732 534.8307 160.4767 545.8307] /Subtype /Link /Type /Annot >> endobj 4774 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 518.6307 161.9837 529.6307] /Subtype /Link /Type /Annot >> endobj 4775 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 502.4307 165.4707 513.4307] /Subtype /Link /Type /Annot >> endobj 4776 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4777 0 obj << /Length 19 >> stream q /Iabc29455 Do Q endstream endobj 4778 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29456 20830 0 R /Gabc29457 20835 0 R >> /Font << /Fabc29458 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoX` endstream endobj 4779 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=357) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4780 0 obj << /Filter /FlateDecode /Length 2067 >> stream xڭXIoW<8P@-r@ANNz}Qr*Goi JU9SᔥYz 9u, X $Z=ܯG2L曍%GUa6x|EWYp>j'\X[<[ḀHX.Ge\2?_@U$[I(;v8jkOwnL i %F V  3lM+XQ9p!.zƷfŹ.k?%D@+-8k隳PME-._plGxg*R!%j t['P`Kl`B+I,PN(hYLu߱!JE}2Oz WdЭO|x+4⌁ć*!5&W}m$l]77mM >oC .鯿/XRL2nM5%pQl&Tkμi`?@vp50J z? xuOg(m`)l8(p+`>5 OH`]FF[x++&>ldS,}sf)*ŧ 7>pΣi1[=`&y': [brhicJq`,[* _uzCOn] Vһ Cd诰6PP2Zt2,ѱcpW%W%ן|$YZ(bAw>ƌx?Lz^/ 9!,@1tZ jP!Y-Id"|S=c:zV[%W٥3jQA[#EMϝFhZ~k`G=I95/mɫm XV!wf4<9++/P^bGl/CE&bBل}K[GJZ]&79EC[K%MpR)9I AKeC:RT/YU6BJDkiW<  r¾ ,W談jtŏ1өOptrE|NuLp)O 0 9c #~BGmkW+mZ}' =9Lހ)q5aBoϷ \O${vOpyd*ZLskxG(TЙYF StNrt#v'8wquTDs Bw6VYk\-~$x.nBF'`ipAfA NG4 LhwSm endstream endobj 4781 0 obj << /Annots 4783 0 R /BleedBox [0 0 612 792] /Contents [4789 0 R 4785 0 R 4786 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29474 4787 0 R >> >> /Type /Page >> endobj 4782 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4783 0 obj [4782 0 R 4784 0 R 4788 0 R] endobj 4784 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 360.325 124.1605 371.325] /Subtype /Link /Type /Annot >> endobj 4785 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4786 0 obj << /Length 19 >> stream q /Iabc29474 Do Q endstream endobj 4787 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29475 20830 0 R /Gabc29476 20835 0 R >> /Font << /Fabc29477 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4789 0 obj << /Filter /FlateDecode /Length 4157 >> stream xڵn6ί9@kq&k`,3֋/I8%bߴ2g_tY^, :>=~ԇiiMΘ܅ޅ;߫Uɺ[SY{;!Ű븰\d^;~/˳FA~фvcj?~/L)/e&㭟lNVrOYp]ei{mGi8开bfјw_>G?}㽚p̽vpG@?ꏏza8<37mq%{ER-@'MR 1'(DTd<ۛcvkRA0Y|jy@ݤ=VĘD HoՄ6g"ghhp=ޫ)M9DvI[cYc&W I cF3ɓ1Z7D?hOa1 =/OtoQnO d0=I'xxsY2t&z?\i&YpU 8a'M&/(SKoxki#SKFds 1]PǕ>2ٹqdE>dgH6aJv8;[B/W!.4>~ \aB"c,r`L&-pnX[;E"bfx!I+a=?J€ "WΏ^A1=HCb HCK2otL&IMq6ڨ5o6;`8ɹfՕkYQײeE ֦ s/ >Z&LhKowla͞slK척6h&`r\jEIפ\ab+01PA4HM˵1y-6[5rjpu+#;IE-c(Z-=J?;s> |)x`«_( aWj`"lpJԇ<9%{ }<8ȠLɦ2ej$"{Ed-Tt;[Mt}ڳ.qPDE% J⫝̸zt}n.[ɩOHG,@|WquY1幛Ni`ؒZB8MW 2#MȪfe,5[IDM< Jөf~%4gެg `kXc2ݝtcm8R!ۍAJ-Yb xXuGIʲ\oe(e2la5гg1Ǝ6 HPU)(bEr0j>X%A%W"rX)Ȓ:ˑB"M,@V#[aUIƉ (5Cnr'k dE>Ulա!y21TCZ5 ?V33/=7E1qoށ4dk+;Iˊ!o|:\=*Ka]yXJ*UUsS- `ܑ%`L|I͘%أHCN.mr<ԐV-j.W=1{ÈXxBlܢ%|jud;hSn˺ `mA<ϰ_UNaMؑ 5O3, 8z6"K /E14Dq[0f%kH=!h 6|*/‹ %Mlhk+ krA [g"tD&o񎢅˶.,, m>lѵЅ՘Ju]2[/K[]#m!ixtƹ%`XW!_q,Pq#-Ww  cN\$s@, /uai<@] [eb:>ȪIg3aU'r#kdgڷ]ģ.Mist ׺'`aoKVɥŤal5tm.,6y/k\i7YnW=On+f\NZjx) ]Z۹lS)ī5(Ѯ.JwQCp';#'0MO\iNtHũ<-ppYSE̅gq0#3!t+F4gNMafs䲩N?LbQ<ӵ;nB>@-Du92j 0WF)FqcK1Z]@ݭG uvK%[T TT} NqXi(kofI3CBFG. ~u;z.Ƚ"dK1Ǚ)qu#<8WT &(_ޑ,Wi1KvEJ235^HRDw!i'|Evnex"mJ/RFgLXnMD^&#@k5jN F[~nMU'kŠ+dg^俰ĹZ&̑j62ڭmW۩A8Ǯ/7^76ޚ:…ᄝY(ch\?sD9틖#M#:!K_y;h~5tUO|D?QOyr$mAWn:~;Zsv~,vE8zXWNOq:S&Bqd9>?>S~Y`m>zث1Q~}=(k%q^EAHOݡ##6uc5rήM;.+rn'~HDdX , O`(F!݉YA,W A?f endstream endobj 4790 0 obj << /Annots 4792 0 R /BleedBox [0 0 612 792] /Contents [4798 0 R 4794 0 R 4795 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29493 4796 0 R >> >> /Type /Page >> endobj 4791 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4792 0 obj [4791 0 R 4793 0 R 4797 0 R] endobj 4793 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 513.2922 155.5267 524.2922] /Subtype /Link /Type /Annot >> endobj 4794 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4795 0 obj << /Length 19 >> stream q /Iabc29493 Do Q endstream endobj 4796 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29494 20830 0 R /Gabc29495 20835 0 R >> /Font << /Fabc29496 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7&o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8r\@_'Ŷed endstream endobj 4797 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=359) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4798 0 obj << /Filter /FlateDecode /Length 2037 >> stream xڭXK7WRk 74X x.[/>Z$64.~.K^|mpO:xDZ7dcmΚd~|.h[{ߩX5Q?b/"k.*Y$VS|$.I |pSQ僭|@.6?`: >@3l`%z @5Ov=|Un9ۆk$CQbMȔ.GFecʂFC<̼f7!(G&,\&M5]E,^_G&&r{ ).JBB1t+zT W ʈ n2U΅:%\))=5Ym۾ncu5Z@G>qܦ!ը(֡ Fg(;ΤZ. }Z/m Υk4KoE\5)!JzP"96"G\#gț5\mC: /h4\k͔,NbwATq6{EI>͙o NfSCdJ#p{)"V~l}4Tz”ʴsT&C< f ^ާpQy6;[ 1pF-_08۲t:ݺc@c 3:MwG]ITx2"Y}Ԙ^r,  TJи~ySA)dWF!VhAa_~^?Šd nԔ(`lJdݟ4k~Gΰ'Շ<4sڼWZv.<.seߏg Sj߽Xl8BP>pվCMr,ՐWï'XO٩ѿ}pyEF}q{Tm ü`u / oAɯ?Ayx/W+8+$95pPy;N=&ٺ%۲ߐ6`V7cBt=MJ -fCÀtZt2<Ѱ6,oj\[|W^ NozyCݥi3& Z\gRQ :E>X ӝΦcd{jn`CZ5NP/`VlN`ޢd E 8Q2wobLQ}Su-)FU-oRcMYS#fJz2E6'j}75V)Q,Ryh(yJ#XwIJ[yGLUs 9Eݒ`vTIW eJbxᛥ9މ}!W5 .`7V6%-(x^l  E^4KCDYi+E`HS] lƾMe"F6%^Dtb;\jSdOe0| e\cYDSU_WmC$7aL ,DyyNL#}2MԄ2[NLz\ \n-e C09B楒gy)\ Ha6Δ 5\oP;8 x%# 3utconVg7;)~&ji/%VT M̃_O6E>80K~c;,~ ďq-enp::_7=g8Tz_W޳h:,xGhTx0?C9BIc3xR?ßOY8o3?=xr֓B_SJ8cK,N$G)-`'x.BNKv; ɑ%oFi1ԭ2 R3 endstream endobj 4799 0 obj << /Annots 4801 0 R /BleedBox [0 0 612 792] /Contents [4808 0 R 4804 0 R 4805 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29512 4806 0 R >> >> /Type /Page >> endobj 4800 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4801 0 obj [4800 0 R 4802 0 R 4803 0 R 4807 0 R] endobj 4802 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 156.825 122.692 167.825] /Subtype /Link /Type /Annot >> endobj 4803 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 156.825 160.653 167.825] /Subtype /Link /Type /Annot >> endobj 4804 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4805 0 obj << /Length 19 >> stream q /Iabc29512 Do Q endstream endobj 4806 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29513 20830 0 R /Gabc29514 20835 0 R >> /Font << /Fabc29515 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)EthǬ_{9;u S,!.p' J<jer1"-) F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4 EŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4808 0 obj << /Filter /FlateDecode /Length 4950 >> stream x]K8W<|K Va0^,2eSs/cT9%`0">>Br;a#Mrk$$_wgÕ/of5Epfm<3S:3-JWs^KeH<{[kiʍgYR}+~zZs+L>h( _ \RpTrv7gi[sYk[A9kna6\@4GkEP@ jrqtÛܙWb0}{FXypNi?/'I+ t5J<83?&\ 9_êB΍IP0̌rLPTEy~/g~3H|~5rZ:cWM=YߠvWy_O?(KzK\z|eՁP%yE`x5&HhPW14yvY/oAU\zClQaiaJ\B5 2M^nbGpl0qm]u] ]貘zk-F1H,zdZ|pI D"mdi5ajf(2;%Z(wdy*Ҩ"D[כil_rךnNmղ[uZ1l='o[Ovέ$lrAր![g\ n 'kx&u~zeQ2ZA~A/`P=Pvc{AfձT&w88^@uѭˆo±4 ig8aciFքÊ"SDc9W&0pKڡE43ɱ %+-4fű ʈMQ"Vf 9F\KmHf쑾>6enQa@.9Gz]hZtЫRF6]@ 鵐ʢft5u0ԹQv zDۇ ~6\m\p;p_ۇ ށ ց W$f !U P d]Vv@QCR/ui %@u9kd $Iԥ, dIZ LFTI/* :7ʑkFIV a91Wҍ9.WR ȽMcRIi}J錑~ڡKi[^^os8ѿAQI`+ qaJN2y8mA`v}zK (k ְr+7&iYH)- Vk16Y2\xסk6-lӏg \8᧺-cQ}־LΪǞ ȣM>M,u5;:f!D<6TF6?ɰzN{1 ?̃9:QHzjQ.En/K6S䜇5!FԴS`DmC{g[X t?q(:W6VtvΣ{y4 lf7> 65zQlDh7m@si?BL0"OxP10ps40zėA8x"A|1?W_mQoۑA77Db޻baL2^ҵKJM:6!wseUAF[1t'SդwleKt;ʹ0~SmsS7 ֓wUQEq}F>7ژn?.?-L6o.0颥.iZjn.G|U#IɜzMVVɴ)\h.Ht>?c./o'b]X.v2ehmbZi>3fpn<7^JwO^ `;И#]*k7{mU}}ʣsz'p9:KGq?6. XO}q*LS+ [GE0aH-%y#%Py˃RTmŜO#"˛`lǢ3.7ų=F-r_4P|8qԟ)Mߡk?ȊN9>q Ó޿tgpx^1qLz 2rFP@d MeV/I9rX:6Q)s"Lʟ \Pi͍j 4_Ac3A&%?ĤU@dZX* es\ LwKJpJ{}ɭ/X[*|$_MVJY"QcW]k,EC3JSg65q"X;R%K^C3 /A)k뱚.zϚTKMK@]П WZx-&0?/|(B a^ 9ԥL&\6,3kCSD i14)qpM ȩxC<LM 3bKK yJtF;K4A,Y"3f*% J (! s~[& U8hʑx%Yr>B[X@|H:-.q r+K ñ}9a"bY8lZp*JNEQ>P&#Zp*p lm""éguU+ 8]~u/6{7Npwbz'={O<=<]{ 'owA]  RB6H; ; Ŷ|7C] )v)w#fHVmPf닚Kw%&`Q T#.%XO\KKĎ/%y؞P_ؾP_P_-bB )vCPH_v{>y(!gbG竩Px)==_ R PP_7 R4A;{w)n7*owD9UxĜ{j *9UT9UkujGnPTmPTmPTO?gx*v*z>%PQj5 HA$ +IH!l5DJFeo`|ב.`f/}@=_7Kd9P+CVE4{>..1 G,1vӐdL⪫KU9}Ql'wäGP+:7*ܷ=Bj8Ž#`?H%^gk35;#~F6>L[ jnӎ6ջoqUi544.kA0Z\{RN(TE ,D|_% |. Z  0Eh|=*2ؐ7e]d00cRKcUhGWkN&N+ EPdj\qz}@ r,nFKXB3Upҋﵨ$rOFh~?qjDXN\0B'dL73v҄`)s7 )n:j6J '!l`1I hޠiu}/< 9էՑ5y6shWmZr-yɼ-7OD!;u:M^Gn(aALiha t@ ޕS #.!/k\V!WВ );W7B:SE2|1Qp`vPA f$w+arqRJCAL˶3ZVX ʘ~J̭oJJ:Z;'-Gínp>~^Umٴ 9_*Ǘ dokN&Ý8gX7.d H)Xu9 31h,nI *pi"  p81H8/B3z)(ɩQKd5nVxy`C\Z)!ǠV% 鷠 s{D.8ܝ< Ʋ"%KT 02ǜd|=TEE1Y~ZAٜ{[7e䲙o2.do'!ځv5NӰ֘VGM=|M2!\30xg=〮v$@_/j8LN6];ޭ*RE )n/w38׋쩸NrboGjH Xxm@@m _1abf9=|pVa;rar=;J-}Ÿb1#*auկK?#Tw˵ҬOy>[%e+ݍҎ:/y Ƹrra'ǟbqyiªL 7U>cf,WGٸ/iXQA^?1 endstream endobj 4809 0 obj << /Annots [4810 0 R 4814 0 R] /BleedBox [0 0 612 792] /Contents [4815 0 R 4811 0 R 4812 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29531 4813 0 R >> >> /Type /Page >> endobj 4810 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4811 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4812 0 obj << /Length 19 >> stream q /Iabc29531 Do Q endstream endobj 4813 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29532 20830 0 R /Gabc29533 20835 0 R >> /Font << /Fabc29534 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}I>\u$R&o2VK2 ufg[XLޚGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w7 endstream endobj 4814 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=361) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4815 0 obj << /Filter /FlateDecode /Length 4925 >> stream x<ˎw~E(PhKe`nc ؃S e`|;|ffeW.R&d# -.zQ焏muznI_]^һ Z%o˟Ji$xR1@R R69ciL܆Ũ37PnXI 4@8 Y$ۇ+OW(¦ u&:vK *0rq[:G8V19ğ?BY(*/e!mUҚH͉Nk4!ZG4cxZhؓSYFs;i+5N=Uյ= u"ڐ\cOpK*XteIFι#-CmѢ6K yڝ;+q+fX -!4Kl$ DJ^+]؃!DY3 gf6 RǬLAÖL:+{%x0rn@y[Țv,H#Ӟ.y!6ζUeB/0O.'s7-&iBaI@C'+U@&D0@ ]h-i8ZH钩 (ԅ%JU N fٳD@F]nCe(;Kd* WC эNH&X@j@A ;DK(O#1ՠ-aiYϽũּ[Lg &xR } !q -q[ pK~4 ; C{P`ߴC2 #nMSJSa={}E-ӴI+UjM7mTl4=Hhql kx4Q7z4dmf%!k yպv0rMXY{(-d8Z:cۀ0em8~Ѓ\=h S6Rky!M(̓lMBuL; XK!<{ѢqS $T׾7|vx$  .ۑAshXk4,J^ y B93!(O <` `!׭go@/nuc" #Ԟ#K¼n_mưs G$c X7uv)$ɦ:a5;|#u2r sVCK>ϡGOc`-gD X8& PhbEi- [ f9+?u"76c=:XV >/ /q;h*FQ7(_{2Ȓրc{`3`2jϓQ4=6'J@ˏ<{_+ZXtOZi1qV3CV%,E{0qS|Sڏ 6jR=$(QuH8 pA|.Y[/+7,u遗u,zD<=㊗jp~LakL1VisU%p/Q[1E'B~>  .TIՁm r!fRl9芍"mƏ3S>;a;/Yҟzok-C;^9[kA9TaC`\i`6Q1+% ag+i -DCw#";v+eYyv 't羣7tkRA=M#]I.ý!wށ]fK{^;v%!ي.aN7 sF+).6wH!Z)#|10Rpzfc &AQ?LMČUe~0 ݤc { i2No8}B%B76Tq=lt T/I5 TU2u7] %.R+n>a/18Z48ih"i>5e uWI҆ݸ4#8(N=a@xGnvdžX4V,45y(z#zm', gWcU,M-v(xc 4\M H:̞d%O[zc̚ם;ӅQok|[^Nf1\ _[SÖ[JN3 <C-s4x\ЁcF ( ٍbWi}_ao>9NlS}%Y#6Ӗy7^?/>%sgzHKz-WnedՆ_Y`Žxx]([^?v@jg]v@^6b*hԐt]C vɤF1ҽˀi6qt }7ak4$u75"]Dm [W=9II W~vP͡5bkζvr)<??K=1ESN20y-SWKrce|cy\NέqVvsk?x;ӝ?I^$HczϬL Ϛio6>x z@sJ|m@߫A:hmWithc̣|˾-=:ۻK>ᕻd;nvl0mcJOFGVǛ?&,ܸj>[MDN6Q.E)M]y^O~܄zM=J ^%N{֜F;ttSnS;ಬ n/sc7LKVi9)&~0O?9G)gͪg>hdC('] &~궫BUF;^ت*i:JUP\!y'W\J&t<"iJc{ԈIa%mS`a+r˜WJGQЦ[-`\vH;$y0AH)uP1O'3((Gsay[@EP*m V>Hf#ΌR*hA=Z"K]K()cv3O/0S>L)7b6g8QC#-r+I^3hzʊ)E`(M[NJ9R^0eWQc謩]6cBXta@NF7OL񻧘1 .k!'KBZii.^׈bz+ƚ>c[p?`(]r-g6O02ߐ{_t<5 EU`".Wd]Ԕ/ZKOj1бUm!0 WNC:ʻ `}P'CrT>%T!XWũLÕ$g/oVKo TLòX*#S0տ(ߺ`~WdfdcAw)3R<6so޽hL*0kZ eJ!xΏ%qrQSurK[[Y΋TfTF\{V5~=g15ҊK_j>|8E*V#alA[hum1dMRB`MeHDYK0^ 9Est1r?/;9d-`])W1 lou Mr ~^5J4}s)=xH9,*:@SPRXF<"~ʉ=4!rjBFNZ.F#ĝ \(,cM%`eGv< 0=pj p$ꍐq^$S%s_d endstream endobj 4816 0 obj << /Annots [4817 0 R 4821 0 R] /BleedBox [0 0 612 792] /Contents [4822 0 R 4818 0 R 4819 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29550 4820 0 R >> >> /Type /Page >> endobj 4817 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4818 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4819 0 obj << /Length 19 >> stream q /Iabc29550 Do Q endstream endobj 4820 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29551 20830 0 R /Gabc29552 20835 0 R >> /Font << /Fabc29553 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3l endstream endobj 4821 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=362) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4822 0 obj << /Filter /FlateDecode /Length 3900 >> stream x[K#Wـ `$ `6`6⿟*ҶfwQ],UZ~]"|T˧K'k Ho˟nv\H磐.*Y q /B\WmwZ 4#BVs@zo8 !sGG+z-A8-J |«sG# nUo<-_gzsgd% zZ"4p`Nzh3o^>ŁS_|3-3uҡr^$s M^=X\+?gPލCge $Op?\H|yR來9m <*J.]pt"5M$ R!(}J$?٥gYt"{ۥKkZ06/X%cŃ-O{010OKȫ&GN t8ZguŅ-D&::& FۢNCM sEB{^ ,RYUj^bRhbg7DT凲/[ȗD쭬VM4bC v(< p~ &=͇UiALJAc79)t3dpݠd%@ Dr`-ұ3`K_oƹZǥ:%L sgOܶp~8J^Vqtw%NW=l(У5:K/:>h_^gtܩA{g+61^٧;IkI ʹrK Wb2J (m;E T(cǫ΃Y; Gڻ`qf٬Ao[iD4!'we\gNs*.;!hEG1y86њbw{OKКQ#}q xNޢI-/#2pubةi"Te3=U9QU#lT)A6̩# Dg.H(&'qp> 7Ϗpۑ?{EK+ւυq˕ʊ˜< <(@ ̀G-]@cyK;0P+H in j97;hiWaS&a)l>M|,eJ)>]5dTq#5l'L{ժ]=Ez hau"6%U/1ŝP0H2tƄzy.}N^nďLf !cê*#"Ʀ" GתŜmd2v*vC;cw(\s|c=l@Z!ۂTwA 0V cQ>񵝥[VL7q7qϠwO 8 r f$Qh}9gkΗݜ橗xgNF=rO{(n4xΧ'<|M| yjʵ8|C:mymD\y[&(NI$ \;I3V6B8ߗDeB뉈;tQiƌ% "ō'u⌈Q,FA ?!H"S֭ @]Mެ8vl 6 ^&Q fF+c*_R{.9"[o EiSWZOtq(d~*?@"B16_r<8.H|Pq$z6hHj@k<8V8d6ĩ$sAMFEܢ ݴ-R#Ƨ$D xaEL0' 'u[gqR#-AIm۟㽽#DetXֵgYRFT`y*lelBP7g^-O.-em`rRwdnW|RGmm7>eE L{M)b3>DGoS”2NsXܸ&DKj@*(-J}1Uaڪ\yI)s, ^R陡a*f>F{»3=3ur3Cj)f1Sy9h6E\%DQB~}bE$݇ԐX2/(Fd8. J|֠< endstream endobj 4823 0 obj << /Annots 4825 0 R /BleedBox [0 0 612 792] /Contents [4833 0 R 4829 0 R 4830 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29569 4831 0 R >> >> /Type /Page >> endobj 4824 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4825 0 obj [4824 0 R 4826 0 R 4827 0 R 4828 0 R 4832 0 R] endobj 4826 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 206.4347 686.7] /Subtype /Link /Type /Annot >> endobj 4827 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 184.1267 670.5] /Subtype /Link /Type /Annot >> endobj 4828 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 169.4802 654.3] /Subtype /Link /Type /Annot >> endobj 4829 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4830 0 obj << /Length 19 >> stream q /Iabc29569 Do Q endstream endobj 4831 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29570 20830 0 R /Gabc29571 20835 0 R >> /Font << /Fabc29572 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`LЎYzrq"eYHUC.$.p' JdAb2 ALF f78ECIB%9Wu%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxoBD>.PkP=(%NW!ӘV tw%BJC (aNs(?`|۾{wD endstream endobj 4832 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=363) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4833 0 obj << /Filter /FlateDecode /Length 1274 >> stream xڭWMk37W\Xuc[C)o|iW8yi,gF]ɾYo'j v9ٓ 9\duj, Zn.5F{yݡ\̭@H8>d簷*B\k)m>=x{MΗo7S DDކ}:Ak-`C.z@ "P=/GO|$,㨸p-|y7T*i>.wv ˙agIbo n_ ;x1V&,Ie(G$& "\ŕ!??ٿ֖͛VaRs0Tx%A*xcˮrZBf ԙG61TWj  >G[hD:@Of>oț[ȋ Ϳf]6>:*_;u1Sv!}ag^ivS#W܄@v豊8']cw^鳃YEƍ ps/ N^fQ}wG$0}1B\R)fw/Ҹ_E7fCz0Kޑńt5UgM.f5êUam xWլ5o{Vʺ幌AESuFͽJ5JGRoc_OV%c=$5<|2`S)gL]e&ʩ]1nA'jruDpH9/1*z* /vJIASG$_Ӿ&!䘩µM=*M8w͒zf3e'`4h3 ,]C aȇA~՘:V:{ ;cEʣ20 /Qu#>=d -O,>e\n./"]{ɮZaX-嚇ge]NB,e>;G>o+k>;6 _"W}-6OO5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29588 4840 0 R >> >> /Type /Page >> endobj 4835 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4836 0 obj [4835 0 R 4837 0 R 4841 0 R] endobj 4837 0 obj << /A << /D (unique_77_Connect_42_sysgen_commands) /S /GoTo >> /Border [0 0 0] /Contents (SysGen) /M (D:20211013063105-08'00') /Rect [90 384.725 125.827 395.725] /Subtype /Link /Type /Annot >> endobj 4838 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4839 0 obj << /Length 19 >> stream q /Iabc29588 Do Q endstream endobj 4840 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29589 20830 0 R /Gabc29590 20835 0 R >> /Font << /Fabc29591 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7L-W" ^_/jj; Jt١Pϡh#bwoKp endstream endobj 4841 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=364) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4842 0 obj << /Filter /FlateDecode /Length 4265 >> stream xڽ[Ko$W9fhf$# u ^S/>GҮx=&,+_˗,]>.%,/,MO~fy|Lny~]~jx 5ݫQÞr0?OB}@OvyYS߿ed5 >U;<,?,4|bŌ2qq*.!I"b^Yk{ 衕ytZ'HW殒/&q8>@j<=uֶXa;+ +NƋxĒw'aJ^PG9iPS4 uDwVoGڊK6 M=Զ66=f\/mBy5i `V05lAL" y|]7b,h2 4h\tӄ{P.R @A~1}v殫{NEom `cpd([Øqm͖T+2kANw:Mm;]wryOQs{Hj*rT8j<ϯ=y#垐Iq$xA&č⻥Q[Mp3/Rj*)ѩ6ma`GVo塎ebjb4-0ȍX 4:#In5FZ\'^TS"BOvpCP| &)-GBp!?jy&*ߺKu?sS;v=H>>O;:д2)'툦>Vtg Q #!y}zQ0Y0:y`gNA<3zJ\ nBB񍚡2ĶYFV4ꛄ5}e0Q/$WPI$A?}JBe9D#55Q~DÕ ֐ 䄅HςVΞ*35$땨`R 8p֥뒷MSICj#kR-RrZ/,TN׈PE.n9|YRWcưH%.KG-[CS3ۋH[o -FQmWIVsA{Liz~em,"c*j җ>Yqych{\1f-CA?cI1ʻ[0O-R3Wc=͊MHR%E<#8fmD=d hTndEd|Z"WN22^dwTg :8iMdM2%vsj<@ f { b iv(Ȯyt(>'s+b5SIa+9ȎG;]+NJ,ݴ8p p3 '{F!Sl3|>8AȊj0L}zT81ǐ:^@{k9ݷӸ+C:>x^֋zTF,0>gA|ݯݖż+4Xi.RYң5+V=xDu [Ywy%q^ѲGݓ8Z<ˍo}:̻_'ucY?zn_pYw2_ Tv]֢ڻ#zW["OQϴG WUwr4-!KQa'¿۸"{Ժ}T,ݡi~z4oOuE̻;*nێ W] QOm ߉K܈&[C%Ќ@^A+aJr~\'6ZdR5 U9yo0<3Ynt}{m56kn !'0 {U~bleC 5gk 'B/(QPI;rm+yMRMT`@1CFe-n%n]G G,;WbTbL!(bUqI\Aϡ 4@ Q]1:0w^xnS7󱠎dS&K ⑇SRQ.]wais)iU4#cCvǏ7M2եn֖0XG#8l$j1QiڍDFcFE]͒|pq-n+s"~,x+xoYF toa8bQt^ȒE_n!G$%MVl] M|ɚ֝62$QuAռڒTpzb\JOm򕚏i&]]GcH{X/˿#A#]LtTY DNy6} .w[,fx- #-j9Pse⁼Y8aLs#& K}-Vk]*>`Z29f<-nh.FIT_N%HM"ͯ+.QͪwEĶE[*5Mr$6YOT(b[W$l0sĩa}%")u;"%iIR xa\(?w0j9?$咈[֎47dld\sJVGvK"eT&kSV2}ʥT]b ,4i.Z][U. uM$^]&lެE o8YNYDԧ`.zFl>y۞&e\`xKY_v#`dݞ9T<@H&„}E^qK V#|$)WjE>.Yxar]LLP&BF;0w 3<+%yIgDI'*ק+=5rݰdEe6S_/'ỏm Ya5ggڝ4}so'$󹈰Dck>=|G1L]NJ}uM L_7 endstream endobj 4843 0 obj << /Annots 4845 0 R /BleedBox [0 0 612 792] /Contents [4854 0 R 4850 0 R 4851 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29607 4852 0 R >> >> /Type /Page >> endobj 4844 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062944-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4845 0 obj [4844 0 R 4846 0 R 4847 0 R 4848 0 R 4849 0 R 4853 0 R] endobj 4846 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 451.2384 146.4737 462.2384] /Subtype /Link /Type /Annot >> endobj 4847 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 435.0384 180.3482 446.0384] /Subtype /Link /Type /Annot >> endobj 4848 0 obj << /A << /D (unique_548) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20211013063105-08'00') /Rect [104.1732 418.8384 156.5607 429.8384] /Subtype /Link /Type /Annot >> endobj 4849 0 obj << /A << /D (unique_550) /S /GoTo >> /Border [0 0 0] /Contents (make_wrapper) /M (D:20211013063105-08'00') /Rect [104.1732 402.6384 174.9857 413.6384] /Subtype /Link /Type /Annot >> endobj 4850 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4851 0 obj << /Length 19 >> stream q /Iabc29607 Do Q endstream endobj 4852 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29608 20830 0 R /Gabc29609 20835 0 R >> /Font << /Fabc29610 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4854 0 obj << /Filter /FlateDecode /Length 2349 >> stream xڭYIk,@s_iE=3O2<];\zK2+3"2⋭h .xW欎AS~~Sg[cO0:v0֣  <i93.F!u_(XpG]eMIL-Ј !p|1&=Get]c66LNpV!\*wF3`)I_GaOd OE{͠CZl4A]!7سX^ҬF^lX}3d5Eljz5{a6ƽgy> ˋƽ5rTueƃBšLdЧbg|v+Huwj֜NPQWjUBOx^XBؖ]Ѿ5K7rt“Wxю؁(òU=RK9L?O%)odbΩ=˧ۀ%)^M@X&͜$q=jvELJ7Kf1lODs{C:̀ig`([)5 }>cpfzsc朌GNðu>K.)M-(rErоT:Yj9Cp)F>PstfNf y_W|[6`zwV#D<Knu1AAZn`aH0V,b|~@U* #qאlLlo߰Y!~t<2)A{򫆹7}XaFX{rEMBVܳr&0Wa:5A.̺>u0˜@;מ4{(v͠]0+2ֺO*zp%)'Zm$<@,l;RRB0E,6Ċt4e? pe;(EEeKf?%Y8㮨W_@uӋ(\Q.;[fĀ¯g h QEOs[ZY7_3>Xv!oh"y4$^('BG>omwCE@1Űaypv ;RX4~2S-'bx1]FjBJvo#A>(mVƗCVRwYPE%W+ؘfC+uDW}l&豐nto&F@25?\~(!g"c}OH̅tҦWP+oC|rƝ |DŸo W!@~(trN *3sSC+6/?j]Dy6N<Ѝ9n*OflJDȥ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29626 4861 0 R >> >> /Type /Page >> endobj 4856 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4857 0 obj [4856 0 R 4858 0 R 4862 0 R] endobj 4858 0 obj << /A << /D (unique_77_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20211013063105-08'00') /Rect [90 332.825 144.9175 343.825] /Subtype /Link /Type /Annot >> endobj 4859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4860 0 obj << /Length 19 >> stream q /Iabc29626 Do Q endstream endobj 4861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29627 20830 0 R /Gabc29628 20835 0 R >> /Font << /Fabc29629 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4863 0 obj << /Filter /FlateDecode /Length 4634 >> stream xڵ\͎ )tP%mrrd7\CJDٮ*W.zʒe"eI~Z*?q6맬uZz'*8?4Zn.D+??rY+KʵY,jaF^7 w|_ŷ)$@H>}\xARѯ>AsIօ:*%UJUg +XHYY+>%L}b?(fkrەDoqzU_s$ґZ5 3 [G+G۷I'gJL*Ђ*Y3T{-Luz'Լ4 #òd)gs1X +tYCu~i55Z[ۍZ8!\,:H 7+SEXBB|0* hy MBfZ6cBuh:»KKJb3gfJ K`5Y._Wvjd0 g /6\nTNlnȜ|~R|qIq 'r2>PD>(JJ,;46ptֺTA'&Gf{b(@Щfņ6 /U8|67+LYE  qP1 m cU*9fZCZɨ U'%,㰐&L`UD/`̍sX\¢Šxu'@`Xa-{%*mv @Kyea$HžoWcCST8]T05V:I\y{+7ʱ{]*IpZٛN"hdjD0,ʘ(cv ρ&2f#ʘ]p;pbd΄XLv‰uE1,$*o#71D'Ĵ:D' ٢fU NPL|'q&>؊O$ItPħ;#>͠H|bAI0}$nX)Q%|w(Q%V1J%Sb8c1Jc|4Fe?"F nZm:)q1Sb4Lsy߽v>LӨݘ0%v}7aJnؕ݀)/ۿ"(L]k2u T%P;'SW@%L]g2uTeP;&S@%nL]}2uyX,VT yzHl奮14h'iVp_,"&uLɍKS Hb+\F|ĵtsKD>}Dc~@/!1b(N]/%+_>Oҗ AhJg}S

І5l"n4S1q- m*?&AY9n",;ss@Ə/9ԋfOWO)tX4o?k_pd$ԧ.Yщ5?z.KF\iZ6wuЩyB eh@"bX}RY $]՘o>XF<lКp\{D>zl+jf찪Y 2cp5kHڐܰL|`r['#5](F;06>A15(C.4m6m\[=;tAW~f4:\reM'b'[]pUTǧM.?E&Wao0̺U>NRHf-zǡʾri BpCB;X6Hi!v*˶ܚTutA*@s}۠Z5QlO0kQV]u#ʫqӀn'~`*@90CݳOC7SuoܙG^ 0 Ebpo,81 1K[O%oDg!ND9\#x/37Oq]P`8'Q\D% ٞNKDQ슡tL)T8h<&vq/X ܫjhyB4-4pU{R1nψU?@ З9;\Q{oDߨkj;3qXC< jzbX'>lW 36t6,UeX[zb^O"O>e2 9Z%Q>GQ&u|9g 3_DYx(K.,Th xjX2 QVLvH9:NP\fa9?n\ҪJřPzV<Ȣf<$>5fm5pFJ6N1v`h~f;ޗ6! +gZIǼsJ7igoRn5>p7!o R '[TЖ}4|4CZ{B`҈B` ݄@c|[9^) 2=a!o%-2i?kmvF*+|#ٚLسy(.E?e c@X`T:A@`&9 qV@, Rg(Wu]wι|ҲF0iQs-}fsX[/#ش:-GӃ*q+'n5>5.c@lޘh.sӢP4=K!G4tt=CHX6K`.qzR9G"K$7SyJp[b`v25nMzz ۅ;*~pFJ9b> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29645 4872 0 R >> >> /Type /Page >> endobj 4865 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4866 0 obj [4865 0 R 4867 0 R 4868 0 R 4869 0 R 4873 0 R] endobj 4867 0 obj << /A << /D (unique_4) /S /GoTo >> /Border [0 0 0] /Contents (generate_vcd_ports) /M (D:20211013063105-08'00') /Rect [104.1732 117.8194 198.7347 128.8194] /Subtype /Link /Type /Annot >> endobj 4868 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 96.5194 150.7362 107.5194] /Subtype /Link /Type /Annot >> endobj 4869 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 75.2193 190.7432 86.2193] /Subtype /Link /Type /Annot >> endobj 4870 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4871 0 obj << /Length 19 >> stream q /Iabc29645 Do Q endstream endobj 4872 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29646 20830 0 R /Gabc29647 20835 0 R >> /Font << /Fabc29648 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ^ endstream endobj 4873 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=367) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4874 0 obj << /Filter /FlateDecode /Length 3833 >> stream x[I[_g|}@nDQ⿟z{|D TWUuE~bէ/^3*x ^ןG'⪵SFAQ.g?DŽ'.Z;j}Z_d0 [ ,rZ[mNRxb>(Ņ)yJ&emszP8BFUi&x O xr(oJY3?Cמz n*{m̏FHl$r6eM%q ]'k6q\wLaq8˦[V,|#=a!^ƯD+a$^ųkdZ4R H1@Z{jsMzS j*N%dօ u'aa-XلڲA`Z'N,xWzt{ΙVe/ CKֻ' {Jo#utkro*{^F?рmЬOj~}AN@..貼 L3zy!55Ӥx=]C|8^ӏ|T]̰ Ac, LG[XR}lYh}Pβ'B5-}kL#<؇qv*GnIv+ՍXyjI{|B ZukfuŃbDű$)P^&y+m ,k't7[{o} __6I)K$jcA>gQK.,MXsa~!\ V5'l4A,\j԰f㠄a'4k UeQ=^j;cXv/>I~_oGGfH 2X|ek `PEm=yVCIg`8IW3}5S}3WWc )aNBi.Zh1Bk!d qP^c6|s" V55P2gq[v%D P╃ϱt+1Et錖uk `cʖh0hͽ,Qw[ [fwҽyi#i]1hچc>8G/5F˞Db" ℴ4M6N =zD }b:oVv/6@Xtڐ$*n k ľr]vRUMڿCoj@Ɔ)lͭԾ:2T?Ov i?6g񺇱g<3Owke+&"j{EsΧ? î(|EO6f9uMYbӥ `j Xn>_q 6lˇD-";xoé^ڪzv# zض?{B6*}5M YDvX>3&:6k¢@1/]vC ^Ã^8[eڼr}VXMvWHDN}^&rjĖ ,9qebz"W{6"H: ,֮-]%JVWde_a3[ R˓y$=)2۩Y2[][ Ӻ&.a9ʐ' e2=5o6* `4e `Vld,?Ws o"evUS<8ȭlNP)\nuletE$3IJ;p[ƴgzf`j\$_δ4Od0npҰMe> =ƑKmgf!C6ŰGMKkj T5ѶeB-/0܋]I ~ w OF|[!+KŸ5l; &Y= +5}l_pjG:In\jPP1bQ-ߣ9z,&hEP 0 y}g(NOg| OO |SE:ˋ9g7z<͡!d`5m>_ExgVN8=ߔ'w-jtnP(^ Ui&^=ΒX{з$Ì,B9A#dg<2uǣ7uo VNoIV endstream endobj 4875 0 obj << /Annots [4876 0 R 4880 0 R] /BleedBox [0 0 612 792] /Contents [4881 0 R 4877 0 R 4878 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29664 4879 0 R >> >> /Type /Page >> endobj 4876 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4877 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4878 0 obj << /Length 19 >> stream q /Iabc29664 Do Q endstream endobj 4879 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29665 20830 0 R /Gabc29666 20835 0 R >> /Font << /Fabc29667 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [n`K)CfŮc8c.@f!Um Ln:|/(:ɨAZn\&#₅1Ls4$dqNQ$R9!^\y"/~>l\9 " /5"]zPL.O5HA?hxaxwBD>.PkPݳ(E'ܱYZ)^v4m11o:ٓ#,J[OIkp:3ϳ-nYc#x#0$IԢxy /KYsKhf>e9 M \0~v )QXI*d~{QUh>ΡDHIO;p%4C~j'-:A/}ef endstream endobj 4880 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=368) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4881 0 obj << /Filter /FlateDecode /Length 1076 >> stream xڥVK#7Whj`0!C!'o6!xzI- T)uw-o`/oɼ.DM0dR:!:`ΗlCp%dO\Z 0h0UKN\z.)/:ʫyˮvp5Ԅ.4POV|Ռ|Ņūj_&s_g!X3:3Ѹ%[8 y??v2q]soϔ"؞qg~_sKO=K7_%$0'0G<P"Ggp:?0\q<=>EAA(Y=<}FvxQr)b5 }V2^Kx\5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29683 4886 0 R >> >> /Type /Page >> endobj 4883 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4884 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4885 0 obj << /Length 19 >> stream q /Iabc29683 Do Q endstream endobj 4886 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29684 20830 0 R /Gabc29685 20835 0 R >> /Font << /Fabc29686 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}k endstream endobj 4887 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=369) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4888 0 obj << /Filter /FlateDecode /Length 4976 >> stream x=ˎ8w}W/6PH  ̭g bN5ӳXdPuR$J#LI-YZ*wŸi1L0-G'g x&oRoo(<G㘎ܜ\>;=6 .kHo۟oG22UʕM~UI j Ԡx-0w™pUebebZ&9.ä$5=H 0j4' `\D~J;s{S쇿[_0OrF,U$OBhL>!K˃3(!s۠ o6sR(e@ཱ`2zF@Ӄaq h&˟IOr5hOjZ {fp35ů/o?# #}8>c=6c=pb P<:Q'9&@Y=?VMb tN+OM, 9F%6e8? eY: S=~EGԃ~̼W#+gߥ+2bv##/׈b'; rt.hڂM}ZӁoM[98Dud"}G.@ _h U4 >h\p%z ή==mkp.P owcR t ZAMgP.lwN/5S7$hLliGt.r }0`Ă=7зIUbG?E(\:qb%NN%nwK8ȖQ~J E:ُ3a#1wbVmN2,b< :}cH)L$A5` w& XN+#DU#v!W+ XHC:Pv@AjtN;clgǜMesjŁ0gxSr5"Ie}Ye=k&-d8zpg)ԃcwl͊]V,[grV,X8br[X^bqV,YjV,vX1cSosƩ*RiMǛ]1r a M@u8!ғUr2ymCL1D-,-`l)RmyEgn!p;LAgQu` W%"*$M(eX[I$ ſD)c9sO>(fCX<;3# ,f~{~T 5}.;rda !-hKdym(F5ME]fAQVSQ^"Vs?Uŷ0w:*? nC&k s;o$PbIP* z8IVAsէc!!?N$8xSޛ,wpZefXAl8dO0V3^mn$ٙqش#L*oYk9g\xPJE}9ܘO[\3:C7|wKk\7c~iyQZ$0N";oS4&.s/Vϖc%ts>Ы9|䭼}N1 #oq]AL`3^77~jZJW|g%2*y2@céi|}L.TM/'<~3 0S91w╷_? %WWX;^{ПFx'I“?<60/\j&-HZAxA:փ_^-a36[0V[r4Lr"|K F /{9H'J1$ܥH(Z,v&]0@'\ϙ֥.HL(fGU!HuRztSMΰr[֪\gvHa ^3RCQ3]Jt#E8+M;?)RI)^ϴe>ӖVϴe>+jqgY;P껾>hybGG(%D)y ?FbgDqDqmHYC)zDnLY,Sy#i (>ߣ|{{R`rr":Z9$6`MtLrGHN#\}% BB/iiZF3^rnӄ76;5rW/S#~P3Ojkuqett"߂;'ZS;!=zJ/a\92b c/ͻhE܂ o;+aD8݈CWoiAX5RxMq ~pt|qb?X7~(=Lu7aC9>Q: DmF1p$2DS4긇rfqQ8T#Hg(pHS VtVDZq/Jf3)cӢʌҌ[C}4=̺dƗx=j),ƕ6,c< tFt%fDp)UtA!#c\ lauE@63jH)^dluFF.NFd.pcVjR+T̆$%߃5K`Q! YB/+D⦶15. ,jϐ\ a4y"nU8LgEJSdb< $6T(xzō 5lArh\,{=$=qFv7Kɉ: jZ!b+zZ i |7G~  n?vo8iۯ!JAX;aސؗ=ϝ pꔸ̀'ؖGLɗ%!ƑagL&_, >_}C<a6vƇ)J};edT΂"ǰK {hl m17=#/Jؔݿʞ|"dL{B=.!Rq`j{ q2 endstream endobj 4889 0 obj << /Annots 4891 0 R /BleedBox [0 0 612 792] /Contents [4903 0 R 4899 0 R 4900 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29702 4901 0 R >> >> /Type /Page >> endobj 4890 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4891 0 obj [4890 0 R 4892 0 R 4893 0 R 4894 0 R 4895 0 R 4896 0 R 4897 0 R 4898 0 R 4902 0 R] endobj 4892 0 obj << /A << /D (unique_77_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20211013063105-08'00') /Rect [90 610.325 124.21 621.325] /Subtype /Link /Type /Annot >> endobj 4893 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [129.523 610.325 152.3755 621.325] /Subtype /Link /Type /Annot >> endobj 4894 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [157.6885 610.325 180.2165 621.325] /Subtype /Link /Type /Annot >> endobj 4895 0 obj << /A << /D (unique_77_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20211013063105-08'00') /Rect [185.5295 610.325 250.2535 621.325] /Subtype /Link /Type /Annot >> endobj 4896 0 obj << /A << /D (unique_77_Connect_42_cdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (CDC) /M (D:20211013063105-08'00') /Rect [255.5665 610.325 278.628 621.325] /Subtype /Link /Type /Annot >> endobj 4897 0 obj << /A << /D (unique_77_Connect_42_lint_commands) /S /GoTo >> /Border [0 0 0] /Contents (Lint) /M (D:20211013063105-08'00') /Rect [283.941 610.325 302.234 621.325] /Subtype /Link /Type /Annot >> endobj 4898 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [307.547 610.325 340.052 621.325] /Subtype /Link /Type /Annot >> endobj 4899 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4900 0 obj << /Length 19 >> stream q /Iabc29702 Do Q endstream endobj 4901 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29703 20830 0 R /Gabc29704 20835 0 R >> /Font << /Fabc29705 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4903 0 obj << /Filter /FlateDecode /Length 6351 >> stream x<Ɏ$qw~E T4eZnOç}5[6|TI`,dnmf_ϭ֙--.ZBZkLދ=:V*xhxVyA;ſXT\пHAQszo)om1>hk4|߶oUA=i:rM,لh`X>I~[G`£ 4l۵6a{>D Z~LWwt2G*QeO$oH B!#,QW5'yQQG$}3Kp9]}9%^ 'XoP~TV_bD{(lGD #W-›DYؕ s=e^ WW("}~z #fz|L_eOOf. vbD CymR'PF<;T__ F " :p1iz,RA+n@NH:CYCMP@0\q0nLڽuS TP:NRj,wJ.l\h}Ćb`%k KJ֔Vpyx>mԚųvs:gD`JLլa|2X$C+}r摏) PlIV{!V9Vͬ*py]/}~J J 'aGuQZ}u'b>Ie-e,6@]e`6l ,-WNP1gbpu{Ն8vY1Nu04,9B[haVh ƒMwBXpxSH M|iMVS'ߏʢ?`%J":5"rW|eG5:gV30yu:A-Vx ,=)r;SVFJD5GjܦPѸqFՉbolyqL/0CE#AL~LBy%:2Γ1Fzg(zDŽjܤҘQaRyȵ@oBifOSNO( CUR:?IMX1uvUI?*ıIU17.+ݪ H{ c|a ? Qp4|B*ƕ @T큙p:a9~',rW4CP|uC{3mQCY;+ӾrBiֈL7t˂Ԉ3a&nhmY^pәSˎKWUen!Oh WʕӸPU`qR-o'7j׮SZR@onXDWd&Ma15d5Z/vyb앩R-JB%uY7J8Kʫw|{/{]%ZCD!JM#KlLgb´%<OM}[B57y~Ku3;iZ.dNȀj%:VRTkm9yc#q g CG- :4mJqtOC]0"oJnqv{js)q5w݀ȐS,"a 5UxvZDz઎ X"N0Ap`ɛ8Uk x 6N}ջۮyw݄8҃<_[3'lLiL x3c 1x6ݬhT}?I,+9gVGFOݮvKT3Kp硢rIwH %:h1z.'@{_sI՛R} 材X}ħs2uf1Ey.Z62Z']`.u;xCKpHn$Gju\wK imה-E=ɾ6 DU9 $=(x7VkhЊtxݡ7Ir79TuK@/P[g8?+sO2o$Ed_[m H_z}W2O$9swh//lW+%3%}{[8c}1I-lb&`\ʀ؇٣Z % -@AF|J+ƀXu`%,2]QI0q"AX9:x]a {S0M(-)l fjBB /݇TBP" (G_ѤbJ\Ots ~zE)\uxvaHr Sb,8Ry'r qi P/c2Sk0ޞ;ꀧPXWi7FEWp_XǑzfÍi8 8Rac^p8fwOS1wj0T*U +r| tXpr 7i:QA8Go`0|8:z)pQ5z雬S;=0sa]&&{u} YTNHsqvn٩O3az:Ħʱ‚1|Wbx=a7St8l(S-ȴne3=HJ=pK?$(!K2忇ƕe)]=<~fFxVs]~3 endstream endobj 4904 0 obj << /Annots [4905 0 R 4909 0 R] /BleedBox [0 0 612 792] /Contents [4910 0 R 4906 0 R 4907 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29721 4908 0 R >> >> /Type /Page >> endobj 4905 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4906 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4907 0 obj << /Length 19 >> stream q /Iabc29721 Do Q endstream endobj 4908 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29722 20830 0 R /Gabc29723 20835 0 R >> /Font << /Fabc29724 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo>n endstream endobj 4909 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=371) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4910 0 obj << /Filter /FlateDecode /Length 5303 >> stream x<Ɏ,w~E(@70C 2?pKf- ~UdA2F#f}]j^[.E8}Y^ V+|zT:$^NV|V(u@<-_&j 0eY!/sIFi8 e`Q[i1&Ӓ3'*gX9`\K_ENYZ:piX;k.g#(p:'a[ {x}UkvD e(ZkS,)ab4` AVlԗͦL$@,%;F34i'1S/`9Pw}+׎Px\k"<.:Koca&Xt{ϰ,B;gx Pq-N{\٩AdQ.+!*&e֤)Qy ȸ\%#3?_]$À{h1k]a9,C{DEa0\7$t Gcg*Oʀ:}|/g7UD\\ˠ(T2sA^}~\isZVkgsi} GImT(G2l6.2GLn ˶F^HB''J2c`){:EU&V{ΰgGqnڌ7mB㮈߳mncwvgkͨRWh$&c'1|64pVU^ DYYSC68L#Y*WE?@35,'j *Q, ̬涣@8!t8fæh+5`@uqiW_e΁ 51Vo}FIH]o)#>Bu `y=1m6ID]a{fj1{?K: /r%UyF9,ÂYg+WAjxBRН_:N9,*<=4D7w"y9+`F܉f΢ye4|^i~[OyUSdN#jDEu"gziouUQ p43$<΅PG(Ae8yD/w 0~e&W\;h\U(u3?Ht }|۳`%sN;h+-Vrn'-ۋg*fe첉kQ#8k҄ Nc6xrC9:nvc}mH2[% T0fVg 1\IiyjnJ;9m@3K&U]w",*(k/skUҁTW-1dj7~AbnoIkVg}̧\O)l)" 7Xd%{f?dI>,1l$3rUc]bb<<_jo!oaA^FAI%1;%=Gڕ?Xz&˧g(kws'}6Gi~2c@cϪ.<K*QM&_87!1FF7]l^:HuXsF\ߕbIF:?p=@+AZ}HuZGI@h Xb|oWx5O`%ObsfٱSW5H{T/b&q31 ;@=Of7nޛ0fѹ!*r_S-@|1X>OLKy?TFUw {vVkB߫#Uy+JK<\qd fO2 Pt "L- QUe8sT)b.:KcUmK7Y'cBccE.=n҉ x(u!ֲ<,YKM Vs?tdjP6JA-˪!br76KuDL]!mxk܅?,W/\F8U:΃=gdq[,rIj>S{# s?oS28U+wB OnVATv# UE(7V}lmkk.jA#ne={l`r% ܇ĻտUI&kz5E2xr'T7ZqeJ2 ;jZ8v>44WO' F5`~pfjW.k'>7n4py`QG53}v}VM=]8++,5UE+(2B6S}UsR$H:3e D?q*{T ?ei7XDiR6Juq}]09!Ƞ\/+z<;>K*%h%Gq3ZA@%.uB)[rk璱A&SYǗ/erjZƔɝi'e[/1ٜwXiر[4^-,Mk#.z']4Kɜ [ /4džY1i=}$eA 4z5x E=]ZyLYhkPX$#D,"A /X|k!/mt2yԌ6&Ox<$!Dts7.@%dyDDQFG7vEt`ah/>,Y# ]o D?tԸ[j:x;EǧCZ/] o!.pF"bJ|BgR8]jRSܟCgi! mPz B`l 'M0@F> QӘǢ[-=,=R#ƀL1u?/?o<gWiЯi,f:X_{8`:r89@!Gg20?!VEf @Fex1BBwPIİPX kR,biƮQ ;L(I|2%|';4[7j(\thۀn8Pbw`SDuDHfr{i88ꀄKB! eo {-p4/.^^p Ltn Ĥ|ѳ.Nń|O->jJ!8Ji J4uCB5X_Zcb6)\w;(V3P >Ąi@ KiY*%p p#aPLib6nST"r; _K}7ġK^ЩkK ~>O..:.У7bGIcO_jywWuQ~᳽Q^8ߕ^VpNQcНN9JlvHzqaKfQ{[UO z沆Hg#;HTh PP [pMz݊y`Ѳ%r˱_=Q n-@gN.B<{8FN>\0܅47UF(u.kMlYLmV# qCye'Cs: P8_87"R>D@LN%ћm}<j* fA!~KZyܜ,oW>ŅۊýL|k#Ӊ @`D,k6`V߳:lrZ ?\9Ofﭬ=7ݭڕ!@>p4w))>[0U2a3mV3gH.r+znWfsE1~ ^ 3zv+yݵϸ[ Dٕcuorm%o(:2Ӕ2oGjާ .iQEo@bM&TF @@T{\?w$ZxY_`2vx;<~Kt%&~]0#I4j~,Oo"A3dK AKdVԂ jjQPD~Zzd %5ʎ4*VЂ@7mJ{:p|;۝/|ڼ7|bLmJaT1w0dk)oK/ zTWn:.xW)> W2+GEIobD&Gf!]Tô3s(Ի]'m6{n vY#M۷y`2p7Rt.IBZhsp L"(yM&ZP9>]f¿dcc2!6_95G?r"].XjOah8Gi6яbgtϥKωR3BkbSdxt;{=A9Uނ2V%L55+J~(]|0_Us X=X ՝և,[ݧeze=zt+rK<{tCH?(c-ó[^B?ۯ>OW?/i jΩ xTJ*<*g\nOk#ןjCmiB.0+[3ħUR?B~Iu.W5tVV0}e+S]8ۈe,` ! endstream endobj 4911 0 obj << /Annots 4913 0 R /BleedBox [0 0 612 792] /Contents [4929 0 R 4925 0 R 4926 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29740 4927 0 R >> >> /Type /Page >> endobj 4912 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4913 0 obj [4912 0 R 4914 0 R 4915 0 R 4916 0 R 4917 0 R 4918 0 R 4919 0 R 4920 0 R 4921 0 R 4922 0 R 4923 0 R 4924 0 R 4928 0 R] endobj 4914 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 240.9346 184.2532 251.9346] /Subtype /Link /Type /Annot >> endobj 4915 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 224.7346 176.0252 235.7346] /Subtype /Link /Type /Annot >> endobj 4916 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (get_cdc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 208.5346 192.7342 219.5346] /Subtype /Link /Type /Annot >> endobj 4917 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 192.3346 191.3372 203.3346] /Subtype /Link /Type /Annot >> endobj 4918 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20211013063105-08'00') /Rect [104.1732 176.1346 239.8747 187.1346] /Subtype /Link /Type /Annot >> endobj 4919 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 159.9347 161.3127 170.9347] /Subtype /Link /Type /Annot >> endobj 4920 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [104.1732 143.7347 155.7467 154.7347] /Subtype /Link /Type /Annot >> endobj 4921 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 127.5348 154.3497 138.5348] /Subtype /Link /Type /Annot >> endobj 4922 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 111.3348 202.8872 122.3348] /Subtype /Link /Type /Annot >> endobj 4923 0 obj << /A << /D (unique_498) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 95.1349 175.9042 106.1349] /Subtype /Link /Type /Annot >> endobj 4924 0 obj << /A << /D (unique_501) /S /GoTo >> /Border [0 0 0] /Contents (write_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 78.9349 170.9762 89.9349] /Subtype /Link /Type /Annot >> endobj 4925 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4926 0 obj << /Length 19 >> stream q /Iabc29740 Do Q endstream endobj 4927 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29741 20830 0 R /Gabc29742 20835 0 R >> /Font << /Fabc29743 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 4928 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=372) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4929 0 obj << /Filter /FlateDecode /Length 3251 >> stream xnίy" E=@nɉ'e~?oRVKb]Em;|ϺY-vuxԿgm~ԧ=ӏ^/ /^Q^^P CQL1/x"}%sИ8{ ᥆:AO{CB6|f8{>{e+t; ll؛W<hwT"6 1ZFp\,+M;FĠ  Zq޹J0AkЈ5C+z"a%ƹ|6^6dT.6^5͎],JlZ4e3ƃ@”LdO`~{ }~rً h[g *SvFttaF3 AY4yaaǪY=Ilm$cSYv}Fw0h4 V7Z&QXgbI67Ct} 5P2JVBf%, @=Xl۔UI6M̓e4Q6{(1h5*OƩU~GaV;E_U < f'n~۽ZtSK{ ;7ɂQ9}t@DvB?S2b4Qb @vKY9DyTȸ3Gr ] mv8>FK=g6xEO`2Vw*jz-`lǸc#L}тAtU@)!Z|TE5 >IuޔOjf)' ba=8ojįK?0ߡ#9 sXbȍF2 l}LU%1'  ڢ<]`D'IApf]mf c:N<0l쨳iEPkeW]j\xK׮ }ڨ;"ICEETTބ*G:qۢY䤂7nYUU (RtL>Z(>oʸ鶉+ܲjVL>Ȓ)=:[&>S h,t\4ɆGF*8X+)Hm(֖g=^8$@R֙S-fdNR"8^%5mN˲BEW\.dP-R*A49J!A27t5 UqbI<'XP^UfdlٳeL.5J"9Bli;(Bo"B9.Ob^}m'o=ØV6O|ӭܮ"=/2ءNC0 *cQLEFvbxrWv"Dɑ\f7vQZfԸ3 2?laҿIK_6#Ըp IU(j޶PiJPD/I)C0oʓAqc+ KrN1o˓ ZF_7SL C6t-Q!Hȋ 1Sx,+Pk@!zcsvY7JMro!CԺ=FA$j Ƈ@S2z8V!3%:U-.O6#DvTr?DAa ǂ _pݝq2ow|Y[JTjYՏ Юfc ߝxz #fF;m 83>9 o>ۈ >W5t Ǥ aoB\M u8zX 5 tj 51.27(6xe"+z)>R}lzS`pg0 iv ,'/(ɑ6l8I-%d-vn -E ˂h9ZW@JSs HrT/C!c] ZdeeFQzO#UkpMV˓1HiNسeji=,Uf#nJ`G[$WG4/+d4 #j$ @gF&PbfI +l/w6K 3 I d C V}% Uf&Uƛ]q~H_=xo)yFP#s_Bu07jVI sXf6ؓz=$](UrcVՍ}2%;" L8 endstream endobj 4930 0 obj << /Annots 4932 0 R /BleedBox [0 0 612 792] /Contents [4938 0 R 4934 0 R 4935 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29759 4936 0 R >> >> /Type /Page >> endobj 4931 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4932 0 obj [4931 0 R 4933 0 R 4937 0 R] endobj 4933 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 357.125 140.4735 368.125] /Subtype /Link /Type /Annot >> endobj 4934 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4935 0 obj << /Length 19 >> stream q /Iabc29759 Do Q endstream endobj 4936 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29760 20830 0 R /Gabc29761 20835 0 R >> /Font << /Fabc29762 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`LЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fa4CZ-z^lMKr endstream endobj 4937 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=373) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4938 0 obj << /Filter /FlateDecode /Length 4372 >> stream xڭ\Ko$W9tfh$6C:vHv/#zM6Y,VW,|[̢ )Rok_ί䖗_wZxʛꛯ_ӽ>[>;z]][(SR]o5yyQ'.Ab?5 >U;<,IwYhcRt⪵a yM:Qt8x&$Z'Ȁ>XI-3>a }˜A@vKemEDa*o.gbÁ7x RWHVRD6 h/H K.h]7b,jEdXur+ d3 r`{C(dmTb"C?3c &o^&\᷆11`]T+2kApq;en;]Qs{{Hj*C_T[ejln˫$A ։wKc8Vg^nRj*:€f30 z0 "*ve ԚWZQ[QH#b901G茎fYc5υ}!{e8ĐBYfmV> mx,Y4:2D1U-D[7&x "+*;7smC&Wt6u3.?NN6`6BT X4R>V,sBַgAKܰ"\:|>_B&COC)C,(~qϜ͆c8eb|P͈SUR_pyW5[1 b<MͰbWҡ*'U#fQx1 QjM>oY'C+/qO ['мwA%Ti ā7F&‹5COsem TAhn2 W kƾBO}#ѽ2 qI6a;(c_8S)J Ըc~DÕ VǙ0H0℅)%$S =CUfkYQ j!Vv:fL!, cR:8iMdM2%vuj<@ f { b iv(Ȯyt(>'s+b5SIa+9ȎG;]+NJ,ݴ8p p3 ' pnu2J뀘]Ro=K]!P~M ٩/}AQ- Hc p*d e=~+acH|d@ٸk"Im]tbEMUPCfǙ:5benAհ&P_u"n}8[.RvVJkY QְCKP_Bj{(;: ڈe!5uѴuwinX}&/YIvpN\JHzo|Ǽ~qԺf&qECrjnhLZ 6eKOozQ368w`fu8w+nfgfnߩ?F:BAX7GgBBX\@4tEW$lh3' \]UJގw(+. 9[\.&RZ̮7cen40W@NN<&{NH>l6I\Hu*/3.PŲ-wSv!c-6]QBq `MVOY6{O2eſ:r ]fXʢ#$6qJfZ6ܡRe>Bc^ IVv)gn*j}"$vw $s˰GWj:^?CWyWI&h퍡ݍeN!رP!Dޑ* +3s؛Y19Ck[<5CMa?1')*jl R}g60ɈLH(b% J1!UCp n8.\q]T7jT?9\9ǡҼD5NU;sTz1 G_8H2C]5y\Sy%~x .:UfmX;n£z#0"tUkxixSBҤ|Kǘ0'oyq 0lXvk]=( @EŅ+3CMGC)~rSk$Na0 Ȕ0’%$68)Ч53CL f],5OޅyƆ HG%2儵9&KUј?^]HxG?-^쉰Qgi'4JiK<ޅ|*#Hb5(J&TjnÁƙC#זt. g!Ǻi`1i5ـ$ŷŭ)`7*5$WS#7`}o"HBCnm5ܰѸ!P+Nfz;BX^ħ~ ʀ \^ҊH-K:qV;@ǜ=͜R]+u'=iBPgmOOiA26;?CCLpBVxh|DO+0:C |] MWb( "'0>rK < 0j!gNc/V\x o4CS`܃CH}4=ogux%jDg$.W4#٤k*Z/g&HSS !#7Ω@=/m^UjI>mPĶI`S‡9JURQEɭv EJ2%j‰.2AO`CR.%mHKsC86J_kN)ְnI$^ TjdmJROԒ6־KWlaEw84Uo9R\Pl :5fUf-zPT0}ljv(&pSo4b0_!hrwWq]+pw0F-w8mB񘆿FsZb/.z-b\wKlgl9E8p6 0y{ѥGL̟/.ʜ/g\wIr+T%0t;`G=,._xYG~ȇg] gnSEtDTj-_@)^n#{Z:=q]DۦzT0CkYK=ѣA.x'8߆BƻdYZ endstream endobj 4939 0 obj << /Annots 4941 0 R /BleedBox [0 0 612 792] /Contents [4952 0 R 4948 0 R 4949 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29778 4950 0 R >> >> /Type /Page >> endobj 4940 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4941 0 obj [4940 0 R 4942 0 R 4943 0 R 4944 0 R 4945 0 R 4946 0 R 4947 0 R 4951 0 R] endobj 4942 0 obj << /A << /D (unique_728) /S /GoTo >> /Border [0 0 0] /Contents (close_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 436.9384 193.7572 447.9384] /Subtype /Link /Type /Annot >> endobj 4943 0 obj << /A << /D (unique_730) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 420.7384 204.0807 431.7384] /Subtype /Link /Type /Annot >> endobj 4944 0 obj << /A << /D (unique_731) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20211013063105-08'00') /Rect [104.1732 404.5384 189.2087 415.5384] /Subtype /Link /Type /Annot >> endobj 4945 0 obj << /A << /D (unique_679) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_database) /M (D:20211013063105-08'00') /Rect [104.1732 388.3384 207.2267 399.3384] /Subtype /Link /Type /Annot >> endobj 4946 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 372.1384 193.4492 383.1384] /Subtype /Link /Type /Annot >> endobj 4947 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 355.9384 190.6607 366.9384] /Subtype /Link /Type /Annot >> endobj 4948 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4949 0 obj << /Length 19 >> stream q /Iabc29778 Do Q endstream endobj 4950 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29779 20830 0 R /Gabc29780 20835 0 R >> /Font << /Fabc29781 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7 ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?Q endstream endobj 4951 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=374) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4952 0 obj << /Filter /FlateDecode /Length 2544 >> stream xڵYY$ ~_ i٧g6L߷82ΐ>)(][m_:Y>cb/ӓcocOг87փ>#|gM?Yb:O1`>F@4 '#Q9hg/5GiLJxۃ2$EDoĄ6|f w>yۃs:.1&m'}'ث.4XJdQ;!LyC$^ :FSaόPԵl y=a(f흋h oXMVþf1cO"lڸq}/wtT_sfyQ׸6;~ZJ8lxPHX Tu9~L7) ʁ>Q] ol4bn +0n6.!/)ARX0dWLn> AYU)@"pk>6-J8c$sSY{xgn.VxO~.$]jb!&k$5٤L{{mz:m&@FXj{7PXbѲiW?FBo[;`h,6 Ƴ(9h5ѽ_SëwFټm v7< V]'ca7T';G;JKXr^;'@qG!\T9 =%dYzf Ab[6AG֦~j!&۠㹐b7Nb=<'ТqT8m&nRIf>ph T, 2p@/-p'D R@ےLotJ502~ C [b,-^B q9jq g#>lA'=4(g\gquW1{*ӂ0|IJvC^:Ϝ=+q'6 M. 6.3uCmS5@fӒ+9ےv% 6@sIɽ<{C f׎Y|t#;`EQCڢunwlW)KunVDg-Cpьdt3EɊۼj7F`؜mNYHiÓ!Z|wp&/9٘5٤_0<]/j/@$1gaKyW ڿ~zx Ǟs+,0ʺH}z/3WT _ X4&;3J9l#qdt~!33 [) !<,7#5 }3Yu`G }W5z>bܴK{[.O0 Hz)-Y4=H?jFvod8 I і< *M0 k}W_IUΰojY@'+%'H^hDH 3+PLU.ۨTr(2f^Gd=K4']KzIC@U ¿H(B9j=sz<@Ÿg <}'˷MzǛ 93;*j23(,e(rYwfn<.iQ|n*wflJHxȥ<.C3xEP )jX!֮[s`g=tkH{QMN`ԠH; endstream endobj 4953 0 obj << /Annots 4955 0 R /BleedBox [0 0 612 792] /Contents [4961 0 R 4957 0 R 4958 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29797 4959 0 R >> >> /Type /Page >> endobj 4954 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4955 0 obj [4954 0 R 4956 0 R 4960 0 R] endobj 4956 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 370.425 124.1605 381.425] /Subtype /Link /Type /Annot >> endobj 4957 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4958 0 obj << /Length 19 >> stream q /Iabc29797 Do Q endstream endobj 4959 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29798 20830 0 R /Gabc29799 20835 0 R >> /Font << /Fabc29800 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`S3thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7㬖5ۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}py endstream endobj 4960 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=375) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4961 0 obj << /Filter /FlateDecode /Length 4215 >> stream xڵ[KoW@k&4 m6rrnf.S/$ݞ`-ŪE5}̤/iשԙ))<|5G}|V]zVO֧zPN/SоzjBᑞ3.՚%_ Bo'8jg`{SXCNk[7@*-_]cUbaZzB#L+ VA4z .')cD5lҳ "0HҝDZv]f-ȷ |Y Gf Ġ_H/^%grڸGnm;)T'vY3XQpM &I @}Uê{l\&Q !G*0dSE0|bxc`;& 0 S~%|O'D 1u z{j@o=}^lz i-س=;j'l٧j +Dwϳw6Vb^hqtDÕ 氀I1I\I3r`uoA}/=V\5Wc=͊M(RE:#ډk"Q%RѺhU^p^kCn%˴hzRn0P]c`7 ,7&(@ۤoS*;j7Zu(cYp݃`1݆`4;XXd׺N /b[Փ@$۰ҵdG= Ԯĕr'%nZddp pk85;jP0>מb^Goc@/9G`3*ŏ_=u>JŸ/[}?[r?\Sxm^d#Q1ֲ!H}_ֵ߱-[x{@ӀnXD'!A6hʶ4v~}*[xcv::nGI)~6f-Ѹ5cyovw^g?'Rlɒ:SmczEs}/>['/7͘#cM6FS.Yc%D6 oTgH}ƅwhgz~5S;5L{o2nek$?e44{l=k3L+ok1%y0D1etmU% JTY`{˲XSv.&pǛl Qvlٱ{|) H%i٥חI{Yyת}a9a;9JNWszHžVLrqڴ#<)0O IZ>z0D#7K`pY{kŴ|MZ`"`TUFOR9S|'D ?hr0D솗DZגg~*v:Q,gfYE$)sZʔg܍|t]׋ۇ{a9X%wŗÜ:$ǖ>f/q` بa9`ޯиw|ok?ǝUCCm찣;/*JT$0bmUlZ7s5 y`y}a \Uset [P#UKQ,:tve<ik*d.>.9qʮZTfXCpqL챭9h"G^ kcгkk؏<o~RFKjXH~3qq tLQLuن8Kl0FE!xX^['2Vهܟlf}TBM]*N;MP\̧̔Svs '&GG.Lp+n@{jɄ:X:SC`U n{{ ܬ{vSUo.mu%R^n4l>(鐇CqPc*I>c NW9ـ)ersJKZ ~SV: C“F>V0}JACnY-b mp|f&#\^&A %n ҊHJڥtI}Ֆn{js+ޔ:s &-v}>@smav6ÂbGc%*:2Zs^/.Юy,o[)bh|Hc~6t:R mcqLTdB47ba DvayseN?c]FZ]>XרNcǂZ+qcI 7[hVbow+<>n|;Ƨ>j|mHlT \&:Mg$btMMYPꌼᄣ?@:Z^)x{䃪|;cnfMyWD,'cOJ-p\8ȣ:  E{\ f85_p,v.)@s7g*|&I':W Ӟ,]X拔\qKڑ掌ZSZwtXnE$^: TWjbJ"z_rU=& &V>J /Uo28R\Pml v:5fUf.zP0=ς͖ދ>O,?|d3؜OLZ9A@ߥOw- if IVY~L`a"rp|{4|?T M(E_gJ"i0ú+<+qD#ϴf;)<G_v_U:3=d"58(v`A endstream endobj 4962 0 obj << /Annots 4964 0 R /BleedBox [0 0 612 792] /Contents [4973 0 R 4969 0 R 4970 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29816 4971 0 R >> >> /Type /Page >> endobj 4963 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4964 0 obj [4963 0 R 4965 0 R 4966 0 R 4967 0 R 4968 0 R 4972 0 R] endobj 4965 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 374.8423 146.4737 385.8423] /Subtype /Link /Type /Annot >> endobj 4966 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 358.6423 180.3482 369.6423] /Subtype /Link /Type /Annot >> endobj 4967 0 obj << /A << /D (unique_548) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20211013063105-08'00') /Rect [104.1732 342.4423 156.5607 353.4423] /Subtype /Link /Type /Annot >> endobj 4968 0 obj << /A << /D (unique_550) /S /GoTo >> /Border [0 0 0] /Contents (make_wrapper) /M (D:20211013063105-08'00') /Rect [104.1732 326.2422 174.9857 337.2422] /Subtype /Link /Type /Annot >> endobj 4969 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4970 0 obj << /Length 19 >> stream q /Iabc29816 Do Q endstream endobj 4971 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29817 20830 0 R /Gabc29818 20835 0 R >> /Font << /Fabc29819 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x77 fc}Lh < ӄ9pZ [n`SRth۬_{9u ]J* orP柫=0G3cX-LFV#Pl!2Rh,M ?$WJ2%ī+OSr&A!K2?:Y#rU (I(~R1fubG ON٧j {Vȃ;6Tr8knT-=%MW4yrCod%# yk)pm(48יq|mau3ͪ_l?7‹C2Ϩ`O6OaePD%9 /s9p!9`yQۼ8F$!īXN>;2!3ϡ*h#bwo^ endstream endobj 4972 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=376) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4973 0 obj << /Filter /FlateDecode /Length 2599 >> stream xڭZIo#W<*@  0'=A`h_m\J.NQ]"E}6o\~zmt8ev Zuli1kkE7cb<>x |Ʒ'Óww k-{cla1gpr0`].5y،[ӸeI%[^2hY`O]At4.&Ajp yI)"gИr&< <^P?ä|\ۃB)NR,{J{h>^}q<(Wz^ɒDT/̒ďuUc#6dz?J4j^`ם Kɦf_'q" $ʎ+4 GAcC)iĶt|f޳1ў-(B_D^iwbV&F4)(JZޯ#໫ -|IK5yD=0~%t]vL/_j61^LeD|&ŔX$ Z\[? [~(`_OR|CgBod*?+Wx/k& rP[&Ɓ t"98@C&+\Yck3ˋJy[+wJodb//Jx  օSEmtɩ~lϵ3uDeZ1n8-X ջGI֦4*H;ե?t包,2UԢv*7mm=j{zz1Vִ40jqee*pfϐ5kr{` R-Zwϼ6D_k$.vIr9 ':KH*aynp=ABq/c/3Ktw1 9u)F |z>u[7'eFnR>o=ުL!%+ kܨ /sS ^U1tW]&ᙁe k߈п"L^IQ݌Ԭ52¢9\*RuI[CcӅ!Mhp䵙eЋs-WFCGHW3d#ݖ7MZ-9UQhF JQoȤoC㦴:Uӷe=.Vpf~P޸0 dv.VOdqD$nSBÙ 4xxTߠ-RIT8鯿/xR.dz72 s $_BKy?~Հگ0_{ *bA^o*ѿ^_jH'{ϻ@u++q &('.`XOTa>Mp%Ja,rJ@ppӁi(Qt M#d:F`pvI| ܯ$$y3}ay~ϕ G8O!.BByWxDQ'b_HUSЪ`" "G2$Cr )B,#u) J䂆Å/:,;X!8iu^\||_66)Cׄcq%Wo%|&^ @x^e&ջVgi_K (}B]p&Vf-^pw9?C\3=t%cvj 6$! _ rȗi_cڬg>FM[8 rZK̏P۹%d5}n\VBCI6j%\+<#H>; ޔ95'3WY]OAl3H#ƛі&*0 HzRڲ0lEZGHխU+nHJPG[$_' /+?ڜdFHgЛF6Pa%fh览^hXg6xcx]-v" GZF%ЅbqG2MFM3 Rc:xՌ?%Ș| |,/ִ 3n?Ψ Ʋ{ xcF!Σ!^Ѱr= ϫkFQb>ybM%s A`%vMamPubwi8 +9IR}YᳪAV;ޞl@zW8LaGv7l 8nʂ?i\<6!:tđ{#| wsYw!g9 ~}TCEg9΍tҪ 65`ěb+Äy{8l  I >“c%c% ܤ`:'yqwC<~Sm<,pBw۹F. *`m؍-p;'>U> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29835 4980 0 R >> >> /Type /Page >> endobj 4975 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4976 0 obj [4975 0 R 4977 0 R 4981 0 R] endobj 4977 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 4978 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4979 0 obj << /Length 19 >> stream q /Iabc29835 Do Q endstream endobj 4980 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29836 20830 0 R /Gabc29837 20835 0 R >> /Font << /Fabc29838 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶeh endstream endobj 4981 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=377) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4982 0 obj << /Filter /FlateDecode /Length 3854 >> stream x[Ko$Wl`|XЌ4|[G@FNA ؽ^|ufG|U_gu ze߷?ExY{ԇ)~yӿ~2&}p\fv<[bz'JP,Rj%9@Օ^ ,Fl Q &P * @M !Ny%Abf?vq+VKu||x^֋=S#Z>ٺ ڶp'\+ itq>.DYJܵ^9v~w^[Xg|.}ۀN6q=G>Ms, t>ʒݖzbꖹ-;8<ˌų{O◡t*QFD7“g٢²^S }i<($Rt^ahz&. B]Ьl9fHO;q %bΚC5X;`as9ّSp9U<ii;R-:Ec{2::uygХ9mnKvJy1NW;&Y[,Ϥp]2Vp7gi< Sd;#K,9y/bJ})} SE\1byP:O+YY!-"7>1bW V:P'/j5WtGq+bi:~eL OXQ5gjylMp~ }$IهϺ?> [7z9"H\QΓKC,Tsbu*NwδW8QMž(At}sUQՃ|`{9cI;lqD8!3}E ^a E4xTNho*ʭ2f}w_K!wWJ Y`3,dBtvkӵ-7ӵyƓa.^D\”ȩ+jg)y̯߮*neay%X ܂q/8j^WBs})4Wprb'/[E!)ᣈs08XѬf Kd!Mvͻ7 ߱Y",3 +7 ocLD]=[BWKõ4<_arL|pN,nCcݑJYWoُYtwp?8;sI߈#vth 8B)w(ܺK?q'qqRIbVLx0aA3NEg*#ױMe}Kk쁿'rV ~@u~cZ\wvC• ǝG1<\"W꼟η9vnp~t|U)%j ~b3 C<$+KH!Ξ1/ U+ucL[z]>|$L H4'XgB;zPH&w!tsB.y2Ϲro'>00ϵLǻ*ui;=i'W":,!_,2'=rM)#:-}-TZ;IQ&&0cVtm=m#mgq@*2!r t1Qi`aTw9)d6} .snEZg(,0 4 *Gu> Jj+qcJ GkuBow+n|o|S_Rk4.F)O< |AsM/r(yVZg ]# h %NxZEC8+"63y쉛wɉͬPľR l0sĩecԒzTQrbL/$Vbbm^"[x:kỬ"nI;72ܑuVRsJƴuK"eT&kWN2sKY+& 6 WKUo28R\Tml ;5fUv*zPT1ؕf;݋>M0|bfyE R t'G9DDqEsOmc1\~TÙ_}|WDY|7g>r,uO;wp#Lw}%˨C!qkgbx}G#˅u :˭Xtӕ~ ,zYO݃Ee0(Bኩ[q 2KHD'GmV`l5 L endstream endobj 4983 0 obj << /Annots 4985 0 R /BleedBox [0 0 612 792] /Contents [4994 0 R 4990 0 R 4991 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29854 4992 0 R >> >> /Type /Page >> endobj 4984 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4985 0 obj [4984 0 R 4986 0 R 4987 0 R 4988 0 R 4989 0 R 4993 0 R] endobj 4986 0 obj << /A << /D (unique_400) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_instance) /M (D:20211013063105-08'00') /Rect [104.1732 375.1384 201.6222 386.1384] /Subtype /Link /Type /Annot >> endobj 4987 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20211013063105-08'00') /Rect [104.1732 358.9384 177.9282 369.9384] /Subtype /Link /Type /Annot >> endobj 4988 0 obj << /A << /D (unique_712) /S /GoTo >> /Border [0 0 0] /Contents (load_features) /M (D:20211013063105-08'00') /Rect [104.1732 342.7384 169.0567 353.7384] /Subtype /Link /Type /Annot >> endobj 4989 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 326.5384 182.1687 337.5384] /Subtype /Link /Type /Annot >> endobj 4990 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 4991 0 obj << /Length 19 >> stream q /Iabc29854 Do Q endstream endobj 4992 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29855 20830 0 R /Gabc29856 20835 0 R >> /Font << /Fabc29857 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fկ ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}k endstream endobj 4993 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=378) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 4994 0 obj << /Filter /FlateDecode /Length 2586 >> stream xڵɊ$_gAc_([LBGtf.}-gj4ΌȈQ?k -xw欎AS/oA[_>>xmZR^_wƸ`L{9e Wګ5`:S/M^cN`|6/|]krcq{E˒,k i쵳=N;S138,{Ī55E[kBc {0@{I2 D<RAO|vgV@u@0^J$2Tx 4,','۫D wgAay.\XMM.0Q"$F4GFcM!iIJt|fܳ0I{KP0JmDIi,"v'QZ^D){g}cCkz`ݶٗP7E D 0!dq~ ˆ;FlәfCrl((`}%{EqU,nCbwD ldNMM;| u30H֍x'ᢽftAꖫp@xds:ERswlsMg=([V?ƹWEtbz1Rp| \@ꍵPšL bD (xs¹mTѯ@v:etNd8w66sbyJ1.8 -eѰJach%Y to')/uaIKg,Tg))b׳r -d*$ZO5"V)VWcUjMߪ!t$GkYm\f.s5_1Mfpnp]G^$u>ɜ TOR%mtuT_-Srpx< k1320{<,uSxl^l)^AU&i ,>3Zx%ʌ+ .zyҬ: m*HGUu"Zֻo5:tdTAHE @.mMo7Daf5ґF{2hs'Wc`XOլLXU,̾3Ok-捙1HLqJ`񗮯]Y\,1Vtcὅ0WהL gD]xc4Wjsi`/Jf&Wp6Ű@#~mG<3=ԣ QӋ 5I -<_>i*6Fs6/ҟ~ҿ!(7<p4Mg~/)Y*2P}f ,*֔+$drAwVhXޠ\-Ϋx?A YvU V: $`}6CS)L |C$^Lֵޅv wiކ s3dpS"7 0fz>N+ܡA_c{#v;5Yôeb̎g-Gw p@'5d5=7,_K~uj XH: mʜ*f v lG }oj >b\&+Ɍl43,Um-UKU^2nJ`G[$4-ex凥Yމ]&Q5:442+6K2G QP_О Xgxcxȫ™piWۜh28rT^ȶ1MF4,mD۔HI0$fQGOdC0#C+|yܜ2Ⱥiz931D M8ex(IÎ{x!#7C׶A"C2 [כK룷f U'5ٶCً9NPR#W2䍒 >Z*7N SYxǖ[֒{ȿA`왚$>u휵qył?$jwG}#x #Go['? Qh̼:Z{&b.6e% ;Lݥ#}"d΁w0 '"Vj\Y33Au(pro *3_j:O Uf"֗C>9Nyɑ߅<[CDP#s_Bu07fVIsڛG '$ PNۧ3!M}a`FbN~2x &;ՠ U endstream endobj 4995 0 obj << /Annots 4997 0 R /BleedBox [0 0 612 792] /Contents [5003 0 R 4999 0 R 5000 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29873 5001 0 R >> >> /Type /Page >> endobj 4996 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 4997 0 obj [4996 0 R 4998 0 R 5002 0 R] endobj 4998 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 4999 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5000 0 obj << /Length 19 >> stream q /Iabc29873 Do Q endstream endobj 5001 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29874 20830 0 R /Gabc29875 20835 0 R >> /Font << /Fabc29876 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}rl endstream endobj 5002 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=379) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5003 0 obj << /Filter /FlateDecode /Length 3964 >> stream xڵ[KWle)g 99mbL݋~ŗx#ŪHh ;%/N~ӥlпӋ9hg>L9˛ Źbv:[bvg)!OʹR.eݰmǜ /Wq_u4XWmL[쒬_Bڎiv2eP ՟:5 T>},m|[c2FO~rw K] 해Q$6c:(? ['62@j N/F#p(=7˙@;*ѿ[2p'xc" MR[lDd)jyd GGL,<,jUXOu]=@zGFRmq.郷Xov"&5%aׯfS*u(؅AHU|R:ڃà6>ѯ&- C%\xd̰+~ 欙[XqX@:ZbFZ*2]7rur(n]@J]2kPd'򧆃ڨ=30w\4I%Fc@ų㽣2.r i<ؾ[iC7J5/(S]ö3#l$7#n3"[2TP[4 3-l/"Zn%B*ֳMGUV~4I sgJ+KgcMfd49/V\TFl^Ȝ">KOe|)#4*+j츧Y EjӣԽZg=6{d7Y;Qf*U۾XQ j.V v:VL!, c 558azrCofM] U0t=,Pv   B_`4;XXd׺N /`\JyXTumXZr#@7+@}7-p{prk89AOuW85NԷI5(5 Bꭧc1X˜ BNTG`3*Ɖ_u>J&~ԗOV< .]zUhZ/ N8r!H}_ֵOLk[e/q/4V8d'Qe(Җk.}#Yf?x. GIN6v)i 79&;25Ob궺mdLFZC]Kn8ȫDj.v,=ΉHAw#xUߺӷjwIWHJR(y%}%.95+q Wϔ:FqQx sX҇0OŒ!HzdmWl8QfZ(2`M%aRdt<1fC3ΖFREoGI$C^nBÈ Zh`!q^"JtMcc>Cs CC6>>,B*X]vre,)JHp%EcIџ5bŞT-ryh* 6#+k*uPSoU~{b:\#(3Z ] ײf׃yt_l}sшױ%-˓RC-9!z yJtVs"վٳT`Z*H=m½&KyX] uc\fGg?螰S3@ LƆ  BLoM SmituPJH?t:XLlCV3mE{>f4Ehգ1Y}$>,L'_ ">  [xJg0cQ,p'APma^ ou"SD' Q =`&ǥC+}%\Q %;.ͳkp5`'QU#ʠ; %xZ@jy5Qqۉu@&ni2oB'B'&D z:]:cZ0v;Nt˹& \`^Wm*JK=ao.2) <[/2H{^Ro6؟f,NvggFm>[}aO[Q[TmzWKYR ؇:}'n|vq*hG|/?[u;r=03qt.mKaiԝynxNAm|#&i0.qqJ~~ynVC dfg7Γ|hgߡ ĭ3"ґ2v)]'n3Uιr'>0o`[wuS-,;J`P8ܧ\OEܢS;ĄQ'S4e>Ҋ61yJ'>c0QLw=m#mgq@*2!r+tQi`yT rpSl@\N(,. IupVt&5Fu>lԪ\x$o4cKjo`<] Hhz?wO}ڐآtly:A0J5隚?͡YyG(4t.t@!x{S|;a~n'CWDlO'~K.:  E{\ f8_p,-W)@n))ITLjOL-i< !Vçg"%ERvne#"~ϵxmֱ݊HtF!8ڕ y/܊v֞P\a&V>J Uo28R\Tml v;5fUv*zP0=Kς͖(ޛ}RƳMaT9yןa4.w|o9d|b7ok 7,ƒ1+.-'qe3t߱Q~|,m;ˋp0%^πa _ 0 P:4ȁhƚ~OwoWr/G体w3*ח+=^fT[p\4DxI|?ē;gzx9f$ ">|P) =$ Ka3z2KH"%;`A1 endstream endobj 5004 0 obj << /Annots 5006 0 R /BleedBox [0 0 612 792] /Contents [5012 0 R 5008 0 R 5009 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29892 5010 0 R >> >> /Type /Page >> endobj 5005 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5006 0 obj [5005 0 R 5007 0 R 5011 0 R] endobj 5007 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 312.55 192.8002 323.55] /Subtype /Link /Type /Annot >> endobj 5008 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5009 0 obj << /Length 19 >> stream q /Iabc29892 Do Q endstream endobj 5010 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29893 20830 0 R /Gabc29894 20835 0 R >> /Font << /Fabc29895 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛx endstream endobj 5011 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=380) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5012 0 obj << /Filter /FlateDecode /Length 2439 >> stream xZIk$9W1H2vj0̡{(_RLmoӓmruM>cb←ۓ}O1kh~~ӿlIcr`{භ[]7üc[/w`Irst _p4[,{V?12,vyNֺPD&H,B&?p5.GLD:u6ml)asH\v a]T``%ƾhh那Q)8ȳ$R5&O`6l} HEAurť#uI:QgmbA"3~' umx)F` |zuz[u[chY4Dqm[Ljr7Zbd@yqA)J (|sa_2:,Bٟ5Ms5+ٛ[7l7D4{kaYRy[2ʂs`k zOJSu)~vGSsk䒭nӌޝ2[ Kߠ<|4sA!3x.x L]t.=m#RL[rk\a,' Ltk) .?q*sŨQO\kM'qh> uJps}<8=W&gϦN llܻhC3y j}6IWIҍnM΁ה|3rI{˼G9ma aVcڍ $Y y6MR:ݲU۶sQ>Jmb\*(Hl*PR OhZQ/B u$bs u&]ݒiZ ySUɆHPW"e[:Nl| L$qQ4m|[~)A݂/u"zX \<hOG pASj:; yܜP$ Yie:HRK76gvq%Vu9ĻӰ}ŭgChBߠ`̳&o' p?` dAQ$ N02-?kȭ @ '444=!XqSchwr -910u!w[ب| NP~?4~g'_:{\Rͧ/~U@|RTzru(D+1xu&;MIt@[Ff/nHݗVnzWٯZȵ`Q}U96 GN(Y{, !rW#R\:R:'og@ME < M莶B.l\ɩjzư)HWb] YBݦO;CсpMxo &$O?8_]Y@l S7TV)!P.+)`5x'&a0&N|aTzϖUC=K E.6JMVD: ,hePrQru*YJxu,< Vgi\/;ĝi8;7m /= O9B 288fnzNxO;$T2#ojM lHBa2sqLlWZk ;~|rDNW+r !3Rݸx-N6j%\+.s fL ޔ> {#2p3xuM\UGGs@U<( d0CZ#UHU#Ruk;N NInMe@,]&S5@:4v V0K6O>оXg6xҽ1Pq"Q'85kmA4,Ij9+.m{z44Kk2%Vx!Ke,UM\ׇhFV`!x9euCG53ս3qlu nF qljuaMĄKWR7Q^d;AJ+qqFlBlQ/8& 73%շ Б >0"\bVzCuF?A-.BY."l@`,jNȆ2#_7> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29911 5020 0 R >> >> /Type /Page >> endobj 5014 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5015 0 obj [5014 0 R 5016 0 R 5017 0 R 5021 0 R] endobj 5016 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 399.925 122.505 410.925] /Subtype /Link /Type /Annot >> endobj 5017 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 399.925 156.5005 410.925] /Subtype /Link /Type /Annot >> endobj 5018 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5019 0 obj << /Length 19 >> stream q /Iabc29911 Do Q endstream endobj 5020 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29912 20830 0 R /Gabc29913 20835 0 R >> /Font << /Fabc29914 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5022 0 obj << /Filter /FlateDecode /Length 4792 >> stream xɊ_Ar ̭> >x Un[n^`_I=Cb VboK3KK6إ?gX>Nu~K>&-hZ w!0|.ߪ3/$y:!{.Kоzj,ŒaHojMf'eoi3ą_ʖtOK]\Yg3Z(vID#=1]A"OFH { @h7"f+Hq/ }K_m`?|B1A Tϴ@T:E$8|E,ӻ n~@Gmw`_xV.!β/)ótML9VfYf.B6(C"r1hYyWJQr^`ھH}v.3Y*x ( N-5)"^v_J6V  ֑EߑqF D&V᷅c=L}?;ۃ`o=K,|Ϣ¢oh]J@SSWuZm7`G،GOvì#X"Uϋ+.Q~$Y|=/' -ÄWAn#a ʙ'd-3p[ |(ԗVEキ>^V[v ,sadpJ䷭.^$D&)rG4h 㓱?j&z7Vщf:Ọt}a Z ֖iUA`-bDGo08(hn'w ]%bdPBh^: k@i[H<;DlL1:HcP;R]=tƻFT8;m3IܰDXt*sDHux'z#hat 7\jy)W='N+OЛƥHQk>7ڬ#qEtqhu fn 0>ܙY-!a :@yO敏) ˋPnIW{#V9v͢*ry/ioli8&mPݖ,6M NJa6݄&=3jg{UOv9jfqmZ08*lZ j҃Su *Yr'ՠ1[rs WӚ <CMYge=iO, Sުٽ٣NM4'}(b3\ (L%Ց}t Wal>qM -вt_>ݿ24kGAl+[[Zf} q"+Uު57yow[vN}9|U] '(,v͒ݽOduAlDlp%~ކN}/<hoY]mj.Oց OE./p`ӛ q`'4qPbdelԹ*(t2Jx8<V,6M -p? l7|5SUZ5F|_(,`PE}:l | Mj[1VcsN|-t\8;/2!:^Vf\xsaA<8ρն~=gQ9zȏN]Hhv`:cԉc. j:+D9їAߘ]$(x8'(i1Bѓ1Y`u7gKƙh;d4e!\ub%1ϖۊA!VkhZO|OZSχLQD`LL$N67@Z.pWvqJ e.k$=պnyNw͘1; Hc ^Շ F5N1NlhmLf&ǁB)Bn=h 2h53Ê\V֐/^• HCer"99*J$@*_M{^`z2V%vn4I*<9|{౪vA)+܏q2zWٌ56ϵ{;)&p@ _L-,WWRYix+5A[)R@iTi &bbMHkVRLA0ۡqs/aWǴ psnF\a64SʹqވbG/WLdJ)g!owyָiN8@/ تQKY 2jzVr<+zVPkԻuUUͥ]D!N9V[\hO0E]uz ?ԵU:z&#W#t`Weq[J%LOMT+W| !;&m),!Yl4e<*SЋq厡E ׉K-Oud06(t1"J|>j~su)#N?O髸Q/[ (~]ՉaO6ZƱ8nR`pb ֓al'vh lj9q@yLu$w;Q3N[>"|l^M.G:E)dn5=\7k3MOљ<63zFT\ {兩_sc2;ѱČ5p7\'C{7ơX>PWG#}4)xt*>L>˳r 8sHL@P8| v満RNByҩmg<ï^&j ^~cJKֶhPO+p~Bhh즪i{rlEa6ec޽|nZk߼biORIؿfc_ʞW~G2M]F =ɦhԠn/' endstream endobj 5023 0 obj << /Annots 5025 0 R /BleedBox [0 0 612 792] /Contents [5036 0 R 5032 0 R 5033 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29930 5034 0 R >> >> /Type /Page >> endobj 5024 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5025 0 obj [5024 0 R 5026 0 R 5027 0 R 5028 0 R 5029 0 R 5030 0 R 5031 0 R 5035 0 R] endobj 5026 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 163.204 196.6447 174.204] /Subtype /Link /Type /Annot >> endobj 5027 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 147.0041 178.0272 158.0041] /Subtype /Link /Type /Annot >> endobj 5028 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20211013063105-08'00') /Rect [104.1732 130.8041 216.9837 141.8041] /Subtype /Link /Type /Annot >> endobj 5029 0 obj << /A << /D (unique_88) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20211013063105-08'00') /Rect [104.1732 114.6042 230.2717 125.6042] /Subtype /Link /Type /Annot >> endobj 5030 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 98.4042 229.5017 109.4042] /Subtype /Link /Type /Annot >> endobj 5031 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 82.2043 181.7727 93.2043] /Subtype /Link /Type /Annot >> endobj 5032 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5033 0 obj << /Length 19 >> stream q /Iabc29930 Do Q endstream endobj 5034 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29931 20830 0 R /Gabc29932 20835 0 R >> /Font << /Fabc29933 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5036 0 obj << /Filter /FlateDecode /Length 3584 >> stream xj$_gCľ|0>c0}bɬRI33TgFd䋷oҿh KNۜ1bqVWËׯUc`,DMuk|gGbSPF V>cayq;|)'~_9!Tyˈ$Ns6g^# >j J0'YxZQYS]-Z]!OL oCJ1DZN9ˀM KϏj[RLh8(yjrXM7OqB>;N=09u}\3EXbM65V=VAJYdb$DY.H6i.!R6.!F!os{fFvȠt(h"s*^ ? ,i҈1>~\X[$t_߰pCXxvlK\*WªRfؕg[$S"­oCd'DEfHp}~TI1 6n݅Z CvbDމk֪G i.U/uQuc$Ž*k%\=VoLp&o-+3uW8h/?+šJMCڋm ƤМ e !Ԥ.)9p  ^A "e;p>L&xSpB弉.5M@Ş8J]] 6 *))(["3y.Wcא#Gc)` M= 7 Ija*𔳱U9D.| _j 4#d&1A 4A!ɉNYd6l2yOtkBr)}N m9\}eOkw%s# 3Py]$@UOu%7pm8)Jϻwtb|F1z<sv8$jAbnX+T_V'N+A2u•(]?:~ɺf?뼉C͛@*EnJ\JPZښmy6;O{Hlx k ke6_cK yzgbMMBh\tN^b?\ӛ/Tf]2;} stP~#;:O؈։*s'ɔ -`VN֯ł:9fq>ENՍ sl =*t}$،Q-(0>gƮV~<]6ʇ= >hHo{r)RڹzϤ4#Fکgy*oqaV+ʦ`[kS'{r*?_K y\}%Ĝ9w'lCRF\#%ϯGwq;}~<7G;}#wkk젶G;0p ]R(1,iSLsk0N={nwk悥yϴ&JL4*ߡɋ] @P)<շuNٱ'kjZd}ݴ^kĔ 7&im=zΣJ鯪Z\Ej{Q-[{WjN-N>b|nuڝ)-yhꮶpCe$rX2ػǬI낦Mzt=ba-﹡az"L̽#[q- n}T2VFzS0-hH \] 17K4'Mʉbd7UeLYNHz vޯO{lVHX_׭l;j˶0q6ve4_!8y5 -tN7[/CsEaZ}Qp0Ugu_e kG*#-9:I sD;b& Wr\FA6H6 nttH?6 mSH rM2Aa߈{ys !Bh$;ٚtm8=:Uϸi98}hSWh;]AbZMxt^y'Z D=G "̟_rZL⣃srZ|V xf T_Z;KKu- ^ɅeFaF`zjnm^\ߍ |"?<2&ۺԫw{h qqn:ZF}÷=<>T &շ  =t%KHc)DSc(x ax0KLz 7(K&!r8U%$3n 5EMm`%pBzZi_H2V| ԙ 6ԘtĸQ4H&OjZOP:-kY}WrkN¼?Ѕjpޛ#U԰Z%vbw脀>$vhc#^9'Pzd#א'q|Ȓߕъ endstream endobj 5037 0 obj << /Annots 5039 0 R /BleedBox [0 0 612 792] /Contents [5048 0 R 5044 0 R 5045 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29949 5046 0 R >> >> /Type /Page >> endobj 5038 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5039 0 obj [5038 0 R 5040 0 R 5041 0 R 5042 0 R 5043 0 R 5047 0 R] endobj 5040 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 201.7652 709.9] /Subtype /Link /Type /Annot >> endobj 5041 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 157.1437 693.7] /Subtype /Link /Type /Annot >> endobj 5042 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 180.9312 677.5] /Subtype /Link /Type /Annot >> endobj 5043 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 165.4707 661.3] /Subtype /Link /Type /Annot >> endobj 5044 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5045 0 obj << /Length 19 >> stream q /Iabc29949 Do Q endstream endobj 5046 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29950 20830 0 R /Gabc29951 20835 0 R >> /Font << /Fabc29952 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5048 0 obj << /Filter /FlateDecode /Length 1228 >> stream xڭWKk#9W=[-؉{ )eqOUq񰘶TWOuv7!_u9^\eYUr 9}z[l >Yw.7/N?M;` {@[k磵v*y17T\:w/}]5saxh6Tmի/ޢ#ūGO)$N]n1chVZL(g('FՑ 0夽7'7M`r./$!)c5ivR9%pXPFL7ŗq,um^j૎(yj:kcBu6X^udTu1Bt+"dfDa7spȧ럶|.׿`S3&XYLa5X‹mN`P5!sg bbŋEpjU׺$fqd|ڮ=BM4)h&"`(\ɟ fba~eY|QvŲ)#FL#-c: ^L#m(*41G +_#y͛K[s+H& icmr枤fK!}+-F>RT{e-/p2~rb/BW ѩF41eGoЉc "h =Nr+\ސFLba4 x zՏu^E5;c3#}"G+Mk}I'/[;0[4v> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29968 5057 0 R >> >> /Type /Page >> endobj 5050 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5051 0 obj [5050 0 R 5052 0 R 5053 0 R 5054 0 R 5058 0 R] endobj 5052 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 399.925 122.505 410.925] /Subtype /Link /Type /Annot >> endobj 5053 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 399.925 161.9785 410.925] /Subtype /Link /Type /Annot >> endobj 5054 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [167.2915 399.925 195.974 410.925] /Subtype /Link /Type /Annot >> endobj 5055 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5056 0 obj << /Length 19 >> stream q /Iabc29968 Do Q endstream endobj 5057 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29969 20830 0 R /Gabc29970 20835 0 R >> /Font << /Fabc29971 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`3 ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5059 0 obj << /Filter /FlateDecode /Length 4359 >> stream xSBqO}~ُsS} S`,s gV>ޕRT6 L pkLT`n\.ά ! ukyj]K16"{&^Hu?"<­{ neWȚTW l^=fY᷆1 ,T;@qw9K,|fQu(ߛm F6ڮ2VƬƣzǬ#㢏XqA=/|w\VS$"Y=9'f-96WAnӰu}K^U0gfPfO=Y .zAnrYxYq`y5(HҎioj>/RwBXsHl!I'lLUeh6АAOJh|YrM4 ڋ ݽ"f/tw r̽t}+.+X[nbG/ { m1& ~&!+d9]`Z"/-AO".u__} XWMؒoDWp!`cIAfܩǖ#.T0䄤345Oܠǁ`a 9#z N#S =BuUF8I+A 9`SNrcFK ? 64ϲճH}ڸԆŻ _븹f3,"0T fn 0>ܙY-!a 2@yK) ˋPnIW{#Vw͢*ry/ili_{}jDs6NnMH&{'bX 0nBƌY^TӬnff[D: i@Jr;6HRʍ;v2M,VhP`sNܲqP9C*ێ©{YGV;yVbo@{rS "7zV;@vV?z*iF:q)XbԙpԧNA\%kW>R ӱ):w|U3_Qx+{?d`DZuVLca9jjk>w[)sIo @1Z@$$H>M 9.RTvX/ʵ^d%d|P7Tsv-j$~id mWY&58V[+زp`Ymf{W] +'(,\%Rpݼ9e䫢i9h4w]׬XڮZAPDB HE./cYB#0f1M 1q{a2٧V+v0Jx8+&BeArN - t(tvWKVY1(GV>n3[cC몫V5~ٱ$J9 '1yE&DUʌw^vj#w׃;7,12 ؎QQnlLn`804Ŷd11'{? 47^z|>'Cd c`]Z5SLsbNx_Er6V[[8;8jBnX뙳eL5`%Hؒ ?0Hbv֝1@PB¬Af:@D#sHTpBEPV$ ۸ApC ^MkιEވN 6>`QQVf([&utnu:ϒ2Os4/07>&܄{ϫIWBұx>r^^eLj㛬ު[V3?]˹vm,wSltL^/P kNfL8Yf>GK Ҡ¶vdvz4=Q6_]˳ux/ox%J"\57TzԆ!ĘN?ȞE(~ljQ%F;12VoRzbb_]AYOꇫA;Րסd_Z{|Hc^.gYFKg7ʾc*Ku3<?Sed)ÄО<~UpE®>Lkߛ!ϨtzXy>W0IoM6,tI*f55 fZ(d/ilG O!]k+\w4;ufύ?^n,/ d⳯IcbspV:i ΁j>~pc|"?_Q\ti(s+\jx//N BGGXoWLhf4˽"`=ѫK/ɍ<̳BĵE`S' kEZQER/o9b\P}, ~6.ۍf|P400<ǍQLy>[47+sz8(]?و0'6X׿\>wf|[ s{_V/ڗ[~eV/ZLt~)vXW,SH!:i0aJ; y^@&oO{&o~$7vLL p7< 2vX:WwZQxͅG~?kGZ'p}m/;x7XgҒw/~ O3ētT8y`++畟@葌@SW|? s D?| endstream endobj 5060 0 obj << /Annots [5061 0 R 5065 0 R] /BleedBox [0 0 612 792] /Contents [5066 0 R 5062 0 R 5063 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29987 5064 0 R >> >> /Type /Page >> endobj 5061 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5062 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5063 0 obj << /Length 19 >> stream q /Iabc29987 Do Q endstream endobj 5064 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29988 20830 0 R /Gabc29989 20835 0 R >> /Font << /Fabc29990 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rt M^RuTkQ>U}IDURj)\Όl iV-xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ6 endstream endobj 5065 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=385) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5066 0 obj << /Filter /FlateDecode /Length 3971 >> stream xn#^_g,׾ x&C ?oM p/Uߋ] =#W|>İ]'xp[p\aͽɝ8-eRU|i7˿M:0JIj]j>T}v٘/ZA>ۯ%m2]pWiBC"}UzEnsķ`cz.V!NJ=J:4ؚ,>>廥R ^>6/os"؞ lQ.J :"z/Q P 5`<0o3\a__+ Fd7MI?X3.i=x|{f-,{N[g[a%[bPt:/U* ?/S%qggv8QO9`99 >*.<*A߮=H!@ږZs?|2A iLWX nj%Z|:=.4q 6 *\KG V ϥ|Id^E]8XNgaUKD=XT]h y:<8 qG .;8>Y&VeZAj_պ[$vD2RH48օZ& IS hKd j##3vA-'݋Ï,P쳺zTM# nc˃'YRL qSE[FSry!eJgA7W rC`}Iana(YmS ̧bP3i̾yew>ڪK naǠ8" & J}s?73?]qv`AC *& j6'x- ik RC؅L=\kq>009@k{p?'9e'(?m'\'N SApc#Fd*[4xi%)oW֭=faT7IgVYmID8Q#P^ ߳p7-xHAMeP˥~)Иkl8Ti@K%o^ 9P@5($;Qx:O\Y1rBJ.>D&xkc%w묮8iMUg;2pTk,Nlz5V YI`k])c]>nJ&7G4a7fPFBHʓ8T=KMW6ʕW{;ns\ݻCnPR7w Xԣ @aGa;;33+ztdWK@\ ґޭByODJ%uT>+=툽"pE ƃ{]k 2BmT h -Go.ff/(W@J=;kI#9꺭MُZsp+Sgs: &Uo$1r ՕpPVþ&u~ ,*?ol)j=d$-`Zmk42N4+:mtJdu;u f޻jֺfAѯcs5dZ4ޓϪL^Aݳ7Q(o;;۠m6[GIm ZΩnM~EYڂJ9F;@(  g7 Htf캙qhI`2D G۲J|XU7ՙ^؍Q=oESϐ۽ƣ 0s#&wy*Ť&i?\?nױ g5D<ު) ;sVN's~Z!_6Em5r}z_M9 v7{]Jg7 "pﭥN.ٌ` {z(0_xa9xCm#do[mH]ιFGfݘ}#5 .Y׮brgO^AY0Ȃdr".i b06Ҳv9 2dxQ{}Fvt|auH.^\]b܍ |"|ye&՛\glWa9* F0܇(߂ڻUwb2q>M ^*,AĵQ h0re|*-&TQLdظ$+S3qj_/SHTR=4-CZ> ᅰ胚۟{O:1cay{4x[:BTSk`֛})'('B[>ꋍq!54l&đ2W?) `]Ę46ǭ5ÜsYS{?ñ %W_>ЎlV1r ܏۳kgE=DΕ鄓h#@#0c([ Qp'hQ9nYV)63m^LyP>/3 g||wLwLuQ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30006 5080 0 R >> >> /Type /Page >> endobj 5068 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5069 0 obj [5068 0 R 5070 0 R 5071 0 R 5072 0 R 5073 0 R 5074 0 R 5075 0 R 5076 0 R 5077 0 R 5081 0 R] endobj 5070 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 536.1 178.0272 547.1] /Subtype /Link /Type /Annot >> endobj 5071 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20211013063105-08'00') /Rect [104.1732 519.9 216.9837 530.9] /Subtype /Link /Type /Annot >> endobj 5072 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 503.7 157.1437 514.6999] /Subtype /Link /Type /Annot >> endobj 5073 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 487.4999 229.5017 498.4999] /Subtype /Link /Type /Annot >> endobj 5074 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20211013063105-08'00') /Rect [104.1732 471.2999 201.7652 482.2999] /Subtype /Link /Type /Annot >> endobj 5075 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 455.0999 181.7727 466.0999] /Subtype /Link /Type /Annot >> endobj 5076 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 438.8999 180.9312 449.8999] /Subtype /Link /Type /Annot >> endobj 5077 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 422.6999 165.4707 433.6999] /Subtype /Link /Type /Annot >> endobj 5078 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5079 0 obj << /Length 19 >> stream q /Iabc30006 Do Q endstream endobj 5080 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30007 20830 0 R /Gabc30008 20835 0 R >> /Font << /Fabc30009 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HAӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}) endstream endobj 5081 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=386) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5082 0 obj << /Filter /FlateDecode /Length 2045 >> stream xYIodWl 4Ћ@n!I8ZF|-Xہ3걸T}p)b?ЧM>>lv `='}LMyV/wv·wh}n ;3mPǠz¤N8, V޷(:VEXᳳNک_LS<縳IpȘ%yގi<PoLԤ?LtȃJq2 *5MY>4UwշQn>&ܺ1\^lsѹzۜUR#d 0*,ywu9d_#7/7#_3߀7(njuA;U^bo;tyb\3+ D$_@Ed☞k`NOgG3ĮOըxfwS#KmD0;lUR#M"Ŭ]4)l@oMA/1d՛ff2ڗ-+BشmBl [,-\Q4Rʲ92^\7q-"l#j֑z[?u( #Wf*(IccSu`ݠ7^' lcd\_uf6*ENM ^B(!"Z#ɚ{"cAv%±MP9vJf-e?3g t""ɌirSչ)BC+ů endstream endobj 5083 0 obj << /Annots 5085 0 R /BleedBox [0 0 612 792] /Contents [5092 0 R 5088 0 R 5089 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30025 5090 0 R >> >> /Type /Page >> endobj 5084 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5085 0 obj [5084 0 R 5086 0 R 5087 0 R 5091 0 R] endobj 5086 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 384.725 111.681 395.725] /Subtype /Link /Type /Annot >> endobj 5087 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 384.725 139.8465 395.725] /Subtype /Link /Type /Annot >> endobj 5088 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5089 0 obj << /Length 19 >> stream q /Iabc30025 Do Q endstream endobj 5090 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30026 20830 0 R /Gabc30027 20835 0 R >> /Font << /Fabc30028 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nXӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5092 0 obj << /Filter /FlateDecode /Length 3953 >> stream xn%ίksghgq4R?q'=iY.k'j ;% :ZOu>~c|Շ%~z1&[}r|gzN VXFayhOVS??=4gM7V'_͒ bΖdo(gv掏K)d˒M,r09W`hL:}xV>xgs[ce`.q[}fxΉ>;JY8UX͈[p<{pz)!Z۟'m]ƶMۿ?( <暙)+P疰 㻿~bw8=ag/20`#>U0$gV~R >dh<*㍱ )k/D%D*?7+.q['@`O`ƮKT9>WѐVE[Y--)z8攸*~~hfڠ&#;"ׁv:k@:@-qv.1L^:L[ʲ0R0ῡy_@5hn‚7vC +"s$"=y0P7[b~4EQx@) Gr؈VۨS_'bęV)$L;\1M}XwaT n>|4gcwYWo{'$~UuJQw1 uxe2cC=Cy>?Yt5S?~6 ޽RvAaK@. so"Wkř2(q8''&"ZB>E55ԍeB0H!'o+?6Cb}rVz`boK9NP&^%̲(䀂xܑrwQ0t \XkCP(R/H2b bxgZk."6Ha&jr_u/_l8|flTQuP_? NX:cY&q$θ_b=e"Kpp9CJ 2Q_I@/-rl]jyiA$8\y N(N@۹9اlCUT}I;hc1 1 t,ܑY,epY ,܁YTn fl`h.JyT 2  UJ^i0椄]9#sr[srb=͜. ۚۚzcqsRݔ֌>fBelǂ\֔6&D4f~>ΌFT399KK߶!u𼡁$XZ9uOV3|]Bq";*JqWwi׮%]Z +Qw$Gjz=o3N,mÐCdq6.1]f8XսZ1hmYYD ,D6pލ-s ᝊ::՟넾r&nF6>WC^lSomOXIӼU[g B9˴xgP-I3IظP0! 2 :nS;̔n{Wi$LZbLSMmf#aRHbIݫ#GhMB|94WdVbuV.)v}+AY,njkgDOpzͷ}z]j-4ὁ&U C8.F1K׺lRv1N%(,q=sEٝTT̢xk}ۤBi{s!U6 rzZ,2Riotj[CBI;p yIb5M )RVPwJnE1<=m ع.i8J򙽧!Q(dfݔ]ӓsx\ev2xXW. SU[0vXN\ .\l0^ ~M5=s2wbWu܂ARY \{z)ťAxOM+"b|˹`[KY.zul u:7 MkbsԐe|8j\[jajVU00g *jE#t*ں1jOD?@<2U3_zARx3DXH[d4Sd1 I[;-wciINX&d,۴`&ûgᙝ^S>b.Z2!S ܌@E܄=;,o&" D5:eنrF^[>j2WsLU*lGH.ALv]u9qf/DV>1+El.7{6@xŗ*~/q߫XLzc:&>{>;D g7\g>.оmp4T<.B 'mTRA\xeL`[:tW*?kDߟq^zz_{9T|aϽvw 2*X`=l<(,x~Hs$aI;@N5rvME0y{3L~$ SCPzd#ԗn$\@Ts. endstream endobj 5093 0 obj << /Annots 5095 0 R /BleedBox [0 0 612 792] /Contents [5103 0 R 5099 0 R 5100 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30044 5101 0 R >> >> /Type /Page >> endobj 5094 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062945-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5095 0 obj [5094 0 R 5096 0 R 5097 0 R 5098 0 R 5102 0 R] endobj 5096 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 529.0308 184.2532 540.0308] /Subtype /Link /Type /Annot >> endobj 5097 0 obj << /A << /D (unique_469) /S /GoTo >> /Border [0 0 0] /Contents (get_designs) /M (D:20211013063105-08'00') /Rect [104.1732 512.8307 160.5592 523.8307] /Subtype /Link /Type /Annot >> endobj 5098 0 obj << /A << /D (unique_487) /S /GoTo >> /Border [0 0 0] /Contents (get_projects) /M (D:20211013063105-08'00') /Rect [104.1732 496.6307 163.1552 507.6307] /Subtype /Link /Type /Annot >> endobj 5099 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5100 0 obj << /Length 19 >> stream q /Iabc30044 Do Q endstream endobj 5101 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30045 20830 0 R /Gabc30046 20835 0 R >> /Font << /Fabc30047 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5103 0 obj << /Filter /FlateDecode /Length 1912 >> stream xڵjG^_QgCWj_@ ̢1 !Da/G# jy:v߂Ҽ~|}uudgux?vV? hHu =+;T Uۘn~Yѧ>"5nf`ٰ}zUTw3 TБg %M`ap-<Ʉk_|7}欄 wuQ:K5-V(Bn]1υm Ԁ1U٬SFf~&Zp[|39 VG\K@-6# G>!4PJΫ,7+@vX zõJY$w1ߊD)DAU5;XmbE3cﯟi[qees.S^Ǩմ`ĄzG 2 C2g,*^dp_sSzÒ{2fO0:tr;k: 2!oD+^ PpCZp}n03n'^/(YBwS",%~Rt7obaOQwaⵖwQ7h)BXXS԰o4V~2Y6#O.j 9RnIrSFQNJW~[ OU^+nKG;$2ex䏥9}!UuZ@%'0f_߄ lU/ KLjDBy@5%Zjj7 B!&Lfol/4a 4 䍖H D)JR#VZZgN F*2&8gG ￰U~sv1p 2[ o,4|2}*aXѓstx^i;ihaL08TȒ3~oѷ{bM x{OoBB@U$xo :3+[CY ่Oi>`q8{q5:dDXsڿ &`i،ݭP%T[ƌ O|IT[WId} ʑ)Qbɸpd  D endstream endobj 5104 0 obj << /Annots 5106 0 R /BleedBox [0 0 612 792] /Contents [5112 0 R 5108 0 R 5109 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30063 5110 0 R >> >> /Type /Page >> endobj 5105 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5106 0 obj [5105 0 R 5107 0 R 5111 0 R] endobj 5107 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 345.125 124.1605 356.125] /Subtype /Link /Type /Annot >> endobj 5108 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5109 0 obj << /Length 19 >> stream q /Iabc30063 Do Q endstream endobj 5110 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30064 20830 0 R /Gabc30065 20835 0 R >> /Font << /Fabc30066 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HIӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5112 0 obj << /Filter /FlateDecode /Length 4394 >> stream x\Ko$ W*z& ;e~гnsJS%UH~U,I-7eIF~}NKdX￉ӋI˯}SŅʗ7\xQpc⣘t:];kSZTRZM,o4.dʵ信g"ާn#8k boc6}ǫ0;R fr |aT1%q/7w}d`jj O)=v8huG5*%#J~h*URُӦFCD{U! i|~P*jCq23'WL(~֘{9U&JOFZl -#&DQh2T\.b,GmԌb$&u˻PC3u50O/#hAgyn. No0\`n)y.-hNέ:DK7lY#k5~GY}O{Co{˺a$XSNnBLr{қ7~A78Y*P ,EbgA  ^Mp@Hpoт5)p;Om; Z.(o2R,W:$76;&b}#z:ZdG_hoLTj_[?`.tƣPcO4lqv\ +ev :+EeL5z\F<бjArGEMzW)O+Fkyo,V[tdŢ7!k:q:xȰX4K(\׎5{B3#3$,Zn%B(ֳMGTVZ?_r sgJ+KdBzcƶo[1a6Xa2+X, X=X`av`Q]:1P&mUO+b5SIa{sdd6W6DpNzNf 'St 'YIp#Ip'I~@S$v> NbD<8]0;}Dρӭ[AwIN8~Nbov88ʸ9e0ۂM!x)6wvÇf[A3} j(~i3 HPRXd ρ:|?WPd,y=BIj߉{qKh4=|:>>^Td{6ޯd4tjou'`>[^Eټ^'M̔c26}ȎyCɴ 󰰻5Ē֢툚XCnW(Csc-qjVIV}UPw+ٲ~D1ʦRw(CQs:a0^S~☣uP S{4Ss8r 'Y!!mɡ5s0KDɐTA^Ț>GXģޜxzl]d|l$!G9TP.(V99Kf8UgTkCi{H2Qa״{S mްvj6phUN9i-Kcܲ ){G"褎aggZnu ?镥]I8z)Ծ@$ 9o-]"RN2l޳1ݓ~Mؖ}aƜP hr*8T3Tuʆ.e* i=1*0Trsmx%ox5~:7 Q }rٛG|p"&a}ID C8MJ',{l4$m?ru)+A3[+cdR[f2wbv20r(Ήcq28^Hw2f  C2rx@yn5V7U0*ΘN- ),]WP9 doD-;s$(F6nq(f!]rxfll\[44kVn?C9|Ve?4QfN&N\ $ Hٱ*b:?/zY'ME;uu{݇gUJ@tp^L̻1џiCTq8~xϛɶeAKJZ&QtŞEfޱ-񩾤hl%i)s1VGha!ӑTduBl|hK%*[Y&%{zQx7mvzJlM3[B]T#n{}EĠ0pڊ%iX")ZPa):stZyu/h(8(^Je>f`cΪP. ٳG-%SA Y͙ZC|xhI۪\'o4Kbo`<]sHhzo|_Rh.J[KW4I*k+LN疸BH/ h =r>θDC׳v. "5[쉛wɅ)?T(b_Sbl0sĩfcRz%b|R _IR xw2AO]6> \Kw.3RܑƝ;_kMy㵵Qܺx*]qV++^]ZQ<Sd)ގk[)΋6T6F\F\*{==z/{ _36ۖ^LeRufiMVzI@g$=Sgm2c)<7,xU\3v2ѱ!ZA!s|R&2Zz#|O,>p9vw`!$^GQq]5#ME:]N@ ~K'iPXu>9__o ADTbpܶeeDX9|g_d=q lS3^.8p*ogCy,Y9Xε={eѹTD؝@C<|G1Lmr! L=oei zɑ=J endstream endobj 5113 0 obj << /Annots 5115 0 R /BleedBox [0 0 612 792] /Contents [5123 0 R 5119 0 R 5120 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30082 5121 0 R >> >> /Type /Page >> endobj 5114 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5115 0 obj [5114 0 R 5116 0 R 5117 0 R 5118 0 R 5122 0 R] endobj 5116 0 obj << /A << /D (unique_531) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 386.6385 168.4297 397.6385] /Subtype /Link /Type /Annot >> endobj 5117 0 obj << /A << /D (unique_539) /S /GoTo >> /Border [0 0 0] /Contents (delete_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 370.4384 168.4572 381.4384] /Subtype /Link /Type /Annot >> endobj 5118 0 obj << /A << /D (unique_471) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20211013063105-08'00') /Rect [104.1732 354.2384 158.5077 365.2384] /Subtype /Link /Type /Annot >> endobj 5119 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5120 0 obj << /Length 19 >> stream q /Iabc30082 Do Q endstream endobj 5121 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30083 20830 0 R /Gabc30084 20835 0 R >> /Font << /Fabc30085 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vJχv͇׳Sp<I e!swTyѕ/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐى/P|}{Y#rڻ3^J$~uc.@Bl(Y|z'&DSsA̪xJ9ߵn6ZNoK5>%CO4i.dKRG"+5JVVK2 ef[X]M` //d"Xm뜡5ڟAKiܝBj2gԜΘ9cDA^zQv,Q) )ǥC3(c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5123 0 obj << /Filter /FlateDecode /Length 2654 >> stream xZɊ$Wy B/P$dfu ֢@1 Z}ߗmDR &;#m}fnQ/:nϬA :==m~:OV=cA7 n AT SQά?1`>i#s0('DCA;C|iT^@lI¨م76eQi@۱l]raC^SS(.`(:&1n8bRRD}]#;Z 9Mgi&:=Aû,5!zy4$R 4\#L&fJ`WSlK .Zk45;aDߐWcUEϦou}nIײ\g2Օk{FT"m vh G(Xxg2>GƤ%9olWjT2OӑӷteEzCFKA]& $5v!CSҍ9c6TE!X\ōKD&h?s3b`ѬDzaNO2Nz[` ;gyjր&GރԔdpZ0OJf堀@$l*ciШ;+IŃE^' KD‘BƼǣ-Ӱ-Sb%L/d)ߘN.5G$>*ьLBpqnK0#2֘XV!oAhHhY9yOrB/yfr7Q4B v>Z/o.9^dAJ+On 3EC!Ջ9NpRgr~䕒fH~UdH7KPEK;%l,hZЏ,GZ^EI>{;Pc!ܓP/"GfF@?2)<ҷxi3D1:'hYzVڔJ:;t{F[!0!k¸ߜDŸH*\Ok#;V;VBcu9owzHTPAsV]= Ufp'c|y9Bw4],D5vPֆ`ۑ8ȥь`S_CzC_h F  endstream endobj 5124 0 obj << /Annots [5125 0 R 5129 0 R] /BleedBox [0 0 612 792] /Contents [5130 0 R 5126 0 R 5127 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30101 5128 0 R >> >> /Type /Page >> endobj 5125 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5126 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5127 0 obj << /Length 19 >> stream q /Iabc30101 Do Q endstream endobj 5128 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30102 20830 0 R /Gabc30103 20835 0 R >> /Font << /Fabc30104 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ5 endstream endobj 5129 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=391) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5130 0 obj << /Filter /FlateDecode /Length 4805 >> stream x<ˎ$w}2hPY9o-` ;6*3{_Uvwv(E%JR*T_1MVɤo狓F˗_Wۢ ʗ7__rA'ړ4K3tힴo|ŹS ME~}+4;VIj 9Aڔ6·9hן3Ί?υ'V'o9[T2F}}AZO3J<:Ow+IKpp5jhg!2xq'PAnw=aXҝVB9BAI}UL*,o{>")tOo=nKTAC%[Εܶw/C$}!Y"貋{JjV k[$/[v^WpiV /?#BġyK&9'TOȗ ߁ǤŔ>3 TA( >G/әiT) D8Ml-nC\K}T+[ЕpW+qL=~K@o ufyA$`?8=V_Ba幠{iDd8H\0dQЄ=û͡5@OR9a ]q>PY0fXV-2-|*XaI1+ߞ,pvM#Nl*' 5DswKAEOE(iޟZw3»\WWU͝:997sŕܦ# g Mު[CgN{6}14Clp =탵؝bT~#?d ?zq0ߡx+w&5SfJL5uWx/oHOjf<(ݥ]Xz3] D(.С]Z6P,^WZ1=Q >ͱZ_2$,T)|95+s7u-A];,TF_Cư}-pa e"dAyƗϽUt靂,zgHgcYxZC.: z!ͫ& w. SeEOhKx:rA\8_"ѣ+;m,JnWvOڛ9Z>V74wvC7l֧"T3q">.=*ы  ל.PTq5Bk=i;j~A,]ofe4=ͧPTL'ݱ= >]`iYMQ!R#ԯ.X2~C/LY^WU*/L(ꎦ*9{e04u߯oxqUB&B(v6Q?{9xyBGPhC<>0zZ_ᓗaKSIj?XዎUTWVT\~%hPH$YG `$zSEAȇq[5q=uS-@Xt͙R0J:``<^ Q_AoK(^.KAB{ n l(~ Fz&֤BD延Kk 0Qb,Z୛EԤ,R!^,h42C.h>Z^U{AF]Z 퍋M]PUZ4ُ ÈӟuJ[ PIV3͑9Lj{@ё&;f'q[fBa–O"#]B}6[B4؁HX;qV"^Ebm]Zh<ҀXUdt&\ʜ7|it[.Fq@X j6)sU9t>vn0TiU_>iylY ,ܞY,Y,`n,e75dq JyT 2  ]Mr\i0$]9=sr99fNnVsr9w7r>hPg J{%ߠjP J,gPbʾǠ4?<Al eRbXH-&5Rj81];GHV*3'^Ѥl7seRp渘(xٖUP\MvLJQ{Zm*.]yHƐ̅.a,U':p7U %c'ئn܇>ӕ-ݚ=v4ِZmH]ِ;2M&϶mI,ӓÖܼCc;ޮ!a$S?ˆZZU-ﲠ8Y' ?ҌvO6vmO k70MYif-i_OXn?nk::<;:IaGagջojJM.%XP[ pGOІ^(k_tOaI -\`$UְZwa1O7 _u9:#I['ыXőjy蠃 ;w76HX ɫh:]-2'>#͝v/uK`K$/@y<;tcH>gK0݂yg`MTZer!-g0KPOB -k:c bWFXZyl"i)2ퟕG,Wh -o!=85lQtL72Dj/F &"d}Ļk%m1|EﻩX6q~GfxqrQ e y@j3a3+M.!aU-_X @+@xc?dvimg"{ذ;=|DkJ|n zs}c93zlR}rP7d` ٸ yqƿiX\ۨ])tB.)'%j (S?_YE9uבЖ }|W4-\u2q'{rL $OvkYsﺁQPBzkHp;8ƂP̵w'V! a^>εh?\վ/7G}P7-H.6^YٿK7*d:V=ssD:ÙnzKj (-7oK\OX D5'j(o_ַۂk ݸ!^Kn!@WX50n1foj\(uS\Sz656d9Bk> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30120 5135 0 R >> >> /Type /Page >> endobj 5132 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5133 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5134 0 obj << /Length 19 >> stream q /Iabc30120 Do Q endstream endobj 5135 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30121 20830 0 R /Gabc30122 20835 0 R >> /Font << /Fabc30123 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶܷ endstream endobj 5136 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=392) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5137 0 obj << /Filter /FlateDecode /Length 3311 >> stream x[IWA99u2/6R,lW n,J[7m_u}fu z↑iO1^[3){_꿽11nu.ip~3FM?^MƜ[o+e(9U-I2dejgaoinӋ)dL:u"GrvC5Mn$qj〈{|9=4H#LWsܪ; ĵ'v"J2hA2Y0 2y`b) X/`LD2n32OCWW$Q$ Hdc!M79>X}a%٤2nr t0t꼰B]2+L1|:R1CJΤH*_!ikƈnEgkY2V-E3̰"䟊k׮wBn`I\EQpRN3Z 8n v;@"9Nq`>gR;OEEGcK*uf5@=вNt%n0nP.%x1[ĄX"7J\>I+d1ܞ#F +̗Zi w fpGK! c E$quִ\b*$Mּ^/t1qiy޺[oU*$ʪnJX4ņ`.TktzV#axd}D(2W7#,H".Ī_tk"!ͷjN'h8462X4JSG|*sixszeTDf۩P"넼YmΜ;ghKC~LC9ȉ/q須U1L/yPJF>ȍ߷,q(+ H.WRf2n8Qki}+EEޞ6Ax;YӢj=9G"<5O9(4U}-~jSB.O˟'o&vˀ90 W"@NX9.C b ݊:D,d ϑ1j .1[`nht:NaBd)69>fW9Lzք@֘qUdOO ͅ<{vq,8BIܞLA}䨉=x2nDw8D3nٍ{3x1/?k_ýSa5, kw@W3o f\ X~bmz;1NKrzy7,CSx)}',瀢C<߉kZ٠B2pѠΨ~?AugTt?B,{B{Ņ[:e>?eZqcyoOrGJx;It;)剤`g-pM ~5OLb^U=otF>4XH|._i?\"$95kt6gכlKGjjg}'P\ nM K&WA!/ںrCyni2p[3hFZi$Jy0*s}7=jɼ~ye:M3e(Win*4CH]T~A0Q'(v2+C}oR b!GCܤ1m³: 3!r ALΜ@ g~F\1qp1xbi3?,L&ۅ2 oDu9 v\xh?8qRkYUn>B/z|H5[1D@ m-K>#\<&[SWggVF_(AMskdQHOv04.Ƚ"bc{CZF^lRĹF`SeA$cic'vUK235>7&!sr < &u!-EvnND?j?'Ǘi&SAkMfRz!-jMEWϢp7j|k0pQM2d'2[ߙ)Lnbrc̱{7?Mn& ck.kF| .O\f1_x5/ ϿQV [$㐖YyF3[p{tz> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30139 5145 0 R >> >> /Type /Page >> endobj 5139 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5140 0 obj [5139 0 R 5141 0 R 5142 0 R 5146 0 R] endobj 5141 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20211013063105-08'00') /Rect [104.1732 316.1 172.3292 327.1] /Subtype /Link /Type /Annot >> endobj 5142 0 obj << /A << /D (unique_688) /S /GoTo >> /Border [0 0 0] /Contents (report_frames) /M (D:20211013063105-08'00') /Rect [104.1732 299.9 172.0157 310.9] /Subtype /Link /Type /Annot >> endobj 5143 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5144 0 obj << /Length 19 >> stream q /Iabc30139 Do Q endstream endobj 5145 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30140 20830 0 R /Gabc30141 20835 0 R >> /Font << /Fabc30142 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ9 endstream endobj 5146 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=393) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5147 0 obj << /Filter /FlateDecode /Length 2139 >> stream xZn$+x 9ܙ|kCƜdFe~/"\jJe ;Hi߁z]>Sԣ.˿sۼ4dc-zI6_u鈱yoѹѿI$*x<sK1׉S?_2G {擗PMH+>]:0~aQtFB,FH3{HI˥.a ,TD wXSY>H1oI̹ Kْ`5۴wS<.i]<δ?Ѿ_I>Vqxs`P>7nY;ΧhJkV]{݁7Zilȃ:ldHFn;(!xd=FSc#G~hkjL9‹ɚ`~*!Pu4Fq)84057>L2~DѲƷxE{¾-ۡc94Q f/*k0l 3L%$c#3Ž!U7ToF&.YEM-۝N3U.TsyiK`}_]@z7>0a ^g=pfYqʲjB]B>kֻp!OJ)Re4uy.1+9|1.6Toke?kmVWF7<ꦶ X/Bzt3/~ʆ~'Tpgk#1@^PS~N Jq>rѼ N@ȽC+̞d吝vn>'{PѦ>oϹVh no7|xPǽ<>77tp9;_=CyJ pX7}! s?_q?-#Nl!U8cǜV tV~@vpE0cɕWxh{ :MPaSYDj]C +@uQ V#9d㧻[|(/-i^[A(d HɦpxV$@RV]Y}XvXC:+IC{''CSJM~Y+`l& 9V&eת91ɫ3ck,yP-#)&~QMQ'q.S}18hL$P3nBu2;t+bM%nΗW̫s,]uᶚz(ݡsxgL%ҾPiuPE>=98gRF2Hb:bm0"NZdU4 7BIG "ʊi*Q+W*$m3ll}jo4>MgaU. ONjH & UQ-n IyO皧Tsh5[h;*~}!r݀C:.A fϲ++ "{" zdC}hF8tDkhhls̩Yط) tjа2մ(3šӈQ; xB KϹ=t}(_ Avh1#ϘE16we"=iiZg! L cwY"9Owhf~{O-Z>EE5 mkKx·ABf+G53ไ_ԈMx,Nkwѩ&CX~mj3H#fn'$->O*&~})GـPcÀ !^C' endstream endobj 5148 0 obj << /Annots 5150 0 R /BleedBox [0 0 612 792] /Contents [5156 0 R 5152 0 R 5153 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30158 5154 0 R >> >> /Type /Page >> endobj 5149 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5150 0 obj [5149 0 R 5151 0 R 5155 0 R] endobj 5151 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 360.325 137.278 371.325] /Subtype /Link /Type /Annot >> endobj 5152 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5153 0 obj << /Length 19 >> stream q /Iabc30158 Do Q endstream endobj 5154 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30159 20830 0 R /Gabc30160 20835 0 R >> /Font << /Fabc30161 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5156 0 obj << /Filter /FlateDecode /Length 4205 >> stream xڽ;n$w~*7@CJ`홅!;^|eeڝR&d0 A][myuۛuVǠ*C~/A;_~䐲/o_ AX[,+`W.fid]6ZXٴE}'Zȃӯ:_<< /,i-,[욬/?f7+k;Χd+uΘ sSv ~Lɝ" =WB>( ғ@~ޢ Y.)9O(EDբA2@˿E"G ?%k,ۤK'+2H)jAfD- c߿~<;wjO"Ϟ.;5GOOAUF?kI7؜;{' ރmrU: 5x*22A+bp) 2xc' JPmQ6ʯZq`wx(K7&j"GС'JLRT BDTڃ R, oguNt5xϪsK9&m`_?mxj6< /ֈib s,QF_ĹpVc 0To+[C0ҶW֥A*[Zyq#n CC }o(҂:P}#:#t`bF/o_X?=u9 Ѓu{w.v5\B%MlVg /3;uˍ&a ΦLȂ^KL*9Tnrձ1Ξ.`[–D,ZӉYV~G NzlzHg25+CFAPzشe\v>-Tsw@j`Fl&p uqP||:}a̰ A6-)B?O\HOpgvM$ 7JOU1TqF8?}hJԑM鲮 ,&D~|juyJbe^>}X>gꢎt}Ο 0azl%`A߶p9 :a4OT x7Zej^hzڋ,KC7DƒF1<+TZk.6Nĺ7X4HBq1F f,PA@9a 0 T9 _)/0zH>/qāTR9BuTF8IA,W2n.h.TbC[$$i zӑI556\jΙ3 "0TmiҠ0!Ŧ\Y,%eI :@@)V@+wjV{!U9UG5TV>_ޤyP&Qa{bی۷d'L#sZb˝JՁ훦2H56.Y\JdT}X\/)bDyfM(R:kݫjus2[}l(d"jСގfR&;ţ7jTqa`ӡEKX dmr9ŕ9t>vn0SUT}I;hc1 1 t,ܞY,epY ,܎YTnuXy悭ԑ'MMn+Cɀl@nπܙ]ĕ `NJ,ݥ83'5''鲀999w5'5isR90'5$sRoO1'mImHgNj~Ɯ4;}9AcNniNʅeV9yFRo#n>̃0\Z|5 r) zz*wa6D?̫g1{9A6`Dr#=j:>~>z#wǝsܩQq޹s;܃^46]xS2!zgw<O=f#$W>jI#r#l )Æez h/p)wh 9іG 58Ť!0pD'GÈ9Ryl\\P,8(EE1W z{YkL"ujw`0o~4nĕt Jw}`PrEw(mAe~H;2L ٥Y:gZIݑ 4dDpOvbSD+<Rb, èq` 0ٞu U2. }ޘԘ<5:j0e ]Ca 9TްC9cZ@l˰l2D,P og[j\xj)7X"9 ob+9En<VXg=[:rhUC.zx<-9#S_󟧮8%.6>s}3# yE^yneU30K\z@P1܇"V;PNwQVO ~2=r+%c]b#IO 6Mᆳ`&C_O'WLHh܆s.(ݘqoQaSfu'rb FYO+N3[!eAuX5H XQueX1q.=@] qԻ zP>|S*_'x-hLi阼)G~bdMMYP#* +\#h E9_<$b_wEJb#qV!pOe6 @s*)k5kcһx*BTCc֊m('>aXUR\E, %>r MnB .N*lH.ALv]59~f?ߋ~Uya'j |-,bٸկ{c s'6R2}y+9Xk;!N@8x-gncҎֻc\xqa`Ĝ:t2gaE`OJ-GeywLwȐrTlB`8G&@`<(,nGyx$1ߏGǵ8sk Qn_fE)R%S/7ͽz IݣO)W|c>ב.l'> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30177 5168 0 R >> >> /Type /Page >> endobj 5158 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5159 0 obj [5158 0 R 5160 0 R 5161 0 R 5162 0 R 5163 0 R 5164 0 R 5165 0 R 5169 0 R] endobj 5160 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 321.0538 197.7282 332.0538] /Subtype /Link /Type /Annot >> endobj 5161 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 304.8538 195.1267 315.8538] /Subtype /Link /Type /Annot >> endobj 5162 0 obj << /A << /D (unique_271) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20211013063105-08'00') /Rect [104.1732 288.6538 187.8062 299.6538] /Subtype /Link /Type /Annot >> endobj 5163 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 272.4538 180.2547 283.4538] /Subtype /Link /Type /Annot >> endobj 5164 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 256.2538 208.0022 267.2538] /Subtype /Link /Type /Annot >> endobj 5165 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 240.0538 172.9067 251.0538] /Subtype /Link /Type /Annot >> endobj 5166 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5167 0 obj << /Length 19 >> stream q /Iabc30177 Do Q endstream endobj 5168 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30178 20830 0 R /Gabc30179 20835 0 R >> /Font << /Fabc30180 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n@ӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XL[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{w endstream endobj 5169 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=395) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5170 0 obj << /Filter /FlateDecode /Length 2853 >> stream xڭɎίyiS ^mrrIOTm\druw%"߾I /8M9cЋ)C/砭/_Vfcf^R^q:}8?xK{/7k͋)?v&prƺhl|6dp .ޘr23^Is?QA"wpȒI050 ebAvG^-D8>4س8L^evʮoJnS!`>'s} ܏HF^:u捄cF$Hs:&XMa/5R9 9ٯϩ*͹, ;w!/T)"v+M2`=yWr1ADWED6`w[(8(HxP`hwSll *h=YBvT.aq,`mx^Z>2k'U9![Aל\B\:'XF\D|o\X"GP Yl}8{d34L)O͈^ # snMX>w]INlp`[{qgGW.Ŷt'5j:Bk&tέ,h^->o7]Q[z:j*y.ږob"Dy{~9 4PNAy#gd_ϣ3I7/XnQXAZbL׼@J)ZP-/u`MZ Lm-^zqt`E a-puFg@SLsIaqTjd̮~>)Sk`pF#ɒu+\9Z+ثe+I=Í\+O5%6(G3 gHGwwtb|qC41 0cL&@UC&$)`N4X6B .:Di~z_{DzDЗwn 0 qGá~,x\]c~wN¼|xg U;\5PDL UtSDڛ{'zDx.BiׯCz~rOF/!'xPA@5XkHс endstream endobj 5171 0 obj << /Annots 5173 0 R /BleedBox [0 0 612 792] /Contents [5179 0 R 5175 0 R 5176 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30196 5177 0 R >> >> /Type /Page >> endobj 5172 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5173 0 obj [5172 0 R 5174 0 R 5178 0 R] endobj 5174 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 384.725 137.278 395.725] /Subtype /Link /Type /Annot >> endobj 5175 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5176 0 obj << /Length 19 >> stream q /Iabc30196 Do Q endstream endobj 5177 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30197 20830 0 R /Gabc30198 20835 0 R >> /Font << /Fabc30199 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H SthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM1: endstream endobj 5178 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=396) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5179 0 obj << /Filter /FlateDecode /Length 4135 >> stream x[Ko$W~Hcu!i;ԋiu.lXU|-_hwG*v<dY}C?/?bk1;}_V[-o{u0L Iʝ5}[oe;q,J}Nad5 q ֔h_~RvShV[>bfٹKZBYU~tqtD:1{3"|\QeoYc7,|נA)PE-k#ԟX\\6kZ0m?,P@iVг3ȍ GX|:]N>[29?j;Yml'n,.J/?2pgFH.i-ط}^sO+,k湰O-aDxqVf+ی|coL[md6Ȑ ߧOd|[LT ûި{EXҹRUe^B䦡;?S?XnP=XJ ] b濹`*p^ؽN,v~FC%f``m.ކ?yB V nbV`2D^lkzA*<>C*a _0(DM+"Ipb5le #ozL^PI>Ţtƽ( ;s ge:΄@-rBtiAS TB璡*3u$녨(1 X8R kNgzi9{~rsպЈPU.6kj0jbp~ dXbB=T^3Kh 2ca{ t-a'bˬtTcel.oKWڋ$=0z~/l'HVO%8K_dN+rC(DŽ5ɐxHJkc gNcdӄbDԖf&$̈ΚZftjc5#5tdc@2Մ]>zsK 'F d]/BX2zTC|59rAq.2.c9P:`[OiGXf +,,:, 9" bc:ˬԖ'L َ ;^UJ\y(pR"` NfNv '+ip'I1TҲ ^F>z }z1n dE5&>H`4{?~qR;2q:~:b~ wUf *{У5beC?ms_q_/.>HLCJuQe&Ț#-پ.;}c\_|~lB[ny i-![)pu )kC>NOw`~ooE1jU1@4Oۈ[,tHCa}AgjmaA=>;C]w{m[oɸd6 F.Yd9p4 7SztH2@`&̙̙ۡv$?ZUQ4'хf.qJl`,{^{E4^Ռ43٘d\Y]gf!yilS5+MF'dGvH*jOZUMp5i< Ao.j$S43"{ejD,Kq`(J:7pϱ:WgR)u;3.mveIZ0;il+wX%X+̃l?Œw;IVT*"W;!soi|8֑:\c5Iua m=ߠIڣ!yqpM{+rmWk]|lgd`';=axÆ&F 2]OqT79zadi$[zP}ѹ$+ܐē2~aީ+2C 61PNo5:ӬD[NH6"], WNq1DIWB^Seu`8 %vf)Qv Ѓ! B.O<mXLմfB_bnuT^gњWoGK+!t9vz :ˠ#1e%Gi at޸Q.GRQo݉RwCcWcH}^L8YӍȥ6Ews$vCd6O4n Oe#lmP;lz>bدK{Iv`Vh"frNN4y0H JÙ$8B$)Df2`+(r/{SwL[\qktV_wƖ}\ɓ!/cT,jV}To}t4-EHz1/"sEx!aƳq1`0/ ]fP|IpkNI-mMdxn! wNڲjcO^?Y}f_ n%7{8`ccfC$1q5),֮AG.nԔ&|YusD#j$T+< UZ-ObQuʸuGZtN'%6il2XtvVD:f]S׉5os.9_n|$>1/`Kwԙ[\vrOF|3u( n}j %N:2喎ksf _km+I<Ask>Sq um!mcq>/4dM6WbbDvaz eNbQx? au\re4m,Գ\xl0^Vhw\Iߥ+ sӛtT*l'HCFu|&{V=(*Rf?}RS~3yl>wcM*@qNO+!8_ p S\qN.>~]PN%6B>pQ]HrTp2"BF@T"ByI}> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30215 5184 0 R >> >> /Type /Page >> endobj 5181 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5182 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5183 0 obj << /Length 19 >> stream q /Iabc30215 Do Q endstream endobj 5184 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30216 20830 0 R /Gabc30217 20835 0 R >> /Font << /Fabc30218 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo/ endstream endobj 5185 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=397) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5186 0 obj << /Filter /FlateDecode /Length 4381 >> stream x;ˎ#< P m mƋTJ%u;3d0ތF\ͪ~b/̬#M~rǛ[Y?֗Zߎ{}Y?]W$UJEkF?o?'\.Mx 0lL̻ܷBB)k]ao#ʼ4k3+/kowzY|{uX_vqIi2 CDq )V#uⱽXũC+fyNo0μ,J388(@1p`2@BQ2& azF9)C)U<|ޚLD1kmU,S#y^X<6\6#D1~|PW9V1@3 >($9mKYF)ww"֖A|%Y9{bF٪L\sUkntPxс(?6|e2`M7׻]KxS9FuZL, hr`dBL8>kP# 7(Z-Yaz@!~ ؑ\ai V7Tc5Ơ=BQْ7 3:̀Zka5"iŏ7?\bbR>&eA0Q%O0'\1bl ^a]rlbMHѢoYe  vGmr@'gp!X|])\tP2_ gn~ qQmuRt5b)(r-q* ~v 4aAH=` x آ\\(+=;%Ni}^# E ,y]>T:NW(~I,H-(Gz#͔Iқ!A0Ly"Whr䰻mh=e'30"Ap*pk!ٺA3,FU1oZSk>R׵`G1û2}"~Dc^=n|9tCrZK5C{*Ǯ5)>v0j;]GDoUe-i0_r^i֪S=+D3ʡN= #~ܠ\wZjRuJE [c B-!-+Em!NAueUv)f",a4&a\Ľ۴e[7Eb&haؓ"I7H*>T퓊l.x0obPy.^8ԿVrV]oՕmBjq~%b/Y ~ . $R+\Vu j U{(M N4P/ uo.KT˝7ʝ;E˦d" >F-FWw2.G`NnQN~%v\̒C`*ŗ&mS`GB2")]Ѻes]lg2{ئ >aM!2佛{˸ًC|7˲gjœVo}ԄK457IvtlavC!Q.s;^v\LdBT) HZ&r*p|J;f|Ej!;;3k ]ͧ $ %(vOuȜ+Ƚl#x8N]nYw>|6wǭ)gφWK" '?&w7KVfQʥQis \ro*\R^bY^LXDǺ,ДD@W)=ySzq) e[y͜0ڗ6 4훠#9/ceMUfZ>ݪr,(2V;%_?$gdaJ4KQicW[6xtUlkrA{N^mu r_*cIn4{kRU-E-=WVΙ)1)@ n^Q;W{MiKw!/*9*9붔" ȕfZᨖÊWr``-7uMkzwhPJm8:88~ǰp8}mv[UP;Jȁ l kMvoXs =Ze?F zt轐zڽ\sT/ѯK94^7X|vWʱ٤}cb2>?pUYE' ce53H=8<}b|z#jw: ֣SHUUSE\థ訢sW[ͿWo&rO$Xmқtd9.G;a/Sm CgEk9O|8` Y%u?d*bS4QOkX &8sC,6ﶸ_"6/Yi`- 3`u6qɎ'm9+׿yzqbs:xX [3%Z%У+ӆzOsC,J3N>Cg(3`;[\} o$la<7yuskLa G|S)` Z+t j 6y;tѪ-`m 3~Ere>*-_c/M>2ĵ 4X%`FͤBL]GD71_1_|>!l_yܗ\gl! (>b/kG )ͻX]Şg-NqnZB}!2Ķ_M1t0aS ,3h!D߀J74,O9X{0p0ʜ>bVx,le\HHeT>YK}cDu3z2:fmضeȥ>\fb+`Y{0yM#*? &u&wqElD. я1Ĕtbs"x 8 7[}9If$?P[o²603A3W/^33yRPApLy4,WSH!o [WT Ly?@Dȟް >>I~[&Y&@`8'@_Z"*@at3k \= xw O2I^5:x SbjeWډ܊Hky^P>"aBMLv}g;A鑍SW|< G_ kL+-C endstream endobj 5187 0 obj << /Annots 5189 0 R /BleedBox [0 0 612 792] /Contents [5199 0 R 5195 0 R 5196 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30234 5197 0 R >> >> /Type /Page >> endobj 5188 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5189 0 obj [5188 0 R 5190 0 R 5191 0 R 5192 0 R 5193 0 R 5194 0 R 5198 0 R] endobj 5190 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 197.6017 686.7] /Subtype /Link /Type /Annot >> endobj 5191 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 192.6352 670.5] /Subtype /Link /Type /Annot >> endobj 5192 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 180.2547 654.3] /Subtype /Link /Type /Annot >> endobj 5193 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 177.7632 638.1] /Subtype /Link /Type /Annot >> endobj 5194 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 182.0037 621.9] /Subtype /Link /Type /Annot >> endobj 5195 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5196 0 obj << /Length 19 >> stream q /Iabc30234 Do Q endstream endobj 5197 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30235 20830 0 R /Gabc30236 20835 0 R >> /Font << /Fabc30237 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu$$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwo endstream endobj 5198 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=398) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5199 0 obj << /Filter /FlateDecode /Length 1322 >> stream xڭXj,7+jhE^dg"dRgKl*IGj `BM^ޠ,Œw?¯O6ƃ5=PNƄOMƸODG?@!sڕy6h JoTl":=~OzcvREI䈺C̻pmШ&fN'MO4|!~&v@<$vDPxOF2A[Jr78,cO8&7NK;ug] 6ꊇ`~%Tv=n7ZE3ǣiݴv@. m[#ιFWxFP)>:X1ٶv)MMPA,_]] BM2#i]#:uڊ'ڡ%^2r6&l1|&f(Z1t =ѱtfmpU3sa3:'?A^Fua[uy@w /cAFlKO szvV# vj 7aaeuq-x V[a[;>/@%2gCLQ{_eCk_\FmHo*z)ir c#Тtj7h5STqUan xOI Ͱ#3%U.2'Sp0抈t\}QրVd)"9e3@;ŗkh$归xkuH\IDž>F^K1x{V[31<^㱇VdKM/sFd r$ !ftX{|r{wbwbDZ gߞY^v›֍jƵYq~}: k8Bb(ǹ[_Bmk$=R[WiQc>Lj k?*Y w~d&=}kHwp%d mldm endstream endobj 5200 0 obj << /Annots 5202 0 R /BleedBox [0 0 612 792] /Contents [5208 0 R 5204 0 R 5205 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30253 5206 0 R >> >> /Type /Page >> endobj 5201 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5202 0 obj [5201 0 R 5203 0 R 5207 0 R] endobj 5203 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 384.725 137.278 395.725] /Subtype /Link /Type /Annot >> endobj 5204 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5205 0 obj << /Length 19 >> stream q /Iabc30253 Do Q endstream endobj 5206 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30254 20830 0 R /Gabc30255 20835 0 R >> /Font << /Fabc30256 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7(|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5208 0 obj << /Filter /FlateDecode /Length 4417 >> stream x\Ko8W<@j&ؙVa,.7^|Ji];خIK`0)rY4;%mv:dUC=}[koM_-^|/j ޅ{: L I;]+eE7F8e ڷ#WWM/@_-#jg\5[4~SvSh|eŌqaݶ%lkҡH?Y"\ 5jp>Ez|\vFMa|YR -7Bi/ uϣ中1ZԷcK`$ Z+ Scqq1ڬi,ebbL ^2ހm]}m˷O1kCZ=B^` \`d7-C(`X2@؀xw0X4V[X+W έ>-j[9E..:ջ{B~g(_#u}h-3z0r2!ə02>$5`YL$(S'kRU`&t%rhs h54# `d$GX%S{o ciWcYSVY_QּU~;S5{_W<JڠԻϘx v^G=!ѓ;p]V?f`!¡8^g^Rj*-!!d1=ǂ2{1n^1,`K`g4r C=-^Dn5FZOtZX)GqR5L͇}2j!bdɐAOd|j&zu~K2mEƬacs3ܛ]ygl?([dKa~!4 &fz`:`5fN؟[.ǣ[: 1 inEYٓU +|e*R7~5|sG$ "Dj[^2|ZCVԾfV&eKJ ex 2}Mr |AB`DQdCe~:jF g\z*=`G_a7O-gn #W({cP__„<(׍&>LD ,?Z^E^=" W{^?d AAeO``}1E=U5.,> M0Bz zQO"Q:UM7yAw"[S] :ʊc ע3L<ʠ< P6gS/@/C$^;~?lh#q@(Ƒ`po㰊'ώ?G7*X^j;M^xpƠ IܢM ;TIřGUn1%yly{]:oHje+*et(08!JqF R"x,핅ܲΟuyO2Cphh(dm0 Xa,U.['Ѣ$+r˜1!\l2tH \H mK )#E0+0_:8ȅH;^o5TmVQx5kVܯN G\kK=*T L wfcY^$ !rjLݤаy z?mzs h͗涓t;>)ʃD1^'emh2+] 2ß2K=%&P_%Y`;Eڽڙ9-1xh99P>Vҏw%(um)' u.:.W~,I# Fh%#at1N!6NΓbR0?3UUV< ETޅ6|ɋlʹQDdglC~}۬^je-n^yUۥᓅyܹxK\"; ]P:%>{W2l.|Arw`ESq##)ڧw ?!6sra3$e[jJ]րg˒̝ISYs ʒ{my9vv-y}O|oEtbtkX3fK'32bo7%z'8hO \/]1fOkY;]nQS6w<<립7davM6Q5cqkJ[ gf>:SkFN]j>Pյû1'4nI5x.dnJ<%6Nie̼m"ҁڥtIǜ=ݜ -ޔ:sMZvOc>';lP ;],CG\8ny}"h#4oָ㤾fҵui(e'ZfDnA f)]}b8 q9ZF/(<~kupVtW 5y_:AԪL<7k!%u4@?u)GAw> 6 T \&:mI;H5^eS3%ҩҀB(x7|%Y5rXO'nR %N(zB2'a#N  o+)@s7g*|'I':&k g㳼u<|/RrI-ekGZ;DI{)o6u,[ΨՕgXR!"4K.2[ˢ5Tͯ7).6Tl#H.ݺNMaެE^ )tqf۽w}U_ ~8O3El1紹Wչ/$b6i^Sn)W7v*nG)m9frTx o ;ZOͫ{>8W );8 0^ 73}k=|УAnA~@Q0 endstream endobj 5209 0 obj << /Annots 5211 0 R /BleedBox [0 0 612 792] /Contents [5220 0 R 5216 0 R 5217 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30272 5218 0 R >> >> /Type /Page >> endobj 5210 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5211 0 obj [5210 0 R 5212 0 R 5213 0 R 5214 0 R 5215 0 R 5219 0 R] endobj 5212 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 345.2462 195.1267 356.2462] /Subtype /Link /Type /Annot >> endobj 5213 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 329.0461 180.2547 340.0461] /Subtype /Link /Type /Annot >> endobj 5214 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 312.8461 159.8332 323.8461] /Subtype /Link /Type /Annot >> endobj 5215 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [104.1732 296.6461 185.9197 307.6461] /Subtype /Link /Type /Annot >> endobj 5216 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5217 0 obj << /Length 19 >> stream q /Iabc30272 Do Q endstream endobj 5218 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30273 20830 0 R /Gabc30274 20835 0 R >> /Font << /Fabc30275 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5220 0 obj << /Filter /FlateDecode /Length 2712 >> stream xڵnίyp_Fnka` /y^$َRYWVjwxW欎AS]V?}[}ZYDӫmo ]IΘ L: O|3xP"< JyD X@M.Mu,ԥto_h -5NDccq1O"@m3 aF@WQ <0< 0r ?AAtn@ =suI-i@9+<ȗDXգ1J^ٓ8|(W 9  ~۠Z6EPFذMcBD TSaD+Q߃!VOv+015% 3`"Y3-QZfp^3ŹuD8`XtC@2oNeZٺ*IG":1!<1J$,$YZ̼$z >1:iW•IӅI~lY3u k]avC].qwsZʢY .w5Ⱦ%YJm5%^}7N9OK\[7*]j4-̶p6(ZOu2VXâbx{u.)ײX(?23BVgb.|2'%|^p'u>ɜ :H>K*ai;Av_,Srp#oHAx\)Zn%M4b!֎VֽFN`qgZmFzI1jQrZ>|bg3n22bFQ@qFVo{%Y/)4e8Գ`4K @aYڷ1 﫶3"؍}ٿ[۪v~r;P'7!vhz?<3`s6|(K.$Փ~wri(Rm۶n|ݭƐRm Xo4T[eiHrZ01ǣTo53"=kP*C]X5Oz`W|_x bMU#<aDݡ?| J+1OO+-<~>iKxs6o_~џHwI/OǽP罕4X4/@y_5T `~ҍMDw. X}G* 1$ƅ J dAq*򘙲ն:j%#Iimj;;FLy5-xhG(}ߣGݠg,.iwhR?@ӜzɭJH9mH7kJv1*8?OW!h0":?K5BV . !gh/7o)Y]2P}j ,.,Ukj[2,q%h2/CBL=)D~i)PCF`m6CoS.)|"^mA<2ԙZȶ7ak^ s3pS]E/X:yɦ8-pY~k)䦶۩1࿆(Uc9˴/1lfdz\_jQ4*GUR%8E%d{2[%ZWAJ{[IGbD2~ǃ/g&fBߋ37k\eeYGkOr0]Jz[IC?,@ wUZs ='"_H+܏p\Y33A?eqQTft*̂Eh(> $?9Е' skjt@* 'Qͣ{R'$ PυBz90=dkH{Y^/[40 t,z endstream endobj 5221 0 obj << /Annots 5223 0 R /BleedBox [0 0 612 792] /Contents [5229 0 R 5225 0 R 5226 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30291 5227 0 R >> >> /Type /Page >> endobj 5222 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5223 0 obj [5222 0 R 5224 0 R 5228 0 R] endobj 5224 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 384.725 137.278 395.725] /Subtype /Link /Type /Annot >> endobj 5225 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5226 0 obj << /Length 19 >> stream q /Iabc30291 Do Q endstream endobj 5227 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30292 20830 0 R /Gabc30293 20835 0 R >> /Font << /Fabc30294 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7H`th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r5 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪzkE!H5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5229 0 obj << /Filter /FlateDecode /Length 3894 >> stream xڽ[IoW`:m999y/ƥFأnnbU}>ruۋnyVǠ,C~A;~䐲O/o_ FX[\YWnV̳-/fwo]kzۃvPC6zyjw?&+OC6KbdQN.4,OZ>.ƚtK6 <OIgx031Sɠ =P%ŕ2̅ix*K{||тU0bo]Rr,H؊ȮE͠N }he!Z|o'm]ƴM?_QAJy2` 0kx[P@(N?@߁bGcΎw{@ {gy{N>;օ獂 %;a7,֊e(MpmnWy$(r+\bYB/e ؽE [P#ω>-=^F,'[]'o0XĂ"z(֔6=SRO`qg۟3zc퍚 _ ?jb3w,Pn'|-qqXoyj"8;e)q {ߔ!2S1[|,襤`| #sfTzv /-:|C꠼ Z|s}ogp+VVx^֋?5c- Az}}é۶F W|M4v_.rpw߷?.Ews36osU>2d \:(㴬ħNΎ&@|hoG2g@N s>$Tg ,ktN.1QJtz.Lp&7BML5$aW05+ rw K1I Ó Ze {h'I<䦟iٚwVyϼ۪va' R Xn֒ 2]18alg?e$֤%$ه+qLݡ >?eaC')ĮUSo(N-Q^x(FD'[R`)wor ٙ ?o@~hkyV R}:0Y5ûLk}@Ht~vFDD`u4U46js?Gk s^eyMvlƩbII#lGN}B間XTphsbύ#w 1#h;frn RX]ё喸k;@=Y͵磹٧iqGx |Rː<3M&/āJKHvV Y9IkhU1 8߯ƘvRl\u#'~}L67ws1i 8w7J.'jSEֲf&El ^xEn,ࢸKpQ-7i,dZ;d;u`D`2lRW@m;jø  hrOGwG5RckR#9iZkL~HMfjI 'x*7 #Ga9=yht;T2Z4H6%۟Xe)4G.NR ~Kj)얷7R}wk7wGNEM{RhOR9GiJٍ#S x~ @]^sgp1ɇyJ⏄&K3Y.YXe{"?-:9Eke1}=@q~sr‡&|eڴsWK5BVy9ufW`)y{VW^;~TWd.wR# qz:'ΰ{ReHj]rhthe6/֏9W{՜W̫-s-3^:s[zQz1a=TC<2X eNz2NK ivGO$Wm®Oϓzuө Qx#f?s.&&*̸A䖐V+r@YY ulu q7t :)5i fȖ#y9gp:#8g۰GAOa|7|CeۯxGӥMJ]o28R\Tcl '5a]vizPT1878?daa)4cy}~nhsB8\}Uz?D0gnJvިx4|p?TE ' ~AqaڎO8RB^8;3}`ě@y5V0w{=wg<@_{/˻Az'2 0 ;N?9 =u4ՊFm+'C>L!,,ݨ8sB:x4G/߿/m7{F"P/mb =25/" L_ ! endstream endobj 5230 0 obj << /Annots 5232 0 R /BleedBox [0 0 612 792] /Contents [5244 0 R 5240 0 R 5241 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30310 5242 0 R >> >> /Type /Page >> endobj 5231 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5232 0 obj [5231 0 R 5233 0 R 5234 0 R 5235 0 R 5236 0 R 5237 0 R 5238 0 R 5239 0 R 5243 0 R] endobj 5233 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 360.2461 174.7052 371.2461] /Subtype /Link /Type /Annot >> endobj 5234 0 obj << /A << /D (unique_265) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 344.0461 199.2737 355.0461] /Subtype /Link /Type /Annot >> endobj 5235 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 327.8461 159.8332 338.8461] /Subtype /Link /Type /Annot >> endobj 5236 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [104.1732 311.6461 185.9197 322.6461] /Subtype /Link /Type /Annot >> endobj 5237 0 obj << /A << /D (unique_302) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 295.4461 187.0252 306.4461] /Subtype /Link /Type /Annot >> endobj 5238 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 279.2461 155.9997 290.2461] /Subtype /Link /Type /Annot >> endobj 5239 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 263.046 190.8202 274.046] /Subtype /Link /Type /Annot >> endobj 5240 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5241 0 obj << /Length 19 >> stream q /Iabc30310 Do Q endstream endobj 5242 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30311 20830 0 R /Gabc30312 20835 0 R >> /Font << /Fabc30313 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ; endstream endobj 5243 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=402) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5244 0 obj << /Filter /FlateDecode /Length 2719 >> stream xڵnίdj_A{:0`N2BJn4YŪEo( gbsF)M92F=}[]\v%zzU^*?sK3 \ ~WOF \i]I=&x [>yۜre)fȵA'ZeYYUH z WURpYa+$vX}Zb04(: O0)s0@}~B*/NT${<Ê;i._mqOt) =y=)K/KSyLX7S73 08@K(*_pi( tn%Ǖt*ԡ4@1&o@bY>1Q$=ю%(D^D\rwdV"Fؤ(JZڭ-"ཱ2Շ\K5[= 0[KbD2D 73<!dn)[;FLՙ'd5 P,QB@AQS]cD ldNUM9|. 50H֍[x'ᢽztA5Wခ Ȥx fOod7:kA9\>N5ئ bxa꒹̕J%KPLjs9 JTi]vďӛu@klb]M2xu[A@A\j}/U?6V萩_OC^jiIg Tg!r 5dk*$Z5foEYAUᨏj]v1 *fgnLASM3D87U8,R#U/x:dNƇ~'RV_RZb-R[[CG|4UI' ɇ9He1 %sY[+"qbW*>k@cǓZ}jΗYhhCE˒^C[2iȩTl\H^TlA1c@@9:Wfm C¹ 1(6n>S/8j )-LW} RȪ$}/{dLFp `kJѻ060'VX vA3PSN=`Ơ5 !iso@H_ovL w9$|虋fHAu=6$ј󉰸:5Cj% lֶU \QbG(QlVBwp|ˬ?jꇂJiLV`xa^bQ''Ӯ cL<@=vujng8LjHO_$lLPpoP ޢOO墂"Qᜉ_<\"Khhl u>Z<Wsaƪ>״};&ﰘ@:;Bfwj*TRyWfz>H|NLT1&8oד8<5&-G4; /'ؐŝז"MߑTW)^unJde(1MizCD MW1p9P3Yg^gd8ODx7)(pd AYUleEeKF>?A>d v’SRlSu(K\f z l }/S|]\*+Ɍ<ԏ3,uZ[ KFKV3״J`G$;3i2Zs3D0tiee Vld,@< &x>,#ԷK,W`W E\!":,d0qDmcыa눶M"%LgjotӫBI` !Y,u^>DM0:e逆 $(+|G.n M%΃7aF/d;@ + jm RŮJd'䕒jH~ᐕ%~M/zNAK<6@C͠ 6NK[dƎ j_lJ|TjLxF h3=HIX t 4&Gd=Is3MJz[IC ,@`/kmw2@=h|GWgL:LЏ`8Gq@ˡ;\]á]HDx'ؑtqDPs_Bu07jVQsgh7 {M":_gɡcFbN~l4jI8,Z endstream endobj 5245 0 obj << /Annots 5247 0 R /BleedBox [0 0 612 792] /Contents [5253 0 R 5249 0 R 5250 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30329 5251 0 R >> >> /Type /Page >> endobj 5246 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5247 0 obj [5246 0 R 5248 0 R 5252 0 R] endobj 5248 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 384.725 137.278 395.725] /Subtype /Link /Type /Annot >> endobj 5249 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5250 0 obj << /Length 19 >> stream q /Iabc30329 Do Q endstream endobj 5251 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30330 20830 0 R /Gabc30331 20835 0 R >> /Font << /Fabc30332 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 5252 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=403) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5253 0 obj << /Filter /FlateDecode /Length 4238 >> stream xn$ίsiM@X@i ֱ@ {^|vϨzlX7N_'3isǒuuf ~Z Vi3dzڹ0'/N!͋`ߗHߕo3\hFi1>~#vHqz&B>uxeB\Bڦ9F@D~v9 >[X2' >_f^&,8}_HQ ƥT\= ȧxٯ0 xן';=C~NX=qyv/X' sr6wq1~Vj s-^[q|&'_یQ?Q8N'gfݰ_ eZY4WD`oL1Y]7\f{96Y= ldA3|dks=W65f:U[ tN{>bSAN@*-v)CQSUvq J@Mu`9PfW>ޱ%{B'pkAFhTd-hFQ|MՀ :rm)؂mX;dP\\ J l>̖LuX{eT.95eWon9F\/=[X)3T5#M "ycxEQf Y{gU-υ{ AށbLNFA2s"LQv-;?3kZ d-z%m֗?㤭dʟ50ĕ>(XeLXcͧO^B' m(xȑB|xQ<04W XX'[ ^e E/Pio}_= f:H gN48Hc߯mI VE䄤=45:8ApyƵ¸q%DM Ğ\YjB*"Ge*Tk, .L\(}Ěu>`7rHZTų?sTzm "0T_`̥F`|reX KX-GުhfL,/BR%]g[Ջ*í#TJ s#J$V`[3 odX;jie-̥-ܩTؾj{\4sTc,_kylDs2vn^Ul@na݄"i3׽RY;[l52ң: h@JrH`:\ʅ;V2M, bu Q@[vqN48(ԡҁRQMl]uUtV-fP 3vO-luZ F-Zd.u t[b[Ղ+ybSPJӲS +S QܕAnI E+;u2{dGu=E3ɎX|DTUiTzm!VѣBVX{5ԨE@5꽋8{mR; 2q͎[ٽ?[r\㻔U" 2{G5lXߧAz}]ēśƓ 0M&I?ڲuwwYG>f9zrfQQ:w߹=%3"zV|[fZa9p0Lnxq/(A=nN c!(2 ceRy9H[DQ&'.<1AR)ҮL:12CAUYy` (VVq&W ԑZG[x=FŌebV4Eۡ09)Z+3Ae#m0WeFN{X +"UY6ɯ))9=pv.|lp/\[9"j+GC}JOYǁ:SVQ(aCb'O!ESNd{{fv;y1& ݾfjF/efT>"7X3d R]/.mqVB"2XX3\7 ;w}fXAHF5!djo+ŞS iQ!KK:E-sxǃY,dx5ŀ3(=*Ƒ Mxλ+sK/;\sˬ0aFY׬[9jJ度b,w_]ģ!*<)Q3 @ /R@&;h y W>Nb' Sa R[xM*sU*._ޙUM)qU}ϽtN#}]'4cw4sT7BVn$oHYG]7T[pR5@l\UpbV-0PS1Pbpe xC)pJ@"7#ëC{]釸'Y1cH` *yqg-(TSBHf,FcT"8'Px(ԧ{ Q-2e}K'fbJs6bkYp\%"Y%dSE*. $( ȉ0FOIOK*m*ƨO6.0OY@t |gK+ po5yZd %^YU =þN:,aǃV%)ɚCɘvc2Xmsحю7BP;v[#=Tnl3bу!ȁrwfŠ63ڍ; c졉 ؾT)ͷK'_={Hv KH;T+QMl:24[fɧ.j{oGqcWL<5qѵ7yhsӝװ솮T0ܟ*˨mo{u%pN´d|kOY\ݢ;Aۛ- qS6o nCUEpZ9Ɲ'ݼqGLu~HJ7{BӺ v,;%rvtk,^įUnHL 541K.%}ܼ,)ؕZud٧Y}Z FoFVgRPq׶"xsN6(i^Vp\m1cW#V]ݤ:ctQ|>L0;)ဘfZHKzPk1g?VFɖhΈ֘dR4}Z lcrL WbR9;.@)QL2#DY,Hո'9֨c0FF ĕfmM8&/=}= S:l(a-`ЕLwt3/(.FԔ_NHOn[p @/BGGmï3)Lv勞]JI>̳)CıI`S¹s"%L;L% _R X3@O:)E-ek{XGIK-^j]޵`TjJR,CYLH-ے[jQwhfiށKLT*lH&Mv35 Kh͚}Vs]-+ylN /`Kr\8\|Wh%@ ³oE[6߸M.ܚ8odMN7)0HN3u!C\J{a<~OÇ~[0y_[.  JF$ETށ"hCknG<4u;! R_óB endstream endobj 5254 0 obj << /Annots 5256 0 R /BleedBox [0 0 612 792] /Contents [5269 0 R 5265 0 R 5266 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30348 5267 0 R >> >> /Type /Page >> endobj 5255 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5256 0 obj [5255 0 R 5257 0 R 5258 0 R 5259 0 R 5260 0 R 5261 0 R 5262 0 R 5263 0 R 5264 0 R 5268 0 R] endobj 5257 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 237.45 197.6017 248.45] /Subtype /Link /Type /Annot >> endobj 5258 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 221.25 195.1267 232.25] /Subtype /Link /Type /Annot >> endobj 5259 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 205.05 192.6352 216.05] /Subtype /Link /Type /Annot >> endobj 5260 0 obj << /A << /D (unique_264) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 188.85 211.1647 199.85] /Subtype /Link /Type /Annot >> endobj 5261 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 172.65 180.2547 183.65] /Subtype /Link /Type /Annot >> endobj 5262 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 156.4501 179.0062 167.4501] /Subtype /Link /Type /Annot >> endobj 5263 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 140.2501 177.7632 151.2501] /Subtype /Link /Type /Annot >> endobj 5264 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 124.0502 192.8057 135.0502] /Subtype /Link /Type /Annot >> endobj 5265 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5266 0 obj << /Length 19 >> stream q /Iabc30348 Do Q endstream endobj 5267 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30349 20830 0 R /Gabc30350 20835 0 R >> /Font << /Fabc30351 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5269 0 obj << /Filter /FlateDecode /Length 3057 >> stream xnίR/@w&0CNN`~?UŢH-A2YM,־IRo1!뜖ɤqJëӋZm R0F|)e^fQ*NrᲱ~/E 7ݿ쥁u)+=)v6t ?/{|3040Cﻲ.!uq/_ՉÙ1e'p3rr+ֱ/Fzнdz;jh OInJr:/_Bysދ%Z[UZH9zҞV\nT0SZ25:ΏD7Hr!\˳0Y\ojIdfQ ( Г2ڃiDF|ڌ&H|:TW>ph +3s3sExJt Uxa 6Gp `CjUOԣAf 10mRNȤ 6``>E gǸ>t!B5l dl$g$`h:UǨ$d3G q.g袃z\_(%`? b%W`3K$ tCw)e I+B ۋkucC*am"@c\;.m,BBzr7'dpE)*Cyӵ/4잙YJA&)&'XXAZ29J5rRFkbzd --xA%"x|sQUb %(((Nrg=23F". 85R*$7;2NoQ,LoҟgYWu~^[29&Ʊx#`4pPfr gh66 nU%_7̪AKv a]MMd:q ŝөU*!'inoo^͗*ت^eV<'nnO׆*]TBp&"􊳡$v42e7Gըxlz }]}Qa9W::=Q'cp[K]?bC =6v*d9yPc!t8r%49nPvi &QJ=):cc}$sgzUwÌ:ms)q[GOZ$WaFy̻{¯OpjwuP*: 2|nހ{0#Vɋ_*zh]yS.,̝JlU^ zk+ &@1DΧ(ϥ'Ǣmp91YI|A)4w+?ٌb.n3iȖ=v"y,ްydh`!YĬe^)XaΕd-DZWIteHXuƂe0c&=5UQEwcxʶW; ouovgl9ӦW[pTZ1f8Z/.U !vK̘-gU#"~YL/<;p{Vv:z痒1b9UV3vM8TP^jϝ8m_{:7v:/M+Q8BP$"iu/QV _ʆ+8=o)9=Qe,ɔ 7z/RqhPs{XD5\-g{ۣ x=&G 3Q s84d) &Jp '1$i^U$'NLmMg "QV#FJmh-.+q}-a"FZ{Xi-чv4w4~U8!dKN;?՗x D\|^ۆc,<^Gk2f2%=V^ca1O ux9L^s \WߦiM!l4=8*̠XOEef΂"ڱOӅxw/,噛75.JDP} ffQ)bj':P77G&f˕~=BVzj'r<م3 ?K4P5hyB endstream endobj 5270 0 obj << /Annots 5272 0 R /BleedBox [0 0 612 792] /Contents [5278 0 R 5274 0 R 5275 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30367 5276 0 R >> >> /Type /Page >> endobj 5271 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5272 0 obj [5271 0 R 5273 0 R 5277 0 R] endobj 5273 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 384.725 137.278 395.725] /Subtype /Link /Type /Annot >> endobj 5274 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5275 0 obj << /Length 19 >> stream q /Iabc30367 Do Q endstream endobj 5276 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30368 20830 0 R /Gabc30369 20835 0 R >> /Font << /Fabc30370 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ AC;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV5xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5278 0 obj << /Filter /FlateDecode /Length 4180 >> stream xɎί@/ `zzڀor$ſpE3["|||;RKNO/iL8?^4Z~mj]V~|x (xMc^t:]|,=ܹ}~m=s=iOgQ|$OF>K\꟥ZBNI-jitZ0Rϴ~ }^ 0q uFpw1wWJ}"f彌CGd.Hp=3cQ>uA$*L΅ 2}j(Q#<-yb~] KXA~ 5S?c$E}I W>$q Wÿ3.@EiQx~:K ^ ?jV/,_Y ,,R: hMmY^0GXB-!+"|=+`gs΢Öq&uOPP:{_+r.gyzHGfEBAjs`dcW\W)u&tZ_lH_>4 M6\'z^^K@*߈׉?q'W2F:krr(gvD?cKfL1@Oj p)/:mG3T`5phPm1n͊&<F-4W6C|pU2!}B-`Tt֛R#kYQ@p)t31.ռSaJEĚzM|%a7p( VT&O^6ա|_!*H`]̫L;wsQCnn.ct/?w4 n֝ ] C֕-u6};-?ga5' a5_^BOV!k4m:~ % ts x %i /'ǀo4 =KI6y(#Tx(}JM!`_G f"&H,L+!xq`ۯTcI WE Igh[^UzU舆8\ZKgK)6qAyDi%~Vod5@M*Y|ʰR2rRt`*sSM*3C}KDRibDyfM(RQ;kݳhusQ3[}lւQ#jС܎ŦRLzGnpyȝAw+CEK\*b} ԵᶘgYrP\9C ocZ9@;tU5'4Z J-J- W0{jaja@Z2PŶjs+u bS1PrR 3+S Qrĕ NwNzOZLўN ndd$nvޢN\THhK aBg5Rшhj4{7~aq4m;2_7;#>oeӱ-RK x|4 ^ӤQA~k@4H|_϶ xwz(\ZR eH;\^K9s>n˺)^)^e516 ozrkœNFp'i8czpf ?e &2)ـte<wuM tC$̇w0pc){1| 4FߋrbQInkrtD* >VwOÖC?oDɾsPwub&#$_>d{:onfBdNM6`"UJ=M1U".tXng;$6fx%/ev(AU3W# 'yx UMn'Hx P*$'Œr§ҍm'Y|zۈٲ4^5Y+xE@[CTͩοJ{kҥjZzH;2onȑMhTScAQgvNbkG4/f`1Ureq2* 1]F@Wg[k,VQvF6T+EvvLqV!PV@J8zXP%f8j_/,7(fUWc5\|Q vd ;L6zvT9VF(>m& BmkܙqXm:!C٧zEOjxMHsyXxs:?ޠ^_ȀnA~{.$'MB!ߎCohWi+K=:;X\iwmR]74ھbxחGsT@KngqjYR{>oǖ(TtUja66m~c'% nUW-qajg篔~7Czs^7ٷM6X$~%@SQ%@Zuxݡ-|^ABUS };x0()țq̖!/пotnQ.nx(?9` Fn:>Dmrw\`?/ >s,v6;R77JXF)~=SbFјs4e5K-m_l y=`wq3-ʰ[nA=\C5zӔ5Ʈ 8X^Dnm}v1{TV]ۈ˧tB 5vB.dZ!TLxynp䳌;@AaWA )®c:.ϛ1[ݘ'y乕p_:c| (Sp& cFxq8 m( E.ZV}`z:)E"*NVcњ }-e ler>DfzEVQp0@`@N{D}^R(f gH "P5bQqeX1~. v?G[^O>ZS6^cZ2ҍtq']Ԕ_NNq @ WB"|ل_LӡVuE-G.CrT>%T!XWT`S©c\J[3 @Om>|dc!w)3R<E\?Q}һx*Cc֊m(S*J"*ǒ(`{hfIfsLE*lH&ځMv^*5~S͞}k7]U<6}{3Bo"&zT6| QpQ/qy Kܕ/㫁jÐJWbZ ^KO7\;4zZ>8r 0y+z.̠Ԯg bou>?_1k |_,'iMIɋL6A_o,7x,s;m醰[Zh]~C<(x:{- Oճ6@ȅ<f|=zauk;ҹ\I88yud#?#6R> R? endstream endobj 5279 0 obj << /Annots 5281 0 R /BleedBox [0 0 612 792] /Contents [5291 0 R 5287 0 R 5288 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30386 5289 0 R >> >> /Type /Page >> endobj 5280 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5281 0 obj [5280 0 R 5282 0 R 5283 0 R 5284 0 R 5285 0 R 5286 0 R 5290 0 R] endobj 5282 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 143.3155 182.3117 154.3155] /Subtype /Link /Type /Annot >> endobj 5283 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 127.1155 195.1267 138.1155] /Subtype /Link /Type /Annot >> endobj 5284 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 110.9156 180.2547 121.9156] /Subtype /Link /Type /Annot >> endobj 5285 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 94.7156 177.7632 105.7156] /Subtype /Link /Type /Annot >> endobj 5286 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 78.5157 182.0037 89.5157] /Subtype /Link /Type /Annot >> endobj 5287 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5288 0 obj << /Length 19 >> stream q /Iabc30386 Do Q endstream endobj 5289 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30387 20830 0 R /Gabc30388 20835 0 R >> /Font << /Fabc30389 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HUthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bI*H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5291 0 obj << /Filter /FlateDecode /Length 4169 >> stream xɊdِ ]=t>;/_f-PD(俥?++?}e6إo1z :ȗZ㣌gfƾ0%symp4> Qf( uxAs;ڀ&'`O ?Օa# Nș8(Kb> ԶJC%6WJWآ 5ću ̶h# ag^9+mmjkMc'Ը'3 t<ҼRy X( .QT VaAF_~}q-oxw?'V\ɂy!WeMڮ9 VI[*]/NEQ>\,?Gxΰ B9YmlOXS~ y8ȇ^:'~ځR`c|*g<P4JX8VZRZoܙ6ds[ HE[ Ќ2+sL$HwW$bXʪdS`ٲ]I.-su˂S9м{”[4UR\H*3-&5ճ*/utnTgX2, XS=g{9y㼔 o>x^_D3.ʘzG־ukf}S&w?u&ճQ5J. 40҄nM c)f;"|io @8_Tu02`.80pF}j~^M N+J/{E($ ."GU.;L6/?gȆFbdƦ𳱳39Q6bpup|=XR"*Ke#mMS"POvn-nL4#X"S)GfaE.VTokKyLyEŎbuFϻ#eTqrG1ޓ#8G{YR1w3 ꇔyr'MKU&{6soM9Xvs#5Qv?{鍸+q~⣪#l ugT1 qb6u}^Fz? 8pgrF .\?{Bfsc @LxLe ?!) y%7FuX6nf2K/܌|^(&x bt+gy0/Ф} iM|iK|9;n_w)X׍-:Q!~pYoT@a3kAhRd0>^'8cya-ST2{d&sdK6{J&&~ }R1l<<͎al)RGl_=I ^0eZ^3B}KoN >< trي7]W5q] jY0dj/+[uqPt29!M33d7oiiǗg߃ V1m!yZueU1ie=OAr  áwPs: '󲊠tN}VSsWJ[Ң0o䮇'Mtoۭ}\u뚴ÛZĝ~dCb +Et?&ޑm)S0Q*Nauʬ'KI7|*jLa69呴~J-gC~~GEkl;=~C9s& !O޿]EiKFܞ.uaF}1)%R=kæQ,Ijl_⤳E>uْt}]BLɷ2o%+|rɣutusJ[1U;}.ּ7<Tjx1y3l\ҽmv9rya]i6tyN#i׷w%,drZƲk;>F6%/|߯Cij(ίWB|<G*Qgż.o7>(Wݶ2,`eL*W{:`UF+2]U^L\=,/ >PyN?\LZ-  з^vKIQ)^Wy]SSMuK[֝HV<ߓ]yvbū;?5^4Shu7x#c5 b1F;Ͷ6^V AR^/++XdSO7,_e2>b?TlOVx 3(X]U|=V}6L?"3{)6Ge{he@UѺϰ{XgxP‰j[0,9|_:X1 nG|i{ĶM{۱1+tb 2! VZ̥-⸖-GYEU&=g0d?z`.mAt])vmW.K0 \^{"x Ept@,U6zm+xkp\lu7 -zoo+/j:1tRn511T@pY&[lc$_H7y +XmJĿSBL^/ǺsBESp6 lS)`I ծfp!iFsCةLY%-` 63Ny {u0X|! ,pU6X u' 1jZ蠸 am&QM5͘yypwUn9@0܇ *V'E+vX\Ic;2ܑLvTT !mYf[16^.;p^NQe5frX) R$֨zl8Y,"h|bIʂ4ל޹X^8@#qu7k /$۞iWavl3@=6=J2C3<X`tSS d*SL <~\[.1ã ōCmh<4VѡWl/uh\+SqJ/WIx[DOaC0Cri]ai^_=GŨÇ50=jlNs˥it;$hM8bs_UrizKJ[mlW +N^Ha&5$x$QnR3\  @DSqZbղ`/ԿÁ2T5dmeVXgRcѵ7(W]1`6T>|_A7xWSs{EDeԼH;\ ?OyZyoo^9J"y/+}Pv05Mb]Vb#׊4r?'TYKca'nU>NYO F+>>T+/!K nf3 endstream endobj 5292 0 obj << /Annots 5294 0 R /BleedBox [0 0 612 792] /Contents [5300 0 R 5296 0 R 5297 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30405 5298 0 R >> >> /Type /Page >> endobj 5293 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5294 0 obj [5293 0 R 5295 0 R 5299 0 R] endobj 5295 0 obj << /A << /D (unique_317) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 191.5297 709.9] /Subtype /Link /Type /Annot >> endobj 5296 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5297 0 obj << /Length 19 >> stream q /Iabc30405 Do Q endstream endobj 5298 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30406 20830 0 R /Gabc30407 20835 0 R >> /Font << /Fabc30408 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 5299 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=407) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5300 0 obj << /Filter /FlateDecode /Length 1167 >> stream xڭWKk$7WhmՒ s0Cɉ7[/ug!^iK_Sfh(-Wed'Ws/huk@͵|z?J\]?p;i<г tP^rB>l63˯bz0F3I8C|!I2&?;_ __7f+PYk)55`.^ .)(YU`Xv+Zh C4P*_m`+yj  >g.ی#D y/Ԇ1}q*zn~gGy΋ ͺxC.N)FR=4WqyjdH-{zq fʍ \ {A%(yڿ6 Lw7"KLg_̆n`~m .3̻x^kqzC0K!!׈5&TY\@I?Jao yWլ5oнH e=F&->M^mSة/'{UñO7<}*6`UigL]e'S9` A7՞);`LVQcv%`ҥԣpdkYhR79[ cަi#f4Kj3g.+J1T9 ,lUX – Đ~Vú{Dލ }rL]DKyDl`FS7JS\i\]{ˮZKiP4FdKxam^ԝM9OΕi1ͅOl.4dz--6Bk›kJx!W)DsPT\z cNq'X*xNUx벖}~>Tu],mַ7HGn÷<P"Ggp>hn8 A AP@%<}FE73a;ˑ/aR%j/IE,㵴|.&f9K24-D#em5=[Jս'3'٤Kmo6^BدX>bS1A~℣w,l :s endstream endobj 5301 0 obj << /Annots 5303 0 R /BleedBox [0 0 612 792] /Contents [5310 0 R 5306 0 R 5307 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30424 5308 0 R >> >> /Type /Page >> endobj 5302 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5303 0 obj [5302 0 R 5304 0 R 5305 0 R 5309 0 R] endobj 5304 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 384.725 111.681 395.725] /Subtype /Link /Type /Annot >> endobj 5305 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 384.725 139.8465 395.725] /Subtype /Link /Type /Annot >> endobj 5306 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5307 0 obj << /Length 19 >> stream q /Iabc30424 Do Q endstream endobj 5308 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30425 20830 0 R /Gabc30426 20835 0 R >> /Font << /Fabc30427 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ D endstream endobj 5309 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=408) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5310 0 obj << /Filter /FlateDecode /Length 4537 >> stream xڭnίsp_AZ͉< )~ƭՒ ]|dyEß>Rץ֙%%e_Y6u~M>&|y]qjx `|N`u!IGcƚH)ٖ._| qi',Ab߿i/Tek,q ֔h0|fsqڕ&*,,ӝR ӽ }h#K@p6Ƨ%u(Xd"rׁD+k>06 |'Z|0>߁fM M\kOg$LcyLǼgXr_|ZL~; V 1H9R.\q^ !j,% jDHۊ]M հ0T[0EK0K}^- 8m{~}b&(}hV%dbZ].'gVĐET*"Ig,׮٥LÀ_ץu:j{"D ^Kdl,X|9QFЮ*za8j H:{Li֝*}YPAND:=vg(U졨:&mW[bVѫ}ycHvI1@Oz rYMf9Td1h!XH|6[\nbjyv2BTIcdp ed s6oȚFo9,0uA%Yqsgph Ca[RfKۤ|#() mh/`2fD>"@DViYU3Q?nlcod^eڹG坊\^In2F@S_G3$n /RV)5ķ't+%fjzQ Xп-lfCOcxAP OJ <|ii.N@ Mۦ _^e E/Pi_}_+ V&7H L]Lr8^Ǖz,TA?tƫ9 WW+[Cb$ 䂅WR:+#uꕠj,R.,\hcĆ 44Hmm\j]jU녟\j&~&6YKWsefH%V,3US43@+ՒBҳEG5T?`_:^y$Q`[omkTqβRu`Ǫ+}p5P ) M͙85"Yl@안b5OI<oHRʻ0PDXXA7 WAqՐWyM'-2l@c "IuYczO(lϘ/w8HD ,֊ג{YNrޥ{+^RuĻnS7W؛4 iQW;\{0{='8Ck1 S0^>[F!P…4$sܸ&( +mG1@hUI քQ gO0Ah41jщ NsUnB%FS唡gJGA]20pp6?Φh[tz bVOl5ɁQ/b!؎zv`l/})R;%3g}9 5F08ź6dp7lLOǮ!Qv8]CM)bx 6T52d~M eЕN697Į~0Fߍvyɓ^uvR7kT5]#S}.7UX|~6ΘnԆ" z)lY+'*VRc$ 9xSm  S 6a[ ՞=̪v '櫆"BעQ (EErHۻ㍢ |tIٞѹt;5 iP,:jr !NޕKxΔP[#zgX!X2<A0B<;kJQ5dڅ\S=hM4Ͱ%s, 7"" aD -*B! >JA|$h> b5˜nb=$3XF'xϷ1mVPK IHՁsspij J.'qBc6BSUNq5T}0 +4깈 IJ|lN\nuC }0gRY@GM{o\H| PO[U۬n1MhL[;\Kڌ=s??=>|˽Xp'߲hHf٤7m#Mi}ޑ.u7]Qp{#As[ܭP:Zrmr ]w?7dkUC<kԛv{/IQ̶ż6 ui5qε1 Seb'y\j_1d0%VЊ@Ӷ .7R1W{̗1Oȫ-^z]]:c[rQ|1LVfwa TVΦ0 8V+ wu"^#d8~xu5:y43hY x0dWX |J!ZdK?εpuBMרc0GN ĕUZM8+8:G۠1~[>^K6`Z2чCy]H52׿˩wTaTj@.pyouC2ZY)B]Zp& <%NҔg#Ss I`S7 kEJPE<,;J% _RE6p³IH)io!%Rvy"c/}^Du2A Y+T}Q-ǒKd]b+YҾ2Ź&#՗"n`hoEC C[<.|)/ɻE:+2|Y~c"*&v.zkn$<Y<|^zoJNO5϶E a8?r'$p *+̞g~G2M]NDA4XjP{~k endstream endobj 5311 0 obj << /Annots [5312 0 R 5316 0 R] /BleedBox [0 0 612 792] /Contents [5317 0 R 5313 0 R 5314 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30443 5315 0 R >> >> /Type /Page >> endobj 5312 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062946-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5313 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5314 0 obj << /Length 19 >> stream q /Iabc30443 Do Q endstream endobj 5315 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30444 20830 0 R /Gabc30445 20835 0 R >> /Font << /Fabc30446 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5317 0 obj << /Filter /FlateDecode /Length 3587 >> stream x[Ɏ6+x62w@"\* ̓`{`T'6.Rn= u #^,T?O.N3cгG:A[_C}ښiN{Y5cba3\•J;~FM/[c 0Ę#P88hvwe/SIXӸnI)[D,;˳~/ڰ)y.\76L$|]`OХ>t] Ilr\H'qpB+4JZ(,i$yQ$h&a^xsuufh!bMz'Z KkH5E>ۖPfՆ}rz`^іpῒHn@ok #nETFb cF1%g@WcA ߀:V}qQbPԩnk?G$[ʜ45u٩k&ȴԱE@, =ki}o /+KWn XfJaZ(/>'|t*ݮNv.pl}ge~.='urPl]@(5@",r#W(IT7-DO RӈotTmBt@F~,1"k91= GLGayy9sՒV=8Gj4FqhrQx* Ѭ<~кĺ[ .e@X;m{P6U!P5b,mJ{И%BŐ85БMǁf0ܴܼ 0G|#IݕrU'S^T8o_UAP3Ӕj%AL;l JTf8M"gWY' -cᴬ֪-,}`>ꃘ7h_c[#޷bK;Wb\HSgB>-UգZ},WuWx= M@!êq÷W1;{|meI9 KoRaJF+ghC:"JL8Lc 1րϗ۶02&n$gJs2Ѵ=sAc,ZsM;# :\n9+j-p8K'ݾ'1o´7S$E `\yXH:W' Qe|*-/E Pᦡf|]ެ pR枎_ ⅋:MQ>D}8^9;"Nڇ~%y9ߋm@ eHȢTZE)e6r3P.R@/MV5XqƤ.c#T?nD{WF"~`lDž4.;_PP?\8smr'8H+_ۢޅ8{4TȠ/s zԎ&gT",u^n7' M r2$t9mSITڵuł_͹\yf^ye]3e*7i'T qrn$J#aG(835LI[;ae~!K}Bf4A6{۠Lxƴy i [-ܠJ7kPOٸ)dVb`sj KP: #Y߻P}v (GL<O:1.[@yW. QV W>@ԳחT1bά`-b15u]7HaЧ6ΕBGG#pK|WDlnoq&<%76j= n*Ekx\l f8/d.nI9NJIf'Tcbb$EBgH}=-|qK۹%-ab?'[c27xV5TN qlܛMR\e,Xxk&/U$~ئuɽ1]$cpWbƘ˚<6q\z9_ ` |LNf{O ?<_*1褰Щ. @%}TH6?wOQ Qx<~x%ыxC0~yۛ#bpƚA\wW{Nj2jţ958@L R#^DTNIגYܷ #ޣ(vwmd=ljdݪX*% EWX*l{Wh,y'$ÏXRGd3JbV~"[Ud,P  endstream endobj 5318 0 obj << /Annots 5320 0 R /BleedBox [0 0 612 792] /Contents [5329 0 R 5325 0 R 5326 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30462 5327 0 R >> >> /Type /Page >> endobj 5319 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5320 0 obj [5319 0 R 5321 0 R 5322 0 R 5323 0 R 5324 0 R 5328 0 R] endobj 5321 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [104.1732 610.4 175.4312 621.4] /Subtype /Link /Type /Annot >> endobj 5322 0 obj << /A << /D (unique_633) /S /GoTo >> /Border [0 0 0] /Contents (get_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 594.2 220.0307 605.2] /Subtype /Link /Type /Annot >> endobj 5323 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [104.1732 578 204.5317 589] /Subtype /Link /Type /Annot >> endobj 5324 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 561.8 219.1617 572.8] /Subtype /Link /Type /Annot >> endobj 5325 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5326 0 obj << /Length 19 >> stream q /Iabc30462 Do Q endstream endobj 5327 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30463 20830 0 R /Gabc30464 20835 0 R >> /Font << /Fabc30465 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5329 0 obj << /Filter /FlateDecode /Length 1641 >> stream xڭXn7+xm 0@n!IſWUd7{<2l(v*6ǬAKC_?E;{m<>`D}y<NW񌹃Nۄt6!nީR tЩ v$јD+q}f>$e95 uXVv/E; e zwN-h.9ۈ]8,#Tg8{gkF5a] ondJASl>i3-u)(څk"]ү_J9'bJf/e5}MʙQ+F db1g'vXZclt1SBC;uJS_aϺJrP<=Dspz< jl=l:6B_\݇ck+Mv ϻshY19D[3,p=B ʉ P1{&Qs+9 !dlS6̗9gég_k9,O6aſ Al•\3dD_w%&C7)KMLs/G35ޅn`,ay |GIi#vf܏,P} jd[U?Y~L&+q '6Gt.ҹ/?e~ιFWkC ʦP|nʸ̒m"ΡbFyûAϗ\] tYS uBQ'I9[!UXG]ȇ#>2vQXu"uwm6,o"W_"ߌ|Tn/\fuA[.QSGx_gsҾMѩ*YC| fs(iVN7p2sر_Y?'/q֣hX]4 ڨK̏h-d hגӌڀިRG[ ycBmLfVv-#}W~xC[+4 zLRiGefL 8apG[IWmÜ* $S"I]PuP7 -g9@I1ˎJh}'%ԦkVSHپC1R+|ܦsOk,b1բ,3đˌYxaN?_1?3"C9e=vD]Wn+Iʻ1qVd.Ss\H!]w+ʼn`bQ'O⺬[sn=eW|eiLaaSsҺHp&^wT6|f;J75VG+*NzRi{;AM1r)hf0[Pf9Kl>q%E[hF+9d.ĄplYmW!6Y^cZ/%JKot HmG]59A`##?hߣI r8Ck#ᠢ&_f#sIu~iC b_c<[j%)Xk-_ږCei=[JiyבO{IZB!DK9)دX>")!=/K4d m>7 endstream endobj 5330 0 obj << /Annots 5332 0 R /BleedBox [0 0 612 792] /Contents [5339 0 R 5335 0 R 5336 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30481 5337 0 R >> >> /Type /Page >> endobj 5331 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5332 0 obj [5331 0 R 5333 0 R 5334 0 R 5338 0 R] endobj 5333 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 375.525 122.505 386.525] /Subtype /Link /Type /Annot >> endobj 5334 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [127.818 375.525 169.6455 386.525] /Subtype /Link /Type /Annot >> endobj 5335 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5336 0 obj << /Length 19 >> stream q /Iabc30481 Do Q endstream endobj 5337 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30482 20830 0 R /Gabc30483 20835 0 R >> /Font << /Fabc30484 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5339 0 obj << /Filter /FlateDecode /Length 4354 >> stream xڽn$ίs.s_A52$rr)ſqnI3F0nUEvn^.fTuuf ~4:?/?=Śk1uǝ>/'-7:uօ$.ƶne:`= @毥aեVwpcY}%!NvyYS߿e/T5 q ֔h0|f pa-ŗ&%ӝt: ='ur[|W娑(8C^sWaA$4]' =/(]]UBNDm)h 8%z~->|DYӂeoZ~YbLb`3Ќ.Pp@C@Og=h OOTOV x>Bш3G3:d@&v[hWh ,pO B-DYKtsO|^+ C}^`!8" 8:23_tħA kCəU(V@'JDɢ]MM5cph=-qv sGgAG*-GƈgL ׸^Pٝ K"ۛ`6Wcؗ5zBEӮƀyYSUl !mҗ5 Iש?qO'&PTU#tȌQ[V1?ޱR3$e Mt&o7lkClmݺƺ]b#ZM|PCER|3+X1``kȶ4rBC H%> ,K湱Ozq=[ٲl>-8)T\|B60AOO$ʪ器BSxUh.vw&rU{#y3y/t[%_(gdy"]~Qeb#"AM̀BG@J"o~P8 An-6:?cqvg"`Kܓ5Q>War f!3}zQ0[6HF_^yP&Qa[]Q=d5*jɜe,cAMSW{fk'K O˙ء5!Y|@HR5#a6ۄ"ֽV:G-8͆D6 Y@Jz;Hnhy(+CE u{ukAͳ0fer2R6rv5Tu@շ ӎf<60@7\bnv0 9=0j٭n` 5XF0Wlb 65Ug -'#;)]+; 0XKq2'sdNvkNVu7s[s[sRoL>jN֯E$Nx b7KOb@.oP qf4f8%~6mC{ 0 u ҼF3؉~PymBERPa`WJAP1ײ@p-[XSm" 뺒XiHӰeeqZnjÊ@ۗ|xH6kݷǭn%䶕P{ m7`C>qfLmx:11;ҚM֦Ȃto`ܬw K)73i2,#r>)+ŏFn6Aף]TvGs7]A ?R j3`JbY F_d 305ŀ.jxJ儘zƁv `XqzL02bro6L2u AYc-A0O{㺑FCq 0= ;= $oU7O~Z!yHluz\wsl8 j;.r䏭ӽ:)ď&E vxLE\U?3n񜭾@ʕH_w|Z*YUAwt_)UM"YR:`2\f%EB!غVS4 UC5AN|_+DQl ƻo(Ҥ zѤӛfH+Y]K/ws"80涯09.1vaHfܚy0{g[z:GPpu8x]i۽夎_ga''[b*Io֯E˲ˑڱdC ̭|4u" (_@Vԃh ) q6Lz7{RURQ9lfNe|KSSᵭ7u>*Vۻސ7/'wDx+:s' -qXb# Ư9;xV|`+kqciP&JXY@ٻìGH>zO6 DkNwW2ĝ*T#w^"^7:"D]5GEMִv3]>;dAcLHZ/A<&יڲ@=U aZ;íu*7~qg%.:RVy ڞYChJl2U' an;43:ڪD< |Yi4 D_J7cPrO\ހQ$B=le𖄐^e}$8 6U <7k:!%u4~wQiu~]qnVM+ ]ZQr$2lx8 LQjؿXI-HaP$8տкƙbH)tꡏoCJ. l K3"cqiT_ZMmXz"Ȩ5:ePYDZ%zQ5d V24]f1pMG U$_ &uެUoElx9ʉ>M?]8ԙ"6 [&8p,`\? G@pmb6tWvcWX7z$Ț\y[2UvkXq8#7nkZt-Hm_:Sv=Iۋ$c\L)6piiǓ ,g\=mOO(<ï>]9o!9o,7x1 &\-SD0YZZU]tp&>{n=vjmpɋe ~7G/ v p'$pG c`:fϘ/F+> EpS+P ; endstream endobj 5340 0 obj << /Annots 5342 0 R /BleedBox [0 0 612 792] /Contents [5353 0 R 5349 0 R 5350 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30500 5351 0 R >> >> /Type /Page >> endobj 5341 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5342 0 obj [5341 0 R 5343 0 R 5344 0 R 5345 0 R 5346 0 R 5347 0 R 5348 0 R 5352 0 R] endobj 5343 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [104.1732 374.8423 201.6332 385.8423] /Subtype /Link /Type /Annot >> endobj 5344 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 358.6423 218.5952 369.6423] /Subtype /Link /Type /Annot >> endobj 5345 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20211013063105-08'00') /Rect [104.1732 342.4423 219.3267 353.4423] /Subtype /Link /Type /Annot >> endobj 5346 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 326.2422 223.3857 337.2422] /Subtype /Link /Type /Annot >> endobj 5347 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 310.0422 208.6732 321.0422] /Subtype /Link /Type /Annot >> endobj 5348 0 obj << /A << /D (unique_505) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 293.8422 220.1022 304.8422] /Subtype /Link /Type /Annot >> endobj 5349 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5350 0 obj << /Length 19 >> stream q /Iabc30500 Do Q endstream endobj 5351 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30501 20830 0 R /Gabc30502 20835 0 R >> /Font << /Fabc30503 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBhš;[TqݙVUjj7ze_Vp8جax} -XwE1e0O]]n >17^NT/ jcȅ査˛~CG3cX-LB.FPQEq¨9 }' L_EԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #>U]Ѿ 5Ժ@A꣔xJ9ߵiK[ZNߖj}LLOO4yrCodԑH5J\[-48q|^lau5ͪ? l?7‹!H5hg:g("ڟA)Ê:N!l2g)-_1cDA|Ѷ))evgP(x Ph|;B} endstream endobj 5352 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=412) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5353 0 obj << /Filter /FlateDecode /Length 2749 >> stream xj$_LǾ@QP4Ü41F2t_X2UZ=`D*3"#^}ҟnsVǠ)C_PVVcf-){c\0&ƣN\2w W+o 0[)&a1pv0`]5y،[pcL㎊%YVlyh9jga=u{vG;c=\Wq5!XlUԐm" SNÄK9\/1 :NX,{Jh>]}q<*Wz^ɘDP˜ėuGUcAȆ+7ȳ$ڰԼz kɦ_"!$Æ4 P3 Ɔ+ \Cy3g$AAA΅I<4ΌІ""wbi @ˇu@w7J-ِ/i&Gmm ES@p BZ xV!6 bF1$g@WcW¿; Z'[o[?ͷ|@Fʰ]W9#dȺ\9΢ft@ꖩ s@Ax:dsEs wu3 ̺S~ss,0^}8g^@荵SšLb@ 0}¹mTѯ@t:>tJd|;v66rYr1!Ι8-8eQJa/wѾ%Y to).uaAKf,dg))|Q9 %|'_jzo;XյâX&sJM59鵬L.3euޯTf]2/Pns]G ^$uȜO X/%_%mx5^Z\ursJ5p>1@haU<3[DO_x'ݮq^‰X8ٛ RknR[?@O@9`|5ntK5欝daQs2kp=9b6 7KWvW-`1+V|h@NV3k$zjx7`!I~צǩ_S(xLi /#5aԙs0+pDuE)=xÃp͍)D>o0|"%霕D_o;\Jj B4K nnuրK"a`2BX8ԘakXB᳷}ZLs\sbwXu>f[]OmzK٠`rJl {ISکh"sP3a(vkq{. КiZĀ9 yOu&>j%#[7% ['s6ջ6Jp>CYCxK!?4x) N٤KI}GPԓhJMhP0%YCf@.;U!xfȦ/ǟ [F'\gs~4)tH˽]Aֱ00 Wd"}1fJN:m\'9C6Ԛ3ˮWp~P4귦Q#H/rb(ZUf}WQzC4Sp[$ $MQc2P/e0dwuiT翇WA}Ua} y?G%g;сD} 3e1'_#]Ul !fW.p)♳2P}f@ J"VW|\A |5 qk@Փn0 s3fpSϸO`tح[c{#v;5Yôe6㙯OjKQ4&EYROj.!1mqkȬ)jznl{-Ǩ p0~ ɈV,A)sj7Pg&au;#'5f1F(SI0yR#7JJ[z[YS`q-і, +z|D2Mj@KY!aiswU @7 lȊG$/gVd*,8h\DG- yیh49ԲWZHIF4,mD۔pIN#qJ7[żn zfdho>Os[Xn?gc{ x;&BG3BA~('BG>omwE&hSK룧 E'1YBɋ9NRCW2䍔 >.H(k|pƄQb}$"3#Qrc㥀vKYFs1CnhHT3sLuX3lI]Ɩ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30519 5360 0 R >> >> /Type /Page >> endobj 5355 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5356 0 obj [5355 0 R 5357 0 R 5361 0 R] endobj 5357 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 384.725 124.1605 395.725] /Subtype /Link /Type /Annot >> endobj 5358 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5359 0 obj << /Length 19 >> stream q /Iabc30519 Do Q endstream endobj 5360 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30520 20830 0 R /Gabc30521 20835 0 R >> /Font << /Fabc30522 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HP&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛA endstream endobj 5361 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=413) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5362 0 obj << /Filter /FlateDecode /Length 3924 >> stream xڵ[KWle)w 99ԋ/ݳx#ŪEj ;%/NRgu zXe߷?EKS){`LH ŹbQu,N74] 8PS?4.7Mh7V'_U)-3<2g:$E9,4?|%-Ye&a9?5 T>}5sj/fb郷bP"‘$5$a֯, 甪thwxt&//&d| KUpMpP\g?U !1h+NqgzocFש֪UֆӺU05Ů;:r(^r= U oRqlmXa2+X, X-Xz`6`Q]:1P&˪VJjlJr.tUW6Xiq݂[ z*\prk8 jPk}{OǬc.cA/sJ+9Q 02g@UK46Jk~K۽ƭXy.CzUhZ/ Nk9`>og'̃-'% itq>ɎD/vOxFݷ?x̊\':= xkˑ4nMw˟>k6M½ jNG0svǝRS7%-Qk`n-'2Q^K UEWA%3gPƬ~JhLan{6m<)L|fMUʃSKۜس)2eWш72̲|ݙS-3VJAj@hPMdDЌ҈x7z>ϝ%Yd@cqmsNbXqȰw)ܹOr7(:o}2;,y.\0EXMf[y?]j#J$+g蓍[`NtAjw:QҞm#^x=sežJ6ފ+#m@E/ } uQTcNS6Y= 0=l[}%T1OE??ϒ?0`pwTmDTFb΄1X%%gpѸVKtŭzqTŷ|@FjL𬚜{Ln݀+}R_<]ȣڂZh[B|;ÍxUp*萀m7ܡk J~yӉEK.ur2Y@`敔c7}b1^9N132@a\A V[ ~P5We@gi&qAqjάMIڦ[Sp7;UjUS/ǀ9-)ݼwnn}v4>ۮ|6#Y=ڑ ||:)c$Y"Gݡn:t*Ls.p|̱eAFL7 GP}̫`RTnjkz}|M=IZr@]( q 1ja g1"$c^z2uiݹIs8: 16o"T~t|ejl^-oݎ)2;8#8tń0 p7 b:]n@u }n=h?`!cZPj+w (ܨ%eJ~LBxaNJVNڛɥgϛgRPж1{x*Z܂^g`&g܁C@ûx Me>7(_:\H+"i.}6Ü9W9̫5;V]]:sL.-vm!ND`T`40:\dʵN‚G.Km'EL mɆO&ݻ6³8y @],tT/G"pa hDp.Z . #P3_֨NcDzT2Hެi8yVbow+Nq7>}G x-jLВSt?h.VIԔ7RgM,fҹ5M!#t sD4t;z/Ƚ"bs}b&=+]r fYOP(b_S l0sĩece)I8wNHIz+I=1^8f,_'H"%ERvne#"~ZSZwLXnE$^:UWjbIdޗ|nEU;ke( 2}[՛ U*l#H.NMv59vvUْ[1gy<>L36'P2s^OC-yJ\\o왾uoIflU@x> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30538 5371 0 R >> >> /Type /Page >> endobj 5364 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5365 0 obj [5364 0 R 5366 0 R 5367 0 R 5368 0 R 5372 0 R] endobj 5366 0 obj << /A << /D (unique_529) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20211013063105-08'00') /Rect [104.1732 483.7038 167.7037 494.7038] /Subtype /Link /Type /Annot >> endobj 5367 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 467.5038 173.0772 478.5038] /Subtype /Link /Type /Annot >> endobj 5368 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [104.1732 451.3038 175.4312 462.3038] /Subtype /Link /Type /Annot >> endobj 5369 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5370 0 obj << /Length 19 >> stream q /Iabc30538 Do Q endstream endobj 5371 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30539 20830 0 R /Gabc30540 20835 0 R >> /Font << /Fabc30541 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5373 0 obj << /Filter /FlateDecode /Length 1970 >> stream xYKo6W@ w;fa`L_=(Qn?& *X*h8c;P7OϦ9MY}m?O8gKC i%|gM{kch|ޙ?@* B dPr13cš:c<˘'K;3cnxR>bQw1/ђD٦nS ΃@~d'x1=;.<4-BS ՒTIOr OQAv|+|̰DQygX,DH.TqfTcr4X72]14y||!O?`Ȅ/BQ7ډq mu@XHnUĆ)p$"nHxAmAʲ!lpwBK#R+i2-+w옯AD]9_q>m f;y- }Q~ϓn \l5WH8Ner⥋>}\\˔ۻ|{kYwF\~c o2w۾4lR3{CL翰T$G:lZxU1]]stnl}}׌ky;9KvyKkT'p%Ҥh.IZq 3 E۫](4rc,]9iMiNp\F4Jz\ؓ="Y4zLB2E9=''ϡOds|=QrGbtyj[;cªJ/?*ɳopzۭV$1{!-(Æx\8z`kQvj:(SLOX&ٕd‚u_?~X#6FT9$RAN[9D w g\nkjliȶd@B|6q Ne8''92BUl_\P3Nj$@tGݠ],PnJ>|XFRx d޴Hq(BܱIxfL=іqgZyE1y8:79$.^ *m$e Qb|R&E v=߇F2gx̀&grjE"e׹|ͰzCJM#]QіaoQx90`T 'yۜ6Uz3hu@Vcmd7Y;""fKQ?^%Z "Z$U %uR 6= 87 j%[,_BCa5rF[aI2Y[ZV9O3y]cWƺzxc1*(l(bP6o*b"D-' p^Bv%e%- b۪[K%u&`J~Y7+G/ >SK֬v=]TP]}.5T]ݮHEoɈݧSzd2/!Z9✴oqqY&C79eֵemU3$t6 Nf~AOfMCN ~T]i5xu endstream endobj 5374 0 obj << /Annots 5376 0 R /BleedBox [0 0 612 792] /Contents [5382 0 R 5378 0 R 5379 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30557 5380 0 R >> >> /Type /Page >> endobj 5375 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5376 0 obj [5375 0 R 5377 0 R 5381 0 R] endobj 5377 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 345.125 124.1605 356.125] /Subtype /Link /Type /Annot >> endobj 5378 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5379 0 obj << /Length 19 >> stream q /Iabc30557 Do Q endstream endobj 5380 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30558 20830 0 R /Gabc30559 20835 0 R >> /Font << /Fabc30560 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [njЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶f5o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}& endstream endobj 5381 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=415) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5382 0 obj << /Filter /FlateDecode /Length 4243 >> stream x\KWe) 99cL݋~ŗ]g$b")GVwK^ ld_Ip YKS){`LHszu l,NO?O ɋ~фvcj_ 䟴2#3o}K?*_'v쿏:.S6(|2@4&]>}<P ] ҹ1s2(4+]v^,/eJyjGl΢& ӿDK ]})k,ۤC'  ;L3jmyܲtn ׿O_,7q6pqsƢFw޷dKvaҔH oQwAJWt}IJ뇟B.O/Xw˜ts,ZR^Ē$IhsG7}ċݹ;㪻܉}xT|91b_9?fN:طyt^w)9wuGX3<ᖮ9{&Ah? [bW0kswt'_52Z#3):O&t~3oc/qFnLUE4R,=xBIk + nV%n&Q3tW ^MМU.Ԋvh鷹6-3a:Tk٣07\l"/VPX5RD@8Qȍ{ 4ǑxF~ 8& m&c:ցUBTg+PijLBz!nֶ.y&4M\9E8e )CRRI/{?ed&RkƆ{P:=: YUatYfmD5 z @TиŊ!r^U.Kdvfa,uE[:8jbbC)CB] AuLְGvi= 6exA{tXâ# 76,ֆ^ /bKakyXTumXj6bbj j]W6(b}"6ĞUKU+Sh,hQ]oIpƯ!ػNj2)#F7ʸ|e(njفS6pR%ҍpꚒ1ĭwéc5N©0:ٽi ΞutrozՊ+͔;|@+ӷJm} >׽P6@z$O@f SZ #m@PWO 2۳<`XڊhgFPV<>MoOBuv2OmR^ߋ?ij-lyS@cL| ͲMW~-%l}>.(sDeoa&E=rQdk .hf4lms S͋)yߒg%%* y;cF^bE egB"JfY>IΛgˢ>=c}& B$oZy $2cpR&AGV`.!a⤒n߸ʽr5imdܸΘ(#ܣ,M2ʐR饇ҤOW.5{>zU?W̫CtªMHTmSxUN!-:'^3ߡ%``kKSs"5Ubц*,sSMqy8q Ə.Tib:NӇO׺-hǨ mK!zSc}nQMI2jLk!]w>K](F!̋1bȾ!}C҂B?T1b(c f0?+fJ}&YN*5{DS$ˉ6/We^>^E3f˴.Yi "ڒU&t8'zFaV$` a\x~9ΟfvZGd3Lu đnGb=,kP7E\Z]A%j(QNAWR{:7-Ruu^漱Ag;0}Ewt Ї%O R vyp6ppF, bFF2wC: D'SZ TCgcY6UșK퀙uX.k&n5vɮXeZF}+m;Aiml"򤔡/O9/Tk(_L23n@W260{qaR Vv;Sx`1`tnrg%tuʸc&q_;gƒ69g"֑ޥt9/Jog>wϵL'zU,- Wy9qci0]pQl7d >2=r-)c~by#-SyIs7EKù7OjuI.N7³:?#UGX40C&70e[@9oqU\|mPif0@5Eu6H~#2HѬ4cKj܃]߭G ~o8ZJXtS=bLl|s(4+om)Cx\5 ! #s ߨ^{EL>ӷ"|I.6 Gl{RD $_/'\JR**]S,Z4՞X_(q__RYG"%ERvne!9Jʟ+xnְ݊ȼ4FUWjbm Avޗ|nEUkϥ+ 2>ƹ\&#Eպ.Rh3Sh]uobEcݳ_,l{sOӘf7/oؼ#ۛ>o{Ը\4~fAi^~jrz+orO9y9ew'O=L3`XOwGV KOrEs=|lGj##dg;Bk(?} ̨`D5 xpXd]&Ev0Y;rX(@\#ٮ_NF9<3uCA;ʽ3u0d9( '~)v',WLZ'pzT#/!=bۓ{tE^5̿ endstream endobj 5383 0 obj << /Annots 5385 0 R /BleedBox [0 0 612 792] /Contents [5393 0 R 5389 0 R 5390 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30576 5391 0 R >> >> /Type /Page >> endobj 5384 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5385 0 obj [5384 0 R 5386 0 R 5387 0 R 5388 0 R 5392 0 R] endobj 5386 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 362.0692 155.5267 373.0692] /Subtype /Link /Type /Annot >> endobj 5387 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20211013063105-08'00') /Rect [104.1732 345.8692 145.6047 356.8692] /Subtype /Link /Type /Annot >> endobj 5388 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 329.6692 161.9837 340.6692] /Subtype /Link /Type /Annot >> endobj 5389 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5390 0 obj << /Length 19 >> stream q /Iabc30576 Do Q endstream endobj 5391 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30577 20830 0 R /Gabc30578 20835 0 R >> /Font << /Fabc30579 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(EB endstream endobj 5392 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=416) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5393 0 obj << /Filter /FlateDecode /Length 2585 >> stream xY[#~ׯ+`{a h{KK.lu,&GX="H#kIg!4(Z Wx5fȎ6eyc,ksY{xgn.V=QD~3)4|]Ogqa7NATDS>; `SYJ@\P3IMA[Ʊ!Dž!8jqߒAԐ B{h:=JXr˜0_`"c|[9N\*q #xN S dH@Sjrh=C6@ϢJ 3\ =ܔ,4 kmv8\|."֡oǁ*̺suC;gb?;)C4S~.=6VVwLצrXcrȱ`bvi8~]X=2u=H*=@@_5to?N)]ЋJVJFlP_n8 sO={buSU TKMG.0%*Oi {gԕ9-]g`s]Omw [$YdKO,"-7Z3uQފ9 Ueq/PIr¨GQV,R= \sb%ƽ{劉֫_.y5RFr&:]J kkԪn#T5嶚G?t1b#daB@oWn.bϫ rء^eĤ>ޯ4ջ'k%ntAm/}5HWUz,?N^~~ٟ]csZE6e^Ǵ3jBg@I _%E.̧PKɕD|| @Mc&oS]o;sxRUo+enwoo\ӄՑ/|HJ`Ak Z &b%4- j(u}UKZe (5 VD: h1qH<\J?,L|% Bx^e'ջZgii'5 ӌx?m|U|/8tgSnA+CniPhoZpgML{ԣLXWO>QDD[8 rZxP)2R޸]'٨+r-xDlD+vTвydN bX ۙɪLd|<*0 qw@4Owӽ[7r]YwfxҶ&&Nۿ *Ӱp;'>=rYdoM&I}OITkS ȜzT#4Ԙ'1eܜOȨA'@ endstream endobj 5394 0 obj << /Annots [5395 0 R 5399 0 R] /BleedBox [0 0 612 792] /Contents [5400 0 R 5396 0 R 5397 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30595 5398 0 R >> >> /Type /Page >> endobj 5395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5396 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5397 0 obj << /Length 19 >> stream q /Iabc30595 Do Q endstream endobj 5398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30596 20830 0 R /Gabc30597 20835 0 R >> /Font << /Fabc30598 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HPO}:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3W!ӘV;!JϡmтGŶD endstream endobj 5399 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=417) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5400 0 obj << /Filter /FlateDecode /Length 3827 >> stream xڽ[Ko$W`| 4@n!i'~WwhףɪbU}cWm'|/oY. _zYՇ%~y3&{}rҾv^u,k=r[wgE}1'_u4 4?k+o}kӿ J/3k;e]u\lbUt<^{xGsXeo) #~i_{ǎ kau"TC?)^AX'!gA뒒^IB-Z _#v[->RۿOdeoԿIFLefIYuK(0 4/ C1s|25#t,y Gh?kA69nUo0*\B-YD/ydԹgi[&|2 uc |6@Ez4Oa] +.p>"O">M+:9Sv^>q5?";ʛؿG(a5K |yk>K+N NV5蜶uJ0BQ*Q:S?PNq/'P4TUJc-؀;/S㞐9eWŖ=7 R0z T60׭,7dMZeAL2CyEʥt(WSg.pGWWH8X)/0kz96-pې}00YN2" j:7npAPDoDwAi?D# Jd4)W<3wC"@S ٠t*;\4"7[G LXE{i!5MW~m |! ʗTիՄK[vҴyV8DJȀhYbQk,hQo(2Rq1*-5 Ɩ`05MN_IuoBB^mu /Ҍ%[*^=xԘD 뗸K%8.8HXuj!J\YN$UoD-jg^R! K@ׁdDH}۬Ԛţ6\j UBbk0!Ŧ\Yْ*z\s̴Z zϾjvDٕ} tI JOgr4F Ya>2 ,c ; Ka+3՘$șء~KA4+Q*jff v))m 4f~?Q`4.aNRH2V7Q_{?]Z0%\jy]^ =Q1r !H}>^϶Oxwsd4f M3५I޲Qo>oɃ 3`cpgyQux9pѴn>r73Ptطߵo;*}5Kv]I @xT!ݓYO,ɺNT5Eknk(hWLv(z &P ERxP>WpHO\h 6ת lz:2#9 Pu}%-qfQ|t,&z8r|G#{=} .WoHh"^^+&f (FXVN5Xhc=?X3ce!j4'э )Ug{}2ps[NxqfWЬA ǜ>%"U:]G,9i*9{{3ݥSM`򐪤:ԓnї F#@govtc vF0(ѩI}4]@Uu3H{_7D؇U`!.J( Nu~O=Tǫ!*$@$ si͋wg&&ܴS{idp&$Aw=#J9tM<,4T"RGwPcȴz#> /i{ޔU }r]qZ6CG #Өs{%'0k]e. E~ ^ueQ*ƕ Q@AaTwp/+J;qm!2nBGe OE}:w39Pk]Ej1nɦ؝,YYtRDboPތg\FǫfQP6rYqc-/:9umy^mڶ!Ø s̶k̾c{veѴPAV+!fSq_bIN5|a/ƍd3Qv?߹ v~i+اGr]*(f#%,9\v'ovDe5oy//X@QC]ĻtZU%5B¸MSn)$+RTk[wa[Tp;rk3?oYuvaqPS5jXQwe③X%q.. 6܌wO}jآto y/ j55e/Zg'eҹ5ҁQghv1/wEJ{cK+0K.UaO"޲'#I- ҫxevUK™ _HS DaEm%HY9m<|uRY-efZYe%/x}Lg,{WfTJ]VlTc^TY/CqUL@On"^dpdPe;A o7ۥAQØ.6)QNY}/քЌ'q)ȏ%~.rx&Bb sOs'M!fnX:;> |]nz< r+Wtw9":\ԟ,*GO)6%pz+(XC^G7̣O$woVxSɭl''Y>cѻ 2*XN9dTY]ćA9L<xwʾ\OND5pUHyѰ3+?&?4#w%§0usYnʣNҝ( K+p DN& endstream endobj 5401 0 obj << /Annots 5403 0 R /BleedBox [0 0 612 792] /Contents [5410 0 R 5406 0 R 5407 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30614 5408 0 R >> >> /Type /Page >> endobj 5402 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5403 0 obj [5402 0 R 5404 0 R 5405 0 R 5409 0 R] endobj 5404 0 obj << /A << /D (unique_671) /S /GoTo >> /Border [0 0 0] /Contents (get_scopes) /M (D:20211013063105-08'00') /Rect [104.1732 435.9835 157.4572 446.9835] /Subtype /Link /Type /Annot >> endobj 5405 0 obj << /A << /D (unique_690) /S /GoTo >> /Border [0 0 0] /Contents (report_scopes) /M (D:20211013063105-08'00') /Rect [104.1732 419.7835 172.0487 430.7835] /Subtype /Link /Type /Annot >> endobj 5406 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5407 0 obj << /Length 19 >> stream q /Iabc30614 Do Q endstream endobj 5408 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30615 20830 0 R /Gabc30616 20835 0 R >> /Font << /Fabc30617 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [nЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@%tw)!i\vhw)Fa94C-Zݛ endstream endobj 5409 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=418) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5410 0 obj << /Filter /FlateDecode /Length 3207 >> stream xڽZIoW@*0  z(rr%,),m E␜ƙy-+JanIq@~a]q'ƼeWvQ=a"5y[Pjeч6S6W?;1F-`h#OGdTQv.Զ$H$/]xx\yy`,3.lcqv<g>mNж}+fDoA_tjeIac<i 7D=$A =ykĶ֔,_LdfWū UkaݏO:O"PM9K옞.=lzᒵFi@%ô%I&euD.JC_߿Z#r^9w `^Ĥ/NH~Y&%-" q!nUrmVh62$~Up p6xn@XWH)ZM 0`+h NCK | ~4B+rb*!$eIslf:7r  ŋ":.15RVB !b0xJKfzm ~s^84V@Cy5JZRt}]~XB(odqPɔv@sۤG HCpam;$6!@:yb JT"0ȦlCOUWBÝA*:#AM]0'9@t/N51}Hf5i/ -)E't,+8~eI*[ d:1Ռh67"0Fk[ރ`B"g8'"R5 ;!#+< >Dl0$9niJ#F)>_x`k@0tmIյ̻FpiN0ī"b\ՕbZWЌ+Ka 3 ;)&wgh0/Ktđ pS\T{O\|Xy!E޸OƹP6H* w_- lMJ R9=}T$Ӡý$Zp +5K]ҫ^vfeW~q~}v : &*}O)"xm r)lZnQ[9sy(ry~1Jy.&H]˯~' 2OW]^sOxCd b %B(ZjZÀ0;㣐t@ja JT\ ԔMP^:dr(\CΌh "{%SbH>22\iȎS.nmQ쐞*_!L<ދy$8f@8SJAkX7{ǃskzI(a MSu?mw2PHrjGLnQ̈́We9f+(H({ϑDKt6l9({g p*-/կ_o5 geoFSVz %Uސک{/?hͬNa+6gp39\6sC̽XLzJ+ITcCvqV$+2?lP *F;D<%N&?kA].\|j҃)Ϳf JNʑrinNۉC+9EC 2ʍ+:\[foLQ\Ԣ|2؊W8 n\OXt q%OGmuBU#yG/|F~`ޜ_~N/J]m[K쮝q5@ /J[6|ʘ;QEmzXnK}:$f 722 ܈r+jIshij2`C87T*Z~#s`Q%YG*T^kcc=C&:n ض Of@9R:; \ݣ g3flQS򞥚.Rg7[ǡ~!$. f3b/v/M Cj,c#e|3#w("𼌁S+G1};ѪlD@Up]uNGͨ2^XR>cw+W(yԌyglU^L#.+/g=vc ƀeD< +Q(`ư)p1JE$q\wqYqMv 䰒vՄIMqm="ۏJPRg"M? {)շ8#'|+sA,V J{t*ڪH\ ZG}|'MɽU{{Kxd;fN%t^[!VʈS}YC{M>eA|Ƥ<t5v)Rs&\|oSب?t#3y;xw@TpfpEMwC|H^w/IcMr]M14UF*+;$'*UQžo'٤P;> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30633 5415 0 R >> >> /Type /Page >> endobj 5412 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5413 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5414 0 obj << /Length 19 >> stream q /Iabc30633 Do Q endstream endobj 5415 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30634 20830 0 R /Gabc30635 20835 0 R >> /Font << /Fabc30636 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ !§Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XL5ۏ"cH&`K4>S~3cy/ KaEr63po.IU1" ^oOcj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5417 0 obj << /Filter /FlateDecode /Length 4124 >> stream xڽ[Ko7W90|?Af {Xd/[/>G9N`Y.+|^b/hva)I}C:Θ3pK;ʽ:؊4h1Ku/:/-O?/g=y&+WC? jGFo}5嗟[ #/vu%VMlJp ?5 eo) ~E폏IAAa%[:s6~#1SO l:`E)(ZxA]SrKy4[վRvp53蔜K;gA x6t %<_X_s/zVCgR ţ0U=:嗰O4sIjƜp575Ш G[z+XlqABTR* 5 B"XTpK0 6-C A\}=VUEqVo]o]*gjDx!&f+MR6E°Ȗ%5)5Z| MAf/^%BjsIZ͕} H JOgi·o`f*vg\!l>^ԑ(6,!%rH:$YvuA48(Jnxٱ E3ўUF}lF٢`ƀ D+\(Z`W܊W) u&YaE A]l}uCg}p1/+8 =mNp4P5dW*|nn`a70``&X,a&X n ٝ6̅6X\f6WZ^qn&L5Wrk= j]+ +(X{Xq''t8]7pmޘN.*$ޮsABe8y)*QuI v)40 hWa`ŴJ\ԉ|Cjyg!HSQ/}ULҼz3DMr|;xf*t^QQ4` 8\z&5s-mꎵ?s_ptu$h@5+z8/}iN_dύe"^nݡuQ+y ˦׶5Jn4O#x{06 }َ}lAP[Wqm^ž'7v}e:Ip,Iftġn3ibji!xwpgik4 &[[\5nْ7YZh}m[]j5-vd[獷kvݵ-Z6f0Wr_ӎ]%xʆ˛NLֳm[( àܴQVѝbϑqťIW;ԡm^'LXvXyn, .̾ff OFV.)W!q߉O͡:a\b|ݠ_WZ"bԬCDĚ`| 7Fdp$yW\Ʃ)w]Ƒ`u@ɔ~|C;p}]m2n{ٛb++mc"$eu (oRxX`"~k@֟s*,LSj r=.X<_KAʫC0\otu3uU3}Gye>9;mvpo\f{족V:SJי #v.|YJx~ṖdWj^~!Is3S>Eu Z| e.zr^N0 b&c{#"`oBPF:dz> ^xVHGf4ns/&. ts@Y*N6C"Ƞ (咢&A+ +3fʐ8uIu{u9~]Hoy{otx5iALaԜc>'t+d5UeCYy3xyQMJՆ rYO $fۚ#I- oҳevMK2>+!5!fa拔|uKٹ5/ Ye%Oj|Eϥ+ Ȣ↫ȅn7.UvBƸ{U9N~`sDy?n#KNGc#7qcgff(켔zoC%}wr0rG:dоey' pSβJ0$F\0T=/  ~#r|< |u #~YUF_`Fs\a  sdT0[]PćC9h睔8>G~6>IF-#Lߓzo-I}cOR>)gܿ9iFˁ[/FPt' ?N}8y{ zS%" endstream endobj 5418 0 obj << /Annots 5420 0 R /BleedBox [0 0 612 792] /Contents [5427 0 R 5423 0 R 5424 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30652 5425 0 R >> >> /Type /Page >> endobj 5419 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5420 0 obj [5419 0 R 5421 0 R 5422 0 R 5426 0 R] endobj 5421 0 obj << /A << /D (unique_660) /S /GoTo >> /Border [0 0 0] /Contents (close_sim) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 150.3292 608.2] /Subtype /Link /Type /Annot >> endobj 5422 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 581 190.7432 592] /Subtype /Link /Type /Annot >> endobj 5423 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5424 0 obj << /Length 19 >> stream q /Iabc30652 Do Q endstream endobj 5425 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30653 20830 0 R /Gabc30654 20835 0 R >> /Font << /Fabc30655 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5427 0 obj << /Filter /FlateDecode /Length 1480 >> stream xڭWK6W`EoY04cz! 9f3BO`?_UIKfp%z}KU;m?PO/9MY9jyU Xf%}yy֦1bϸh>/@%P̡/owbL i]d>X"_ss=NMS~C\>Z[uВyXW"ƸS>ȃ JS~חW)/<2;j}yN!;19r,IױItY?OTW9n+VQ1lPQ/ח7x~g={NHRΈ2)7`~]أs6[דaè(N둁y1!2# r5=gT7dJlQ0|`(zG^![^h\^ 4u@4%<:\F23`Q DAJ{L,y~dC;܇q(WϮ$ d~RyF!y=kRvR YjZo֮%S]d,+˖H _t45!TSU;o.rP3nV LF&:vwX,ys?{>oWp^VwYobG;ܝ1c2ޏ}aP/xdgi,sz89gRrj6e'ke f-U%pw#/3N? \`~m&aدsN3j"K. ׈WLllsjo43vf*-_}Wάqt#݋[n(gEI*[qSՖ`zqꖽD8N_ŷOD/צT$7SsIp: f6Yq#Y`ln;Ix֫ Dڣ7V#iDSsCcO s{"]4 %VS-K2 O)< Zu""s<5L6ʐ! or~nguձᦽލDLzfc`ʷ(bP6~uF1њbK)"\ppt]҄Lqv-ᥒgy-RwBV&~ T6+#7|Hf{e탿9+3}RCmG>}*G`B}A| eb]xq6;hc^zfϷ瀰Skx-y>ԍMk}I㠉 IM` oyP"3~o8t8q=3WJdDZL ЮQ^vxQ3і#_)1Ci\䇜-yG)N:ȳĨI>~mC2vZfmϖ$z27ݲ'=|X{ wR#k`_-G|)i1?/Iojkh endstream endobj 5428 0 obj << /Annots [5429 0 R 5433 0 R] /BleedBox [0 0 612 792] /Contents [5434 0 R 5430 0 R 5431 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30671 5432 0 R >> >> /Type /Page >> endobj 5429 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5430 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5431 0 obj << /Length 19 >> stream q /Iabc30671 Do Q endstream endobj 5432 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30672 20830 0 R /Gabc30673 20835 0 R >> /Font << /Fabc30674 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5434 0 obj << /Filter /FlateDecode /Length 3996 >> stream xn$ί賁nl@Ym99c`OHV?f=iVUz,up#~͋ d߷?i1 O!Nss^Y0z O~rدܛ-sfwv0 f hsxud!|G? 𯃝Rlpao~1~KpV>$֒iZCZ٦* [;l-% ,vΗae??f!<)ذ,@w82?8Gui:y>]uRChșy8Fa.C/S>FtFAWQᬭiWs y÷ 4|Fagedך( 0X@2 =_?<}CU>zsźH h޿ M\|eO0j"aM-pk|[]#1[DԮ#e`[m: G PH# h({^f;KBf[Ivff&:zz>6ѹ0:?3sB \+6ZSVbE!X? y0kT;9Vo^ѼA98K 8f)gyaE;Wm5ivuzSz|=5rG^r1^r.D̏bضxw¨KQ0j;u gW~ma[1 C.q@mcƩ^ኇaP0)nąODU2FyAet^El #UbJ{),9 18j@[+]̉Hxָ$ |G33a]A4gPLu|'4xMLNɍm,5bL5~@\Q^ao6R6-.bxvojQDr ߝ]m֖2r q ,#cɕ 25kgE$}䫽Ak1Ml+E\О)m,9iDiCgo[_ؕKV7^Нy.f#Ȏ]Sy^ o֋{R#<0}d>g7d,Yz4- ,,ӰBt0){$tHi .B'X8ԼV4)F*TG)csf)[׫o+V4'.`Kh>{>n;ͺFMI<}Aa$̝]DcPŒB^i=J0g>JyO LCt'FOm]=j(X=Y T9[4vJpi]?w7NQWvLjrkKXIy5e1Ssv|tЗ:'zьaeUfuzi7k !orY3XzټJ^H$K5iK>Y41F @мvL/^눐R6@]b3 |ٞt xklKDv_/Zt3(٭)PxvsϔVӥ;x<7E$+BL-={\f˳*_̈tb$UVҥxAt60/h0JV.S;?g1c"8u_[z8$kQpJZ>Uw(Ѷd vV/l^{;!tE/ \ǥ߱uK5$Z:ƬI[ vaQ\Rnhq?To5s&Ȝ( ^H `]d~+//ttA͑p@x|i=Dj7 ]e;5jWqZ`(o5z]v4o97 ?&lhM7Jj9\}R+3nvEjsb9%IET4/C7%ܚ_zC[{SDeQ|)Xef1Ij%ht΂ʫKo=pɶ:f]v-F)fؓ5;Tl>{.Q_Jt3ςA.m|]5LLh77^+G%aL0i?vqH|:ZY i]Y; ս%|<6OxŚk_@-jLqN~?`'c*OڤaZU_u=[ 6N&gq5l~DShF͍y'??X3V2mEmu U wm]Gz.x̹s>s|ż2?|yeԙ[]vX9<FwD$_L4e:$:u&7b7,&j,T7"8Dg&K6WbCa dށdSV+rgfqQD[.IO뺋HCXZ]U+#O4sҺe&38mG y)GW-p9=L4'`5ueᇱœ"܌+@=WVP R ?NXNҸ(φN)`Uaicň[b69q|p5,j9U) ej|!I76!a *BBe>l_ [ޯqY&<4HNXF&"QYk6R^R2\ܛe* e| KMo29R\2}l!b&{7U=^WC<_6|`E۞] B>ȇM'cNZq$so_"ٰuUg/zɼǞx..U:uT?<rdg0z#4,1߉/Mػ[ zn\G endstream endobj 5435 0 obj << /Annots 5437 0 R /BleedBox [0 0 612 792] /Contents [5445 0 R 5441 0 R 5442 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30690 5443 0 R >> >> /Type /Page >> endobj 5436 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5437 0 obj [5436 0 R 5438 0 R 5439 0 R 5440 0 R 5444 0 R] endobj 5438 0 obj << /A << /D (unique_695) /S /GoTo >> /Border [0 0 0] /Contents (restart) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 135.8807 608.2] /Subtype /Link /Type /Annot >> endobj 5439 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20211013063105-08'00') /Rect [104.1732 581 120.4477 592] /Subtype /Link /Type /Annot >> endobj 5440 0 obj << /A << /D (unique_700) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 125.2547 575.8] /Subtype /Link /Type /Annot >> endobj 5441 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5442 0 obj << /Length 19 >> stream q /Iabc30690 Do Q endstream endobj 5443 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30691 20830 0 R /Gabc30692 20835 0 R >> /Font << /Fabc30693 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!6g \09 `FcU$!īEWmGyݝC.;p94C-z^lM* endstream endobj 5444 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=422) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5445 0 obj << /Filter /FlateDecode /Length 1512 >> stream xڭXn7+x6 i `A0 ]yUE29 -Z,DMi^?>1t:YS25dcmΚK|g֦1x'<4w7(xoj('͏;& N|D~銱ЇRǤ>S_1/hI;+뀶@fz!`%w5n 4iK~?p6yLRΟlkb aM SkQuakaXp1L}ðCbJ@8j{v 6?Ջɱ,g0Db&e~z?LOYˁyvKjZ+T XU2{#^jkO^{{)Nh?;+pt_q})8P" YS|''9pκ閽\4.%כQ.(mbq8}/`2"_k KZjUti0ebuqL8T&9UVnjD/2+\I+>y~߂)%_Yk#ؐbMʮr K `Ԭ] Kho8ld[*ńu4-USE;*EE eơe :ѹwXirݖ7ƫkO/ZveffڛXQsam̘kбѨgzj;ʒV,",gvrΤ:$>7"vlCNĕ:jn0 $z,H?sH`86p4SԪ?VZ.6Foҷ9G1g%xT*XmmO|]2ҕtl,[i zMRi\b2ULUk^6 4neݲpG;IWq0ӀUҙƘt,>@0scܻ0].Gj(ߡH^aꯪ-@cM 1-ur*6{"]4:$z,ϩqdԝ0p굴zIwQwLלDȸ3XGSsac5^}6^/w|uPn~ѡ:뀾>okS<^Ezj}}F ` jvw\B(GQ@ufSC{3=^ ^ (Xg*P4L2of1=Cp;JrA9y&9#F8hj{^IUj[|R?'C! Yple(+Az #bZw=sz|W55I5 endstream endobj 5446 0 obj << /Annots [5447 0 R 5451 0 R] /BleedBox [0 0 612 792] /Contents [5452 0 R 5448 0 R 5449 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30709 5450 0 R >> >> /Type /Page >> endobj 5447 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5448 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5449 0 obj << /Length 19 >> stream q /Iabc30709 Do Q endstream endobj 5450 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30710 20830 0 R /Gabc30711 20835 0 R >> /Font << /Fabc30712 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nDЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ endstream endobj 5451 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=423) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5452 0 obj << /Filter /FlateDecode /Length 4066 >> stream xڭn$ί9tfhC:vH~Ww4Q7dXoH][m 8E:cЫ*CCvV?>va!e^n V8Ylު-Xgk]޺֣Է9@_˓N"'u4XyxϿA,,[lIVr/YaC{mt,K6ޘ53 mmo㭢pKM_ʄ5%jP`wP-N .@}y(y*< 㲤R'm]ƲM"a /ʅ[jrBvO0`z]{~<> Դ.PsVF?oI*YuJ L O5YWuA2L-(3L/!k }d { l%R6,3>}ca cWX GH!k~D) e\EL[L,ᎌH5|(1/D-q8juꕭ!ʹ;U;C:ῡx_)Px0-؀冭9b <5Z[y4Z 6bݑSLx-.Wp [PuBցK*1!TiDŽ{2aI5OxϚFq`ɇ'$9q-pt'c-U햦 F .6 ˶ux%6 EV<3oY`WٶJ*bvPYA.2s"WkQ;BQd@M!w5G/be{p $zA[u=;g2'2p\^J, 4Xov+@/Q4/J7vm8QFQ }a1Pw" ?G$=408WzSիzrBh ?G{K, y B)\ZH8{ꨌTqaiEL֗ͅ a@l(^Z⩾#N#OЛԯKIQ̕+E$,b( <^5E3Say r-j/*=YtTCenKgڋ<8 zK~[w}]L`&j>ieZe,6< 5ET5c-Nl[zߺMŌ.K.' XWw} 9xF6OEо;6ys= Nre025P8ۂ'9SNTɩ%j* :$>2L!U`R w:$qeYFsOf7 W``Cz8?1%hku@K{«Z ZU;lR -%dI;0 qSFN3eg̈s!L j=rNHKpyT:(tUyE:5þOU^XV|(X8 GDp9.<680 j"Ki.eykQQdZ`oV tQarhjwN]`!Hjk! n R혠-A+Iл>mO N:9tq7X %ߒFpLfʒo\ A=HhѪo-iG ʎYS PlJ9G̸IlLB"&VJ/s >Uˁl =vApU}xa7Rɋwp){VT.XX݆Uâ |0`Z%KA[)HJm7J|OB|K mD}$@*EN />m 17B*#gQ SW2X/ V#%w-D&`H*_S4?Dθ ̛.o2+cX['<(H3Y q@@dsz趍dXU&ޑo^nHuFLad!IG  }z>U zxɁbڅ  ;=hsֺ?uiX^MbK3u.{U_zʵk߽Dt8^swj2׫.^7XkW[zo,hfv6nl6|Rfnܣ]em*8:;vKX|-> HU}xsۜ vYK9_Tώ{2P|^凾'+,7+[WX(Gvgjw;]llr\}6gW~hǭ]¯BJ[mk%(],\@ t"̞¼`f˱+)dI l/+YɯʝF qTH:4x:@%@gOp{4BN6Ms[|ڴsDW[Vf]Juƶ,",tOc`\qI09.S1h3 I[9--,xG K"+ ؤϨL&C_O/ͳLgHhf"\, P2Ò>w9%d}.W"@)i "q3?~,;X{BͺQ`RhmV@\x$k6tcKh j-,3Rƣbn|CqRMoruVzy?U:WF: E3"S EEt[xɅ|Zg#Sc _ ΅O3ҳx0+dd*|#J/&.`! ͇}>|RY-efXX%ox}LXz"QEj(uZ BΦ>|Ee* exwS ύo29b\T}, 6.ۍv|P08|KDžoQLU}OŗXEޥ k. *rxB_a#/kǰ.(Zp',£ܙ|]qzLNw;AX'nzQܻSHa3 0KQ mKu0,D|♿GrFǞjdDzLLWgNƳ KfT5#O)wt>7L}wG<\Aҍ~ D endstream endobj 5453 0 obj << /Annots 5455 0 R /BleedBox [0 0 612 792] /Contents [5461 0 R 5457 0 R 5458 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30728 5459 0 R >> >> /Type /Page >> endobj 5454 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5455 0 obj [5454 0 R 5456 0 R 5460 0 R] endobj 5456 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 150.4282 608.2] /Subtype /Link /Type /Annot >> endobj 5457 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5458 0 obj << /Length 19 >> stream q /Iabc30728 Do Q endstream endobj 5459 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30729 20830 0 R /Gabc30730 20835 0 R >> /Font << /Fabc30731 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5461 0 obj << /Filter /FlateDecode /Length 1484 >> stream xڭWIkd7W< ^r9y /Uv;dبTޫүiҼ~zуt:bYgC"6hgM%}~Wk1[NTPM %}# sLO;2y`ڰƦ|Щ v=HEntľr;> sW%o|B;+^'2 XXt;- 3X`n,pZ%~%b Z\hݐzִM9e~XLDϟ#dU:8C5eA؈Y{}~ol_-8``:{~F?ހmM!O8v) ;sPDVSn&5蠧 4dWFiE8 B*9+rXCtѸ(#a"NG2Uظ.$7suU}X3r_2O(~#)%_y׆XM-!y=kRvEj tB͛7{Œ)R1ͷHim(i .y]%gMb]˘PH3nV L'>wXlPs^s5_oWP^vٕ_.6>BPwƌxwz!S/Җ"dl0OΙ4w3icrZp&㾬d^Ŝ ~?֢dE+@gd̏M"VqFiZQzc;&<7N9ⰶe!<bGJW-KpUn\yNH$em5Vm#S:Sm_G;nEݲ0G;IxD:ӀJBK(%kƋc`SPY/bꏪ-@M] Q5uV-ҩiEA^'3Ğ;`jܠٞƵbc&A2&XVr~E?]se]z! |ƌԢQ|Ύ/5ʆC/(N&U|9n\L]F.ZIsh*Lq6JZ=KS.QR=nvF.ʪuU{7{G#޷AfwXM@m\;Ks m?@,\[1uy}=|vҦQ!LN펋 r(M=<1Y&ƘAfS9g^T6A[jIwMyҸtgq^obb$s?ǿu!HGZ~ڞOe҂!u6\.c&\M~99G$=> -Sd ]V| endstream endobj 5462 0 obj << /Annots 5464 0 R /BleedBox [0 0 612 792] /Contents [5470 0 R 5466 0 R 5467 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30747 5468 0 R >> >> /Type /Page >> endobj 5463 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5464 0 obj [5463 0 R 5465 0 R 5469 0 R] endobj 5465 0 obj << /A << /D (unique_77_Connect_42_vivado_preferences_commands) /S /GoTo >> /Border [0 0 0] /Contents (vivado_preferences) /M (D:20211013063105-08'00') /Rect [90 452.425 183.412 463.425] /Subtype /Link /Type /Annot >> endobj 5466 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5467 0 obj << /Length 19 >> stream q /Iabc30747 Do Q endstream endobj 5468 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30748 20830 0 R /Gabc30749 20835 0 R >> /Font << /Fabc30750 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ AC;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iVSl?7‹!H5<<%C9j% Os%p!ɒ FPs>q|sɨ\u#URԫ`zݝCr<3/v҂bwo- endstream endobj 5469 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=425) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5470 0 obj << /Filter /FlateDecode /Length 2620 >> stream xڭnίy& H{F@AN޵@S/zzldUd6`ӟ.⬎AoQ޾Ӌ9hgqk){r3&{8Zkmjqb~ֵ.Zn(kYB/kQ?T ._wQ}ӯ:+V ߵYS٠ɬ[t$&4+llV8˚MqhOP2?%(1 Jx~ oSy,AgyȊH=btmc2J ;⡚%WPt<"곬)9'ҁ1ŵ>P/3%DE o_@IfM/7 Rʃ[мUdPn mi8y $w0`08.LՉZ=\[KYPB{z&#+ᰭh#:m}6)N!B`2RB嵘b^]&^axwZ7Dm {gr q˴-GBSS}WB͡45n% ˼\8)P͑)$n+|;n\DKpO%W$u/OZ&-6 `@OXQT[!u({ :,nMzsl1Z!Kzt''j^wCP?ZO/y!NɴUr4HXFL- ۖ`W{3 s4ut}f $AxK%m ԈR"^h3B[9YPQB-*MDMƂ>uA*0W@Z57 {0ѾZTwo($1f|P[8FRRIuT4xր *lDwk%c-؁UTBZʕLAPRL2hc `x+V=& N'GjkRR1gm4 Q U1!E c#.`I93jݯdMRT3fMpt9Ffq2(c@6*(Pndʹ00ZgC$t@taAuWT10gj{׻ S8TCV#F 77̮6lqu :-Dϖ҄C؍py(`pps8qR΁jԃ *1nA}U=1]Z4ʮj5lWtj G6VGj)z\:8C۪}}>;-z͡IX -g(i:0~ೋ\@!/$9[rp׊6 _{Av]Pݤ~!R%< H^a g\ AO>ftk1%Gc+LW>ƅσ0Sw3-ÌO{zcLg,;+3B8B]2Qt+'r0mx|@W."G1!𝖍gM{ዋ-l'HJl2C!֦sR7R{rL(89ΚM6??(⮾ː%$S=[,_!g0^_Muxŧh}#_Ƈ3ֻ۷5՗4drۏ/ @/"g"\p?&MKSjϤ!Iv x)ݡg¸h9{&Og|*P|Y'靼`dVV`:'FF9BMH>LJ&DO֟b1F] Ea3g/o7> ?ՅRU؟cC#8=tKHw#{ $P endstream endobj 5471 0 obj << /Annots 5473 0 R /BleedBox [0 0 612 792] /Contents [5479 0 R 5475 0 R 5476 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30766 5477 0 R >> >> /Type /Page >> endobj 5472 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5473 0 obj [5472 0 R 5474 0 R 5478 0 R] endobj 5474 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 375.525 140.4735 386.525] /Subtype /Link /Type /Annot >> endobj 5475 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5476 0 obj << /Length 19 >> stream q /Iabc30766 Do Q endstream endobj 5477 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30767 20830 0 R /Gabc30768 20835 0 R >> /Font << /Fabc30769 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H(Yӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}D endstream endobj 5478 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=426) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5479 0 obj << /Filter /FlateDecode /Length 4329 >> stream x;n#9w~( Rssv {KċLd*9L26\[1bпӫ%hgosWR]hLHO bbmu1KzB@(2J (}_zW7U}Nhx 4fI'o}5Y_+kO1FMlD5_t?=q[c |OIg PG'"ĝjxF`PjF k!_/I_j82&9x)мDRJ-;3vf҃űΆB`.\%%nQQy-5DxDKXIO_W <y3k*K ZEٚ\`\ h3r68gW}goX}̗N0hsZ[&Z'fyT飱ium>n ED %d-2?L { `:ns&5ưƨO^X:v--"9f1-p{`8,R;%1߈  >4&oEEH6uAl"j䙩 }uetU&/U!C(7wRD/blգ1? `e5yHL=Olc ?賰]0R=SAb"?p8]"- .ns\S!e>#lCg[Dk+ E$,a&(h=jf"1[QHMz֢:*pe/iRGß"Gp#s~tۨKy(`tFߍ΀0ZLFgS,-ɘDMYJ@4B)M67y| u8L61wG D<2BA@"r+p#5Q(|6D9ꨵ0gI('lXҠ~QS30z#g ^F쪫V27e4,IS+@"GȍWs̍4mNDkb0@_٘]%Vb7p{))Aʄ ~Q|4/]H\]BU8"{_ 3ꏬ'}J_(x߀]t8Z 7{rɤH&沍Bٜ]ΕcKjI'¿yBRM}J\Kf7xrS{l tvT_SLb&_ȷ?d|"a\k ?dD(95ّXq&ЙDf~4LuRow}&Epp]LI.9Z6%o<_X`mQI=$NW%r7rRWJ }]`$+ƞkf-I C]Hõ u$CLfk z@â!ֲha9'r?hkN |,ΝylƪkyJ/jߘ3=32k!6G$"}yA ET pehhOv̈́"yM`{[3&MkuKgLٴ=2qytx;o~ܭĞyo흖.ښˮrk P 'fi6t&glgsm͵~\n|6";яq^hZW,Av5gENʎ6`8K^aK7;?*ays(̩.M3dmzҡvvHP4QPUa>u1S&YeB,zUہmR]EX爋M&faա 3\$ʽ+|cJ[l1X Xfʳl7+kj\/Vg'3`@K()`nC+VL4 <$0fǚ2A#L- [MJ|Ee* 3)΅71.1ULxN{4>(js7͑}U_׉kauZ93 ns p5.:%$9m1(y옶ۣ,xkgovM(.n>w\ْe? MJ^HRm܈W.tdC'@ѭh!!ϼЍc/br_{>- yt"cQ7;<lj$,tJvOB˸:Om\!q G-as2UJǸlƳk RS>#O)NwP&7Lc> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30785 5488 0 R >> >> /Type /Page >> endobj 5481 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5482 0 obj [5481 0 R 5483 0 R 5484 0 R 5485 0 R 5489 0 R] endobj 5483 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 524.7039 199.1307 535.7039] /Subtype /Link /Type /Annot >> endobj 5484 0 obj << /A << /D (unique_731) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20211013063105-08'00') /Rect [104.1732 508.5038 189.2087 519.5038] /Subtype /Link /Type /Annot >> endobj 5485 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 492.3038 193.4492 503.3038] /Subtype /Link /Type /Annot >> endobj 5486 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5487 0 obj << /Length 19 >> stream q /Iabc30785 Do Q endstream endobj 5488 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30786 20830 0 R /Gabc30787 20835 0 R >> /Font << /Fabc30788 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nEӡ~1OEҤYU査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5490 0 obj << /Filter /FlateDecode /Length 2007 >> stream xڭYn6+ `vw` +gnA;&?vNbC"7Lڂy~1}̛io1mi,9:]6O/;OGv01AɪUzw|n49sH$%^A%1΄C?ot3l̮USqP44Аy!񭖊>_: Qw 4)@<ܛb lQd;\ * wކuW2u(L׃klmi7"<=TFWǺIn7Ju[s ]ڻsw%";p^&ﶀ9Uv=lθ'1w\{}OsecQsuWiag i T )'4!\ R'/W6F0sL `v K%-M]%ޖp<^יf%Ԍ&8 YK^6}K#9\ =Tv;/),x2\cxs]徰eq} +; `zsP4vqVRV|14泥|*lO$Jn_'-Xǰ=\:ȖmғNF#~<&;GjF0]^V,p5>K䫌|5 gJ}zRB]]4VPayŧ~ ԛBKx$yrŚ?BHs+ޭJ?cXr.7/3Yڗ qp&IM qj?`@j֖³vlN s0sE'TvSEJL X;/BzGA^R+M|1 :DurTs1ՆJ|E~C#d zbh}SE>En>|d?]7rZ8?*;wylCmzF;_a*dd^iCr-L}3Wi#71١٦#^.Ax8Qz1enJd^4݀fgN;vT2%nsLseOn3@5h)CϘIcfdFvd*6k!0Ϝ.6ɑuKMQg7JztR^4iiki-;F qH?>{yf701/+o+f>V}uGj(33["{* /f#dZ"Ν#A4+ Hd%¾MyOR@.ejIwf5e7ne[C;4.S6ygnb4[{^N6bxG˶m$}3Hy6dgEHź#C_60;¤cVn*.n.e7Vj8)*U\lF d퀼QR}̀\A=wuㆪT_j ]UԎ'TVtA2"efskW~$*TfZ[3XXj9soq|;;b|wi56z\5qV7"UݎHO,n1i?"U]j]_&2q9g8=:-;U(Tg5k(HEcK endstream endobj 5491 0 obj << /Annots 5493 0 R /BleedBox [0 0 612 792] /Contents [5499 0 R 5495 0 R 5496 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30804 5497 0 R >> >> /Type /Page >> endobj 5492 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5493 0 obj [5492 0 R 5494 0 R 5498 0 R] endobj 5494 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 381.625 118.9685 392.625] /Subtype /Link /Type /Annot >> endobj 5495 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5496 0 obj << /Length 19 >> stream q /Iabc30804 Do Q endstream endobj 5497 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30805 20830 0 R /Gabc30806 20835 0 R >> /Font << /Fabc30807 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HАӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4~m~oC2kh}'2g("3h^2 'LsB.&s.^u#UR,Wm'@eݝCr\vhwe™ph#bwoL endstream endobj 5498 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=428) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5499 0 obj << /Filter /FlateDecode /Length 4592 >> stream x\KorWp}Vnw77dd59A`ٜzQnA`-ŪE(][m yq۫uVǠgUCzYwyS){U1!3x6TblgVW}v&X) BjO_w+&[Cߵ2%3o}K),4+_Xv^Qv>MƸ%L*@ G5&Gcf8ώ#qbL6 ~;umWT"zri丯AĆc$ $@˔`w$7, _E C 2)k,o :H) x3Jx%.3p0PPUN@pg`Op~16T6ϩ߳/`0*еd !M #O2]aL"OQ|O!׫)9>?2Ǘ[;{8TO ^˓;CzSNMnb&h}=@F;Sp˲ -ǂ@3H,e6}?~_6mcBֱ08DJ Z:.Ok2 d=(Kd)OA~S+N s\z^uʰe)0l(UΠipJ4բxT*YpQᨣG G%''e)E,1%ѯ"dvwdg @4tذNB[v.GNc㣫ak&-D 1}?XN"]Q|xȬ":Bܕvl( bJk.,L2ej= Ep@ >S=886:яF'V Ŵq7B530˵$D-2=>85h9`"v-d4zSfP$Gv@lTˀ3"[2TP/[4fAfZ^Z Z϶j4XkJ{-f.h”VBg|ƶ-sfd49s,6\TNlonȜ"8R"< r2PBW"Wjرٰ Ej#Y^T3NMv>6['ʬQ 1P&NV JpGnxyԎ@n%TTt¥B]aL@s׫ +8t9vi 86RP:UuIX v tnNB_@n٭NB,UԞLlNJh9ȍr{rWvNw''+ip'[IxQ8NF8πpҟ':8OZ->Njc?'5N'%9p:pRWfI]~Njov8փۿ;uG%LUR2k ,hfNi*Wbq|$s HuHa|җ@^4PXz7:C)4*4_'??yraH}y;W)1{^{&8JBLy^<:ە|I"Msr40Y3c/QfjQa(Pa-EQ%RꚐ8:HW˽8'έżYzD-E6 bSsLm2TR~,=s}![@Nx3K&5ڒM)(!]s.JyvORծ6^eDw;0\]&% G$rB3WV7+Ql* u|ΟQduc>†Վ i-K G߲ 䄁*s%͊I&+<ZU5ߣ>+u_4 @6yb;Ato݀Lhĕ!2,DUC0M-L4JN4YSjD{ޘ H҃I}DՁH4K™@iNqy3VH ^70`'9 m+C%m)r^э0o+Gj m+D@5& IQrX\My'4-'X:ۥsNI"{fwd+!'!U^Acޯl) V6jL V.p<⺜C6]_ :]b3tSE<e2杗cQfuę:w58wss;20i"8%pnl9h |\M[u׌3^ddbLq|ӥ[T<eL?8j x̀ww?zy#нX;5kn}$mMm O!)5pߜK_F~v؟X"A'oc&kkE6p.xiYpHU>WW;)H:qDGAZr@[@pFKrbK|EU˔r\@P{k>çq%G$by8Zt7jlGY[0TM]4EVHM|zX}~G!wĠO6j_QވamQEtREe~ynVCA) dfsfɖɘɥgϯJACGoL[vg&g|;G´@ M=.6_4,e0D_WҊHGJ!k:qX}Ֆs}sI|`^`[zS-8;=UiQ]':-!6x:\dʭq'b#.Rە"Pm oi1Ҹ5{=!mgq4dFZDbł/;2p>/94 "{Pxrau ߪF Y iګU`L<75ǒX?`RvbMO0>^C>bZ2ӞFi+foh.T)kj-wbJџ* /*USn)x,%S ʹ"bsb&=-]rT.ͬ3IT)b_*sq*l0sĩec\Zz)%,bR HRA4m|(%Kٹ,JRjj~{Ψ"D%JVl]RQ2L]|E:P\eecUX\xiz+#EՇ& ^)tnSՃ1Gq-l2n ×tL36'l^s^"rr%/Gl\\oE| L|7+Wq ! w@Zosb7>%=׿I[ŶP?;۴p#о`t@y3ej̜w7g+sǃ=cwbudÏBDebyS uEBo?oyOET'yvM PT\F8WoeW_#{R'.ϝ1OC|{2KHwE,tPviVn endstream endobj 5500 0 obj << /Annots 5502 0 R /BleedBox [0 0 612 792] /Contents [5508 0 R 5504 0 R 5505 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30823 5506 0 R >> >> /Type /Page >> endobj 5501 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5502 0 obj [5501 0 R 5503 0 R 5507 0 R] endobj 5503 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 363.4462 179.7817 374.4462] /Subtype /Link /Type /Annot >> endobj 5504 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5505 0 obj << /Length 19 >> stream q /Iabc30823 Do Q endstream endobj 5506 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30824 20830 0 R /Gabc30825 20835 0 R >> /Font << /Fabc30826 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jl?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 5507 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=429) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5508 0 obj << /Filter /FlateDecode /Length 2778 >> stream xڭZn$W<@/@A@J5oma0'=!;6n,-=-!I&#^RߔQ~xKŪU ^e]~?] 3k⪵SFAr&fasZ[h_%EaƟ.â +k2N(NY*pO0!u)Z+\q>yl>1RE jO@Asg>Zko;R3_pIxqA"UA>NvS5DN5p/2pFI %Iށق"$N䕫v,dbM Rw0y PK!rMޛS41r\Iрc,"!ȑ܁yuk)R5Lb(-jb"@^( b 0 Y"սme4ƮFT pυipZ +#,#_IhpݲhbppL/le sx;Huþf ÞvPlk3ˋJaiqؒAC %Q5{>$Lc$JN ĉaj3d7i PFT;ӛ9s"[clf].AV] .Sw*H26e#!S||=!6IwtIX@A}2jnѬ![SZh $B뷡zՃw\xk+A_UpLrĽL\b-0y74ӹR~["1f j \z!`,}>e Hu*{gkJs}`:j,NN d׹_Xb^n7 M]KCM*CygPGso٩W(8@kۊ#=RVs 2xmi(,Xח"e [jXRkuq2=uXm# @*=GuLh/݄ɔ&.,4`HwH6[!61OQZ5g m9ZCVFn1fqjq@iw.K;GEhB(\u^[:1*MH$e3]j;:[D._to5 "FV5GM}#ɸМ2(:M$dN_9Zx\A[|0x>?BeF/ oBL9' k*ٮ4*CU. } õr3dY~ES~^Y ĔY$sꁅTdxhAYwZZMC4C ]H}> >Wy ]u 4o|7^10LT,]P."U(zFaS/D6X4ч 4ᐌSoƣbp40|mnoajRP }At;"`3/`2x ʺh[euCXil *V..j4V"`82(NgejUkgf2!:`ZhFz!*ںM$ے/%W|$> lW? <҃˛Vgi!Yߤ~?!JOӇڑhd-/8CAψq88&n:֎#5.P:.)!p5IC sݚ-fuYg5 j^yDN}]&rj{>,s2 ',Vn5򜶒PtfUϰ]L<=/¦ ʮ*=ɍ<~Ǟ=O]fOU?SS`pުkQ" .e܀C<ám"SU@:22+>K6GȉD,K %6xTqWيDRD^m ‘Q t!FֳC3M[J™Od)Fn%i>xb}f`jo. 3-͌O۞ѝM$^QcK1; ec˥itۅYDL1_Jxn&µsuN`%q2ѷEC! ) b_* NIe:Rʒ? I(bVϩB-ugejH\# =7 "s%3lDTWsM]OTw< 8K.\."cyOسBYi*4ӡ h I? nB' {}=@_z?ٲβ)ν\cѻ %cK<Iӈpj2g1ޙ';OҒ.D5p:mP( /cu(NĉG.Y榾=óORM(0BnOggpz4#bp6 ^A> endstream endobj 5509 0 obj << /Annots 5511 0 R /BleedBox [0 0 612 792] /Contents [5517 0 R 5513 0 R 5514 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30842 5515 0 R >> >> /Type /Page >> endobj 5510 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5511 0 obj [5510 0 R 5512 0 R 5516 0 R] endobj 5512 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 5513 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5514 0 obj << /Length 19 >> stream q /Iabc30842 Do Q endstream endobj 5515 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30843 20830 0 R /Gabc30844 20835 0 R >> /Font << /Fabc30845 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5517 0 obj << /Filter /FlateDecode /Length 4034 >> stream xڭ[KܸW@k v/7o!n/_gl=)XXdQ^/Y :>_/A;_aC~~x0&̏ zwQNvFcsQS??=4Mh7V?])-xg:$M9]hӟY:^Q:>M:.lbY W>d^9/l縱$^ v7(y@tfpR#1Oz< [Lފ hQ,i %]@o5mEFA)͝Y(2n FvjNN>sq_/grx9 \h$FBW< ve!}Z=⃱ Stg]aMd>\Ɯb&TgV!OVޢm]G#TjُOmmF#)ubc\ 냷)f1hgSxb:ieAiXS*B06ƝI p{hQoc{B`y?w̓cSG,x/yZf,:+Eu q@f!7/XqXqjZemS@Z*2 Ůq5[_Wa1[2TP/[4 3-l/"Zn%B*ֳMGUVZ?_^X3%`[Xb8F Y`>2M,c;ۇ)+2H56N.iRI/{?H&[%r\4+6HmztU f>6k'ʬQc@&F/VHpGnUy)yB,ӮEKX{Cu1Vc]''^MP\9ɁICߦTvԮNU0t=,Pv   B_`4;XXd׺N /b[Փ@$۰ҵF= njW@}7-p{prk89AOuW85N5GFz)65|>SZAȉj0#szT81KSƐy^@Xki܊!R?W"+AԈ Az}}W-{)) X|?Ik맶7"_7&x>mzu|5)>q?>\vON{Pϛ(5b>}wn]d\M\F^DGPlN^ "fB2)>l Ea-(L+lz1xi|+\oɧsIgNKs]v-qaG9SӤI2Uu@ N[U)0Z }0E9Z>rSISc)mlWǂEwjN"T(أx=K;C\]Y֤J9 }k)GE6jy[Ro4\uC bffʼlؘʅ#sf7STNTT3˨HsK4)T/!uL(އ0y?z⇔r UN%: M:z,u moD UT:\6u\gS;)mu#}w3^^4nrzȋ $%-F3j  [xT =%uq/< /A=mI^J8idIECԝ:IUk3r΅IVd^^~z&kѻKLº2H$Œ$8 JQY~?Gb:/-E{؅4F~0DJϵ9QnDD;3^Ϝ.\9N:\Sgĉ~ֺ~9*،<ãh\90V~`,JȴMK0Ϛs9-րs7`Ix Dk]ԯmx:B;M/4}h$!}WrmtgO;l%I13qxlԪ\x$o4cKjo`<] HhzLx7Oڐآt't(j j55eC&&jgskBGG>8ʷhv2^{Er}b&=+]r 2'x()sq69Բp^UTݰS,R$՞X_/ a-i< % x@._giȺqK)-^;u,o"/QE+5jvBF^K~nEU;ke( 2)K՛ U*l#H.NMv5s장f=DT>1flG|!KG%%[Zl[L[;LWv!OkhVMprw=ʇ?r.G}F)qEڞW!Bu JOo{9]wFuB(\ǽQm zt=}QV%"KR#YRGyή \E}e0hˎ IIǞ%]XoHaj=ֳ|pG1L҃,]K+0 D {[ endstream endobj 5518 0 obj << /Annots 5520 0 R /BleedBox [0 0 612 792] /Contents [5533 0 R 5529 0 R 5530 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30861 5531 0 R >> >> /Type /Page >> endobj 5519 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5520 0 obj [5519 0 R 5521 0 R 5522 0 R 5523 0 R 5524 0 R 5525 0 R 5526 0 R 5527 0 R 5528 0 R 5532 0 R] endobj 5521 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20211013063105-08'00') /Rect [104.1732 462.7076 189.8302 473.7076] /Subtype /Link /Type /Annot >> endobj 5522 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20211013063105-08'00') /Rect [104.1732 446.5076 200.8962 457.5076] /Subtype /Link /Type /Annot >> endobj 5523 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 430.3076 162.8527 441.3076] /Subtype /Link /Type /Annot >> endobj 5524 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20211013063105-08'00') /Rect [104.1732 414.1076 188.3617 425.1076] /Subtype /Link /Type /Annot >> endobj 5525 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 397.9076 182.9552 408.9076] /Subtype /Link /Type /Annot >> endobj 5526 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [104.1732 381.7076 162.5502 392.7076] /Subtype /Link /Type /Annot >> endobj 5527 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [104.1732 365.5076 161.6427 376.5076] /Subtype /Link /Type /Annot >> endobj 5528 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20211013063105-08'00') /Rect [104.1732 349.3076 167.0492 360.3076] /Subtype /Link /Type /Annot >> endobj 5529 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5530 0 obj << /Length 19 >> stream q /Iabc30861 Do Q endstream endobj 5531 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30862 20830 0 R /Gabc30863 20835 0 R >> /Font << /Fabc30864 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4l?EŐL$E d_ )2̼d9 M \09 `FbU$!īEWeGyݝC.]@ U8Ρ\j ۾{w* endstream endobj 5532 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=431) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5533 0 obj << /Filter /FlateDecode /Length 2275 >> stream xZYk$9~ׯ@jt` p [/}Xɻ=`/_o\TWٰݔ3uE|q("*C;mҼԽuuep=;s6dcmΚd{kobZ{^=CaݟsbEw5޺'Pg,@2Cdy,w@S&|OE8^;nxCyD<v`=q=%0;1ky*K@y'TiDw+8\dMF %tz'iA4O>Y;/}7rLl=yxр-P3}T]bW&M %nXJm ,-}fk*bS8Y5(Z݈ۆ]b ֝""'a~y1c_X:M,}{~hkZL9;lm]-g \ bP%C{\-o)#< ]y kZFSRkm,a JeB,y㜢A=f1ª-x9QjP@ ?SȼT{'K"/+Т.  =sA8qT6p35'_I&+h[ OzKW,V=oEjoG Us.Ȭl{[E95u&w! ~Zel2 j)>xMR.}eRo.4{+!g% In5YtK3t0OX[e( )8_,X*d\[Bಝ'hS0]cDϹLbXıR_y6\q n/JFͳjp M yai.3,lNBz%gZS*89lIoJ _*2U} T *4WEVm%Y;ĖH s}짮//L%Yxsl>zW{ r3)^5U}OiD`yp2U,uxA9 D/&4^Mx8k.ފ xN'X.`Irqw/ zE)6K"31:d4> H].Dsh3LOX fM/hfI5PVogё_nٸ>4PW~/ :#ݩOНzi,3_1_.4kՆ^)E= pauKH]IR + sޒ4kMj3NɅ>S iyj Ntooӄ#RQo8QG n]I,}}2(A^0 BAdt3iEɠN^&0E9rn#xu ^_gzU댶"-9;u4c2ޏDFÄ O 9?`qcf srΤ>eW{46UK'k0˰66X(Y")~ 9l9]ej7sYZ٨Zxz وf@%>7,ɪ~3Xu6OҳȑEkK*,[܈IiOسj+K:W(щ^DJ  +<1Ph!8ukh :J 6=n=Hkh- gjܓ"(N|zS|oc5/r0>}gL:'hq(YK:XR)2鸨%0~oƷ>pAS>OwBB@Y$t3* G'bER'_`EyG6N:X'WFPDTs?ۿ &`i،ݭp'TY['Eغ wU '~: -QI ~Dxjen endstream endobj 5534 0 obj << /Annots 5536 0 R /BleedBox [0 0 612 792] /Contents [5542 0 R 5538 0 R 5539 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30880 5540 0 R >> >> /Type /Page >> endobj 5535 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5536 0 obj [5535 0 R 5537 0 R 5541 0 R] endobj 5537 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 437.225 122.692 448.225] /Subtype /Link /Type /Annot >> endobj 5538 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5539 0 obj << /Length 19 >> stream q /Iabc30880 Do Q endstream endobj 5540 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30881 20830 0 R /Gabc30882 20835 0 R >> /Font << /Fabc30883 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HPethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM1T endstream endobj 5541 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=432) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5542 0 obj << /Filter /FlateDecode /Length 3961 >> stream xڭn$ί&H3Y M ^_=i^Y$zbjNȫ_^uY.A}C=~??Շ%qgL |6u]GOs_3Vb31v{̍DžvԟOW12&+OCfIk*o}k_ Ίok;̧oM3dwbw|u*@|fXZF2L&ixK|p l@ebR)vߨ{y>M|'ie=D# Ldk EW<3,n[N+6ҖC3TvrU{y'z8aiye}`ĭ&%[[\䲣>ME<]#@:G9 D2iyl7fa7fn;2 7nn0 9;0j 6XA#+RG065Ug -'r#r;zSWv&̃9)awdNȜ֜XO3nn썾ǜT7%53!:bccvcA.% 9 g323h^]%ЀmH74dֿ؏ݷS+ZK t4*T^״IAAk9 ,H}:϶z8DŽBY|CB9prXHa.]Nqo !LC9rl>Rq=ϲo+*ES%{ofV3ϩ5kq "d <x)gIT\JlZH h`coP0O=IߟPd=(`2_7$Rd&붕j6!gHg2j2ZЄ3/kDUU[9Ywbe8tal?tlivUV \5nBR뒭o#Vg̺> k.:uU \]TΒn`&yTTxWrS&W0a^i$ cSKW}L⒙%$^U4Cxczf!>y- U=wj>10MHD vt}N!?T.2 6/9V׬)EW?KZ"o!ݱ֏ĞD" `qk]NXed"L$ar%[ of٭RvWrL[fw+ʸwDldeWƤ"6udjP`k&)]oc"9sp+$;rk9i~/p[B7tfzŒ qC3zׂ!d0aThUco-r +hD@n|a/?5j^W)Ub/Aqj+.w5%i~x V,KXdnuksw܆6A>;|lRg1B(tc2BMp\htrm̳֏kl\TjM6G[TK%xqذ-AmYKvg{h]x[Rr@R|#םL". ~onTbK9q^5wPntlޤjc{fx=Mscz;.9n66m7xPy>:_egn/!~ن D#ָr# &AԧHac8&jwGyjzcM{⢯ ݾRe\J\}m!qq> ޸*,r3^|QF@:6-6G ]DP2b icXSz)*\7K!ϧ{RPԶg4$_F `0U:\/:/. 38XZHY#Vxr\Dxne՛\gja^z>@Rx3)_؊wQVaEOixs Z#T{;)bbpSpiCkf2tyi L\K7sP@rrX θ0+"~v+3?V[B:){$c+m+ԕGf#S\RGj]@mG xq7O}TآtM/Sy݇) dMMY_TaVzb3O|_

܌@~X%/ R[>,{JVlC9HvHs/6XJ&X/q.4HpQv2n7ۥAQØP _6{(&>)bs+ ոկC^nk|b"BL>­`Ml_o]C>;![{¯>]{',WcyXnQ`o}˝SDۂ>~Hi`T׿h}DX#ٮ c?4OIX_0 6a#>rϠF_C_Do @5Xkz%J endstream endobj 5543 0 obj << /Annots 5545 0 R /BleedBox [0 0 612 792] /Contents [5551 0 R 5547 0 R 5548 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30899 5549 0 R >> >> /Type /Page >> endobj 5544 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5545 0 obj [5544 0 R 5546 0 R 5550 0 R] endobj 5546 0 obj << /A << /D (unique_593) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 214.1402 686.7] /Subtype /Link /Type /Annot >> endobj 5547 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5548 0 obj << /Length 19 >> stream q /Iabc30899 Do Q endstream endobj 5549 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30900 20830 0 R /Gabc30901 20835 0 R >> /Font << /Fabc30902 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5551 0 obj << /Filter /FlateDecode /Length 1201 >> stream xڭWMk47 W\W!,d7ɡB[ʦCeI$ҼkK}zF4O/0xR: C1?"``]g ;h]Do^pfb`,Mx|2U.[Wg'x~ 6l)-R;bfa)hSv>T$E daNmEbX)c=]m\\y넀 3<^G.ZJHBN6S$>w"|jh9g˷;{)n5bmS,sau̘[P}2/tuHc2pMt4/4C;io&t-\ƍ8ݗ`lq'H\/{z/ f3?xT٬t_k3z(J) %TG&YTw13Hu*W]W(vm}-Z}Qf[}o%eJrߤox)ˀE d  B~3z@d,Xd-{ EƋc`UP$&!RB,UZ4u-jǖ:y*/\'EeCA1QRL4kvNzzbH`)O;dEÉ=| GSHc̷488ZLsԱW=3O &y"[:đ<ދk8ba$s)Bt7QVYݲ&kzO7'zCFC#Sqx;5G|aN{F!U/? endstream endobj 5552 0 obj << /Annots 5554 0 R /BleedBox [0 0 612 792] /Contents [5560 0 R 5556 0 R 5557 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30918 5558 0 R >> >> /Type /Page >> endobj 5553 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5554 0 obj [5553 0 R 5555 0 R 5559 0 R] endobj 5555 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 437.225 124.1605 448.225] /Subtype /Link /Type /Annot >> endobj 5556 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5557 0 obj << /Length 19 >> stream q /Iabc30918 Do Q endstream endobj 5558 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30919 20830 0 R /Gabc30920 20835 0 R >> /Font << /Fabc30921 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 5559 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=434) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5560 0 obj << /Filter /FlateDecode /Length 3992 >> stream xڵnί9@+|lfc `O`沿zV fKTXo(M[m/yu뛮0c"п→砝/䐲/oGcBg𮴻sXwj{C,vŻHxg*'|17ǽF3~Y?o1dWM7V/Y%x3\]տCpV_X^Y>-X"[lbYt9xMN! &0l\3ߩry蓁đң t{J `I3)"M  %%A3= NԢQpIGd!Za ]ƶMo_P0@Jy0 b3|V'[B須wI;@? 8%>j lY<óGxv3y s=FNsS0ciIĵ qH81 n#\[l0m\Ԣ(c& q(Km]_m;j8xv"SYi=V0QIb^Vfo3peYTՁ#8۴a]1LuῡyW!P W+Fk-h ^ގ=9{Cuz͡> 藼%G'lI;GJx--7hZ02uQA¯\}>s.Q|t5rG1rԎ8dt9,pߐyaF`[-GoS|︰3ߦb l`![<ܞY5S?~wkK(;Ƹl9ʙq\ynf(4o2"$Iu%ʽ'7M {C|:αo@~zP ) TѫEF-eN9x0S Fp=9}aj/@, QkCPPoH2b bxWZk."6%Ha&j J߈uoD^m8W>Ќ-{*  KglwK\AŘm7D:<Q$7a9MJ ͍.5@мR}EF7OZ QɛvjnGFE~[>WԞZvmv"R"k$2VB{'QN]Ncxk1w"ּxi܈ ׳Gg( 'TI7SNϵޙI F{&F@&hb1&yމ;ĕ_j't%-tіu(BW{`|X?8amҶ+0#UF&b̄0X?&gp+ҸZk(Sl_g٭TvW^S -73r8HMףq\Ƣw麁<0DqSm\$1TS}Sd*v7y˦G], ǝ;kD<;XB-l^)+SU`& 1n?)p!ø%A'[ܐd^Mj^B4Hw[5q$}kb^w-ѩ{DlBz9cnzslgg3m7>곯; aQ9&8;}--C< JjFJ~P=Uo9w"T=cP:i6֝%[>!-ay6?- KSx2kAgfc;XH!eh_Ah\ZƉd=:KnŚg:Kߵa5MUOnr'}(KxxYqb!6]$.> ѳAذ8F]߄Dxc.?%}}3l1VyMnɎ?(p%ŭ~R[BD7k5({@s;հ +eҙk ª+=s_: )ʍ*THkM¯(Naa ⫧=ޘ|(<+l.m uuƝ&l@ߔ-beMQe-T =5BƸOG2de9bQ* :O};a@,[1a]drAAS ~yq)Fu`FmOTԊPGTܵu͐˔s͔'Ֆxs/3ЮrwQJ>F|..EuXq@,| enzRNK`>Mm'M,n O,`&ûJgᙝݛFꔵf @Ny}lܛ ֟T\%,W87^dr$TYe;Brb ]R堨cqx_6{a|/'g)9ua4.yP+kXƴbJb>|%A;n@?iZκ~Đ|.pnQƓ~Qc\xúd8a`Ͷ:t } '"7W>^^>NG}Q#GF_C: O".5hVxi endstream endobj 5561 0 obj << /Annots 5563 0 R /BleedBox [0 0 612 792] /Contents [5571 0 R 5567 0 R 5568 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30937 5569 0 R >> >> /Type /Page >> endobj 5562 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5563 0 obj [5562 0 R 5564 0 R 5565 0 R 5566 0 R 5570 0 R] endobj 5564 0 obj << /A << /D (unique_530) /S /GoTo >> /Border [0 0 0] /Contents (create_dashboard_gadget) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 227.3347 686.7] /Subtype /Link /Type /Annot >> endobj 5565 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 217.4127 670.5] /Subtype /Link /Type /Annot >> endobj 5566 0 obj << /A << /D (unique_551) /S /GoTo >> /Border [0 0 0] /Contents (move_dashboard_gadget) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 223.7652 654.3] /Subtype /Link /Type /Annot >> endobj 5567 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5568 0 obj << /Length 19 >> stream q /Iabc30937 Do Q endstream endobj 5569 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30938 20830 0 R /Gabc30939 20835 0 R >> /Font << /Fabc30940 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ3 endstream endobj 5570 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=435) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5571 0 obj << /Filter /FlateDecode /Length 1263 >> stream xڵWKo#7 W\`[z `lEHI4McQh6 DQxql!!w6Ż.ᆁЧ_@lbq{&Ϡ@?f%V \4JڊC!NfH"ꅷ`-\zS-:?3@f4rXFEz\+$!&%TG &&+'K!BaX4Nd !A.-MYS#j:keBu6==ؚpăs IB+&a$:vkzk3xuiY &wVS:J0w^ 87Ӊzn=./i>A&3jzqľ#@S 7 0yzSc;zGGY^o4̏-΄̕yײ1kɟT6Fo*F)]@I,XA)<5CNo9SVQYݲ<ҫgu<*W]G(4Hi˶N̹Vj[T5Wo`ĵYhK'*>¦2 Q.G,gyguB1:Dl/+5K1)kBXgx҃`)&Y*N,KGl~1#K-c,2SMID3) Clɟ7 ;C >O-"&c Ų{ +#F35,1nRd/ęyC cG W'E7qR^^+qMlu/8nK!}+WH> |7 4'6WDl_ܜ֜`)xu؂4޵9bR HwȆD 7;lYBe~\6K~ؘy9=ԛ&]FoZu!dw x瞌Xq[|;;jZgWf.NM̂mД|ݮO^ؓ`GkaMq'E?n*`o8h%M}Rdo}2֓L=& ]=خ+`k>`ѷ0bL} F~Ei_.A endstream endobj 5572 0 obj << /Annots 5574 0 R /BleedBox [0 0 612 792] /Contents [5580 0 R 5576 0 R 5577 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30956 5578 0 R >> >> /Type /Page >> endobj 5573 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5574 0 obj [5573 0 R 5575 0 R 5579 0 R] endobj 5575 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 437.225 122.109 448.225] /Subtype /Link /Type /Annot >> endobj 5576 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5577 0 obj << /Length 19 >> stream q /Iabc30956 Do Q endstream endobj 5578 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30957 20830 0 R /Gabc30958 20835 0 R >> /Font << /Fabc30959 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H0-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}KJ endstream endobj 5579 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=436) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5580 0 obj << /Filter /FlateDecode /Length 3887 >> stream x[K#Wl@m #u {OjjԬ&ŪŇWm/ϺҬAI}CՏM}XrHg1!35-{k-3vNT9GY|Џ?O+ypIG߆ ͒ b-_~RN)<+Ϭ;;D˒M 4^gGB{O13<Ct{PmadFG[<8<7LNh%ߢh+ܿ#%k,ۤSCRʃ+YP.dЇn U2'7T$}dzN}9ӷ!< Ftq^ >גA:PwiDg2=3#{,va̩. #|vCGI׭,o2juN}z;(*>-@+^wn3Hxz<΢7#_YPY*U*m^M}%]9\ݐ`eHi~8L]չ-}юjMk^M pVH0 s98d( E .R'ޮ֮¯@zyCz!IA6bo:9S 'J29)T7; ^Xk]ФQd _ԋ~h鯹g63ŜiG!!x=1t D3Tq:'x@*|#XXmdK:>^Yί$g3XTO.h.T`CF Ypy|mVjU*EZo.V:2VlZ&}+"[rTPOhT_DGKXT4jݭ[@|F{bs6GFv}ʷߖ1 iqgXl!l7d.(6Z3՘$șؠ>% 4؉(J5w'ա0ȱlcc.kJ91 &02o(j0g0'~i R;H2/7;Q_}etiÔpAOBu{Q4G f\ˁ߇ y=־axxº|>:uзp#WS}c8N ZNkۦ'(é섴}OmO@ohcw0Jui corS69y'6x̃G5ق&[^S켱z`r-g -A56 9iff?3jw7yr{5uտ˒o03#>{F'%I vBC3A[9 ڑ M`^~g+=m *T+r-K%$T`qT^P].a_1w %uChzӢ*tp&S.8<\2I # ,놨1gbML wPyI!XaS\~MK:{=*0{%"حr|`~xwP-L6މ Ú"b+ JTC  ,/+[kd@ FT¼]s8#ȃdH eWx\ǽ(Cz2=qhpP&!mva GCj:mYRŞ7 +Sbm6_8ON>Lz;ypcdx<{Mgd!jsC›]dLN^ AB$ƃmGf$uS5ZsxojofٴK R5^Ksj3iEJ^w s̶1[טr^ Mw o¾o8Qe]l.c~>$y׸kU~1NuPU7]Ǒͬ`8ݺjOBg\#$a>aɩlw8A łc9>k$8^^q4.Ůj1ѝZ4N _GSM*Di-XxUP0\P{eٍ{-l_e2R~mVwܺ-.iH|(n[ LxM?^ʼn\m58~mbm"j"Wj\[T?CY̊&d6=h,zT<0޼_+]-<ηucR" 1΋|wڕ ց{>_Y+b霤m2ޖ\]K,|^mkAvYڲ;=T y872+dq.Cxk(sѓ+rZ2ޥI<"o4 1xҪL7³:x @ Xt0'z[Bfw'r@*.m6C N/tZ^S`1`Nwe摢H8y^G?n#>O|ׇTcݴ ex}C\*Mċa .Rs*GO)6Up~B@ y {0O${,꽓CudQ>cßv_GQvK|h§h]5ɁF]E1d`>ã_i߃ߊ?7ՅRU8 3=G 'pzT#ԗDg1#5s# endstream endobj 5581 0 obj << /Annots 5583 0 R /BleedBox [0 0 612 792] /Contents [5590 0 R 5586 0 R 5587 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30975 5588 0 R >> >> /Type /Page >> endobj 5582 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5583 0 obj [5582 0 R 5584 0 R 5585 0 R 5589 0 R] endobj 5584 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 595.8308 195.2587 606.8308] /Subtype /Link /Type /Annot >> endobj 5585 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20211013063105-08'00') /Rect [104.1732 579.6307 185.3367 590.6307] /Subtype /Link /Type /Annot >> endobj 5586 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5587 0 obj << /Length 19 >> stream q /Iabc30975 Do Q endstream endobj 5588 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30976 20830 0 R /Gabc30977 20835 0 R >> /Font << /Fabc30978 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n(|:c֯޽:H/ jcBrt~AG31H[&##((BBq˜Ti,M $WJ2%ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 <~UW<7!fZ5Y}S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwoQ endstream endobj 5589 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=437) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5590 0 obj << /Filter /FlateDecode /Length 1602 >> stream xڭXKo7W` e-:E!/f*rM&~CvDM^?>>tzv4d'u8uiZZlg띵>tEN;X ='K16WXtl {QxBi<0V8t)jIp‡ua |[fI;P8nЌ&NKBC_#榛c&N?釳19jr,k >tY) Qt݆"f3̥PK>`/t`#|m|8yߩ_NYR ySR;!Pp\Aڌ%?d']8G+i%3UxQ`we&+R8<]5ХFjUZ3U{l-{{z,4U-Ni,e/ބٱUCR!"~ 3l'CĪ{璳9>0얔ѸRWr\-By]@ j%6 ز5q`G kvsT[ei"o e2G&obLQCK(zŨ /E:_1DŽ-mL8+'U!䶀'.jYH銺e J)ksEl4#2Um3UL 8nEݲ0G;$H0JBlYqBtXƋ`s(ޡó^ bjSoSG$Yl/!ʱNv%¹MPFM9w&G^fN:Ѕ 0fYP~eEx=. nW0iV,F|gGH!}_We'ZS%F[ >y\f.n.=eW@V0),l`ya.AJqဍ|3ۖ5B~ D:RCŋti3ⲞpUME.)+<|^=`ly'݀c拿<rpƒpo&GDXXdk|{"xisIO<@ vyTw\](C+Ԧ{|AS9o6*zYf~~H͉=x..k?.w&9j X#ai=KI֖|Ro'Y\kwa uJ"IOnOC9ȁP5TǴ endstream endobj 5591 0 obj << /Annots 5593 0 R /BleedBox [0 0 612 792] /Contents [5599 0 R 5595 0 R 5596 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30994 5597 0 R >> >> /Type /Page >> endobj 5592 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5593 0 obj [5592 0 R 5594 0 R 5598 0 R] endobj 5594 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 437.225 122.109 448.225] /Subtype /Link /Type /Annot >> endobj 5595 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5596 0 obj << /Length 19 >> stream q /Iabc30994 Do Q endstream endobj 5597 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30995 20830 0 R /Gabc30996 20835 0 R >> /Font << /Fabc30997 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶXN endstream endobj 5598 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=438) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5599 0 obj << /Filter /FlateDecode /Length 3881 >> stream xڭɎίS 7πo C$vtSz{Xsyg`dg Ga_d_~ן4Tj_?Kޞç?]\CXƒ6dž9XVt?_/y fƃ{Z7eWnr o` g]~-+6/Su -=A 6B>W~М W=7c8e*%DPٿ~GHf_+"=JObg!(* 2K”fW J@/6/QOsgݥz:<_ /ѕx=)  K !&{Oڨ)co!N؂mnX&c>~Fy*6NSUHf0Ƒ+@Z@cuZ<kkik{G6e ;2; AfB=wO5OCZ#hO>a H'DzrkbFySP gIa cPcY愩nyyڈW߹ǚWBXfH3!Aäy $n9N% D̖5a&4{2;oj_f'b H"0f1ȭpu^p<2UA,sA{uڬk랜0gEIP \Ðrݧj:v ߸߸-r {-r ĩ"ESbpђFyQz((9P9Ћڕr¬ɈžW)l)twNaNaN敹G W[7 gqۺ۹̥l\(j~Fmn0ݍ% 2%" /1 nӪ2rW"+ԈṼlFժn[d\!S]B,27cN"KHj)jvgDzH_v#*;y3c^bo$٦ d-G[2/7q/0Y>r~ |.I9._f2`~?Ch[OB?oňP ˫_py@m#)mw E|UXhrcLlz4vwvIjoa:lڻh C u>f'< ;\r|_tL²=8Ҷ{P8j 7qY̸^ɪ6GPr@IF- ظ̼ .2sxh`NKKnHbV);i#D*..h2ppfR&Ɏ2B)36&H2N,U +p_eu|އ~ŹLa(rGVoV}c!t 81><]Z=(>K8Mc00'8\(IJK" 'y1 CX#cjJﺻCoQgLfZ^^4]9pǴpz ϢrMZ&5t#b_דãE ;*ǾUGTw@s]tuto:vҐ3\yW>SuM>O9Suqn&2G \V2!T˲-Ya-h"N*Pk ᢁ?uxUWUUZ5 Qԃy5Eͽ!0]&x&n'^LӳܰONyi3X,DfsCmuEn#J͹sn̹Fbl`{9ŻyQ-Lo;*`8<ƙF2D9-H BLT`:fZ( M7$䇎8\MԷV7"8x 3#tx gIY6@r Slr9 N9fqQ$lux \;?dCX2LLC9[h`<=G~F'G%y6loL'y54SÈ[$l0sĩczPsV.II(S+IjqQ|QxxlMZcB>@HkO7ף1lTkp֛Tl>;\bMӉ2Ϣq&#e3&;RQ) SӃf7o=ң>֓V?p3el7۟@a6mz8Oq \cMef~D^O`j.nv+{Ϟcҡk/jVΦ'NhzjgҷMM8vUo+`(ՑseyLxp0q#\Wj<dϋfsK;>!nK?M{'A~!.EÁ|w}ߜ6O5sH&@Q: 5/ O{?ѥp;A!< r>2K*w" )Ziՠa_ݽ endstream endobj 5600 0 obj << /Annots 5602 0 R /BleedBox [0 0 612 792] /Contents [5609 0 R 5605 0 R 5606 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31013 5607 0 R >> >> /Type /Page >> endobj 5601 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5602 0 obj [5601 0 R 5603 0 R 5604 0 R 5608 0 R] endobj 5603 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 455.4 216.8352 466.4] /Subtype /Link /Type /Annot >> endobj 5604 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20211013063105-08'00') /Rect [104.1732 439.2 184.7097 450.2] /Subtype /Link /Type /Annot >> endobj 5605 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5606 0 obj << /Length 19 >> stream q /Iabc31013 Do Q endstream endobj 5607 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31014 20830 0 R /Gabc31015 20835 0 R >> /Font << /Fabc31016 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 5608 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=439) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5609 0 obj << /Filter /FlateDecode /Length 2114 >> stream xYI9W\* sP0O5nަEdfumO[?==Eoi 'lJM>SaSs4dcmΚKZ_Mkc ~XŬ~7;ݠM&=Й6Ht&,:ɂNy̴лtqm;-L-ٞ%JVilR2s}Q-gK@ m//꛶ɱ, &:4.__0f&ÿ2A:մשc~^|S|֡ؾXÉiN ut7 }c v'yrH0u8Ɲ"}:Wvr6[kdk>vp!"bNޚH' ƴ[d%cݢ0@#j9T{peG3k:Y^ể9.mo _w,? {Ku <r)B p q(ptC79x]s(<\$v(gLVGڕ 0'XT:Ғ4kE ̦5K `XN.pj`? ɫbP%P AmCi L5E]`B_t1iz_*ȇf֊XC;u+*kÚWʫYv]u6]^um1G}Apuᩡ ^qH,LLgI}8$*j!7[KNa:am"y9zѿE7r vZh̏p<d5w)+aA1j]/E<1ь %ҧ=U_ QamfRE-=)m[Viʲ FY %kƱRg UHO3nEݒ`vWnMe@Ŀ:`]n",̒?x3N̪PPY/bbE9yoI`HSY l!lcc"4SLD2)=ؙ4 iQMC<s܄2x׼, r~_=견`Yȼ Fj o&D΢iBY/2&jU=eKKJC0b0K% cy RWg&7J/`#|xU__\iOZZh{ VRxrwOA X ɫK*^xboTo=V@ xs[ȇ ",z$:^Gd5XH^ZJjr`S0x-K:..dAP3)8㗩)45A Q'+|I8#'x,uD\c ǿ &`k8VYI[R->'^$(B$Efz_$G|У$?Q`o,7 endstream endobj 5610 0 obj << /Annots 5612 0 R /BleedBox [0 0 612 792] /Contents [5619 0 R 5615 0 R 5616 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31032 5617 0 R >> >> /Type /Page >> endobj 5611 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062947-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5612 0 obj [5611 0 R 5613 0 R 5614 0 R 5618 0 R] endobj 5613 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 400.425 112.528 411.425] /Subtype /Link /Type /Annot >> endobj 5614 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 400.425 150.346 411.425] /Subtype /Link /Type /Annot >> endobj 5615 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5616 0 obj << /Length 19 >> stream q /Iabc31032 Do Q endstream endobj 5617 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31033 20830 0 R /Gabc31034 20835 0 R >> /Font << /Fabc31035 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j=-LB.FPBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5619 0 obj << /Filter /FlateDecode /Length 4366 >> stream xڭX.G;;!buAޞ8|N~z3ҿo';Bwkv1~C`V5 9Ҽq]Ŧ6>N8(3?Zom2Ks-?575O½d蓹9OPQ q :lea5H]xdp]p ԫv(@O.9:(?qX@k&P#+kL9e²ӏ~+J\;Eʚ:?Feԍg%z+=-R>=Kx40";<xhֈ"\`]4~ W\kR?[ßK J@.[2Gm|8, ̎cXP/g  ]1r8Sp3oZD3o)Fka 5 ҒXq8Puꕭ!8ż;Uu^vUORuOCSTD?jXYMY?Et/^a#Ɏ<3t:e~ͣs*NFtP R|Z;wԨ+5ܟt?[L` %/,Gy14ޡh #xyMxD@X&PPiޑdz 27t ¿( wb;nDB*IO!ީ=X _ m\hd?E}_VٔCt?~W!5+yi;-,X+ ^ -0Mpo-#Hò6 rDC}1d)Wօ<_Z3$85I,jC&.[HZﴳo}O-D>OY=iѫw}ճʮL{,Af %ІSjpi *AМ%U<;v OQMW0Q(7.}/j_G}${piQF`-ďOlޚ )HNEe.Y)5&h8u}'=~ 0k,sN@h˓/6i>bPC#"ѵE^=NeQ=={4R[GNw䑟&v2_Ҽzdw­&QX/CAe\/,y)s@C l5hJ$F,eٚF:לg=!@܆>(n )! u^QsC#p lzhzs@>T~WnSs:K,҉ K%'*.>壔&|elMӲ%f6"N&π0D<ܶAnXB0= d5kY)L"Ȍsb)ydN+wK tNfܮnNb]3+n=٫l=gQ6i[t^5QSj30ՅjS-a?o^zlYOoJ6?gWHz?ZnF.+ɽ7wSPj-Pr/>%UĹ\gF2n6B!Ǒτ'Bߧlǂ^0l? 7…m*/|7ڊ8 [Gmt nw*ݦ)\7[⧟ [YEL-n=_ T)<g LD9,~DT\0>1L{]v9h a(mY&XbołҍdXX\\L0J?~eL!uGZi%1Q@]x"ok:)%s4~EnC>TP3_U>* įP]T)KjM_p @ J !@#WAx))snҾ9 & '4SW$d0qDcc&%⢆S`7TbS^ಾft懔BvKeDCH[-zK^DUdTS֊ 9a.ҋ!e( eys oMn28\2} b{7W9jJRqf?pj~ݜTnKڅ"6MHKƐ KYê&<0^dbu{IL]]\u:ۆ{|+r߾ծ$ks7CWեuu WLCJ̀a`mR4B9^yg "/]w/γt'dT0}[qkPFӞnY ^^aj7ѷ~KC '8f{;NYE*p*_˵z]*+ĝ*wBj;1ݗ3(=x֘--k Z endstream endobj 5620 0 obj << /Annots 5622 0 R /BleedBox [0 0 612 792] /Contents [5628 0 R 5624 0 R 5625 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31051 5626 0 R >> >> /Type /Page >> endobj 5621 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5622 0 obj [5621 0 R 5623 0 R 5627 0 R] endobj 5623 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 553.3038 187.5312 564.3038] /Subtype /Link /Type /Annot >> endobj 5624 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5625 0 obj << /Length 19 >> stream q /Iabc31051 Do Q endstream endobj 5626 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31052 20830 0 R /Gabc31053 20835 0 R >> /Font << /Fabc31054 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 5627 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=441) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5628 0 obj << /Filter /FlateDecode /Length 1677 >> stream xڭXɊ\7+QMvZ\ɮꈊ| mwƨRuUgsu J!$fM"5{؈_g`e#'͎nNmIp4TqƉ{LTR(*RBQ^H>CtGޒ96&ѝ̺]]9:8߉!uzs #vۃKLB}~]5lI~%ulI.Aܟh^gx|ǻTi/kQ4v2sGߗAyr;Mf$n>R-ixsYIlS:}J!ťJARyBr 2;֐NbaƒE^V]J8aY r竢kL(8Y&--&mYO*X,h~ %Z/qf20pJՠG_sTK(tWNJk|,{&N͔MR|P]3WB6&2δ^"gmL !}<4Ek| s:oyͿf]XQ wۘc14;axdI9աBpe Ѭbc{p.oԱ^6spj4g7#Ϻ6@'̷M&L1ae4ܾҌ9Ts\#֘>7پT [լ=kHoEf(geޒy=o1jS͖![U%±WoiBGF_l膸Y:O 8''':`JT$ծh0գpdkhR794ȮX۴tUiڈx,e9Sv y2M:G8+w.|W_T<;z%o.2Jd|6_.\tey V?sQc{Ǻg}m/KvWۛ4^}cu5L3~=;~=;J)J%k (I[y;` O^dzhyT۶!&,)'KզĨEԿ+BrH4RA֎lI'+m; |2_'}`)5"/j93HOiDNSN>~O4PCXC endstream endobj 5629 0 obj << /Annots 5631 0 R /BleedBox [0 0 612 792] /Contents [5638 0 R 5634 0 R 5635 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31070 5636 0 R >> >> /Type /Page >> endobj 5630 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5631 0 obj [5630 0 R 5632 0 R 5633 0 R 5637 0 R] endobj 5632 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 319.925 112.528 330.925] /Subtype /Link /Type /Annot >> endobj 5633 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 319.925 150.346 330.925] /Subtype /Link /Type /Annot >> endobj 5634 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5635 0 obj << /Length 19 >> stream q /Iabc31070 Do Q endstream endobj 5636 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31071 20830 0 R /Gabc31072 20835 0 R >> /Font << /Fabc31073 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo9 endstream endobj 5637 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=442) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5638 0 obj << /Filter /FlateDecode /Length 4454 >> stream x\K8W<@j 3ؓg{KɠʴmU)2/ȚMnTܔ8k8KNX>N'oniw}]*ͦ%sX)̎#r{8oGO~z5?Py]mpջ5?!4+LYar>C5yJ\lJǩ5A׏Sry+[W?6Z2Tf.sc_AM_I L$^<zWҮpq,-\C+"0`#ڜ" }r)i 6\}?'tJ=dA5&K~392aNe&r. .nG.`߲`qĺ;:p3}|^ҕ]eyzKh@:;c $MjH s,DGq^YvMtsmPg)H <>V:W9͠%08"us8vT)g\GSp>VAOa3"܃h~ Vx +aA>@ $-Yd 㾟Pr6 }FL"9.^1kWN9kjr9O8D Cq0Pq86Lo+[CHy[w:dwUekX}|:^VpdƦ\1zX 0gO=~LwܯnwՃTD.c1PUPҙY?c@.+C=r=2wݲ9*3# y=BGr@׸ zL#L U s8 QYf,WUg!: ARD-CD+N9W4K3^p xfA5 qF,ʭZ,֬Áɷ6r`v0vt{qlw}SyH^LeE>H=_]O k7+aS܁; Z_ ?Jhݰf\Ih1L#nҞ1<g*0SXaEEE T ]b|C~c9r[U/4bC77PnUTЊ)r6ޠvoOT(Ӏ5:3Vfˏ" E]YZ:TbN5dd/: 33k)+}/De1,6):+o eYoJS2r|dLXw{k+z}ܧ@H‹^ND}n@ L kWd…F< T:mo]⻩at:X#0_] 8*lJK8UR tR;D4BW0Ä+pe\?ŃURiΞ:*3uꕨ =p̅ /bLo78Ypy|>mVjMjan,^{Az \k֒rr q\őʅyQTrq=4ye r zϾjtX-[@|F{bsgJONa{-vd<{Ȣ ; s"!%qH*s1I3CsFiBW"Wf8ٰ EjY^LNMmc(DЩbCT|ZW.h(VNUE s> 30i sV6 +5|WZ4CZLUh-,Q԰<~vT0ؕ-n Uy8Ya-,Z]O[Z VjWi2GXQ#َղWhަ[7ue^y(+8Q0bJ6T=Mӛ&ի6T8w^#= '((Q{p2#k~,2Nf25#xeQw ׁ2 d:vH=~'Y" '59|N?̐|ꐝQv*gN85P%8=A@,PF~@~ 'WO@ ΫG?h2bǏ0KLdD`IGp07pW}8&p oa8X1Թ7iDOj{Ju)B;N!滾ۭnn"W/x%P6psoi;`Mބ/ucM#7Ժ5pyyK[mge^keۜnn\({-wnfACMleֽn|oM'ZNK3*/Rv7Q7/ ;Tf{o#m[~,D|+8D@"āUܜYG" ~eJ=xHxc. ٸH|ОX?4wCXOj^4,;LKV~4Xi >9z3"q'Y?'C uWÎIZMk"O$uAxTsEU A2c&{eim9bX;gxF%8R+:4Zi'M]:3'ŒLp'KY#d{G);PIIxM ޾7 u}FOGHukX:5,'KZ4y(|8s|Fv,YlZ<ckN'\&@l8`;hlH16eCa ; &fb#XPhEt1:nN.͙9BOzZnr|'+v9@Xz80JY D"STnVIN$$9evBwn(,[8\:$.IiͮM4&41I0?[3 !݌v7m#tdzo Oatpx0OzzKK-gjJ| 3o]Y_2vK|Yk1fL?wm"ZJ?II;A: wq흰Ks:?i)el .:WMjhj 6 Hu]dw-JR˞ReR x==͜^] @ KOFeЦ}M)Net5>/_#vm_|ڴRV~kcǂ~ .T;?`gcZij\(̫_#nvxmY]K34Ư~v\^o[;= Ync\%mtwmv-&J{̹s>͹&>0oO? r+0QCW{R D HYi2 Ґ,boł I! p?O5ʥ4+" py*n8{Y5FY XQwe≢4̑,џ~a]/Bqq>ujwآ,tdU菟-Wt'dkjV7Z'~ +(ҥҵҁR(ȇ1vo$}9{CĖΡ[l <$NhaiJRO jEJ,ΩjIFWTbSQ!Hç\"PDR~ey G/K^Du0ɨRP^*䳩J/6X.& Zn^D82\2]TvNBF Lvӽ 5L%gUٿ͉>8=P~iWs\Yfߩ}gX\o݅ C5k\rBLεۧ 1?SbgTIfuNʙ)v\U6 L-vPǮB,'yNzgW> ^J$tˍ.QamoPSX&t³.L t(pnldꉨ&پUg⣘؏Ig9˙vlZ⻑G;%uJpٞvBLFrQNQx9V3;Ȳj endstream endobj 5639 0 obj << /Annots [5640 0 R 5641 0 R 5642 0 R 5646 0 R] /BleedBox [0 0 612 792] /Contents [5647 0 R 5643 0 R 5644 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31089 5645 0 R >> >> /Type /Page >> endobj 5640 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062948-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 5641 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062948-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 5642 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5643 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5644 0 obj << /Length 19 >> stream q /Iabc31089 Do Q endstream endobj 5645 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31090 20830 0 R /Gabc31091 20835 0 R >> /Font << /Fabc31092 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5647 0 obj << /Filter /FlateDecode /Length 4766 >> stream xɎ9@+){99~~jRFbˬgOLf\ٻyxI._ܬ6ԆE);k!Z;yY)ep^xc^_`p1jc󗿝0fjo/PGNJB~,-ѸBa;YnP`*^7B5D灏2gćȯU %bqmy}ڿ#^+ﭼ%C'ueJH4e*50>C4Z]+C "LpIȚ %)^RՓ |Qt8ҕyɓ/7Ŋlś?kGel/~[C NS1`״q|Hg0*Ko'i^+,'{ X EYq肮fIZ(|KґNB#$; Ƹ8kB0X|R*|V_|FDqV(,7\dI;$(Te\l.c"(ΰxE$!đ@sl2V#, Y\->_#pby=]$5t2::!5Ull2/yQyQlIP-e#@\59&*m UL V^8dڸ D׼|MrZ4C^!1AS0)220Lifr|ٹ3cNX+~{GVѪ`(20 M=H;IָĐ-O /0sKgv~ n\]Xq2%`,ŭ.- |I˝3ݒ=JȔa65*XfW;n8fs Uk(M2!Y]8}܅Mu dH2I6}:/SbCqPaU`8XH&z>WF>#Y.Y:3$uD=E2nߖ4Չ:jmq|q`I4kx 7c"%ׄ#y 14gI$ր-) !]qxo5R`e &l$mh  ;_mhv֒l0Yg'U.#|0b/s)w]KF* f(&b>j ǚ kRꪄ3 "AZ%j 66ONj*k93Ҙt5n1W>[?SdSL.x}]P, VzXF]p[n)wn$srm{lYHW-evM>l` Ա{M}m0|e2Y) ezکKM\oA|t; "H SrLP̮36XuN1ڼG a]0IXIDlεuI&E}Zd.+Z7}X?NչW  PfLv?4qi .m(~9ӧ:w4~2rw$cGMoYk(4L{6i x *:?+;e-4fG{g#5ap zfhRM{jlSF±Z۷ c…8= zLz¢cږs7[$H ~4j}ܝ %|Z%tU'7[QrVmphXqE$ĒӚe]x=|J"7KIi]%|6XIMyׂ(@@Ԟ=@&Гh)T].SPo<BD>&JCUSCPbsɂ\g-mE'7=qX5O}j=[B+<`HAuڏ4-(5-ݖ䬋c-q|n 9fWnM k$ZZEv-C" ⰩH HЙH^?ٍќo[qkNRfڒ?ov3^a? Kxwm(//$K vYI_c_3\]ɗZoX &WPn]@wió7'+nes#|vU#nѽ|[ru? B&hry[ 2Dt8Zq|hK.=aS&^uY_X ^dXW\j#um.*B۳ջFB ;նb/k%7a Ue@תװHExg]qkM&f rwݶ r!۸7gQ9=D +jL_4hC j`-V B_%ĬO2%cޱB(HɭaB\t0͐fL>}d;X]v=/l%AĮ}$v)z~i~ѶX2怶gG%MIM{7Ոm0HzUKpbܲ˨G!R+ ܣ{T`*Q)(F:Ukz[ II $@e.m;V K]zO ϑ S+)=>'I7%+{˶nUK)ɔRo}܏"k]]l~V`(YX/Rx0 *7'qܜp_U:+̷jAt=LסaD0v9w6ϩ_mjŬnUK+^V&SbF-w#'Mo|;u^}z@nMժ:}s ^Nt? `f_/hd{ գw KíTw" QDly)1Lنęe%,C"ϳHʢd6D&!RK'!is]Ύ !i%iD~OwBp S{*ĄH4YS a oDO-r= U[|m=}"&@1lRGE5wqP1K WaBfzEx"4eOI,q|bࠔk(& B6bIwZ̈kwn1Ip HPk>+ cio ]m ѫ0Mb5>X X"rYZ/EkzN#_Z0t,pU@.pH͇5va[H[ qH=)o3 T \.cqh0rfkAIFg/tU,;B<3|%J;!`#jfLX=O>1;R<67zROUԖ"hV5ٮ`x}^?uvb&ɘK<6Xy1f$kL6QQOˉK';{Y; _ ֭ &,Dn6))I9T~Yw:?{`nO3|9zQ5-ęx!{4#=<(k$䕯啫r0uO~1^5Ɩ %y"*S_vAѿA"baktU>Ygm*S2;x5"V!J3ms)R3}DxP&uV;f,`^̎g# Ʌs&x]K js& endstream endobj 5648 0 obj << /Annots 5650 0 R /BleedBox [0 0 612 792] /Contents [5658 0 R 5654 0 R 5655 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31108 5656 0 R >> >> /Type /Page >> endobj 5649 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5650 0 obj [5649 0 R 5651 0 R 5652 0 R 5653 0 R 5657 0 R] endobj 5651 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [104.1732 461.8307 200.8412 472.8307] /Subtype /Link /Type /Annot >> endobj 5652 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 445.6307 164.9372 456.6307] /Subtype /Link /Type /Annot >> endobj 5653 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 429.4307 180.9312 440.4307] /Subtype /Link /Type /Annot >> endobj 5654 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5655 0 obj << /Length 19 >> stream q /Iabc31108 Do Q endstream endobj 5656 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31109 20830 0 R /Gabc31110 20835 0 R >> /Font << /Fabc31111 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶϿ endstream endobj 5657 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=444) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5658 0 obj << /Filter /FlateDecode /Length 2388 >> stream xYIk$G篈!ñ/ j fH-*iݤ2#2[eo*W˛jsVŠ)C]NǧQ_V`F;`e#<'x0Oz8c:~0Wc;as"eEHPM|1rPE3!8u{>Zxg="ca.ѵh[jY d"x&}5 Gg:O<מފ~T:FяyNb,neNb#~NaSyZ9JF@h]@Ў]Z1蒃 lrL81Wdb`{: [Ʌl8NHl}FsP"2N~ (\ NF]@pf4ApvcpxX# F!a oDN)w3dAO|6nZ!&á; BDJn1VRJ-jg#xoȝ'@T] [eyVP荇 ߹Bq+S~]JnDܟG(B*##:t{߈Z!J#nH ś),:PM.I{W2(H ;$Gw/GtLFZax& lvQ[gQ,ڜwՖaZ 2}upF"S{d/mgRbP=zfGK?5DP,&P8njjV2#^Fv?د?{! 05ج}EZ[4 /Vpᶊms׊hx_׵s ˶pU]ٶ0;6=;;!Z%Mk0˸%Zq'jOLk \3b[@;2ݮ\Uu]dHju5%&8 ]64BA=>)Fks~SAtWZw~2b ~n5@w@/etAh BO]":x.#QޅFmH+ЧՔߛu3㫫Il*]_V{`]܉>Wy!ZWs =U٤`3S{)6*[=$;`y?~R0=>rw޹ۃwO>3H4ֶ^< ~BӼÙ~{ETjPo,>+U PvE1+$ɁlSݙ5;+1ks"0܇0>z_/)#J]jԳQMt;k j; Qu >u5B6 U!gMb',}f`,L=lIAs&".|SA꼼* ]/ԫ8c󪾂ޤ %eM.<$E ^t 4hġ3CΗkݜ7/{՟`WS3e?ݵqxfڹhs;-oɯ0I}_C2q1ๆ82;L_^ǴYz}U-[!r7%RogS_ jBf,s;e4ky̲azC$ъĚ2 `ɪpXNx!G-IUn2\`e0BE+H2nKGY$ 42 ^amsw_IU 7 l  fI# 3+2B{ Oj xVyUp4"6'Z f8@6=hh"ZiBRV32&y$ьLICpsnKAM:qC$ GѰ=) ϛk`f! bRl7 G M'3"wi1+IyY2R8dI_㾯%W_{RCӨDC`,v^~*;B;];(nHwpBF΁?dKx gS|xa3D1>:Oڳs!ݵ)40 t{F!"*dɁw4PGb_*Op,ώ@PY](tNrN *3=*vսʌ~~AW< )gQޙO.tI_Z$QӹB9X6cUӎtKٛGU|x? ;) k q3:5Qx[4q endstream endobj 5659 0 obj << /Annots 5661 0 R /BleedBox [0 0 612 792] /Contents [5667 0 R 5663 0 R 5664 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31127 5665 0 R >> >> /Type /Page >> endobj 5660 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5661 0 obj [5660 0 R 5662 0 R 5666 0 R] endobj 5662 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 422.025 124.1605 433.025] /Subtype /Link /Type /Annot >> endobj 5663 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5664 0 obj << /Length 19 >> stream q /Iabc31127 Do Q endstream endobj 5665 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31128 20830 0 R /Gabc31129 20835 0 R >> /Font << /Fabc31130 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM} endstream endobj 5666 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=445) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5667 0 obj << /Filter /FlateDecode /Length 4316 >> stream xڭ\Ko8W@y Rs{X)3E@"%QRm6EH^˛uVǠgU:>_vV?)?a!e? ^=mbWuݝ.RI_շWM7AoLiᑙ>%YLJڎqZ$)XEds xDW7Grg~yJL>Zx$+ FriO-~Rr6_,J(T2-^R/)cloW4RcAlf 옛 ܣ䷿~ ?Ӈ/A4Oj\(w_Qf߁fzEolrɂ`l{ D #qW~jvm*&egڄZ`zR؟0O_Kۺ%4ɶ6o祏}e:00G)l3L0< kByZ 孹,Mq8lj,X:Epki]wث 4o(bPܫL+o醺n3?x(/j TP@Iz k%l&cc=s9 уs Kpj7#(zxЗPk^/by`ց#M mPX@7$VLYwUn>BbOBTsϒIL "7,ޫpW1O–N X֦GAur>h11U5joGߦ}AV'RP#%,wBީ&ʋƝ.WwN@gb.#"6!Ɔ,'6],v ^{Rw: שӍ"3T*ot!^㷮)]豁!-@|UNc-E|cq A A@^b%]i\ -[kMdA!H.CB- F"= r#=2eaȒo*^0"QtPXh^a09bB<Gyopb:[jTy⪷B-jg^ <о- scnECf#iR{(tV8\<8 #`f- )L6Eʙ`m1TP%[B@+BֳMG5VdsZ?_޸Yʒ4&lVolt`HVL+pbNi*).%KS>3z ӘbDԚaIaZZ!tJlJd/QfD j[Yl(J5`@RFJza\0P]c`¨qӂ,W*8t9pJAl<>X@, +,,> '`4,,*[,UԖLlNJxs6]+;e'fZdpr nprk85;C֏Ip? '5I$8Iu|jypR8!:}Z\ =J},"7IǬc6𱠗9{:Oez>\#ɀ:0J$u C/_Jv‘?[Gt>~ k{(X ”?)w w+UZɉVfM_v&`%`wglYetQ!7^0 aDoҝY/5Q2`f*α=;E/ E3)`6ŧ3 R(;O Q;2ݮ6Yk}ځG 8CZ#C(nh\m>W-ZXd bj0C^ ڼ0L t3FݲTįIϸ84) ;P=* aeEЦnbENL:@Z3$ۅ_@Z}mCo[;W'd2v1Ct uZ K7 ƞ2D (•h<ss컝p$!%GC=ݝӰk;!BCg~|1SƇh7pE,A5'iD Z%޴ fFC"j <T+g&j^%q pXF%]gOI t% ӵE:n Clԣ PT$62;I ϶[Ͼ'9QcQZ3=#e+/3:v$R. 'Đ7[(_Ȑ+:.?̄Ǿ-  8.jsp:Yq=Q%հ=z2/g؝u^m7;3]O> S$7@nfW+94r ; q!%I:K4(TS ƙj)r^}k;əBo/:QՐ;))u.Kڴs)L7Q:usi]9AnAq~فfi`R9j ׈xhl+\ r ̸!$gWR .ng 봸Ӕ&g\TmaZ"tuʸMM UegY>ݡ 6y/Vt,C*Z:O~Ϲr\Wk]]:qLYZ`Gv}!NIu>NEun14)r2!^XKRqŃگlpͺ0iS/]e.Vp[/,pcb躼JZC%nCskBGG: CbdwU!6'f} $h&IJOAN- mũW.QGU)΢)V)q˥R$՟>/q &:cD.s#-C YeVSxߘ0݋H6V*BT9k֔ XesYUk?箸& b I MoܹzWd;2j ݶTʋ1G,^}^NU}w8KĦ=Aq9a4qςtmAo ­7B7b?( ++G!/N rĒSxmKP+)*= 8;#NqeP.B90 /N.p=¯^za`d:GrE dT0Y p۾ôU#~ȇGq>ҳ~ִ +[jݶx>HY{8|8,-DʩYAosSo=8 2KHw,Zi z9h~ endstream endobj 5668 0 obj << /Annots 5670 0 R /BleedBox [0 0 612 792] /Contents [5677 0 R 5673 0 R 5674 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31146 5675 0 R >> >> /Type /Page >> endobj 5669 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5670 0 obj [5669 0 R 5671 0 R 5672 0 R 5676 0 R] endobj 5671 0 obj << /A << /D (unique_531) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 558.6 168.4297 569.6] /Subtype /Link /Type /Annot >> endobj 5672 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 542.4 173.3797 553.4] /Subtype /Link /Type /Annot >> endobj 5673 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5674 0 obj << /Length 19 >> stream q /Iabc31146 Do Q endstream endobj 5675 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31147 20830 0 R /Gabc31148 20835 0 R >> /Font << /Fabc31149 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7QTthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W% ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר}'2g("_@9 ?ɜ Fp|s(dWLj$x2UPcY@wP&]@p94C-Zݛ> endstream endobj 5676 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=446) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5677 0 obj << /Filter /FlateDecode /Length 1731 >> stream xڵj$79P^&r99/yJv{&j޾I*Y;m4u_s:E].YO4dcmΚKyg֦1h 0^?bV{SCE?E 4Xy]|oc|+s@g : q|x[^G/;)i ul]sW釟ܽԪI Fi1 J "UPf(J~*ZuK:ՌBĨ|ޫc"3#"@r =ښ!2ƗO :4.?#Wbz9Y4 TNG,}1%B; b*;vx`,yUh4.#Z"ZD V4uܕm{ ]Z&vȔxI$H2u{!UB<[^9?+2h,D]-4CC3,_L3e% %`>z71B!&Lasnl5/4#H] "86G |[V -(]_]iwZZh-[\(S@D`{w6ὰ亹5#!f:=Ɋ8)BwoO'+|xGgLca:'x{+|^9Z_ @ ty8\Ȗ2`|o'xH=Gxxܳh:k50 <3C\^5 an^ga}Px88<.#B\o~Mp{Zev Il=JB[I'H\[w|! ~I= ɑ% эbމsYEv(a endstream endobj 5678 0 obj << /Annots 5680 0 R /BleedBox [0 0 612 792] /Contents [5686 0 R 5682 0 R 5683 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31165 5684 0 R >> >> /Type /Page >> endobj 5679 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5680 0 obj [5679 0 R 5681 0 R 5685 0 R] endobj 5681 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 5682 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5683 0 obj << /Length 19 >> stream q /Iabc31165 Do Q endstream endobj 5684 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31166 20830 0 R /Gabc31167 20835 0 R >> /Font << /Fabc31168 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5686 0 obj << /Filter /FlateDecode /Length 4196 >> stream xڭnί9@p_A=<C'3A /kUu%v,#W|lWYN&U}K/'][ W\|-˶G;i[}mih'Pv^?g抓<"rET&rOjQVOs_ 3J=%gyW(#<h(-㉟P\qz(tQC i򵇲xRJk,2r 1eBC [ruo0d_J>8`;@CxA{%Ӓ s0y X`,t'$r ݙKv^#؟%u-,Bk`䔑\(#ƾ*|!O@#Ly9S#N4 ށ1RvT>(J$c}o ؙ%)5Eǂuզ,Y-?iceԢ >o, piq6| 6p/L6F҇4Mk2*z6MmĚFI^%S2z"au|OLV>){5U J  +}h`"2"({D d /EVUyFjb]e[S;(r4~S(UfnU.St/;;Zf;VAv`3R. pujQ*EEHuxRJм4 ⅔ /܀P})HF7_ZZ#xJ򳶛Kז8 t`f",4 n[7W&VIXBB Pn ʕI:Hx;5uUWqQ_|N3XBpU fP y]-̠sP U[@5V`JyT 2 dl&wK\q $ |w.NɬitMZZ+$j1#Į>j>j>BX)0Y}j4" ́_X  Y[fG׭֟mr7\b㇔U& 2GG lX?Az}-Þrd\'bJl1+v)I_C2\BR\1a3I?LBtU^~M<1E{Gf2~t\Oj gAmLdZi(_erfWhbN%I;gXR+̰94+LYiW&xTT>>vdu2ġ KEӑC9[V缞ug@5M7{T/ۅ] 5#] m*PXpCrt,,ء2SX*"m]K$S:f2y(`Fs9'A%ςsV'?`[|/MrkM=Λ*ϭp==[{N;cre9lvK?[:)+J0kй1K3y`8"g!gj$c$q ~q0 :V^"s~_ 8YbEVgfƚjb`Z1&Z;-"5k]GfG4dq%"Kjfm&Z@k:Er@,JhA ΢j gوnx("B?;QX9.`q9X>/_HH ޯO0E)BCAѵۘ :1K0 `+9%Mw IəM(yS03mPlb M܋)R? S9t`xNmŠm HDC2 ^-6󪆂mr򧒻ږX4l$N迋+j"ʻ9W$7{.뽸1i,K7Uj8-tC8wxb"2񎂊;[X n1&Vhh!I>b nI} ܵq;SݧqW'm)VOZѱYSMdB^UW(\)7 Ė-Wu)5hZG^SOCӎTJwVPPTa&İ5zTq0.ːIљX7}i8G`6ln)Bd#'܍["t}nՆaŶ+ld1`c7vՁ'd4{5漵vo$Pfn|e3:CmdI<+nr&``>3 >KB\! %,xNe|v1{T&.Q$! WR ޗjOm;bT»MK6}US@:0ܒ=LҖx{ ED>'%v KTЂ@{JVصt`usy7# yF^~ynM3 Waw{t~1f'O=8d ?@D&z )ERK/TLôT*#S QQu+ ъi>|$χl,.ecfXDVS[>\z"Qb(uZ eJE9Jdc/6X^b*Y01\xi|+#yѧ"v`hA }÷_RtFً>J7]S<6P배@S6T%+}S]Z0I2!]w)wZ1jwL2߉)t/IW[+H^+,8r 0,Q+>+zuȠԮg(s :^ND/y_}>/rǚ~a&0c/CD20_V'x叅~A[ N(A[ wRB.nMGB}QVPx=ĝ \$Q0\z#P'z$#f ͶK+ ECm endstream endobj 5687 0 obj << /Annots 5689 0 R /BleedBox [0 0 612 792] /Contents [5699 0 R 5695 0 R 5696 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31184 5697 0 R >> >> /Type /Page >> endobj 5688 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5689 0 obj [5688 0 R 5690 0 R 5691 0 R 5692 0 R 5693 0 R 5694 0 R 5698 0 R] endobj 5690 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 193.2457 608.2] /Subtype /Link /Type /Annot >> endobj 5691 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [104.1732 581 162.7152 592] /Subtype /Link /Type /Annot >> endobj 5692 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 183.3237 575.8] /Subtype /Link /Type /Annot >> endobj 5693 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 176.4817 559.6] /Subtype /Link /Type /Annot >> endobj 5694 0 obj << /A << /D (unique_331) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 166.6697 543.4] /Subtype /Link /Type /Annot >> endobj 5695 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5696 0 obj << /Length 19 >> stream q /Iabc31184 Do Q endstream endobj 5697 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31185 20830 0 R /Gabc31186 20835 0 R >> /Font << /Fabc31187 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}$B endstream endobj 5698 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=448) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5699 0 obj << /Filter /FlateDecode /Length 1646 >> stream xڭXKo#7 W\`T@` z@EOf)GRɢ 5(q7DMi^?<1t:UOӧejڠ55Z_M{kcDkԝ> 1@>}ѿܸ m we {K }0#&N|x7 -c;_;od+-tSAx&~;LsYd'<zω-<~ةu`zoЧ_W*`rpM$$-e塹kSkcY"dlNΙ4^=^-d k!b~Y 8DįgZ,j~Wϗ `qQme2GM"VeQq ^W1DŽ}5Z7_Vv-ZF;RYrՔesERӸjTKڪ[F sD^s0ăt1d&®2 n&m'e.K>gaSޡó^bꯪӐHcMcNlئ'ԹSӊi{kf=7vD4ٞ&wDZ&xeEp9(e<ӚZY:_=;wy1P6FqubT?Dž8兛E 4/MX-S\v K%eMy9 \>o8`#_U.YR!NZZh O TJD6vw\7zk0Ǹgl׃r*ф>z Θ:"X_cC/tKMxK`屻B`P~o,<}&H<ɤ؆ w\#ǂEs=> -py/K4D mg9 endstream endobj 5700 0 obj << /Annots 5702 0 R /BleedBox [0 0 612 792] /Contents [5709 0 R 5705 0 R 5706 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31203 5707 0 R >> >> /Type /Page >> endobj 5701 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5702 0 obj [5701 0 R 5703 0 R 5704 0 R 5708 0 R] endobj 5703 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 384.725 137.278 395.725] /Subtype /Link /Type /Annot >> endobj 5704 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 384.725 175.096 395.725] /Subtype /Link /Type /Annot >> endobj 5705 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5706 0 obj << /Length 19 >> stream q /Iabc31203 Do Q endstream endobj 5707 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31204 20830 0 R /Gabc31205 20835 0 R >> /Font << /Fabc31206 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7qPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 5708 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=449) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5709 0 obj << /Filter /FlateDecode /Length 4098 >> stream xڵ[n$+<@pOT]̭|0|aH/[f"ݥLn`D`pd& XM%LO`߿OL/M7Mx/j|K ˣZ=[kPߞ;z-]^R8szuq;NAb ٿNz9A3n59_ߦ,O7Q.9/O!ϋEꢌ+Ip Daܓ Py:0߱.P\@?b s#=\62a?~>.7GEF/;2;Z'pI#y>Ӡi?(<-0}C(npP>y-a0lLvt_J'YwdՎ<&vHrI0*#j͈Rrꘙ.3g qf"lG#=!,F8AcHbags-x9;'DOhDVA)&дFԐ}d92;ZFQT1F斒9MIsZY+„yL紡e~;S!w;%*ԔU 5mgˠx ^{{= у;]ʳI"h@ډw; {]rڈWSmLS=xޑUKw63[5VN0NpH:OxFe1Ȍ/b\X plT5 ɘ<ƭ<UDwR .wAһ02sV>Un +}^-?rx[4H'-Y*seHy_ O6]^]3Lѡs5N |DgAPe4%wϭ=CMw߸Xê?\^bW s !(WocJZq QL+,xQB&-|ni.v@ u&[O!Hԋ~_!_}olLFI '9dflg>ZC!ղs"ґ1@4\q0n8"e"R ZjH:[JTx7 Ĥz%PrbDmK 1%/-U[vFJiRRrZO,TmN׉PE.WvdmTg0>!c Qf@c[h 2cf{ t-a%bmh:wҔ&j.hϝ),9#nzfƶ-zd8[OV\dFlWd΁r`ݿK 쒖9$ؠyF42+j츧Y Iӣ佪=6{dج(FA*U>YQ j.Vv:fL!,c 5p[vprjMdM:%vqj<>Xa26+X, X=XeXH݁EAvĠD,Y= X)=N +]@vv%l< ;8)w''T8]Vp]ɮn>'ՠ4a1b^Goc@/)G`3*ŏ_=uxCj #wmi܊!R?Wk"+AԈ Az}.tٖ\ā4i4[eSRA6'iƶ5< vko[a޽ad^VƃЖraӚ! ԣ);#G5N,,[߹G{UnCE/D gr7EHOUS7I${ǢǢzu,:rPU.򣮧Nąm wIzYIՃHs9cA~N NUP@lW`7Yl=\VDj!c8LӉ6 4: tųh/m]=设NLU3yv6= {(:]搭j4F[M2EsV~MKoӜ߃Yi,R\ `tw\f\zvMٶ1qc:;6ɮ mp.PC[Stnr[w4# L$,֒h}&~u#}b~~Mguf(@^$L/Bu7Y$(-03^Da5֙ĸܹD'.l {K}mYq[|)a,kHd͔6/ IG`GpjRMy6v 8G=%r IP2莣ELbk-]"Yk}uR m -NS¤5y/敻< YXadx^=Ϊǯ:;*L_ltRmr`VϳNx[h=Cr* U+ucюf4h g5߿M#?Cωu}`-pPW iE9%u sOwsWk0ϵtǻ*u;=ik( u!Ti,N:2嚎J1L[[I5&8jTVtm mcqNTdMVb 1YP r_PY PX[HHk-:Gu>2VjY`L<7s!)7~y݊}4={7_Rk4 ݁śrn#b$tMUY_PG(4Rji@S(kuƃI5X%zv7 )JPľR l0sĩaczTQ2uÎHIzT+ѡ6/ a)i.8ڕ yrKKY+& KA8'^dpPdArujͶ\bXBW_~/&>N4c}XUi p6NKv;?kxZMG{xdoNw: 郔3_Ʒ/|rE}` |[ԣ尾p!$^Gz>X 0GP&Byo3>;9+'JG-! 0ttCD+KzM"*`tY.C>t8KpEAwD5] |D}/*!9> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31222 5721 0 R >> >> /Type /Page >> endobj 5711 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5712 0 obj [5711 0 R 5713 0 R 5714 0 R 5715 0 R 5716 0 R 5717 0 R 5718 0 R 5722 0 R] endobj 5713 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 553.3308 204.6032 564.3308] /Subtype /Link /Type /Annot >> endobj 5714 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 537.1307 195.1267 548.1307] /Subtype /Link /Type /Annot >> endobj 5715 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 520.9307 180.2547 531.9307] /Subtype /Link /Type /Annot >> endobj 5716 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 504.7307 205.2137 515.7307] /Subtype /Link /Type /Annot >> endobj 5717 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 488.5307 165.4707 499.5307] /Subtype /Link /Type /Annot >> endobj 5718 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 472.3307 179.7817 483.3307] /Subtype /Link /Type /Annot >> endobj 5719 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5720 0 obj << /Length 19 >> stream q /Iabc31222 Do Q endstream endobj 5721 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31223 20830 0 R /Gabc31224 20835 0 R >> /Font << /Fabc31225 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 5722 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=450) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5723 0 obj << /Filter /FlateDecode /Length 1863 >> stream xڭXn7+x6 E29>)`SȖ˫WŪjW 6y{)/pY}8 ='ǍKC9C8g}+XFQޚBfѓh@fUDPwy.xM>lrYTj\mMH"š :UNq'q_lLJ{&`:\r:Jj-~V;&۩?k4%VQ앝ni~s _qYr*DlOEuC.uM %%| 6;̻ A}ݳAdl/`Ht P޷SA5.N{≣hi&dZ%z/1C9Nqo )ƨ.Her3"R\xfj,m(ke~=@-,W_!ZLl`ei΄|~K?~П ʪ2*:q8>mQCzX-i^Gh}T+^8)H5툩IigG̳gmQdl30X%y!qI> fDh>9 ܵca̖99⡜(I8W@4C_DC(iUŭu>;|@ە!y ](B|Ya#$vɡkvjL̝Ē)t5ͷeVɶT5N|Ѵa'Au1q6-A$Pn@+N&':v^wX*s~35$<ϲ+^gʹW ;.u̘kОuШ'\`xi )hqh,,!g-!k+^MQ!q-1\/TlN`jkQw"$ї P 86pśX8Rkِ|jԆNy9y5@#@GMSsS˞ծfDfϴ# %*WM)Oazdqs-R6Rj7vK0G;$I7Mx9^}!Wu .`7VJ̒#α3UpCag="C tD5F{-BSU lƺYe%.2%^$< e{C*7<[,ˊrukT,]uĴ&3BPe/F}dЄ0o>z716nHJ[Vױ- 0/s: Ii >mft/S\7eNoZZhpƓ;^ 8WĔ K2}U xz3]+IWKdEbk'OKG~^Qp,<{ =9Z_v@ Ty8]Ȗp將#n M z_gFFAa`A\%^beNf1@"tT<;QwIcƝoHu7Ahl oc,G-U֖uI'x.BvMc?5G|G7OCF~M_t$U endstream endobj 5724 0 obj << /Annots 5726 0 R /BleedBox [0 0 612 792] /Contents [5732 0 R 5728 0 R 5729 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31241 5730 0 R >> >> /Type /Page >> endobj 5725 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5726 0 obj [5725 0 R 5727 0 R 5731 0 R] endobj 5727 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 5728 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5729 0 obj << /Length 19 >> stream q /Iabc31241 Do Q endstream endobj 5730 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31242 20830 0 R /Gabc31243 20835 0 R >> /Font << /Fabc31244 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5732 0 obj << /Filter /FlateDecode /Length 3985 >> stream xn#^_Qgl׾m99ɱ@ 0s-6Pݵz{&To|=Zw2iRb"~vh8Ժ%|9<(o=(VumZ}[noOm:uWE|# o+_ty:x*W4EYm#6#zfq xL4;P>}Qj?>x~JPx(c(RLMF#sXPnwhLH T̢5Ş_@]\PP%l4]Z9[^+03͑%v@)7 !.6xOEG-z"Lzj ~+vg5:-pze^,v[./ PAN|"v9vo(U졨Ɓ 2y;2|%ݩy2ݚ.E R~`Z.>5i Ϻ]b#\u *MȚL/{@" F tɐԧ5aź-2<` QXfXb$5ǝ],  d(clkOWzpgo3x* L("*1m`"Ci_asQVUq[,rkNUyT:3<7w9F(3ku4f1=UUr1*\U :q`w,yԻY䚐,䚀Ny $k4m),{~~2D" x FS_`i"IӦ"N2B͢x7W]@1ŭoĺd5"ijALnR%{*^W+,1PG lV{Ϡqq &RzJQ#Tge:S:4/¢x#[BK7 6/-D_,'MGjkRRkS$?kF3g/AKq-:xf5)KX5g>7b!rlB՞YuDCe. TM]PUZi?j3~_&f>YeX se,6ܨضi{,sT=,OBb9swD@$V JJ'a6ۄ"ֽV:G-ض6Pk+mf*XlVP*dx&X ʜF Y<*\ R!. >9s݂,+W+'s| aS+hCUT}I;hc2 2 t,̖Y,e0Y ,̆YTnuXjsVĦbld@f6 e@̀J `N0wdNz˜ڜLfNɬɬIX|ĜD7%683 ʄL &g3R3h.nNh6$6H2͆o{~:Eݔp2&Wk"*(1s-ٶ_uoJ-_hn oz HbHmO_0O\e ewU;1}&U<<6#<:eIN(؎pP4(6-X*nK00 J~18;A)qU3LJ#v$)&18O̦m(sqFaoHM&<ِå},x`Xԭ8/Qv`8Lg49)\>s!:g9]0Tl29BTYQACK E<41,`XlAƺu=m~PhXTȳd 1GVfuu)ř),Į@ [Z?AR9{1б:U1o.p,hk*;`$Y6d2[椹h<]7Gej9 <@p쾍$fGhjm*(ְ6 wh#ȏ;l:0XfHBF9Kʍ9L56SU`r 1n#u5Beq+9:=Otژ!ռo(ndL57To!:5*^ z2ZV\}wl[Zdg3W>D(c2B&8;=E!qI]ר|.DjM6Kյ$ڵ~CndY0 -4ϋ)[>uMnq6webqXJk NFS?]]~t0Dh)'l Cd3hzFa3 3UVqUkN\)Zt1{@}ՒɅa ..T')8`J!jHX~1T/!-߾i'5dt-3LmPB#>AZEG/Ah: &-ٛD¸[EH߂.O_2#JASߎK6WV/_ʀL,H")&b"_P6 C6E-S .;sq|7# yF^~yU3*/= wnt*//v'00Q%UnDtmvM)8Ȩƒdka3&̈́4Qbaҍ9  ;0O}0 p-Dz](j 1#gڵ ԕ{fcM$g 6!QV9?W>yCĽI>^c.Z25[gv(nWT]Iԕ/ZH<1HбUe#W@^t(ʻ `L<xJ.JSbw"52#Gj/H [)1i ;ʥ23^SE6D '͏Ra)R QʯxcTE^' P꘵bʔrBc^m^H1M,;q.5Hp^tRYe;@rbr]R ~h ۅbVNU|ﭹ%(bsɯa4N1< ;&>Wy> --^Һro˟[5L# |U@ '})0|=l|9P#xRjb@o)d ~/OP2<'nHk'ç,/᷼Q/ ݲYPX]^i4Q[V] ˎz0wf B.`jX㍎}S__/|@=ă K4C<|G6Omv0HBEf}Z?c endstream endobj 5733 0 obj << /Annots 5735 0 R /BleedBox [0 0 612 792] /Contents [5746 0 R 5742 0 R 5743 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31260 5744 0 R >> >> /Type /Page >> endobj 5734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5735 0 obj [5734 0 R 5736 0 R 5737 0 R 5738 0 R 5739 0 R 5740 0 R 5741 0 R 5745 0 R] endobj 5736 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 197.7282 686.7] /Subtype /Link /Type /Annot >> endobj 5737 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 195.1267 670.5] /Subtype /Link /Type /Annot >> endobj 5738 0 obj << /A << /D (unique_271) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 187.8062 654.3] /Subtype /Link /Type /Annot >> endobj 5739 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 180.2547 638.1] /Subtype /Link /Type /Annot >> endobj 5740 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 166.3397 621.9] /Subtype /Link /Type /Annot >> endobj 5741 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 208.0022 605.7] /Subtype /Link /Type /Annot >> endobj 5742 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5743 0 obj << /Length 19 >> stream q /Iabc31260 Do Q endstream endobj 5744 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31261 20830 0 R /Gabc31262 20835 0 R >> /Font << /Fabc31263 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ ĉӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪ_ l?7‹C2O-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١P̠T[z7} endstream endobj 5745 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=452) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5746 0 obj << /Filter /FlateDecode /Length 1339 >> stream xڭXKO$7W-`!Cl RfjUm.w[N?讳:],~Q;m>>K}2^[0%e _5@D}t;}(qθS7`o? Ʀ-@;퀄=£|^dPr(*2-;QQNw6ế':Er8J͜ԇJ"^g7obWy;)1fNWL05[磐A/"GlF פN}.wQ¢ϰQ&E`'A_دDc#!9՗*n^p;/ * 5~M^79 Km0.X jL]EUdB-tK &`jDWMV'm"ơ'gx9 Vv@+&a$:vl\e3ןf>oȫs,.lW.es3h߇xS/㥒nIyh1蹦 kM}RꫝZņ&LqLk)N/q=֣ w#Ҿ pYm9+h3!KiܭlH~-fԆb}ԮrxƂZ6N ơ)hnUXwRf#3'U.ny.@smYsRնRQjsҌKYfh;*>Qy٦2RO2XJ2c tFY@H,Ǹ )>f$ʷWAfG5F+x7h9fj孄pm{OSI,]eEYf#xxv]f*@Ӵf"7͹-!&\NX իH+B(ɔk9P8K>oDחBk4q'ɧpykrt%k%)MaagiMR'!3e> G |VWViMLJ;6^rU:>G>vz㕥D|"vp 6fyo2BQ:HCRA=ܵ.ԯwx=0{NN (Xp偷̰ԴOfc4:qĞ:~FF;&=FjKx#ie[$ޓXO+$ZB!^4l޸]-|EOaĘM ='EҐMJX endstream endobj 5747 0 obj << /Annots 5749 0 R /BleedBox [0 0 612 792] /Contents [5755 0 R 5751 0 R 5752 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31279 5753 0 R >> >> /Type /Page >> endobj 5748 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5749 0 obj [5748 0 R 5750 0 R 5754 0 R] endobj 5750 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 5751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5752 0 obj << /Length 19 >> stream q /Iabc31279 Do Q endstream endobj 5753 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31280 20830 0 R /Gabc31281 20835 0 R >> /Font << /Fabc31282 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} N endstream endobj 5754 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=453) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5755 0 obj << /Filter /FlateDecode /Length 4000 >> stream xڭ[Ko$W`| 4C:vHv/_=h^*+j ;#Ny5te߷?YK){ygL ϋ^mkέþ4[oGϿO+ trEGgd͒Ef1 Ogd?+gvO1n:K6 ˬ:ӟAn:@:?k  hd>Sqk Evi!3AsozaMybK䅚%%Aÿa&BhQ c`;)/k)@[c1mogtR ͂`,k ZPWןPEO1g%BO| ~OP Fν3%=3 juXh eX*n\k~"r-\)6֘4CY_Uu*mt:u[ȳ! !PXӗAuuS'1j]9]_@tz¹S //Pы]c-y?9F+6 9E yUѲEѮuASzE*<@*a_YLFY+xdf8J84cJJgD355G{K\b m'\:ՐL%t ^N$YD-Z ͉֖a`lH^[^" N=OԛҦV(TkЉPU.$2͚ &}3 "[2TP/ hyMAfZ^D-GKXTg5jݕ~tJ `JKg<ۂ?F Ya>2-,c ; Kaa33U$șؠ%#E|Pȕr5wk$s+b3S5Hce9rGr;]+;NJ,ݵ8m= Npr[8wJ'ա0>בbcA/% 3m0P F/-:|C꠼ c?vOVqҍ@Lz;}pedxo6A2[*~PudQѼJn{ UyhJRM xMfUW'^{l@ݼz:8yv㱙"^%E~Ѻz_b0]_vͷ`A hoٻ`W~XGɓ4\=J|."Z`YsP k \]a,s_u.h}RD\EMx"^MMeڕ׭vj`*N^JS{)Nt. f\ׅeW:O:hs;mU5cXqZ'X Ql(ـ9Fv..| |xY)BU[XOD>a]{tU{=ktjMq8)xazHV>m0K!{PT3IJXP^l2._ La'>;ߜ/:/.%2V, "ґN*횺Nf>u՞s}3#ye^\ 7ܮzQ1F|..EuZC<2X eNz2NKfHVvhM.&E:dhi(@䖐ܸP, ˼PX0`h{YY`L<7s:1)u4~dw~)q!UoFW-pj+^t#O4+٤kZ/g%Rgҹ5ҀQo+08tj]J3ga.9q: *Ek(e.N3GZ/8>I@%0gJ|!I[w >I,▴s3-Q\$/KD3Q YKdȍ#ǔ=Zg\*,_XxizCeeW?)tnKՃ1ǡ,'6QLY}3#Shvl\,^L>zc/}Ǧçoxcu5ԴKt{nӻH~|;}M|C=:m*="g F#]E#f܃y4֜?? 3~YO0(tӍ~g ,Wܹn7(BE ~@!?ij\ֿni1ĉFQ!U1|T1ݢ_DkMho+|Ğ.Uw7T1CY>xG1LҝBJV`l5uz endstream endobj 5756 0 obj << /Annots 5758 0 R /BleedBox [0 0 612 792] /Contents [5767 0 R 5763 0 R 5764 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31298 5765 0 R >> >> /Type /Page >> endobj 5757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5758 0 obj [5757 0 R 5759 0 R 5760 0 R 5761 0 R 5762 0 R 5766 0 R] endobj 5759 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_probe) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 187.2012 608.2] /Subtype /Link /Type /Annot >> endobj 5760 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 581 174.7052 592] /Subtype /Link /Type /Annot >> endobj 5761 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 159.8332 575.8] /Subtype /Link /Type /Annot >> endobj 5762 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 177.2792 559.6] /Subtype /Link /Type /Annot >> endobj 5763 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5764 0 obj << /Length 19 >> stream q /Iabc31298 Do Q endstream endobj 5765 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31299 20830 0 R /Gabc31300 20835 0 R >> /Font << /Fabc31301 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛC endstream endobj 5766 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=454) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5767 0 obj << /Filter /FlateDecode /Length 1618 >> stream xڭXIkd7W< ^&4B;`_*-=3Tzv-Oh-'jJY1Sѐ/pֿ?-SC6wjm:X#Z'NTPM %}SAN7 spG{i1l,wb6Ot;Euchm2o-c;_;dӟWR1Ѷ`DWfųuq?DtS *1S5ÆרCAluĶ)a]Ȱ]N]7!1{"nq`t0&J| ]hpwV/ښ&Dz_H:4.׿dU:$fa3EЩ$_>jϯ+4[{ڧ,É[G8Ix /ijS^?Rp^!\!!nQVNwr* RS :䅞3`*ARtxG)ĄG! BU 9dA}.뒕TXsP{JSmҶ'ˉ2QH}GU.w(xG%ג.礓,M w @5vl I6.z(ȋ @mWF^:L)-\b6Eu X{8Tjf.%Sx?3ͷHkRmDb|ѴSMQqB.֥Ȩ͖"C!@Z1t2؃zc[5_oWP^Jw]ʹ7Gԝ1c2ޯAGաQ4;H%hszA;9gxyj!;6 ײ5qŎzY[ ׋[m-JnZQ[D0~RL M,)jR6J^kA1jKdorcB>F1R#BX!w (s}ܻP]XGj(ޡó^bꯪ-@$YQDSWCcMJs=.VdOSK]2)=34PØIЪ4<-A|$,s"^Hx 5hfi3J~%(bZSlH?EʼnDHO2ǭˁH4&׹-`yջi(!R Ƒӆ6KVAҀHz--ـn+A໎|2L_&PpХ||z'  ߈>S ),zu,2u>=8H^O8c@*ꎋ r(~{x8g5v## rl`8o '3\'ݹ b@ ؝wःs櫏ܐ5vz8 HܥYXORj[3}|Iu 4F|H"'7§|~⠢]>Q?-6 endstream endobj 5768 0 obj << /Annots 5770 0 R /BleedBox [0 0 612 792] /Contents [5776 0 R 5772 0 R 5773 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31317 5774 0 R >> >> /Type /Page >> endobj 5769 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5770 0 obj [5769 0 R 5771 0 R 5775 0 R] endobj 5771 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 5772 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5773 0 obj << /Length 19 >> stream q /Iabc31317 Do Q endstream endobj 5774 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31318 20830 0 R /Gabc31319 20835 0 R >> /Font << /Fabc31320 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [n2th۬_{u ]r,!?WG?{`E'35V-dQ\0Ɯi, ?DW2'ī+O1%PƵa(Rɐى9:Y#rU ((P[QSqfяG~'DS=RtriK[ZNߖj?+=ʡ|72ɢԑH5Z yp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5776 0 obj << /Filter /FlateDecode /Length 4115 >> stream xڭ;n9w~dwAR,o10B{aCqh'_t4Xyx߿,,[lIVr/YqiJұ,6Su?>ur~~Ԏ8g>*.Xe`8ˣ^fD"ӄ (KJ΃&hE!wy~T_%|[J)'J[cl_g6tR̓u)%OuKXMNȖi|G뿀ҧOƜ 녞6^y#B֥%鱚뒖uE8xch]%-ֶ*g_B_ b#& qXJ)n%uAp WfЇfXZJx2';]̚*bb Oly5գļKo3ഃXP0ku`(ma#`(˺8:H]Ǹ z(U^45n ؀+ ؊;0G=!ғ;s0[b}oOU)tJm@1;zA[S{r؈Vۨ['bxT^A%ߨ>MEQiWU#L[U-Sx Y[tѺ3ܛ]^qƽ8B(Y[Q*Y}P( ڷ> EzPb{ד!W%LG7l !1KZӋF-F_YeZe,6<4M7nDsP0T}X\/Yŧ DԖgM(R:+E5ams6['l` D:V۱ج@jC:zwFKe+F d.A,uYg -8az8C)o`c  UP-'tY ,, WpGfз f8pfQ-6P4a+ cSS5pPs2 7;2 37+yea<~w)Ndmɉ4s-fNnkNnkNYۏꦤfc&^G|||,eMicBND `h$@53K}RH2ośQtjEÔpe϶zX G9^.8dRb?5\%#م9lᶌT-'\\ݡ#зxhSgyԩP,qxko/bN69n ORk8 /M+/_W[W#ךaGtv4{kw *bUshD҅m+o$8P 'T.@ dP .;GFRQ 3UM$7>Xd{Il FUb1(=j5620,&= QɎ(nNgYJ=8ZtI˖h6MZbZ;[ah;>.X@va:1!?7c~1H!FMZ@Uh",$>UChƍkP﹆nQ{2*$( IbF@J;Uvx7Kl\$1rUScSdGec|#H}î]6=3k88 .^9BD'*pq7 7'ǣgsDgk]biLJ^M1l1]{R5if^S>VbSӈv9vcn% \mu۴,CZ>;gfm7>[>m61c֏ޫ bFU `s.'5i>ryqV~$쐐aɩl(Au'ZYsp:}LݘyFTdnf&6sIGn{qK\k/ۀBi25|Co2t&ƛѢ:8OMR;B4%)RWH@kNb(VbjXSJԠzjV[u{d6%i1n2t9f,[z](g OqCre._]_BgUǗpu4S{x|Nob*k8'-:3M%J¸bV"W[ϵ@zLmYM\G*8Cȸxթ`gh3tI[9-BQ?HkovR(~GGx5hL̢C X*K+*JK XۉJܖ8Q“ *7+n߮is\cr&tmMRY1SJ̀a4\f00:O]x׮,,sXn6dT0*PFnV޳aYxW♿Q p91mwaK4a|3|xr^ڪrp}Fqɳ׉b> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31336 5783 0 R >> >> /Type /Page >> endobj 5778 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5779 0 obj [5778 0 R 5780 0 R 5784 0 R] endobj 5780 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 187.6852 608.2] /Subtype /Link /Type /Annot >> endobj 5781 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5782 0 obj << /Length 19 >> stream q /Iabc31336 Do Q endstream endobj 5783 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31337 20830 0 R /Gabc31338 20835 0 R >> /Font << /Fabc31339 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 5784 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=456) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5785 0 obj << /Filter /FlateDecode /Length 1525 >> stream xڭXKo7W`Y [ ==9uB._ Y. 6pɝh(gלNQ,|QNjsl Xf%}yYGk1Z<3Z{}PA `7c(g{]1& .c1m,zX1Sij{E$e Zx:d+0{ rF.f\>dWHCKW@xӁ QI %Jf uY19r,>ӱtY>OSSVvuxi4ppfoחx 2=B)xxtdFdN s:^NV$os(9,!κ n&kV޾=!liV8 ^d N4#hz1"dJd^zSsQ2LJ%dh\f޵\CKg3|X/X* .CڤR_$B0[b6 ;PIٵAcxjf.%S4H)liȶdYb|UMQWO!U㡉 yXW3F8% C'aݩ]1,oJ^K[5Fxu+ˮdWku&(CܡKx3&et5v,(aH_T/ҢR[Tک4qݍż7Xy*dcb[ o.[k9(cb\x%>⼝=,rd,k|{j}º)o+iX[`p#bBEiѦ񈧏<ͽ((A fS9gMx{\T^. 5C70?$Ԍw礣Z>&!F*|hOmoYz6ēzO<ɦfX m]#\]a99'=6 5]3N=ϯ[4D %[~ endstream endobj 5786 0 obj << /Annots 5788 0 R /BleedBox [0 0 612 792] /Contents [5794 0 R 5790 0 R 5791 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31355 5792 0 R >> >> /Type /Page >> endobj 5787 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5788 0 obj [5787 0 R 5789 0 R 5793 0 R] endobj 5789 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [90 412.825 146.6555 423.825] /Subtype /Link /Type /Annot >> endobj 5790 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5791 0 obj << /Length 19 >> stream q /Iabc31355 Do Q endstream endobj 5792 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31356 20830 0 R /Gabc31357 20835 0 R >> /Font << /Fabc31358 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$D endstream endobj 5793 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=457) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5794 0 obj << /Filter /FlateDecode /Length 4035 >> stream xڭn$y@AN`沿R^Unw]%DR|J%.T&+LKdRߏ?EquKt!Z&qTy0 R;oa>>IÈ-`ݹ9#)_*e8+o4_\U_WA> 4>cQ$#EܙAv jZDfS  ;O`5B0#nZF _גx .?@Dm_Q@ 8Yi%cۯȗl'pE9\}~n0u f `FJ ?Ր{PV`mS6֭˗X zlS$,O{ !"h&ը:# bgrn6go--tB}cl⢽QF^T > # 2|\r1oo-Xo3ఁh5C0{u`ְ`ct1~aL"ou*֩wUkf.CkZy0E;̚/oG w%f=9 ҃ț^^'ӖY#| WMɜb Q]rn܂Y4@(M]iYG[tr5̡֛E13D3-EK'G (ez} 3UOi]5RP *09ݢS(G*Dh#P͵VT,X9`P1i.`o)[;⫣A^1 9mOՏUy`3 9[f TWj̲al!ib/ =`nl[+\Lj*Zu*!Q-ȿn~Ӝ,G‰_n݁- 7K _f7T=]2=9.Dʌ#wCAs&u8I%8h{E&ɘHf,=8LuarS#R$bmNq^ՏD/ %t‡ūuZCȂR< ]5la)LBmSuaQS]#bbL"=Ϛ)$iM//<4Rxڸǃs4WZt/jv}%;ʤ;Jzubk]~p~WLOoL͐8d˲)!i;š4 ]ݒ<<=ϞNϹC6{P}6Hw#[ h\) 7VW})pߺ?n>+v rh9;y˻buc6iazet kTm8 Hު%t]pLuz]l7[v^^jNur}./wzv(@?rR0nc-z9Za}UY.?E|AC^/Z06&Ea}Rt&pzVHNc@m)5BFq[#z놟ՍO]bށКoTǺ0-Mob쪜ޔS Gr]%M*E%,;DpȠ#*|.ucK,\-d l6DУJ-dS]"ܘESCʸHf;A֥"(Juᮭu-fGbͼK R'na|QCpz@JՌx^2ă P-aX> u&Y%)*!Sxк ctq,,U&<ӫn5u/6,ݙaHfqa8e?bQ8\Zup뺃9@":̕FH%&O3ص:G[%'1>q)GW-pK1VR'MfpuJZF7 <O/#PqX*PRHt -N]/s|/ Ԟ"%D~Hg#CňŁ ΅Fk[4QQivUJL4I'ʷ!#aP7]e#̸x'Aj>Fu<7y%V΋9kF0O[6hslgႉkO(΋>U2َ~k5nMzz~x7ޚ:>JƦ#ZvqħvBw1E+D6֠_4b'S?m I19ѾZX=uaHM't *9-+hJ3f̘G:s/Y/5N~3k9u)7>VT_ɡtO.ӣ|(b!TN;Ԏ`ۙ! a!t?8FcO4~@ϚJ)N'0z#f,TZaDőV endstream endobj 5795 0 obj << /Annots 5797 0 R /BleedBox [0 0 612 792] /Contents [5804 0 R 5800 0 R 5801 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31374 5802 0 R >> >> /Type /Page >> endobj 5796 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5797 0 obj [5796 0 R 5798 0 R 5799 0 R 5803 0 R] endobj 5798 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 181.9322 608.2] /Subtype /Link /Type /Annot >> endobj 5799 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 581 159.6022 592] /Subtype /Link /Type /Annot >> endobj 5800 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5801 0 obj << /Length 19 >> stream q /Iabc31374 Do Q endstream endobj 5802 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31375 20830 0 R /Gabc31376 20835 0 R >> /Font << /Fabc31377 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM* endstream endobj 5803 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=458) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5804 0 obj << /Filter /FlateDecode /Length 1545 >> stream xڭXn7+x64Ec 7$GQϫ*8HhU]|͒h(=xN#pQ;/ҐA;kj.!˃Zƈ ?O@%PPrїOWZwaLXc=N f>X"?t6!OXt ;Eu|xY7wNGȗN)YqmN/?<˧YUH'2k70:eP à$ N"5bGOX`1yӂӢ۟9ҳ_Ef((^Ȱ[!p֎#B|/ښ!DzQc:4.;jdU}:Ԯh55tuY2Jt+8^wGkel~;ը(E| 9b {6;O-ƃS[*Pֶ,Զ'>j,~tC[+˖2.R^ӸܫTTTZWoH^-[ wDO\gsēLs¡.I) b+1g)w(BE 4Ivl!ƱNN%µMPNM+'C LVee! o(r~E?ruYc}BPƌbu LΪ|ρ/5ʆc_Q\hLl1+'9o]NL]G.|95aaK%%IMq@޹R}HGQ &GVMn; NH_ZZhh}qOI.3XGW{ﰱ\9b4fmo]nƱp 2j{G[1uc}]eK6k(mַ7i@vw\B(SG|0q''! `8o 73@/W ~~DZ(IyMtCsz:I(ܵYxOZj_[FdQ]l#;MuъQ4QF4zOT$mҐM7] endstream endobj 5805 0 obj << /Annots 5807 0 R /BleedBox [0 0 612 792] /Contents [5814 0 R 5810 0 R 5811 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31393 5812 0 R >> >> /Type /Page >> endobj 5806 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5807 0 obj [5806 0 R 5808 0 R 5809 0 R 5813 0 R] endobj 5808 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 412.825 124.1605 423.825] /Subtype /Link /Type /Annot >> endobj 5809 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 412.825 162.6715 423.825] /Subtype /Link /Type /Annot >> endobj 5810 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5811 0 obj << /Length 19 >> stream q /Iabc31393 Do Q endstream endobj 5812 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31394 20830 0 R /Gabc31395 20835 0 R >> /Font << /Fabc31396 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=@dQHLn2Wp:3ϳ-nYMn#^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO]@ U8Ρ\j'|۾{w1H endstream endobj 5813 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=459) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5814 0 obj << /Filter /FlateDecode /Length 4981 >> stream xڵ&<.ڧd5 ^mm[;B{Y}9 ',AbPX2|ҫv%xZSYwYai3ąTʚt~Itg⠜ :Ehg&uXvD3XVc2+\!#Jϊ-N:C%A+h# Z?LυU 2^:ց 6xi^?Wm)hH? p<2DzHZ|0>?dfM M\k/gd4 cÀpY ʊ<π]} }bo(fwg%BOzz'rY7d7aU[Q(V[}j[}_@OF1lWf؊[ZRfl`tQW`&dq$;JY&[tə"d7"ōE.(V! VZ5]k\z^²uJ_ּ8T1z#ni[|d4x5Uխ@i\@^V[ D>I>=y0 $xoK~4k`R\SGr#i؂ Y [f)a0[. |m9&ٓkG .غS"$1f#ѹ? ]'JxJ} I ipc=i^ǐ48Hc?([&>LJ(ކD@ud( 1?nҍ㟡NYȾ5\.(d~}LԮVel;ws~7n?/7!ht ?_P!.;O׳Y0-l8~<tdОE(T$'s?:wdgjXo*iuug?kpa>9paL^x ylW=9@. /Jo4 =!bdrWDƒF< TW_WmT1a^Ӧp1}Ǖz,TAp9! g u4Apuƽ¸5PeFb1 \$.XN,puj!J2R^I^ ZXƢze Z_*x-z 88?Fٌ33UP .Ǖ̂=upxAM6Q<;9tWzMrirr)Dr$zas wQLqoIaI1,>ǜpP(yFۄP9ڐHzgRjŽl\$8-@FA$6]+40~Ӟ8 0ٶyOY$H^jbu[1z5 ݴDEě6aT%{9X&|O$f !<()G۲n{MGbC  2.7,yKf. x\DP׼xaEE'cBI@%23&R'v"Q1۶ua5(w]>wnntuŨiI?Zvew(M"0MMD"0= @IG~6ÉQ+9fo mX܊IK/P@7!S F]ݟD.s-LO@b"-[ 宾.'ooz!P@m#Ykcy @F>X24p k1 dўve4-)l".p14ی-2pRZ1ȹnkE5~oI\:/Vb"rhյ)S*jh.m==pwhի ecͼ(dI䡪<\H\i83K)}6B˫ .i]'`GpWfj hrm:HQ5 `Gcie]m,Wf .FKe9TX8TqaFǥzعE hְ/&m-jF.21*Т7'q߮aVZՂܸ"՗.mq!IZ=QLuiR`]3$5sJ٦vezPJT"2ӎloѻՇ CJ)hC2GqԳVXa0f֙)tѧaGMM([=f8w2q`%r PJL0`9;"@B {".^1}', plۀUT>Oj_T!: e(|HqXZcsN1, p=b nB+ї͸b1RkY8\m;|vUρw}SIl-A͠ @ h;ZD`M3`Pl xLLf?P ¥|:^ L2n8 GRcSmci$Ѣh3؊Hxw,+=<= GG9kyLB 1ƕA U˽Mn5{m%yEŭ1!paTy#&(.;t3NAڂudRȖ7#⥯l4[lPTcUxǗe4r= mdzd|%lɁ ;RTJ y%D \ 8CTa1\j)Eck4FTk<"SkIcdxDrC`j1&Nܟc3d x۬ Zrau&cfGjs+=ޤ:c UX G*0}X1 Ձr,Aԩ3?@t$ʭWP7P?@x1`z¡75:A< |Y'43h ̰; 0O%S 2F)0@ŒOIH+x$5p (بW2J$tCKh QSkeXxi-" j55eS3STaTj@[(7u87M` yyW,/zu8wi 'g#Sc _ 'ҋxyv J22ZX/@8 :~H%!aiX(+)i5Kһx*|PCc֊m(+6XrU؊&hL|8^drĸTYd;@Z2l7ڛAQÐ-nhԑMY}7O>L;6ǭ6$hR@T\Βzf|y |7h:9  F\ ׿6 wB[킬7tOɍ^-x=Ӗ'ix`W,IocNȃ$2A?jԯ r~:ï>M_g+v`8g XTkށ"‹C /&$ Dn endstream endobj 5815 0 obj << /Annots 5817 0 R /BleedBox [0 0 612 792] /Contents [5827 0 R 5823 0 R 5824 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31412 5825 0 R >> >> /Type /Page >> endobj 5816 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5817 0 obj [5816 0 R 5818 0 R 5819 0 R 5820 0 R 5821 0 R 5822 0 R 5826 0 R] endobj 5818 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20211013063105-08'00') /Rect [104.1732 450.9423 169.3812 461.9423] /Subtype /Link /Type /Annot >> endobj 5819 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 434.7423 180.3482 445.7423] /Subtype /Link /Type /Annot >> endobj 5820 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 418.5423 144.0317 429.5423] /Subtype /Link /Type /Annot >> endobj 5821 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 402.3422 138.1357 413.3422] /Subtype /Link /Type /Annot >> endobj 5822 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (reset_target) /M (D:20211013063105-08'00') /Rect [104.1732 386.1422 161.9177 397.1422] /Subtype /Link /Type /Annot >> endobj 5823 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5824 0 obj << /Length 19 >> stream q /Iabc31412 Do Q endstream endobj 5825 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31413 20830 0 R /Gabc31414 20835 0 R >> /Font << /Fabc31415 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ= endstream endobj 5826 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=460) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5827 0 obj << /Filter /FlateDecode /Length 2453 >> stream xڭI#_W/` ^rАCiy!ӗmZڞ'$k _t[:],.{M^Ymi1kkh~}.sr\9fc^`~MvЯH^1Axco٘c] K Ds{:vdwPioj}1&] 9n{ׯF/%"brfxPeOa kr 'l(Ewn3 wPQug9Mre${ϼweD8GIB$$!P  LHg xH}g>50,&=N@XxPF \; "yYM0 `u28/Xb&״T榝<&^bsuPtN>6~1N 2bP;fފ|tK 9//Mpr5 ;:soi Kk{B; > _,ؔaXJH3rPH1RT#LJSLD0oZ?K;o3B3$ K eMhj SCXqjZ A9,n<08ci9Ib< /2C%4X D5:Tqd{*4"]Rݧ 9,A)+Z U G3fQ wLevgv 3}I}@n-\ZJwO& `v>%pV !&Ą`7\Q-{`ϩg0e;<Ξ1Z 0AMbDߋM{Ǵ#Th4e/Qˋ|]KH[&9h5 bL O߇b,(PZ)m y] P Qæ(a9PrcYT&N! /6K +Rf](-fqoU;+N_E/h } ('HFyؿDCs@6 M=k._Hl?"D-9P!躞ax,ؕM^ Y T%JL+g~o-tWHB !Ԉ0fsi,lHsn+ln~|ҵ:}3[G68vBB!~&+`RųdAtaTWb ,Ar&"n|a[جnxo:/.cs ߅ e)v 8HLm4 y1!#ig+Ֆx.3Ѯ~(uCX`Ga87mn%yW |>yɦZ*WK̈́ bV/I9jtH,WtuE7JRTs^x,dC-j hBY2͚t(4f>Gd=KM?]Jz[ICK ,@`׵0DȜ5P O{2v̤w2 FsgwxTPAQW=%Uf^- ?HўExgVN<Е'7NE"ӹ:Vc3$؎G,Eѧ=хD(7E4`(F!E\Q[40 t0; endstream endobj 5828 0 obj << /Annots 5830 0 R /BleedBox [0 0 612 792] /Contents [5836 0 R 5832 0 R 5833 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31431 5834 0 R >> >> /Type /Page >> endobj 5829 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5830 0 obj [5829 0 R 5831 0 R 5835 0 R] endobj 5831 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 437.225 112.8525 448.225] /Subtype /Link /Type /Annot >> endobj 5832 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5833 0 obj << /Length 19 >> stream q /Iabc31431 Do Q endstream endobj 5834 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31432 20830 0 R /Gabc31433 20835 0 R >> /Font << /Fabc31434 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5836 0 obj << /Filter /FlateDecode /Length 3811 >> stream x[Io%9rWIA[F482+jxٱ I3彨g36gd(G:U9Q j)V v&ğfL!, c=֠ZAupz7Aq!2! s9Q:U _Ͱ@,,, }nҜ`N`ѐ&19&cL VZ njTs[zSWX{hq= z:+pR,j~Nj@IasR39ǂ^JJ;9Q Whf@uKX} Nj[ ~XyX.5KzUh[/ Ik9`gc|=mHxBru>ĶҾOq;*c::sZNL}~:Nq/yFjոZpaN8Nf8 mh=QƌԤc>2*|f~"nѿϽWT3)Ý[0$HaFw2ƅqBѶzp~>I;#L Hu,y@hpXw8ͺbj,QE"[}ew l JΓGC Ӵʺe&,pYXVSaW ?۷FBVP`Iv&Vl2UGr<!p*R]v0#gt𙘓R/7#~:jкx+R3 7 ڄnju)|Vh@b c~"6>ԣ P0IوT"D>75D)gz9Cf!MNZŝ6i3J4Id#|=6}1 /iP'Onm~E^^0^ ˆ7"*#Mg−3!tŭfq_H+ 2tʴ&׮0 fu#_ms j"0nΘgc}_\$5r}7s,ütn6{^]1=0`Ʌ8~t:\I0H ,`z}|xo 0ݫxct:õ!%p|]:qz|*X)1CqjWf=]nV<[6ť4_7Orm n1zթ/.5Xk@8~xfg϶; E6՚n~MC6dGײbf>Bu5 ߕsW"^JWƍS1G?RA)P&%l9=>755O7/AϠ/8Q9]",'?^[XuQvX2ʧmw TQ[ܪtc_wGY~$;OˊlкCk 253&|{9;w˝9*h7Fi1vu\Fxer[uC55?]@VH0L@͢%Aⶐ\P,V,,:Cc|ead r1GM>*VY`L<7s1)u6~8ݎ}4=wO}ƐXctx)l5UeK˳2hi@S(ȗs%3 nVDy쉛wɉL(:޼oE`SG-")dbLo$Qbbo^8~_䗤|qKڹᎬj6ft,G(#D5g=ٻr!F)GRF 56YZQxzCeeO?) [Ӄ1ǩ,' 6yYLU}]ȄWЌQl6W q-D8ă@\k\o#҇%/p~ ˂pK~صi: ޸+ #Z !N?GuN.)7%$GTq!DO|!p'˷ܴNN_`:N*iqq`H]^>L7-Qٸ}ϠiAr!l_BuLwɗs \o h{R'n$ p+у=m0z#אnD<"`A/ѵ endstream endobj 5837 0 obj << /Annots 5839 0 R /BleedBox [0 0 612 792] /Contents [5845 0 R 5841 0 R 5842 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31450 5843 0 R >> >> /Type /Page >> endobj 5838 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5839 0 obj [5838 0 R 5840 0 R 5844 0 R] endobj 5840 0 obj << /A << /D (unique_746) /S /GoTo >> /Border [0 0 0] /Contents (create_macro) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 169.0347 686.7] /Subtype /Link /Type /Annot >> endobj 5841 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5842 0 obj << /Length 19 >> stream q /Iabc31450 Do Q endstream endobj 5843 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31451 20830 0 R /Gabc31452 20835 0 R >> /Font << /Fabc31453 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ P endstream endobj 5844 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=462) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5845 0 obj << /Filter /FlateDecode /Length 1181 >> stream xڭWk,7 й0mCXKz(=5M!%yƳٔGYdOh  >AP]g!޿~~_![m%8cDiT0E0[_Kpz.> \.W_᷎K:N T.OWax8B.3!Jtބu%]|?ןoҒ,#&%65$KAȣ9$JUx'l,[$uj2ڣ_!ږU[N@r2`Q)gY,dC50L751%BgX3XU; x)!ݪ^-u-jǖ:y*/\'Ee"(f&9R @S+ ݠٟALVe eMP9?sXOodʘLma%?ρL#87c IWv$G\˚7uRQ ?VҚ0)ε*^*i[")i{Ahv#7|L}dU}ak]i굴Ф.5R"qA$zt_`1 ͕3vH暛j(P 7"Cw4kvu']|`)O;n!'tx|Q0RLCNcO4* ~IT endstream endobj 5846 0 obj << /Annots 5848 0 R /BleedBox [0 0 612 792] /Contents [5854 0 R 5850 0 R 5851 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31469 5852 0 R >> >> /Type /Page >> endobj 5847 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5848 0 obj [5847 0 R 5849 0 R 5853 0 R] endobj 5849 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [90 412.825 131.8275 423.825] /Subtype /Link /Type /Annot >> endobj 5850 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5851 0 obj << /Length 19 >> stream q /Iabc31469 Do Q endstream endobj 5852 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31470 20830 0 R /Gabc31471 20835 0 R >> /Font << /Fabc31472 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 5853 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=463) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5854 0 obj << /Filter /FlateDecode /Length 5443 >> stream xڽȚ-vy_~w0{c#]Mj|_Y-9lmszan3R1:`1]mY.1Gm  5Y99f`əUsFB4 WӌBZ4孙la8j Ȭ:[л5oNG`P&Z8quTkrT;SCD/rm Je-Pv%xukۧ@Oz岚ٌfVaE pŕ[0[Zyu˕<&a gzb "`1̞L}X{8[p}v&H X7)cɴYF{gHΡp2,J=ToqzU??@NH:CYCMP@0\q0n XLS ,,puj!J2R^IZX"Hn /PIyuWII u>AecXvskz!v[;2F:+NFEI*v|QRvŸ Z$a ؊0OԧUAw 1'5R_ߋYP`lfvɭcL]l ėqq~̄pI1_耒tGTD >ZZR=9, /YeI`=͚MNTn7᝔D+R2.L U0 W%M>`"@jAm(h}@&%0F٥Āi:a>d* SVIUCC?q;Hbr!R>ph硍OlrW@ f&s 7S? 9`Q;Îur aˬӌO FrT Qzfa%nKsv` 10W0Hu~,(n>XH렮C,cg:D5O޺5Zd64&(Ebs肂+W2aswįy}hSlL(G¤lZl&|K jbYI$rSqQ$ MOkfBYQ„\ǜIx[Xt Ŝ[<4iX ` g+[_%ҼXUYbY~0y0x&*J^h`IdʸBǪx%2F)ۮ&1Cc,3ÔA{a4dg 4 H3E]z`& ^2x̔x^"m^$SWXCG?Jdm1ΠA "X,l-,a-9` )A਽" 5kY8)!lj}@y exсv:ѭ)rM*Di#@nۃэ ~G@c?d7 L~xu6v.'/=6xSmenÊz#s SUSK^3erjQ1jzLI͟;JӖi :u!lsM)x cF=qP 9{b2㙡*|CNlߠViBf{zC}!GT)soNHOL2F':U:UZ:8<[| -{5rXnOP!pGy6~?/RrI-ekgX2jjGw/Z P꘵bJ$k>\Ee* x\xm|Sed[7wn7k僢!Y<.P]w퉾sS`hFn7܂5/ LzB5f-y`8>ŮU:ꞅfcֳobD+Ay.OQۇ p%2m;`8 _h0ˣU{OB?ѽ~z$ g%U<BcùXn{]5;hap~y'yYGˤA fF' M?|dbJA+#%2yjiha#ػ>|4u!8@R.ƞ endstream endobj 5855 0 obj << /Annots 5857 0 R /BleedBox [0 0 612 792] /Contents [5864 0 R 5860 0 R 5861 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31488 5862 0 R >> >> /Type /Page >> endobj 5856 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5857 0 obj [5856 0 R 5858 0 R 5859 0 R 5863 0 R] endobj 5858 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [104.1732 500.1346 201.6332 511.1346] /Subtype /Link /Type /Annot >> endobj 5859 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20211013063105-08'00') /Rect [104.1732 483.9346 191.7112 494.9346] /Subtype /Link /Type /Annot >> endobj 5860 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5861 0 obj << /Length 19 >> stream q /Iabc31488 Do Q endstream endobj 5862 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31489 20830 0 R /Gabc31490 20835 0 R >> /Font << /Fabc31491 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMT endstream endobj 5863 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=464) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5864 0 obj << /Filter /FlateDecode /Length 2216 >> stream xڭYj$SyұG|k#Iv1%C2_"#TG"ۿ)8c񻣡To^^M[s&E8Ztz6.Y_ +7ϯ{k]=xx)g} <P@S Z?8O](p,X.6Yrسɝ|ѹmu]fZ;DD .ra@&aq-ӓޕaީ|LtsJ*{ '\^+X/ꄽsôk-)TVJܥ]8 B:n_윳q^JQAyQj2\/ w:J-u6Zţ|3 ds:ME8[]; y c#j6yI~ns`GĻfHb XOw3 w"Iu)v#cι4>W[δJG{6E_묞j3yL;P0ǥ 09nD)$Ȉ8 o#2;T D?zΝ{kD?݉<}^{^alxQ8; "Nk,K]_[2(1g _:kUdE-GUeuPso?44VSsD'$v Dĭ$#2'A 9AprEdTEˤ2](Iƻ3,93ꅓf(v0d\5 v7k> ;g>Q41IX@6kj[ NZUB'm~'uhR 4 xǵĵW `שrF)M)8Z2!l}|@|}mV޵i, 5o%z}56>Vsyf\cr4X+1BZie/'J9 ZizT'3grP۾GKOi#5?ހ ʾՆU\^f J]T!~GU2ˌٱ g jѴ,f nE?sԀ*æ(/H2'>!3cB'1αprs5z̪N玱ygR,kGH;Nۡa\&J?M+@'rd O s)5Om&]S-!.(x^ڃP'zxs}\}RrlYHtD `u. ^㉹2‘2ꛚ1lB!0u+A9C v} ܕȇO)7x);>}WB-*a'5 Ì m$nl*a'-"6,}z8vO}ῖ)ܡ_a^vf=m9J=ȼj |& |621#v~E,e ץ|ʹ!zBJ U6uce1WY.xwJ##N*Y.f h|ekq\ydR͟ah#[ǞD'~}!rfG ^e֜ܤ .V/pj,c}!x%ax3EgPD􉜒Nup͉vJǔ:Jmn: ӥڤRR> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31507 5872 0 R >> >> /Type /Page >> endobj 5866 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5867 0 obj [5866 0 R 5868 0 R 5869 0 R 5873 0 R] endobj 5868 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [90 422.025 135.562 433.025] /Subtype /Link /Type /Annot >> endobj 5869 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [140.875 422.025 163.7275 433.025] /Subtype /Link /Type /Annot >> endobj 5870 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5871 0 obj << /Length 19 >> stream q /Iabc31507 Do Q endstream endobj 5872 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31508 20830 0 R /Gabc31509 20835 0 R >> /Font << /Fabc31510 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w  endstream endobj 5873 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=465) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5874 0 obj << /Filter /FlateDecode /Length 4160 >> stream xڭ\KoW`| 43{s" ''A /S>{F3;&,4Rß>bwY ^fY|C_//^Z#_:w}z-m^Hbw2}ufT35>Fn|AbSd&KOZig\5K4 +,4+/,',et"Q: /u<zf=k}ޞ#?_4z ߞOA/_ 配Uf0O"k2mm3n1TK>gNTS(l!m&˵*Qo˷\@|pVAD-/67fI(sk#kr廓\'tF0V#(c3 ?1С*_u3hh! oSœq䘬yꙭ ;eE !A Žδn[!醸 3$UmARSV  F,0"e<ƟmAύYGE}\f+V#Eo zxL|AGN5/7-@6ܧY̠0AY7d"M -br8}ax("|[)?Jӷ=K(Gax@+BC_(Bc)eު;U) .p\3|SEnFt||?alZ4*>B&FT슘_器\Sȃ1TFUVx;yc!|<ΨO%OҵAn]b <& @L̠$C8pOnC%Ҵ n4QSd7jbDPYw,#UxP+}LC닄5Κa}% N-OԛԯMKHk-YͩVk+BCT8h'6kj0218?gfE2d,r!* hny ̸͂ t-a'bml:26ҕ^\ОSZYr ~+&7}'HVOK_dN+rC cJťvII r4VN%`$V(LJi6lBʌyoiF>6[#BQ0bNvL6L1`DRΉQ;w3YCFK!\2zk ̓1OZZp˜*@q.2.c9P:`kOiGX f tvv ;i;nyb5D$۱2dg= j+;Nw''-ip']I|0GQ8N$f8π$? N})p;'"}.~NbN'1stp-bGXD#ǀ^r+Hp> #}Fy?I`̾wm+aO*x퀜yI? Vtu [-evFlD72cVO}9V3oӆ)6aw-|Rm(猿Ē<{sgoۮєm @ŦulP0?T ͼŠUNmR񾝛}]e=w;>J܃OGYwSt_|//PYR1@`T6qѕt*1oMTx_w~|aRiQlw@PG zc6 XqTjDi|UMb0KKc ti  xEa"vg$ǦNicb}V*]1 1ncb͇ рK;F{A̲d}; a.2u ALFK0mhLT*ZBMB6 8Sa%b`bm$yV옧\!,~9b7XiQJSW^ D595ow?] "Av}5@ O{^#gܹYJ$}]bѸ |ђ)n7s|fm0B.ªWH:h]aM]x꣍| ppl)mqcV偛߄!`=5MFщ~Z6?]F[?|7N2{ "lӥXB@ W#Lh!U`+ 'V-' ,32'Fy5b}q/$lޚL}6F?LRde&@ L3 |Gl+ZR^%-?UթѣqaÌA֦$3j)>e5Dl<=m=uݩW759 Y>J&qd5m^C1_=0HWe2j<,_\CD\q"3q Nס}dk +|OuXWW&p!0 xO;f1b}Ŏ1䝗>%_W۫^ i=;mq懝U~NKڽc֗L.9 7zuh; H7󦽹|'(/ŜO%q-~S- śqYu:6;e,yM=_30jНХ.u 5ij}RRfݾQgc$rx1@ jȱnsʻ<|dϘV3*ߤS)-f*5%Uo(!i\;.дчxi$D+<^YS]pyJK+ f)21j Up* &IN{1;^r\ie&̀}Ŗsy3#yf^\JRgn\v`P9ܧ郲v$p߂8, ni %N:2喎  xZ-Il'4~Ը֊L6R6±8# AUGt0d&;az5?8v^ -Fz^ BƠ ٳ\x o6tCSb`܃]Hqhzo|_RKtNYGqbJ6隊ֿˡRwPiTRBX+0u4]ܾh%$'Sf"5|e. f85_/_So%CcR*-S+IѡU/ S RxG/,\*.ikgZ2ҢZѽR'xm2|Cs֒)[2) ])zR[d᠉[[).U6N\Fu|&{ ) ~kf?L7&"ItSg| ooÔa4iqpX~q ^3ݲpWf8?7妠|٩]ϱ"U9P|U* EN W[p4$^a0\=Z 08hs{I}|9?# ~;IY*zCs,1ῶ#*f,mʀɢnu.aYM#7:q cvlj4QX:[Wө~{_n;t~ؓǞR_;tT0So ;ѣAn/ L6 endstream endobj 5875 0 obj << /Annots 5877 0 R /BleedBox [0 0 612 792] /Contents [5883 0 R 5879 0 R 5880 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31526 5881 0 R >> >> /Type /Page >> endobj 5876 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5877 0 obj [5876 0 R 5878 0 R 5882 0 R] endobj 5878 0 obj << /A << /D (unique_196) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 171.2512 608.2] /Subtype /Link /Type /Annot >> endobj 5879 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5880 0 obj << /Length 19 >> stream q /Iabc31526 Do Q endstream endobj 5881 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31527 20830 0 R /Gabc31528 20835 0 R >> /Font << /Fabc31529 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nR.ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%eC7 ufg[X̳Oۏ"bH&|%l)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ$F endstream endobj 5882 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=466) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5883 0 obj << /Filter /FlateDecode /Length 1521 >> stream xڭXje7+\E:<"d;@{ӿSU$FJ*[+g=NQWGS_>Esn XaOϳva<늹0S>cӹo8Ί$eZxf_NwSVY/?<˧;Up'2{7P:e$:vڡACf]C5;<+GBF39^+Ƃ][| S^V^e7hL{ yAihx3&t3XI>E9٠3i,5^dƁdMܰl|[ ׫C-JnZ"N?\&cm&);q^kF1jK. Lj9&sjo43UD~ -ZgV;RzEݲG)4MR޲ӸjT׶/#[Q%юOU<|r}ӀY%!e09';/쪁}lYqB 3^cx"z%K/U5ZHq(ǚ:` 6{B];5m:(ަd&SzY Vuf{ØIЪtl<=Ao3{% |㉘ԪL.|_gGӖ eGݯ(N&|%n\B]Gʮ|%4ana[K%mp!~ oTw >zȕUL}T@z--ؤZx<6QIn ֝:W\Bm _<czZjkCv9*_^wXa3c},1F!xiWZQcB_;0<WP o 힕?eSCD## rb`8޶7Befhql:{'u:,4Nt?bb$sĿu!HGZ.zR޲>ːO[I6u6\8kraE IOnOC;Mf!5$k MY endstream endobj 5884 0 obj << /Annots 5886 0 R /BleedBox [0 0 612 792] /Contents [5893 0 R 5889 0 R 5890 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31545 5891 0 R >> >> /Type /Page >> endobj 5885 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5886 0 obj [5885 0 R 5887 0 R 5888 0 R 5892 0 R] endobj 5887 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 437.225 112.8525 448.225] /Subtype /Link /Type /Annot >> endobj 5888 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [118.1655 437.225 163.7275 448.225] /Subtype /Link /Type /Annot >> endobj 5889 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5890 0 obj << /Length 19 >> stream q /Iabc31545 Do Q endstream endobj 5891 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31546 20830 0 R /Gabc31547 20835 0 R >> /Font << /Fabc31548 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7EжYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLZ{/? D?l˜!E_@9?ɜ F0p|sLѨ\u$x2_}tw%BJJ‰p-Zݛ* endstream endobj 5892 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=467) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5893 0 obj << /Filter /FlateDecode /Length 2752 >> stream xڭZKoݺWp}|S_rk&ESw^|I:4Cr8j rqEW1"uԇ5~3&8O[{z8bQ;޽uÓ-DuQʄwS`t=#3w@%2@Ci+`xc#[\[mU>a~ עcS&Mp{e뫲 m6axl_m9I ׋RX1!8V-CN51ԠZX npSVg0M=o9cn1OBģv7 S{ D_Ah[]WpxL̹ ]ܙ}v;pک!QĹ E:j½uyHW۴meT@ v 熲G3nݕ^qU3hvDZ^5MIn=F^ \9d)خRC2/{ڽQ_8bS~gV;uxn位i%0# &d0H4$T3,kh[jJUus2,cɆΡiqCc#/`IٯdNZVsǣ̆M Έ{Rg#6Gdl(GYTۑl(ppGntƹ01zg@)m:0_D0z{ ̉8nbn nc]]{OFXv +,, }n`N`QxH\JyT XjNr3@]I'%^dprnpr{8=wv>oP{ B2J1{|,eKi!'0s #: yu s@~Cꤼ$X?OVY7 afY;sFsxmLXZwΈHu .F ]9)i;Due oT WK7_ .] M+n clEX^q(KIU YJq5,'Yq ~[. );Θ2Cm g0?#e b94gHxXvgRw9ij\<2T3^zTXYiJ4΀3 ym5wp} z+˳ z'g|^EΝ\#GmwX([ "EωR.ĻvfNS嶽b!s_DBw ;Bz~$.jἛ*njr>{Af;18^Ph[4  4: endstream endobj 5894 0 obj << /Annots 5896 0 R /BleedBox [0 0 612 792] /Contents [5902 0 R 5898 0 R 5899 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31564 5900 0 R >> >> /Type /Page >> endobj 5895 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5896 0 obj [5895 0 R 5897 0 R 5901 0 R] endobj 5897 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [90 437.225 120.844 448.225] /Subtype /Link /Type /Annot >> endobj 5898 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5899 0 obj << /Length 19 >> stream q /Iabc31564 Do Q endstream endobj 5900 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31565 20830 0 R /Gabc31566 20835 0 R >> /Font << /Fabc31567 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU7̅QbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1J endstream endobj 5901 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=468) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5902 0 obj << /Filter /FlateDecode /Length 4067 >> stream xڵnίyV{99Mv~ŗ۞ f)Xo(M[m /8E>cЫ.C__vVm|Շ%~gL ޭi=Zמz]}j-bCE''u4XmTVxdpu$Y90?3g3g}ZXlbe):՟:@;?k8h/=ݫQhԙ`Pb4^NIz %]_  (qHwa뽲A4(3A@8Z'B'nQ1@M)|Gb)!Z 2m]ƶMo?(S@R,ɂY %un +e>/Q@'c0KӅE XÕX>=%?pXRU}m]@30 'm(~ꗐ `+#Sb>mOn';`#{DKS|=6+UXӷ.4LF1GuE;dd#'S\EVt9cYuĨS1/"\ĹR>wӶtʲ ԟH] zha@k4^k+ -؀ ؊1G=!Г9[ֲ̈́]UB7h2/ s%uKMb#ZmeuK)+ "6[OpgMG`ˆ飫1s)BVDn{9p~n;z!_b6@K,sOĞel%` 6*sEi#ǂ8VwF(]YI,gn /Yŧ މT-U3fMhҘ:k߳j}s2Ƕِ($5 PIofTM-9/3Xa'trҡqnm`N՜l1M:Hy;7sPiU2|N7nnp5 wdn0 },`s0 w`ղ[36jw`Jgc~=l =£.K.'!%~Bځq} [R @tSX s״`?kE$kw0T_Z^;6/y{nm\VΨ%Kn{K_!ů=End RUݜ,S|-0;1YnSZLIOV\ lIIwUU62,kVE֛/̉:\(/(oY6]*1>vrSO+,c)]ukzasԳr׋T:(F-m+T@*XBHA:u?IK]0vo{|_vuWH Eȵa61>0Μ<:lPںben˾pu en"-x%7Rމ#h+X G')OWiI;tS>ÀU-W z5a)z<T;wNhI;nRTx)a FIٽF7.PjW ɯj#5-1˝eq_jHN Z?J"x;4E=.^Nxcn.2th-(K,綤i$5y x'wat5&Y21.Ƙu|tԭua҇[ 09.J߲l?5ʠrj%vy;"~mA:_{6(񴫄[E$)~_7c.bw|(/ZIe׫1:7e(ykbs簩M%JƸbVd҆ z*9 lgv>DeFC61Wbcҍr䖐Y N\X,4H2CBH벃1ЮG P~U@]x$o6tc[h\Ӽ|ni;72SGjsde+sMn29\T} ~1ۍvrP408+z{=r$cey0&9zJ0_6 |+ y@{08?=~3~YZ؄p ~ J+sY0tx&>♟a:p"ck/8ws }籉z>K:4Yؿၩcps`̏F/! /@5Xkzم endstream endobj 5903 0 obj << /Annots 5905 0 R /BleedBox [0 0 612 792] /Contents [5914 0 R 5910 0 R 5911 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31583 5912 0 R >> >> /Type /Page >> endobj 5904 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5905 0 obj [5904 0 R 5906 0 R 5907 0 R 5908 0 R 5909 0 R 5913 0 R] endobj 5906 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 587.2 192.4702 598.2] /Subtype /Link /Type /Annot >> endobj 5907 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 571 169.8542 582] /Subtype /Link /Type /Annot >> endobj 5908 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 554.8 219.6072 565.8] /Subtype /Link /Type /Annot >> endobj 5909 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 538.6 210.0207 549.6] /Subtype /Link /Type /Annot >> endobj 5910 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5911 0 obj << /Length 19 >> stream q /Iabc31583 Do Q endstream endobj 5912 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31584 20830 0 R /Gabc31585 20835 0 R >> /Font << /Fabc31586 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7iQthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 5913 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=469) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5914 0 obj << /Filter /FlateDecode /Length 1631 >> stream xڵXK7WlXca &fދ~Zݻ6Mԥ%igm\~x}t4e'u砭՗DZԧKӝ1Ƅx?ӗ@ƹa+ƈ58a}>K9N!GVYPg7H+ϝ u*p: nASp)vX= dk 9MzsЍҺsԟeɺ`'%E>ʪ$ur݈zD-. !=3ʯˆ7xv[Дhg}w=M?6,F>9jkvoh~ιFWkC~W|Dd3dvvB,^<0oxbqμ@]fɅLO:60[!UejW}99'pϤH@+s':vy6`ySsZs͚7ʫP^JwUuob9)Yݩkx3ٹ5h/P V{|*I{^4ggSکA6lԳa '3;e ůWZ ݴH?"N? \"c~mrsȒ)jޥlFbF \B!-@sLТԈof~=*r[#3Î_P,A4eэBg(!\BLSqM8uD|E455D9JW-6jZSL5/5L<,*;T> {3 Z畇082"N rF]3Ճ$.'B\SC3CQt+Q}gGyC e'ZS%FG >y\f.n=e Ưֹ,l`y1շ4ԕN)ҏcqဍ|3[*m_dm@]knA \bn^Dd`ocoD2̎8I>$IɭoS`2ߴ~19W[zt̲5>=8H/^_q„8нt`3<; P p>ggT<}݉މd9k106C{C w-,xd.As触ձ9({{2j- p5ƞVI۳$6 9I}O>ɢP q&+~H"'7§tNiU5TT endstream endobj 5915 0 obj << /Annots 5917 0 R /BleedBox [0 0 612 792] /Contents [5923 0 R 5919 0 R 5920 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31602 5921 0 R >> >> /Type /Page >> endobj 5916 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062948-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5917 0 obj [5916 0 R 5918 0 R 5922 0 R] endobj 5918 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [90 437.225 131.8275 448.225] /Subtype /Link /Type /Annot >> endobj 5919 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5920 0 obj << /Length 19 >> stream q /Iabc31602 Do Q endstream endobj 5921 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31603 20830 0 R /Gabc31604 20835 0 R >> /Font << /Fabc31605 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5923 0 obj << /Filter /FlateDecode /Length 4038 >> stream xڭ[Koʱ:0~:@vN dd{ [~6fUuU}Um1'boK3KK6X?X|}|5m׃>=.'.}]HjSll{ Xg>v ӞFY˵)S;?Hx{4:u #WWMo^cHjMfo. Jg[lWr\BY <. x}\<trQQ' @/Cd5$^Op\D]*VC) <v&hIwHXF`fb Sѣ+_s,:EcLrK_]6kZ b,Q 4 ÀlJ3Ȍo]|G/O3(r O{ eCϞ\/Gmtyw{Aj;gb|Xfфmm&6vЮbODv[}O"C&:ϤJՃ|-h&wxdwUYd+7'& `i3+`EA8hՐZ5EZ5]g\z^BۺQ/kQ*P:SPNq/'Sz(j @iv%x YQ(Р{B'=3г岚pKa q0I{g# srHVӤP9d8’R @_cE:[{+/l`Fqr6<^Ͳj$3^TT {VU}^iPs\N-SvGD# Jd8)_Y\gIhW6ƫF;w[y܃`t=l; E*[b-"igqtP%$dIݠd\ۍK&yI77EW7~a{ ^ /71ӣWk mh4$oRWp ā3x F y!xGlj2 ZX6TB\4}JCUy(DM9  qK8UROO'*q:q W~B,&r˂UR^ʕLRFb5+a ڻT`CڌMYpR6+&Fqn. Up>B48~518?WfE2,J^*h漇 3@+V{!VWͮ(#ėn7)6wA\iat!lg;߾o$+'T/cRubUrC'YR9s_nM H&{%JR͌G P6#:kݫju9bsDf{[DЩߎņT&ZM7.X:*]T+u;[ #s5[rœM ]]6rv5Uuշ ӎ@ ,+,,:, 9" jc&}H V*bsS5hceh9G;ݴ+ NJ,ݭ8nd= N dp[8w&F?'աlacRo#ǀ]rY1 ?a(j0~q} 2q~ԷOVO.5SivU輶y/8ֲ !H}>϶(\W pb}^S.afY/,# ;-eab#XSt 2l]+궧by5˗7o @UpWC#ˍqepcIP޲ .`˔2oa[Xj9éȢ ;`H={ȼmoCdzn)Ʈ#hdܓHv2 ٗ(3"eA6EACW"Dʽ[{ˣ*֝Gi#J"ҩBY!r[hnf@(,]^iq1Q|k8I bv@کb.^<rKd7܎w6`r3[: H5 C5g6[ TM2][ `}}k5oF;3-2Q2Fα/̝g'S0FCz*iu6:P" IQLN5p/0ºDWɧ`29@Z$\FtC%G*KZ78ŀ4t}rQm|Z#>{$e[?1=<f2<ҫYjʼ;NCX_:q+y;wOi$Z$a6Ϛ`^`Urd9!~nFxٌ63ɤ_#?qt3qfQJӻ)uw{8I"[h UoxKcE琇쮬9m~0G8sNAuM 4DH-aUOxŭ)`3 /x F#_Qh-d)xjob-xl5quʸ ZtW,6<:i2("ҁ*ZN$ȯj/r#Ix~ṕdW7Җ\~? JxLӇڑ( A 2#Q#Wn&<+CZًm+EJ&4KҸӚLwmx mcu.4dM6WbaDNvaxeN?-bUx [. Ҵ澫QŀGZEK\RGzGmG?|;Χ>|K5lM R&:@H5ٚտͩyTiTjyR(g^[Y5^ܞ!'~%N(FJy OY‘ wkUJQEK)$ꖲ3-͌VS[wtg,o"̨5dXYZ^K.js銭b45΅f7.UvNBFu|{V;(jRWf6?}V^~$Hgؼ_es* ;gMw}Gq ^ }ƃ4/0p`cvv~s8w>9zCa8W,}I8Y!v ӂ$*䞃0IO_?*v~$rV W 7=FT0#-B=>d~(gQK%Y'8gT'MKS%|Rπ?$8i7}壍gpzT#D \ endstream endobj 5924 0 obj << /Annots 5926 0 R /BleedBox [0 0 612 792] /Contents [5934 0 R 5930 0 R 5931 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31621 5932 0 R >> >> /Type /Page >> endobj 5925 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5926 0 obj [5925 0 R 5927 0 R 5928 0 R 5929 0 R 5933 0 R] endobj 5927 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 218.5952 608.2] /Subtype /Link /Type /Annot >> endobj 5928 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 581 208.6732 592] /Subtype /Link /Type /Annot >> endobj 5929 0 obj << /A << /D (unique_505) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 220.1022 575.8] /Subtype /Link /Type /Annot >> endobj 5930 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5931 0 obj << /Length 19 >> stream q /Iabc31621 Do Q endstream endobj 5932 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31622 20830 0 R /Gabc31623 20835 0 R >> /Font << /Fabc31624 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo R endstream endobj 5933 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=471) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5934 0 obj << /Filter /FlateDecode /Length 1626 >> stream xڽXI\7Wlx^ 94rgBOsWU[fڎ'Z*IgDCi^?Z[L NGN;)YqN/?Ä<<;*^bpYO(o$cp,JgA8X;*8q|$|PISo~YN Y[br4X"c1I~C?(&㯬!c6-i"1R5Ȇ_k/X C?e'yϹH{DD#0±(>yJߓǗ9Ն .yXot8͆Fb\lWϑ#~? G(Üף'_Sp)diT4*:֓Y{Ɛ]<:9"QW'dNQ]uE Ͼ\s,#߯"SW6ˏRW6S]ͮ]lo_޾4N G$ga`uc,>WFhZ0+cm)6uHHbS y(L4"m ζJC IGL5E]y'@_u1X\k# iͬN&cUkwkfQ^T^ˮtWhL*/Q~6O,ޯRŠ:Hj sz89gxyP{7ڲ5qzY\`įWZ,i~{k0;62_M"V!e4굖7Q7'rcB;FRUT!ml?bjYYHtMS4zri\TT#2U3mdpq+ꖵ9q%ѯ˜* i9__UX͠|Aٞ>"pb~q̙Y;qx XLU]48(!ʱNv%¹M@M+TR{JoC{ŀnV0$ܪ <-/+_/WuN|ㅘТ5u ΢|ώ_Lk( _Qh]M5|9n\ ]G. y[Ish*Lql6/z %Sr@޸R}`FQ,[VDz~NZZh-]R1wN9)a|guڮD}0{郸_> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31640 5943 0 R >> >> /Type /Page >> endobj 5936 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5937 0 obj [5936 0 R 5938 0 R 5939 0 R 5940 0 R 5944 0 R] endobj 5938 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 437.225 122.505 448.225] /Subtype /Link /Type /Annot >> endobj 5939 0 obj << /A << /D (unique_77_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20211013063105-08'00') /Rect [127.818 437.225 175.888 448.225] /Subtype /Link /Type /Annot >> endobj 5940 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [181.201 437.225 213.849 448.225] /Subtype /Link /Type /Annot >> endobj 5941 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5942 0 obj << /Length 19 >> stream q /Iabc31640 Do Q endstream endobj 5943 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31641 20830 0 R /Gabc31642 20835 0 R >> /Font << /Fabc31643 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9 ]@ U8Ρ\j|=B/} endstream endobj 5944 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=472) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5945 0 obj << /Filter /FlateDecode /Length 4191 >> stream xڵɎί9@/0tƀor!I3?oRӭ,#m|WꬎAU}SOOA;䐲ϯ Aߞ.b~Puk7[,ŝv;ǝqӄAzu{&+OC7_E%bd?+ 'vMmc *%HnG~P0-&'h.9'EJh\rT$=ݛ646@6bohSj iy;M(gFe ^G 3;  YQa# haRCH!?de??!'`gl&_;#殿~bDa~G 9LOa|ğZ99税"=AD+/X769" ȵŎ& 4aܾB-(l_kU ۺ 0Li cgɴT`݅Oh.bf4bRr_[NV7uPrq|'v\~ v%vo*CPUIJYTmϯ_+&0f=VS948ME3bݑQLx-.wqp[ХB-0M!;\}BK2aݕQkr'V4ώeom*zc7Y+tT+H=f>߈–]0`O 6D&'(rG4h WOh$j䙩ɶw #Wf:w* r*r%W|, ?PLp YW+711;  ( x/@ AC !/Ч 5=ERJ:U4<+qËW:4DѮAI"4q__s} 3Q+H Q$xjq %WFC5JrB545~÷ ϕ}%8.8puB*DH x'z%hQ;EB*ͅޗ aBl*^[x#F^A2Ҿv.&Fgk.^ęŃQɰan$0!ŦúZdK’eGTMTY^|#%ޟ(`(Sr<#dNl`uۖ)qMIl7C̴Zӥ LNx;ncc>%Yz%FN6l!x!˱&p-zI͜d6[6:8` K4>c<%=)&H#pD{30 Oβ,iCIqbǬeDRPITɄ".NfKK ~kJo3T;Q o{X8e?HTmGm-cim E x8(|'_?n6[=sow~] mԣ՗nNd\/vxJc77Ś&I|^po0 yhPh5< /yA!X0 ;ZcV9 mW5F yI"L2St=it Hԭ`ֵJ< '{SEuOH4,:Bߢ>;~ezĂcC͋wYypC")D]3uz\ABcat:.<6bX4Tq!1iD ۊc:}qb!_@QMRD'.+WxہE]V9>I22 8&h19pIggV)Njߦ)(Yy@ ; hEVQ!նBBH7"۸lV$8n

n`S|~yqAY@8h]hE#Vfxsu yE^yne&՛TglamwQt }!.@HfHTbg(sѓ(rZ2Mm}z;)M698d)y L\ Pf̣ z_ߍbRܼ - "n.,w/k1bQ#Yf`㺤&3ؕg۠0u§oVSj6bZ2Ah<..g+kj\/Vg'i8CskQX+ 8u]ҿHܥxH.Sa "5}e,#GZ/8>1J/R**i)6*TL_L] C,j@|:?䳐[έa:(+)5c{x*BTSi`֋}('rH(>.Sq M, Hq.tqQd2ƫlwۥAQØ->nc{1'i}0{;W@KN'7rzÏxm3b1nw됸kNO|]Rotv]'M'H6:]T?CT(#l_7AX,3\[WxRЩJY}@˥K j endstream endobj 5946 0 obj << /Annots 5948 0 R /BleedBox [0 0 612 792] /Contents [5957 0 R 5953 0 R 5954 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31659 5955 0 R >> >> /Type /Page >> endobj 5947 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5948 0 obj [5947 0 R 5949 0 R 5950 0 R 5951 0 R 5952 0 R 5956 0 R] endobj 5949 0 obj << /A << /D (unique_149) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 546.9 202.7277 557.9] /Subtype /Link /Type /Annot >> endobj 5950 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 530.6999 208.5962 541.6999] /Subtype /Link /Type /Annot >> endobj 5951 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20211013063105-08'00') /Rect [104.1732 514.4999 215.7957 525.4999] /Subtype /Link /Type /Annot >> endobj 5952 0 obj << /A << /D (unique_53) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 498.2999 212.3912 509.2999] /Subtype /Link /Type /Annot >> endobj 5953 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5954 0 obj << /Length 19 >> stream q /Iabc31659 Do Q endstream endobj 5955 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31660 20830 0 R /Gabc31661 20835 0 R >> /Font << /Fabc31662 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5957 0 obj << /Filter /FlateDecode /Length 1788 >> stream xXn$7 +tP0pw6x`|#)n/bZED>RgDEi^?Qt:IϷQ;!kv\BwWjm[#J'睾UP㽩ԿS eB=֣<˨̷6w6Q>ӱw(ܙۅN+,qGr9 Pk5e\T3Yi%e]vènL^+1O .(N M~?H*_A޺v 1Sȱ,' $M/HCk2j(at]2|'x^]=G. ;lQx>$mGc@{/3S1Duz"v@}eoV|^vlL'Df'S|qz9UyugInuvUl@#_kJ3jz!JaB#n1fSFQSըXVۢnZG!}ʪ}+t @z--~;Hq;7y|sGjc5t@\N]'09)oOsaSXFzfk}@ fydw\B(8 Y=5{ Uْ֮xOVj[> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31678 5964 0 R >> >> /Type /Page >> endobj 5959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5960 0 obj [5959 0 R 5961 0 R 5965 0 R] endobj 5961 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [90 422.025 131.8275 433.025] /Subtype /Link /Type /Annot >> endobj 5962 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5963 0 obj << /Length 19 >> stream q /Iabc31678 Do Q endstream endobj 5964 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31679 20830 0 R /Gabc31680 20835 0 R >> /Font << /Fabc31681 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7e ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽? endstream endobj 5965 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=474) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5966 0 obj << /Filter /FlateDecode /Length 5282 >> stream xڽ?'dj%ho\=\5,Œ޴3.՚ߔ] Ί[wRrIK([ҡ2_\⠜ p OQ6l﵎G]g?Ni^ri!)l~G?v&v DKFb/}|B|^ ,~#7u uHjQsE5K_86[Z ,_PDAi0bճ`0ڔg E8o],߁{ t 0'˰g \O\u: oo@f:m(ӝ6 :, mU>an>E2[K=" &Тk NC>JC&v0y&>}bp```aSM[VRYVg6E53:VInղ:iTm#-̀ bV,TՁ"8G@_|q#`'Z0#P c0ԅa࿡҂&Pt FalTl*că#uY,rL>͢J~4 W'-jG FBӨ̳g 0"`ˇ QM[{W]u>VebO4^˳Ij̟a0uUwq[(}Lb-,-Hu!@%x 2Q_I@o-,VcQIqaBkK?6/ET4'MG&VBZ/|RkuΜ*_VJPG lɐJz\SsˌE8}dfQ.7)6uA}TiaTA#UtE=[Ldqd2:cԕ޸Yb–ĥvIi'elPݖ,>M0v RT8mBYa4[heǶ:Qzo D,c% &;8w7jTqa`@rP}aQFMN8ge7A1p2R:8`8Uu@ ӎf<6;0;@7\blv0 9=0j 6A:YF4lzo>| %Ǹ3)+Sfo3uߟ55{1`:RNht:~x\*,?gAp:jzeʏ[l,l8<&!` e>@[C%Xk|D'SBz V".Ι[NL~ 1J-ARmhc4T2^F4 VO<&BƧ YG$ttڱbE;㍶c:$-D JD NMĦЈS7WGEQ'Ə' Ϥƣ.w?D@Dӡ&(N^Ռ$/tOp¤ac~w1zp. jْ̈́ "555 1_H-3EoVi[c} ñ'Pn*^{A0|:`&_0R!X[9)ӆPσ!a%qe[ǝ yXS`$gRM/zt}zzΎɅM"M2WXagGƸ5}ڍQ#̥5A݁8.ԼH]ѡ3v费DY6h-k> A̴* Г @Lc `Yٷ%1x˽A.tkЅDX/D40׀ 5laϘ>g-t.V ["Da0'f=$(lx0apIj`+!,G߳0L(=UB4Xl F hFpIՇTB wXd dR1%"Ni+ ʘ;Q. CŰfBk$ %-97+.M#  FA>2eݩ%Kq) 5@HC ҝzF [tDytd[ +Da#! wGUj# )bύ5X[ցbu݂*0d"hUAyhފe 8H1U@/)y1^wIv9((_HcY jta+3t ZP~\e.n-GL%e3BFWpO=Ό %-vݦ#*)ڇMZDj12MH=N 4vZ!`NDT 9b9ΒVo a0i(ҸXkEe4lt m``N-4N |{M(†zpe֋ݜ*R$R3@~+:ǖ*%axg`5bDR`{ʴP*bBD_ܼğ "-`6tD;="4iO`N8i59hX"m%H9X bDT"@¸Vo|J“ v._[*0BpbP`nG.SWířp_˸yKw=dF;s7m0YtT£ ~^:!V%ƻۢ"D"mo"B('TX)Ҏaac9੺ UC\SҴx+pV8?jXO OJqcMsmC abe|^;ڒkyNuj2´jKPt CuT) ꮳ[^~)o/ԩ 5`ߛiJ$(NQZ~d:2-Oݷs) 9tT&Qtqڢ:+?e#ӛkC' ߢƗk&;#3`&cȿGJ.rO65G8⼣tG U_0`}d'!D.Vy;d+$[lFcl3Q~ô:TV%2\nՠ9:`ƕxvV:ʊ.#79\SL|"^_~xU3 (~ܵRxuYHPk_9IɄEGq ?vRR󥄛|}4jLrIʎYÁ=4 D_K7fX$ݼDS I,Ā^fWaҋ*Sau=D `rU2@ltCKhxFpubnGl[Q>QEJq0 L{. EL,Y+HK| - 4rYnO'-P.ʣ2#5 s{[6pOow N?GuQLK ;;BIB9c6~'>^^^wV 'yu?4!0?+*ػ~dmi9p~HWTSYC;SMWxζM! xp:?£@OMP>>?5AcSF+> OOk Zyx! endstream endobj 5967 0 obj << /Annots 5969 0 R /BleedBox [0 0 612 792] /Contents [5976 0 R 5972 0 R 5973 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31697 5974 0 R >> >> /Type /Page >> endobj 5968 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5969 0 obj [5968 0 R 5970 0 R 5971 0 R 5975 0 R] endobj 5970 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20211013063105-08'00') /Rect [104.1732 539.0038 219.3267 550.0038] /Subtype /Link /Type /Annot >> endobj 5971 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20211013063105-08'00') /Rect [104.1732 522.8038 209.4047 533.8038] /Subtype /Link /Type /Annot >> endobj 5972 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5973 0 obj << /Length 19 >> stream q /Iabc31697 Do Q endstream endobj 5974 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31698 20830 0 R /Gabc31699 20835 0 R >> /Font << /Fabc31700 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nfЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY5ۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}<] endstream endobj 5975 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=475) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5976 0 obj << /Filter /FlateDecode /Length 1828 >> stream xڭXKWl@2F140z\Ճk:@#*"Dڂ}}}ۜi/b|{ycevn.ۗ7σs5;W.xg\p>&1YsKC ƣ N'bj\.#vD :#^-[*Y/>ۢA~">K6b ;:d }Ӱ ZNJ^LЋ;Hԃ00cǓZP6r?ۗYxbbh_ua*~e\r/EQӜr0NcJUY;R%F@^U Ƈ|ԟFrFjw{P6~|h4DMm3$|>xO!y-4ŵz^$t=ٹu -Tgnŗ SjSR&F6# 7$hDqzش#yj<fEtK( PZمIgDir1*M:l%a\;=߆q;Pz|l쫧)R*n_PmQi\84'*J)u(uC =P}S-(#{Hcc o??ޡaTks(fDlp"%ck$#ݡD;E?#sbV4(I1=` 6,!nxsF}JW(tAڧ]q\zF^困~|QV$Kr_T':0U J0IAo?GkDŽ{$&8lqf@Ր)(ε^#aSBB,1U(U¬wk7\΄%wt̾iMsf}f`gdC(ͭ)qf *ڰhTxׅ:;,57ۿr.6l<Pw>()^捸#F;ߐa*ddLt+t|Fl$c q~a9e8Dů7[{h}3D82H0A.;KmN靸/Wrx'-iYǑ!ج퇀m6ّCSg%=Y8M#=RfDmdQk sL?_ ^^T*SICeWu]a7NY~B|pgayE)wb7BV 1TM%^lOIXS/U 0 uSjȥSfK3)θU^ 4#E"X^|sxܶro$ @#i0a*<;*G*:{ؔ1 4U)Me% q[rV! 䲒!LiĶZVr\قԕnl %kToupD:՗"ootI=tP[y *6-sYzC"7znũp !;@b/r߷#q3eձ xEzj}Fq`w`3<;m.ˡL894|ʟo>AA YYU 73ڍZ|ZC~.|O[S=.&8kJhFaUt$.֭ O¤Kh5Bx{Ӷs/=>-:FV; PbC| endstream endobj 5977 0 obj << /Annots 5979 0 R /BleedBox [0 0 612 792] /Contents [5986 0 R 5982 0 R 5983 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31716 5984 0 R >> >> /Type /Page >> endobj 5978 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5979 0 obj [5978 0 R 5980 0 R 5981 0 R 5985 0 R] endobj 5980 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 437.225 122.505 448.225] /Subtype /Link /Type /Annot >> endobj 5981 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [127.818 437.225 160.51 448.225] /Subtype /Link /Type /Annot >> endobj 5982 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5983 0 obj << /Length 19 >> stream q /Iabc31716 Do Q endstream endobj 5984 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31717 20830 0 R /Gabc31718 20835 0 R >> /Font << /Fabc31719 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x77 fc}Lh < ӄ9pZ [n*жYzr"T,䪍(?WG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~uQF䴫3^JمQQ@;b)G?+QO T>Twl@+pצb/mk: S[${JLh*dKRG"i}J.\[-: ufg[XLWۏ"ÐL$3j4>S~3c e/ KiܟBd#Xr^8`s269I*d~{)ƲΡLH9.;2Fsh8J-Zݛ* endstream endobj 5985 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=476) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5986 0 obj << /Filter /FlateDecode /Length 4116 >> stream xڵnί9@3|Mb Iv0sOWmYU,r>/KK KXei%,.O]}9엧owƄe99o]u1QxÛ]٩] 9m %<}yI 3Nny^ 3߿aTi1:>mή.EwYaeEi*$YdLv%Ǒդmo9p5y Vs|@5Cx"5Dr ļXcu^l˯X`tJR5ND}▧@= ~L8*zM;;~[`&f>YeҎY2]T4Mwn# ^`$)bDԖ&hY:9hDZm6$l`tcYT&Xʜ.,y:Tt`PWwP60js_6WN@۹9ئVЮNUP-'tY ,, WpGfXaf̢Zv`B,U#+R1OVـܑݔĕ `NJ,ݥ8=2'5''麀999WV[1'MiٚLHXKIicBND`Hjf4.auR2Vo7~utjEpOOBuM{gG bX x=־an=~*s=Kҙyp< nɓflMg Ғ6[u͛6aR4 6K䣃;{mED^0bX ̈w*#UfBQ 7\p* 8n5{xtlNULЯ|Y TȻ{Dlf2@MMӢh9Hb=QM MeTuR㉹:$V*"sovCL qAy] W&P6Pp͠W[ϭ@uvUXG*0C΍@_EuZ!f ~DTx GBK"f 6cS3Q9S>a(T(*_R[t:O=1]X&YSSw~9:+=1q @ HBFG>˯@Э6/Ȼ"`}1#& 'TXf#SLI`R ZzTQ NrI0S;q1u/qBx8G!%RvneuPVRjjtһxEF!)kņI0},܋!e( exK;s Mn28\T} 1ۍVW9(jsw͞?oA0]2flEDWqɫ_4YR1Q6>ҝ@P=P4fćp;q<\~\:r-?]:9w ^8Hۇ9o#`X W&6 .MD\Tȁwo`eΗ}%*^y_}/˻gnFsXnN5DT[q؎;PD,Mwr%޷aYxo♿78svZzB;AD,#U[> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31735 5994 0 R >> >> /Type /Page >> endobj 5988 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5989 0 obj [5988 0 R 5990 0 R 5991 0 R 5995 0 R] endobj 5990 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 203.6957 608.2] /Subtype /Link /Type /Annot >> endobj 5991 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20211013063105-08'00') /Rect [104.1732 581 193.7737 592] /Subtype /Link /Type /Annot >> endobj 5992 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 5993 0 obj << /Length 19 >> stream q /Iabc31735 Do Q endstream endobj 5994 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31736 20830 0 R /Gabc31737 20835 0 R >> /Font << /Fabc31738 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nRQthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 5996 0 obj << /Filter /FlateDecode /Length 1618 >> stream xڵXKo7 W`T5a-==9ub]#)f6NcHivDC^?>s:E=;bYE秱4dcmΚ9Z?[ƈ ?!~PJ.YBNW kX.l,wzoC(v=Heݢv:Bw߉L"Wk0;>rF.f?ɪE:8ACKwu-zjeu#&tD6wH6z&R趩y@Cedg19r,c|бtY>O0&_Y%Cm6UdNA|, _woOYƇ6 (4,csSWTvAD+XeA8'[} Pf>o#HѭG5΁͏0䌏)8c眊YZJJBɯBkgwH{.WU[j[ZUL(&M1W$PwOf#_`7 ]~6>VG246D':B0g+eSD!!55)A= odd L5R:K`2SIYGS\5E].]''t QƈІB@EbdD]1"o"W"ZHvQ7u!;Nm1J{"}J/(NKrX 9됸ګA6(fJZp^N^jQG5@Oj.3ߣm&w+Ш׹ӌڀިR8%&lxjowr^sV cuBnĭguMWFsERNR^ӸTT_dZgoH֮[F wJ^9 XTQ&S9YI}PuP]7-/)`J11>geshCg=bjf SHC1R'] pnSҨiEΝeEYf#7vQ:T9u-$fBYE[]V 992a$)ƘӚbʷ(ŴBِ/Dk0QbVnq!.˾5wySv(䶒 mn6e{ջ<6I)OyHi#GRiYso~HW7W*4W}.5Ct-G$zD6gVy\c[ ~dBd臇ce4x3:Xx Dis>I_0.#9xg*>6d9DZLMϡ=kzѷC ׎WWraV 3헇pȯ@lN:Ȼl^Z|im;ƞVY۳%mmOzO>ɢX{wB#kv?,G|DSPck?ᅥwYH *ҿ}0 endstream endobj 5997 0 obj << /Annots 5999 0 R /BleedBox [0 0 612 792] /Contents [6005 0 R 6001 0 R 6002 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31754 6003 0 R >> >> /Type /Page >> endobj 5998 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 5999 0 obj [5998 0 R 6000 0 R 6004 0 R] endobj 6000 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [90 437.225 135.562 448.225] /Subtype /Link /Type /Annot >> endobj 6001 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6002 0 obj << /Length 19 >> stream q /Iabc31754 Do Q endstream endobj 6003 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31755 20830 0 R /Gabc31756 20835 0 R >> /Font << /Fabc31757 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 6004 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=478) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6005 0 obj << /Filter /FlateDecode /Length 4304 >> stream xڭ\I8Wh %_}ИST  d]7IKf!-Y(I-.z/u ۫mudWUyjlo՘JsKpx.{{?.;wo~|'.K/64n\\C߭[ʶ#ck[`_yy1/ۖm.VGB뽍PO|z\y{Pm[J ?~!<ϣ@pG>KDl){Tm,;Te_kGR=H]a}Xjw (O'p#z=^O8wf[rzΗ@Bc/,[}F .P {ʝ#_-i]RܶM6ℶIhWyczPBi_\׵U +;=0ZX \͡0v osPAh5*{Cy]*Ӷ:r7(xܠQ]XT/> dw #{u[z-h&MSc>5'랗x[:1ծYXosAF͢947ifǢm+m|e{S RQFKe1#ߙ:cA!S,;D#)!2DWU'JYͶ=AT6UىrSG|}TuVIhR*#4QT%yt#Ώc]"c@\nJ^ k.yKb&K \B*92zeTlây!B I1f'HSn#iRoj YvsuDh&k_rLsʰ4.̋=),ۋHh Pf1ݺW)vsA{V Fg?m,c *2]<494]}ܑd&8KI/T`d&G%re\kP6:ocwb:U[](J3D҄JzRan06>Pc`Ι͜31+] CdC MTn^u `n""(X۰ HS"!)18]hXJy( ppЛڕr0QVp2"` N Na pSɼ3g8%яAȼj>nw D5V~>} Fa4G4'~eI2';H26ߊ7'1ߏ6~biJjxC^d31Jk`d~=oZֶHEҺ-"wHVڬ`w*Цp&({~3>Sc4.Og定Q`7||A\|O[q>xe$ ۣWa\G.nt5&]6#3I,`|ABe*ʥB5<:Qcñ[֣ДD n2aDLVESGpQPO4Ju=40@;=3h8r4:21u)P,jF %MhY8h&9#D@\q}^(nf a ALRqPV> (Q= V~Xz|#vԮ=D,2$zH~iӌ'$C `Tmñ#+(#Ⱦ*`wqy=dgbi|f7>塛 z:2 d*0b}:uG72t^Zӽ9woP.qD0z7yc3(U| *~@ qjK:PESgu)kPiLwΘ|v[%$]^ӈdkBf9V2M8\<[09m國ʬn-ax&\˷}ܒYF2FdCƙ(Z 4!\<:uމ3fй9lt|2{<0ɋ~ Yꎬ)YH9Qix0N" ļ5{{ oPL%<;M=O8?l{3Kyꞥř1QgHn[0GHHr|nSe'['avո-[dm[ǃt$ctpgW=Հ^Iֿ =&zq+J3< @O۴r4ݔ3'yʇ}Z 9 tuC8[ܭi|nKAHD-}qwsb$ u`444O4KudMYt>(h1Ҏ:}R h"1Y;n-t ՜5`'t#<ʤ; \~qNC]1Rj{m'[|㔣 I(gH7'{3}DwCPk`u$rf}G'kjc֯a[}Y|R2~Cg۸ԺR7ӶO^*N[BIyS'OmcŊz-[A \+nӴ@JrM| %T>'mmPork tؠn}ʷ97G9'͞ys+x7oJݖ&- v/s)/!h|;l$ˆHex w\8fӖv"W"~ux7w##mEdqtdfGvq7bAQ`NBJk'Ҿn@ZZrd+c[x(NswT}~\ l⡪tϭop}'WԱ1 g}'|>z}Np3`Ov8U4D#fƒ{r=^G7i1I4Nm 0 GrȨ`~f> }&&E!b~gC~^]jݷpVH6Qn:s7]˗$ؓ=K"5o$a=ѳ|Fb-;:(8`A?v endstream endobj 6006 0 obj << /Annots [6007 0 R 6011 0 R] /BleedBox [0 0 612 792] /Contents [6012 0 R 6008 0 R 6009 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31773 6010 0 R >> >> /Type /Page >> endobj 6007 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6008 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6009 0 obj << /Length 19 >> stream q /Iabc31773 Do Q endstream endobj 6010 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31774 20830 0 R /Gabc31775 20835 0 R >> /Font << /Fabc31776 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nRMЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ>P endstream endobj 6011 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=479) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6012 0 obj << /Filter /FlateDecode /Length 1495 >> stream xڥWɎ7+x6 2299Mbsҋ$@hdz,Y 7QFOlɶ{d9㪚_VD{}{i 5agRz2[WL@jXǼ,c܇܎;1EN~ ,zd,v6?|7~gjP'`8\1e{ *& 2([f3ם \zjAHp}8鴳'Z;ׇTOP:Mk/mnunC6#8B0L鵨-˝! GUa;x|b\-l*s~'A6&2AjHC~/ֻK涶ϟU-w G %`/ Gۛ+>Ц٪F{yFO_J{>q(3G#{x_k+fvl  #!Q 5;Tp,Lr.lf9JHG.b-"w/\kγn ؔ=h0jc \z(U0䛹c5>܈#NMU4nꚹ]^msW"2Ǩhgm &fYz<41Uͷysenuv*ie\\[PքRL ^k= P1q*/ruhhp*|Y;lfJߗmM8r[HB!,;x ĿKe17L&p~n'轗? ୩#kߺvEo{f`cФ *Omq)y)dDbeBݝz2ߑ|_ϼ5֐3>Ќui%9(l`(]vZTY'y澠f @׫B#Spzpˈw,"I&f[iF$[QbR794BP&%U% ԰7a2Yxb_¸㑋O+ҨҭrX^ ٨3_ *l;r1ilSQ;xlV,q %nbgѵQẬ W G6˔^XZٌ՗'ݛ/ wf;1+_l lh@5m}!\1KBȕzfIZD~Stj㣠T~Zhy{nTl*,/1.cY3.\&zlO\\r`؛+ eB`'$JDg#Gv*—gnxG 2E " @ܨP}aP !7*u.\GR ,N9X^O-L&F-͎E,VUْDOV> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31792 6019 0 R >> >> /Type /Page >> endobj 6014 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6015 0 obj [6014 0 R 6016 0 R 6020 0 R] endobj 6016 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 422.025 124.1605 433.025] /Subtype /Link /Type /Annot >> endobj 6017 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6018 0 obj << /Length 19 >> stream q /Iabc31792 Do Q endstream endobj 6019 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31793 20830 0 R /Gabc31794 20835 0 R >> /Font << /Fabc31795 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 6020 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=480) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6021 0 obj << /Filter /FlateDecode /Length 4134 >> stream xڵ\Ko9W<@$ PIY{Xɻ݃}鿿++![r&d0_ߵuͳ:Z2ǟ~ YXՇ%~}`Lȏ t=[׾k?˫N"t4Xyx?fIeOf1 OgK)R֒u,K6 G G! ƸGE) Y@ VK\I'Sx :W>/.fՑr oR"?d ,)9﹞ōJ.{)!Z|ǟC?]ƴM?`I$lfQQZ떰(ͱ?~N@]鿀'zyz'x'@)F4jvSZʺʥ[K}!C?r~=L2[jnsdA}Aȥ"Qa]BuA)sNܣ↼h36⁜oʸhm:#Bjޜ8gryꙭ 8;egV0(ev醺#P-Hʪ@jt ָWV0Rh2Vܒ3=1"Z0m͡F 8H|wԆnu˝"q \'fWPX~M]nVQGW DS(`YS4x5g9XY$ uAY_6j, '"e 5/wl~;`쇅ċ`[?oKM zV?NNCH}c1g𱠗5 x:Oe>uFaG%PC! v‘0~:b!|x^SH8`^~:xku{d 3^ 8h戬-F$߳5t~9X㦽ONk_d8GMěT=έiَw?ys] Nvsw{ׅWƈI]!/,XS8<"RU{qPP]i5t/BMi@=,CLmuJ@^.=yЍ5/3Jyj$dFX UX;ܲn}/z-^h]y["nJ;Hr2 zqmX [7!ڹ<s!ѭG :1nR#l+Qo$їY;֯Oܶ!- mÒ@{ mWx뙐Lg@@䡪<3/[ GJi@\, (ϲ7|rh Ik;? nmGg_ޓPV]~w]MiҾ{5 ؁`hc_pp>\\DPLN6KVE\l}_|=MfGQ(m#2/)Y 戧耮VWouM]CD1 W 1*B(^~;>M;P].:u#6Q!1];C`$6F=*5 ~aDZƗ{1pNcf2k8Dàtw,8iF >u}2kZ"{gGϭ~D#_UN>¢"(#ݰUc$ @S֗^RuaQS}m|D5S#cJGZ*MzG5f܄2{-VxT{@;Θ\ǜkERsmeU5쾧suV|M1=3`Ɂa0<1lh5BA3;gfm}>^Mj a_7Cp uzY8mcY84~1n &Ģ`K՞oW[O0ϥ*u,"-v}!.΍D@,^FPA2ʜd-_?Hv]oMx4| NT+0zD |4dFC61bb >@(,EIVg(oYy]w0Q]>bZ21t<4nW_\d(kjJHJH !#pW`^v1T/Ȼ"bkbi7ɉ|ZYg#Cm _ 7kMRQEuvUJ2%[™VЙ v{?$峈[ʹ 7dZ1aݓH6F!!9k֔ 9.a'Uk& o,qN5IHqQv2m7ۥAQ-' 6ޘ: UMR +  G}7qX{ q67>wbQN];p PgcKtl\!v Fg0ǽ*B90 /dt_}>/˻\n:Q~cpFT0(mJeyKeub08\>[a81m{IAT,=k{FVջl`O#ĕDtTQSo9p`(F/!=_Dvq, *b endstream endobj 6022 0 obj << /Annots 6024 0 R /BleedBox [0 0 612 792] /Contents [6031 0 R 6027 0 R 6028 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31811 6029 0 R >> >> /Type /Page >> endobj 6023 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6024 0 obj [6023 0 R 6025 0 R 6026 0 R 6030 0 R] endobj 6025 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 522.6 155.5267 533.6] /Subtype /Link /Type /Annot >> endobj 6026 0 obj << /A << /D (unique_537) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20211013063105-08'00') /Rect [104.1732 506.4 160.4767 517.4] /Subtype /Link /Type /Annot >> endobj 6027 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6028 0 obj << /Length 19 >> stream q /Iabc31811 Do Q endstream endobj 6029 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31812 20830 0 R /Gabc31813 20835 0 R >> /Font << /Fabc31814 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐk査=0G35V-dQ\0Ɯi,N DW2%ī+O1%PƵa(Rɐى9_>Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6031 0 obj << /Filter /FlateDecode /Length 1815 >> stream xڽXn6+ ߤzfׁ,Yy3hosUNܝ`lK>xxu)x?SS`^^M&')(8]~nU %&wj=Q msW+:ҮLHy ьr;PQZfenr I9@>\Fꦅ@H蔏mk x$[\rsF7vySTy6dk]Fj֛>̇`"U+ڮi}%_>D\"e;'o^oWd˼)+8:_L|DhmS@))L+TٳW%k.9zME\ -fHRd!$IhR SG!|l|2r9 Ve ٬x/6X+okSi^˼u,P8v86"0l2H ƣv6 3mX֝ aIE :x7v3,5]’-jW᪭zTglx,;DcwZ!_|zo#x(7>n._7;w cewfG<|Ŗs49Ţ?Cô4 ~F[܉׫(;y{$0< 9=hs Sk7vFD)Q5@^#1IWSm} i}ϰPmϜֳtll[iz$)O4=:j N#So}oO#c+wnB̤P9T~t`rc0 =5hc 2JYmsG$]Ӿf5CcKd%ܦ'Uy[xڤQR,pĭhEcfAk:DM{,'|۞qbޕqGʣYeà*sTPG)֝31Ll1*e)"\uSwyV)rZamPVró-R7BF& Tv##|/ݧ|{@u DzE?R?U?fQ38o4RMҹa8^ƊC*R]*y3S=S^ЧdzV'ٙXeq<%XHQڕַ4h+S6i xcѡw3G6c qUd 9DZ̊skW*3@JptEvw,O,'~"b,.x#iUTۑ5ܺ[$4ti!~,x93HOaDLʃ{|D55Iɷ endstream endobj 6032 0 obj << /Annots 6034 0 R /BleedBox [0 0 612 792] /Contents [6041 0 R 6037 0 R 6038 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31830 6039 0 R >> >> /Type /Page >> endobj 6033 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6034 0 obj [6033 0 R 6035 0 R 6036 0 R 6040 0 R] endobj 6035 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 394.425 122.692 405.425] /Subtype /Link /Type /Annot >> endobj 6036 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 394.425 160.653 405.425] /Subtype /Link /Type /Annot >> endobj 6037 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6038 0 obj << /Length 19 >> stream q /Iabc31830 Do Q endstream endobj 6039 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31831 20830 0 R /Gabc31832 20835 0 R >> /Font << /Fabc31833 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEu櫶`ѼΡDHI]@ U8Ρ\j|=B/}X endstream endobj 6040 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=482) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6041 0 obj << /Filter /FlateDecode /Length 4227 >> stream xڵnί9@+| Mb `O`沿z%cgWUXd& ?|NަRglJϏe󳟬^~:?'^ަӄg~?'ybYڬs|T0K=;fL')zR2)e^v6kE<_ݴ쓨6N^NB+gWtC+R^@|y#_oW`<{`c'P/LB)pb(  rAZUӦe&k;ACUX+$uQ CV1a8lj (:z1ٮ{)~; ĽFa=PLcg4+҂E(h{ =l<7T#NLB@~]^f7+l]fQRtC-> .U-A0dH?1{xѼAnb:EDˍX Ԡi0 e)R=;3׾dkE-"3'αSįrzbU+ln megԸnF=%ƈc[zpMQ`Pv?yot,$r1cA,8|= )gaaļo uF>gq౺6rD] Wݹm/"c<ʛ{',񬡎&9 Wf*zDL :J%pLTh7&^Ƹ0q늗_$8`W)UJKQɜT'1[4' ]dژE$Cl7c@.9ƕIYtJ>͌z3>*Ξ55bJ;Hm?ZrFit|x\*,g!~ yv;.y{ "n氀i^glJ%i] X5s%öt0t bٺKlc 3l [>ARMV)'I'.üCMs;O1/MJ f`2˼j (ޜ\fdvϗ8/卵dh!۹AT2Nf4f Ycn-7GQO3N#[J,;I=qSz,!C|l̕&e7mv'3>1i0 Tr-Dl4hSEό6qmfV3͛qRMlkVRG3Uxq0CG?DRhc_:ߵBQeIm(?Q_n>'Z|뽒8>0swdʡCYJni/BU,܆XK3sc;L$-7G1MбX*)RubC vۦۂhʊ~_R8~ol4`Z)jMHVs4b*!nci~rvJLxZ `c ?ȍ%BHX?G *+(T+vř0@# ZfSZ<#d%0 Z"d1=P9Dp?K4;: 8sS3)Ufpv|2jJCX%V][Z]:e%qT2O`\9M0*ǯk5fo`^$1}@j-%94OO" |F_ɝ]Gh@'2~a|CR v?N ,;_}F{Q(M|Z檍dNY^lETMc0=&Rs>hm @ dY$H+'GdPYW*Wi3≈ERA^`Ӯe.[A̐W]mX*\1e3>4(؄#ݗ-](؉)u^h@nT Co!JlႤ.r-*YcSx̫X SG䭼w1 E[E'۲^uN>Hvy`kGbt+x1<]{Z6vѠZ<"8h.#&nOj^dr$TYe@rubM+\䠨cHkobxoMU}pUg|ַM¯sZҝ&DWA a;0$2-v{A~[+L"AA \ endstream endobj 6042 0 obj << /Annots 6044 0 R /BleedBox [0 0 612 792] /Contents [6059 0 R 6055 0 R 6056 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31849 6057 0 R >> >> /Type /Page >> endobj 6043 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6044 0 obj [6043 0 R 6045 0 R 6046 0 R 6047 0 R 6048 0 R 6049 0 R 6050 0 R 6051 0 R 6052 0 R 6053 0 R 6054 0 R 6058 0 R] endobj 6045 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20211013063105-08'00') /Rect [104.1732 356.473 167.5827 367.473] /Subtype /Link /Type /Annot >> endobj 6046 0 obj << /A << /D (unique_39) /S /GoTo >> /Border [0 0 0] /Contents (create_slack_histogram) /M (D:20211013063105-08'00') /Rect [104.1732 340.273 215.7847 351.273] /Subtype /Link /Type /Annot >> endobj 6047 0 obj << /A << /D (unique_590) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20211013063105-08'00') /Rect [104.1732 324.073 185.6942 335.073] /Subtype /Link /Type /Annot >> endobj 6048 0 obj << /A << /D (unique_48) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20211013063105-08'00') /Rect [104.1732 307.873 221.3452 318.873] /Subtype /Link /Type /Annot >> endobj 6049 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [104.1732 291.673 155.7467 302.673] /Subtype /Link /Type /Annot >> endobj 6050 0 obj << /A << /D (unique_597) /S /GoTo >> /Border [0 0 0] /Contents (report_config_timing) /M (D:20211013063105-08'00') /Rect [104.1732 275.473 203.9872 286.473] /Subtype /Link /Type /Annot >> endobj 6051 0 obj << /A << /D (unique_599) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20211013063105-08'00') /Rect [104.1732 259.273 186.6182 270.273] /Subtype /Link /Type /Annot >> endobj 6052 0 obj << /A << /D (unique_608) /S /GoTo >> /Border [0 0 0] /Contents (report_pulse_width) /M (D:20211013063105-08'00') /Rect [104.1732 243.073 197.1947 254.073] /Subtype /Link /Type /Annot >> endobj 6053 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 226.873 169.4802 237.873] /Subtype /Link /Type /Annot >> endobj 6054 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 210.6729 218.6612 221.6729] /Subtype /Link /Type /Annot >> endobj 6055 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6056 0 obj << /Length 19 >> stream q /Iabc31849 Do Q endstream endobj 6057 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31850 20830 0 R /Gabc31851 20835 0 R >> /Font << /Fabc31852 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u]EWmGyݝC.;p94C-z^lM endstream endobj 6058 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=483) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6059 0 obj << /Filter /FlateDecode /Length 2929 >> stream xɊ$y 5HrMOeSe-"2*kqDEH!}Ӌߤ𖊕O/48=_N#.*aV||k߰8^a?. Ep-pO0xwz IB{ aϑZL0N'{sicčs /芺dC,үz<ƧˊUF;: WWzQDqǃ$v6DK(YQHzẸ9w*O+SWwQ@*gkYї z 윳ʃWSˀ (^AWIgU(Fb,xVMHJQL,Q= A= OJC嬄ϐ-?fa+&ie-BoFJ+Qٗw"0҄C4U4$f[ŕ s]tsL6 w}e7k݄"a 3l+k6묂``^䭟ð!!'"rwh?X2 _%5A\Q9\{DA`p1_] 3QN;=W&33:tlOg㬔:E͸[ EҺhvl]8HcŮ$0 HMP* ${_v).dCUX ؍xpi)j|$^[t"/L>́`%`MDZ؝DA6r,:"P&&ѣws FrQ|dg/n/8Jl œMJӷ)M&I]ݖ4E,it )p hdIMC{z. ukJx_p28 'Ekv[ 7@/#:@qT~! z4[?ƩEE7Et^zאmpD%[Ԓ&If, 8*2:eJ,ogF1]fL (&ak!8*|s[mط\shG-9n7z2ͤ;en?,ri\!N\DZFT}Z~ Rs\l{8[:ߜt=(hCwscSXc]=[u:1߫toTzl/WX\37URҳW 2T6;UeEfDzM[U?:C`z2"kLF~T&uF5#m8o5lt7G2(U{յ5Ʒ=7'W='Q3u.\fS-a(P 'oj7"i;wH #ejH-BňKώ17J*D c(=ù86G2o0G%zEv) E"n`> =5m6/wAfoݔvh覝D iI*eVݰrg` 7@׊x1S|{> CoR7#96GLCZl[dD86:3¼5<[׏fR]woȵdMMw/`|i4m5='>O}\qq8tHmvM ?K?7?vpoGY -=IABH6`/?P48R ]F/w }Oٱ(@ŋ}>VlQ/X(^\"=J;OgMxz_~ل .8KH M-tP$`MRJ\x&^~x^']3pxQF6L66Ĥ Nq`L#C۶A CdYxV%s3Jqlە RšFd'2FIex{QrM_uc"f+;=m-ѺxI56ۺYa̍w8mjo΢x>x vϵRe :}4&G:Z{(:&Xv-Q3"d΁{P bWJ2_GauCs<2{|9x2؀O±_H~UxgVN8;ߕljtnKP:Vc3X sXrݛF I|ĞxS/Ms Lzpǁ33=dꊏ*KSndY`l5 endstream endobj 6060 0 obj << /Annots 6062 0 R /BleedBox [0 0 612 792] /Contents [6068 0 R 6064 0 R 6065 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31868 6066 0 R >> >> /Type /Page >> endobj 6061 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6062 0 obj [6061 0 R 6063 0 R 6067 0 R] endobj 6063 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 437.225 122.692 448.225] /Subtype /Link /Type /Annot >> endobj 6064 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6065 0 obj << /Length 19 >> stream q /Iabc31868 Do Q endstream endobj 6066 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31869 20830 0 R /Gabc31870 20835 0 R >> /Font << /Fabc31871 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6068 0 obj << /Filter /FlateDecode /Length 3978 >> stream xnίH3捀A /ԋ9$dU^,8˷,;#<>/fl_/,_ơί䖇o7ZtƷΗnv4}ձ|3o W۱ԯ0;tqJm9`F}y}Q|<-Ab߿/z%'jg\5%ߔ]~Ί/g֊[̬Rr K(kҡF%݃<>ot'&9 Z`蔣.#Gx"g=<{ʧ@$5$"%mLaO(RslR;ĝx#' 8~81p U/Dw݉q`قd,FYcα 8qƅ [BeZѧuq1mִ`?/,.@idg)fFc]}Y݌cmwpz*"?'zPc4M`fg+8"1iz ;Ťńzdqj'gL/ڗRZOA wO+0C ̌vd'L#lx#)Ḯ+JD)Lt#b57!6 BK,3(%% X``Ɩ8- d_4-p0{u`KK{@_|q~;?;oh/rMM8h.m]m}z5WgT{@=0岚|Ib&-z O'-j S&q pAˠK,jb}KtRvR\p-vxV.b"&$Lpn[\cȘ0h70EVosɼQ $?h RC-eRڄFhsg/!A);|ݾG~NoY+grvbdN6QOK,qCsqw3ƾQ}Um]<*_Dw֐Î 3}zRZb߶?UzAv &LY m:E zZC\%@ ȋm$h!R2bQL Wk탑LԴ}#=dLB/&92G~ǖ=Um̉Hglyblȟ;@: W vL@׋ X}ust-Nfe@1pr.2.>8`TuԷӎn2600\bvp 9q 6AX\F4W|R063Ud ='=v%ByGoG_7.dE5&>~ F/Cj{CIF1fԷK}:b~JO9OӫBzTxԈ΃l:̯CzJME<Xk*E9#=~B:}gR @r߿%&YHR̵da{jQ+^ib,R^j#߂ݰk9#AZv^哈t Q\t?jK@>'ĐOV7V?E\k<ĥҗmS@FDe"LMa  ŭFq/kl&%7wυqfrZGaZHWh-HV Z4XH͞-?pU&!gjM/oZzULGC,;)cKʆC^ XӖRC.~j+7?(ǞuSӾjy)Ly,/$.5(VMe[MQF;eu#זauj6W]]zd ym[c?fm61[nP~9`z7?#t:Ŏ %%n ]e]"R?UogiבY\0*&ndCLH_S ʟtE7ݳіON_ ɦ% ah[XLxa.Ѭ )bv)nC`Fokۮ݄o;h/]䢦o*&.զ r-;]pN˜mVb[C zȸc@<ӜzZ^&h5 5o20w)=f=&__ AS+|ЩǮf .ݶ'Zǔ_RddQUuq/%@ޙ;\ns·|˜pdʜj}Yu>ke4q!;4T|rI-mkg\  m%= D|PCs֚ [.jwlgi>V}dsM&G OM#_ݠ&mެU:oq(k{9gyߏL+6'aT9Wk,^~:'&ks 7NO05!l_pO ?+uv]֫q(ul< 0Χ7\W,SJ̀a4\d0Q]> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31887 6075 0 R >> >> /Type /Page >> endobj 6070 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6071 0 obj [6070 0 R 6072 0 R 6076 0 R] endobj 6072 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (report_utilization) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 186.8932 686.7] /Subtype /Link /Type /Annot >> endobj 6073 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6074 0 obj << /Length 19 >> stream q /Iabc31887 Do Q endstream endobj 6075 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31888 20830 0 R /Gabc31889 20835 0 R >> /Font << /Fabc31890 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.n8}{@ VBqǮ@{IRS;߼q77Z fڿc}Lh < ӄ9p: 橷൫Iӡ~1OE*(6'7]NLf JR-LFV#ЈH atAeL }3&4'*1Rs"z5s$B3Z٢aXɐى%_@}}{Ԭ9*{RvaIeP.P4,>U]h߄}j]֠zf*LSVazצb/mk: s[({L̇h*dKD.>eupmuTyp3eN9 X\2pD,98`s2ҫE+r2$RNs(R.9ΡRb'-:A/}T endstream endobj 6076 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=485) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6077 0 obj << /Filter /FlateDecode /Length 1194 >> stream xڭWMk47 W\W!,d7ɡB[ʦCeI$ҼkK}zF4O/0xR: C "phk.!+}b"~8EPxo}-9 xbwCk.W⟿ox DxЙ4NCk12 ~=1wc|w0?B/ fBp eJo?-%_YjGL`KlkH6e@sL5H 0Nv3,iͷH >e, @- 8opb=yz]4cptˈt+ad3Eb`spW,ȧ|o?`HdרͶO]1cϠzd^@K/tˑ"d^li^il78lbEpA'q/`lq'H\/{z/D f3?xTCh|QkZs l*lGΔUR,mO軘;'tU]y.ء"#:3Z}Qf7ײl%oR7|eROX j`t!D=o 2VkcK2="űfTw( !RB,UZ.Zw%Z 1-uT"_sORDA1QRL'lM#1(dDwku0)QLxzPQgFy|^*Cstnq$1> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31906 6085 0 R >> >> /Type /Page >> endobj 6079 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6080 0 obj [6079 0 R 6081 0 R 6082 0 R 6086 0 R] endobj 6081 0 obj << /A << /D (unique_77_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20211013063105-08'00') /Rect [90 394.425 124.21 405.425] /Subtype /Link /Type /Annot >> endobj 6082 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [129.523 394.425 162.028 405.425] /Subtype /Link /Type /Annot >> endobj 6083 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6084 0 obj << /Length 19 >> stream q /Iabc31906 Do Q endstream endobj 6085 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31907 20830 0 R /Gabc31908 20835 0 R >> /Font << /Fabc31909 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7YPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM1N endstream endobj 6086 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=486) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6087 0 obj << /Filter /FlateDecode /Length 4749 >> stream xڵ<َ丑 =H om`}jat-2qJcgK` *Xˏ,;%|{^ZY_.M].ŚoUcrZƻnզblҟ )]|T|Ai} ڏS,[KGzθWkJ4Qvl0k3sDžʚth,@~;<^t+km7]v ڏ8tGM{xn̙ߜ_aϏ*A/EеG)dAJy0xu@ uҀ;DU'x U@WQӸ}qq1ڬi]~+J ĘX[gWd|,vd(}_#_oWpƂ 4?eH V@?Yj>H(@cv5$4s Rހ'P/@͗S30!o[o|hx7nHت®ozV\8?1W]Y20dN*]])qvəUrUˌ>?Obl/R{^~k;NbOQn۾Q'x#(:W 꺡ẗ́7NeyT[u*ꕩyߕ(Y%=vAj<ۯϨ:z1}6'z32 7,岚`3/ T?{N cFөγwEg$f|5!ԎYfϪbv gl_\t{.Sm#Jec O< a02r?$ JHg)6P"A2V?p-c&iO)Qx&RX 2Mc}-EX6=IuUa[mϼq0t}P 7g/Gvf<3WW;$JPheݽiwnSra))W6ߪz4hu5uS;T[YAܺ_ly?v|cx3K8_ѣ;x6|)5j =6)R> <%gmǾõH@wBVoa!dz iDTΞM뙠jlXߥK[VjlY'#iRzZ/|mVkP/n-%XZBa|3Y$C껀rOf{Ӭ K@oْFlڳUGuR$!,TiA*Ll<7w-@O&\Z 'sLfGj;6,2@=֯P6$2m_hv-@H>BHR5#0mb}Ul6x6V mP)fS֩&;8c`uZs!3ly*:\*ڡ^ lA97 c[6; `;̡5) }Y#+H3صjwf!:K=dAsko---X$860O*a+b!9~ٌCvg@w[ו 0'U-(a'6uTyfNw\4@IQsR9-ڜlNg|9 様I6)癓:?cNjDO2'%9o=4(ejlfvoIYBĉL1N.sDT3dA[Q%~n( dž$ LmmBgVRb]{὾sO[nk[h]` ťۦ-KHs2`U @"ִ =KGVH G iӸ#OҾ)ݕ5Xk;,DɿἩ0witSv6 C{݂-lH&p h7=- >z#[7׿kKz\KLYsz#NYC% R4D+N.R\ADLB^ypipBBUqٔ?.T6 mU'ONRVaUT"HZUS55a+U-iJ̈́й04F7 3TDNS FqL Kd@p)_FVW1>AB4LtIX$*;@EXf V*qef^j=p\Vx:8~HAXV>X)t}6ߴRW$0eU?]!UXAp՞0Qh*:Q:L#\+ՆT@oHeB@ƾɂJR'qtdt-\9A.c6K.Hu,Ȼ;ħbjo^)t@gBfM,.nҲ&ls{*szVW.:|;H̞" ᱩL_s8nƶ~M7ʵ  Mn=lީSUD!|י-ҊT!!Ι0zD]6@qto>x+EDb j4Ϋ:eB@ꚰBVHV7{O6Њ@5R$nԾS/o\W[ϣ]:S aw{j? \`)ԩ BZ1 Jtʽׄf M!dq+l 3rY8f_,3 ".8U28԰pPk}-fӎqb7xCpo ͇ U|4_j˥ڶv1UzO}h":|P5(͎֎Zhlَ?@s?X»}^p .Ӆ/m7>CёI70m;]ߏW^7,ӒxEY008@ajBqHs endstream endobj 6088 0 obj << /Annots 6090 0 R /BleedBox [0 0 612 792] /Contents [6101 0 R 6097 0 R 6098 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31925 6099 0 R >> >> /Type /Page >> endobj 6089 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6090 0 obj [6089 0 R 6091 0 R 6092 0 R 6093 0 R 6094 0 R 6095 0 R 6096 0 R 6100 0 R] endobj 6091 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [104.1732 543.0346 171.2677 554.0346] /Subtype /Link /Type /Annot >> endobj 6092 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 526.8346 184.2532 537.8346] /Subtype /Link /Type /Annot >> endobj 6093 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 510.6346 161.3127 521.6345] /Subtype /Link /Type /Annot >> endobj 6094 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [104.1732 494.4345 155.7467 505.4345] /Subtype /Link /Type /Annot >> endobj 6095 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 478.2345 154.3497 489.2345] /Subtype /Link /Type /Annot >> endobj 6096 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 462.0345 202.8872 473.0345] /Subtype /Link /Type /Annot >> endobj 6097 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6098 0 obj << /Length 19 >> stream q /Iabc31925 Do Q endstream endobj 6099 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31926 20830 0 R /Gabc31927 20835 0 R >> /Font << /Fabc31928 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n2VthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6101 0 obj << /Filter /FlateDecode /Length 1959 >> stream xڭXn$+x @(!cN{e~/"ڭ!2IFXfuQ{/vymjNO/fO_ץ`d9g+^^Y_`O'\Lpscw΅}3UX7eOZ.6w >wg=\|s˚rQڍum"U ) Z QeWAj] KicJsPT'|;gv0;{4{]lgΫEAi -{VSH"3hط}𾷫|:n RdsgwShFaEyp?2VBgXTd ?q8xdIb&43Uah}4^3ydb+l1i,Q|MP큣d=>k(!75bSs QtPsa.\/;# I4V jIsነ$);XwاG>T^Kf;(nʇ:R6xy^ \.+HKd fS(_jž~~Rt/Ue}ÜRڿlQ_-U?Q""zHD93+l*\Ⱥx6ZaW_Jw~")|!x~ 2K(K\Β0<rz~FgMX$=\L&۪ieNh&M od4lv1kr:Lڧ g#M"_"U.uH4ie{BU&w ~´}!1H|U6"Kr祚Kb,yH|X+)ﯕ]S%m# PEGS;RNn&鄿5[X F]s=ƳvĆٔPb[ $.ܢM L]rG>>zGqQiM؅\͍f*7{]hz kì\`{P3uv!ra[;-x',nkuA6C^ @xgs[# 0/:I )h$V!#BC|殎`VRy##~6ӑ/qnAx:QzF1 ٯfǮ2ۄJ|mb]5E1d ԛ:fV9`#BX!yw3ȪGo{ U<B %8Mۑ{jjjV3xqQB9g /]E晅[G žAtX#涮q`]|ごԊ@L.~gCuG<` DiUOMe-mʐJ^\7nJV ٢^yN d$uR}̀yRJV w%[:nyD9}G^OGH㦯tFtHr 䃠ާ8#T|H9trC28SVUֱ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31944 6106 0 R >> >> /Type /Page >> endobj 6103 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6104 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6105 0 obj << /Length 19 >> stream q /Iabc31944 Do Q endstream endobj 6106 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31945 20830 0 R /Gabc31946 20835 0 R >> /Font << /Fabc31947 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo>R endstream endobj 6107 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=488) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6108 0 obj << /Filter /FlateDecode /Length 5329 >> stream x4h׳65e!~_5hx>@'!/0 p~4zי;H]LEf?+Ԣl$=dܳ&xp|U0cB=ʨ-`d/id,[^UzU訆8\Kgڛ<0 H~;پd ГJV52Y2^TX5uŽwn1aMbRjDs2vnMH&{%bX0nBڌY^UӬnzfD: QӀv,6-J5!} Vå #w?d/X*;[w5;mq5-+'ut alS+hWtQ-ffhZ#Z,j0jQ5 dc.]#O]WYٝ]+;AXsqR'sNvNVu7u[u[uR>NҲUϩz!v[;1Fg5ҷшjj4.~viuH|o`(ƽc+R'K x4*^ۤQAkY@4H}=϶z QB4 (%dM2;i.rIFs3.Ȫ?^^S_lB&Y(i M4OTkFR@Vj2(69SԘ&UO9C(mK윐ύk6aLb}6hۣi16ImTrq'i6䫝>H2c!"8ATDE5%z ANm kamL,p]>kLX\`IoQ_؄1)f@K/%ay@Y0ǪCczd ND`88H`h\a5fi x[9 ӄZoX?WfM0V #X&DV\ hR1%BNF=l4bjFp ;%[ϴW,0cPuʫA:V#é^Ր:pvHR<ώZiDq@!U V?`8R} 9{8 ȚÃ鱂G"`jAKnq([E׫44AImv)f}@j@'ibJ ^t\u0xngst(Hߓz$%@D2~8CHPvd1&Pmn l )-_I{>*K Z-8UGR@%AJVx EPx"ҥP{|BBq ^_q8u(mSC)9b2Bwԝ<#WX, LEW[q'M"V7 : n`N=L ԣ'T wDQEaEB9AŮNR(bOX C0))6^X%9`}huVkǑ;҃`FT6i'Pz 3`2Mǖw % PgΖ!IK_[q Ȳ_͂[IHm(kI7Dii97S?3F q*\Lq@VoXcJcZ68љj׀:*5puC0?{ JB)q=?#x&q:r6(kv[mm76ViAWm |@(Ϲο GvC95WuMlCمM!]%5d{jq Cؘ w=xM>& rHObK~7<" Kr \g% R6D̗:T-steӀQ~39w-M6f2G{/t8ޥƐwV,)q!zH06&nA[5h_sі~,Zޱv^gL71|=~k5 kp}m>&W+-z`uw=«W⤛DUMq{=&,bT)$ ѬeS YnvB`R5unabҪǤsf!~>czJM36#D^u%*v2[.a<5K.'A[~,w7 6QK u|q`2%rx N5af_sf)ߋU7xbk; W{,q\r^5 儲KwC&Ţmdg_8<~|Ș֩oG~eznN>ޡ%_6Q{&xfSF7&+O7rտؖި{x5P )iG-!i$;&}}NRCshJ˲?1t^i׉ݬ#W4YA #-x>1Mb/\9V3vC2]f1 @<Ůƺ!;jLVDY\̂IZ}[0Zj*t_V)EcknFTk<k-3"FN&k%\X^(6'@8W[O ϭz-8WWaş@T1<%:PTpWQ&^KR/6X.SM,bkLT*lH&MvY+5 ) q2깵#:> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31963 6117 0 R >> >> /Type /Page >> endobj 6110 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6111 0 obj [6110 0 R 6112 0 R 6113 0 R 6114 0 R 6118 0 R] endobj 6112 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20211013063105-08'00') /Rect [104.1732 355.5654 172.3292 366.5654] /Subtype /Link /Type /Annot >> endobj 6113 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 339.3654 159.3712 350.3654] /Subtype /Link /Type /Annot >> endobj 6114 0 obj << /A << /D (unique_689) /S /GoTo >> /Border [0 0 0] /Contents (report_objects) /M (D:20211013063105-08'00') /Rect [104.1732 323.1653 173.9627 334.1653] /Subtype /Link /Type /Annot >> endobj 6115 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6116 0 obj << /Length 19 >> stream q /Iabc31963 Do Q endstream endobj 6117 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31964 20830 0 R /Gabc31965 20835 0 R >> /Font << /Fabc31966 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7YRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛD endstream endobj 6118 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=489) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6119 0 obj << /Filter /FlateDecode /Length 2594 >> stream xYˊ$+$!ލ)xv1ՆM}(BY]"*$tJi?[ov-l6';{r7sz?_=yq]\Mei|ɹSpX{BZh`h(){\p>RlS gٿHv<Ѩ`޵\RMoODXRJ v!+ gf3  擬,WL0 ]2t.x"@uQ&e!,:,sWP!D}b5sQ."e0щ@}VxrWT;(fr+tG x<5 Cv>W.ĩR|Sͳ-#ht ( M68\T*yr{xkw&~[d,J͓qh :ۼ8F Yg2 {ՏM~ʱhªYǴ%/oLf9MnC濉\ s<\*4ׄĠitT~4iUg4J)x=p\|#*"j[&pe'T"Pun SN5UY*}q=82.-<]ݐ%^:bD_T3hh̯ݍFF4!O2H+;^#(6͈hL>UuMKT9NV[ "o6UK96w.ȧ(-4Klpp bp'!/5LvM!\$DPEp񲚋 Jk)Ki'fV֦.{#y1eH5dLFjqIm*uj&fxmozk7 a}pNv[: ̪XL"GFP穔 |߱vB9|nj){zTP #|c*Ҙ~Jf/ıvzznS9V՛#~sVĥ6= x]OW.Ig!k~ץʷQ6^@6%NvӻSndZ9)=îA4O)-E B9Fd.X%!)yDvfD)jx>.)4ߋt"Æ;U/&|aKMQ[' /aZ3HQډjdCbclpNK?iؔEyE<2 oD8W"W2KW6 3cu#IcqT^/(L;RSFk ډ *7PQrW+}j?>"T{LZ5'/EHˬwfF%VM@M**>қP'Gy"e6'$w&w)\KZI.IfS#h7'Zy׈ m:4t#ҧK,r3WvCTix[ĉO(;+)50h6p]RO1CS0xw17p ZJT5=d%վa bm;̩Ŭ#9IP+FG'%[?M=O5[OSoe;Kc=G7@u݀C|ƮyYICeUu @W] x곬cm4Ia/6#{* /v,pNS/Y oSޓދvCw=^fTKJ;XSvj,O#"cfA!Bb9!p;b^5n%.wb<[9s0 /2cєM4q,vSqpK糧 i%/*-嚇oe5RgBfJnwJ_73 #|5놿?^Qg.ϵ&&ΗUo᳜`yYNj?_CqP;KTH! >V-S:(xS#̡A>o'q3e:xN!hiSZVҨ1 KrV`&{w<1+ >"d " DZ̊sg/x$*Tf8rz:IK$aΪ'[Z~6b,yX9D1cwԎLI'*dǟdndD%wqNOjN#{U{^~x< !^CA F endstream endobj 6120 0 obj << /Annots 6122 0 R /BleedBox [0 0 612 792] /Contents [6128 0 R 6124 0 R 6125 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31982 6126 0 R >> >> /Type /Page >> endobj 6121 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6122 0 obj [6121 0 R 6123 0 R 6127 0 R] endobj 6123 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 370.425 137.278 381.425] /Subtype /Link /Type /Annot >> endobj 6124 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6125 0 obj << /Length 19 >> stream q /Iabc31982 Do Q endstream endobj 6126 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31983 20830 0 R /Gabc31984 20835 0 R >> /Font << /Fabc31985 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v~1OEҤYȵ7-$G5U|t%w JJhx&I 5\fP=(%ypf%)Rwm[;0ROMˡ|72ْԑJnRLsVWӬ.~7‹!H5h}g:g("G :gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6128 0 obj << /Filter /FlateDecode /Length 4221 >> stream x\KW9f731rrcn颿zݳ@!,+EO_&3iw¯˔4t5mWcr}0_Kv|cs}biw)up;o;LI}Ovzoߚ/Iq]3.5k4ӯ?+;}_O,8^.ZMaYiDLB9sWᱡ>yAф~9"9qߘ > kP3LjC#W,P )gn,2(\Rg>KV&I~PIƀa} DZ& ]QED 凙8`OkVɕ%.2S[u#՜D#g&鷇]yÜ?LO&:<9Fx 8ADucA/yW~lh K>ISf{{]u =sZW4ٶ?Ovz`gߏ 6(}\=RB47=V'um=h0cgV$IQd]f<=_'}a&4//|JjA{pO5iٸCH ?v("7UdLG^y Z +)y/eӦ-שڪVVDZWyPl*;S"w;O]*G;+Zwga}7f6æ^.=垐Iq:ܲfَfM*2 =~'[ u :&p Nf},z y}A`T \9`*Jsdh([rEoE!r[SqW~eT'5|58hF- VrW"6oFi/8iaNp<B/LYZe0ݢM݇[)ςބֈ<v| MаWWYϽ{>c 4`pz`;aek ExW0製< ٴJJh6-CC,-Cr^e _4q_}HX&!qR~!ѽ,ec58(c+u[R)UuOM9iOg1NP@4\q0n5o-,ķڵJ%t QJ$U/D-@TELąҗ a)fP5i^l$?-Z*MrY뉿sTzm "4TbM&k3&ʅa K\=GBSW@)Vk!fV +p˨/Ui/R,ܘ`ɡ5!7;Hfw%8\bN3sAƄ9K Oe9#4X++5r,؄"iљUӌ-2K}lAQb@J-HpGUxyB,ӦEK:`:bUn ,7 Wvpr lжɕ å> NelX3,,ll`aw`]1趐mUK+yb1SHbi{ծĕr'%ZddG8YAOӱ ';I8 iAH+|,1 ?aU`ԯ.i1v2d[ovlm>~*b TUb *GУ5beC-{_uj9k;x0 @$oe_Y=7'wr}=)RNno/rwf-;_=>j9W{!R\kβjyc F\+k9q`hςT'MiȲșG>9y(GmGx*'ew<w .9Dž)3G84.rlN#6 i^BO7>0(q"#AGV (dj60l np+j0 iEYFL =6Ǵf}7խ\jM Q2aQcyQ@ٲi34$9-3Y2]⼺P͋[j2Bµ池O@DǜRvi= <,S*KClth:\m9yKc^O< ԙu^iw{a%ʩav6ӊⱡ0P#S.8' \c|G4"m|4G|9 i,yO 3h"7LDBCAdgq[\(}ZW8zPˉ^m*VQφR<{&/:`O=ĝkn,B5uٟGo0z#ԭ>>з[+0 Dx endstream endobj 6129 0 obj << /Annots 6131 0 R /BleedBox [0 0 612 792] /Contents [6144 0 R 6140 0 R 6141 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32001 6142 0 R >> >> /Type /Page >> endobj 6130 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6131 0 obj [6130 0 R 6132 0 R 6133 0 R 6134 0 R 6135 0 R 6136 0 R 6137 0 R 6138 0 R 6139 0 R 6143 0 R] endobj 6132 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 350.2731 194.7032 361.2731] /Subtype /Link /Type /Annot >> endobj 6133 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 334.0731 222.7367 345.0731] /Subtype /Link /Type /Annot >> endobj 6134 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 317.873 195.1267 328.873] /Subtype /Link /Type /Annot >> endobj 6135 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 301.673 190.3747 312.673] /Subtype /Link /Type /Annot >> endobj 6136 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 285.473 184.7812 296.473] /Subtype /Link /Type /Annot >> endobj 6137 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20211013063105-08'00') /Rect [104.1732 269.273 212.8147 280.273] /Subtype /Link /Type /Annot >> endobj 6138 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 253.073 200.7202 264.073] /Subtype /Link /Type /Annot >> endobj 6139 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 236.873 228.7537 247.873] /Subtype /Link /Type /Annot >> endobj 6140 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6141 0 obj << /Length 19 >> stream q /Iabc32001 Do Q endstream endobj 6142 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32002 20830 0 R /Gabc32003 20835 0 R >> /Font << /Fabc32004 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶܻ endstream endobj 6143 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=491) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6144 0 obj << /Filter /FlateDecode /Length 2882 >> stream xZKoDR\ R? %;@l8=]]U]Ճ_#W?tӳ5hkԧYJWc\0&ƣpe?-\tT]R|NY? Ngccwe^Va1.͍=;*dZ]Yȣ樝t>3M'c иLG̪kKNw6u{ya7~i<)!/.DBDI@8' N /.$ZT$yMyy#]xEn.(NDTbbDRSfWifQ[o{xHa()g7 $qz@k.H_LF~æ:};k6/Sm/ \ _*iIMbH Wrn<":)0Tb-IߨUKg-Sӳ XCB_>iRb_?ߒ_G"KHWWxSD0h@q"#` 0<#h\<'0WTWެ\딆[\] *9][= sdZ e OPN<7X(Y7)݋f)\eR޶ފDUћ6Jw o9_ ]%^Jv6sVsrJuxC JKƫM;pUJ9Ǜvc+“< ಩IGyGmx}и `8OlՁs8X0GxS獃V!>Ӆ3fzj팻}Q>YJv)Z~iZeoa͍r̘8O[ZS?ʖv8ݜXICq[ǰDNWBhW*~pՏûBӾ<Ġm6G ]篿@f䗜khkփ!@S|!C.|W ؞B,*'{եMŚZ#\P8ULV/ /EC™1xџ!Kۥ q gHo8NZ$DF ebϹ\73j˼-wE&laIˇK?7^+)̶- .^qz!5&x&;o#@TfY H_|-nWbgzC] ,[[kXgx鲸/ fvCIYqHTsO徴flC5 u>C3d=$]KzICXn_+a%fܣZ˜gҏN\,􎅠_ I(tNrN@ySCY`HcN,;/O..jp;.$ UclfdGډOIZGIǞx.ԦBiv_c4΁w>ѣA G[`A'/8 endstream endobj 6145 0 obj << /Annots 6147 0 R /BleedBox [0 0 612 792] /Contents [6153 0 R 6149 0 R 6150 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32020 6151 0 R >> >> /Type /Page >> endobj 6146 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6147 0 obj [6146 0 R 6148 0 R 6152 0 R] endobj 6148 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 369.525 147.728 380.525] /Subtype /Link /Type /Annot >> endobj 6149 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6150 0 obj << /Length 19 >> stream q /Iabc32020 Do Q endstream endobj 6151 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32021 20830 0 R /Gabc32022 20835 0 R >> /Font << /Fabc32023 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ= endstream endobj 6152 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=492) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6153 0 obj << /Filter /FlateDecode /Length 4045 >> stream xڵ[Ko$W`|H3;|D@OA ؽ^|uH3Q7dXU_Uߵgu zeߏ?S){ugL [L5EwboTnީQ(_c}뿪 vCńewzEyRI:(:^<< mL[쒬?f,u\lbX49cr2ƽ^0}߉*@9'tN^SLqYѧߨ$N:{>*{ &&:diRr7(xDqxA@"i #ܞ?4v6ֿMEA)Z-Ռ\&c,n 3 e!'pŘc4 ?|NO'=:; L`3;r^s>+?@Viem7L&WEl]雛@F\au?L+䂼7HzϤVa_ ޢm]rBA^mcoʸVi -NH8ݓ؝3~Js6^̜,ltQ0I 7>TCI@e`eXX2PY+4V@hÉmU + Ď%FUx`W*POKuqyZVeւ04ua/3,Ӽeւ)NfCq/1膾n[.tuU[4URM-Lh; =s9 уs{yjeA!ib h4V+N5/W-y`gPXY݊5.#%焢2ƃzIn5FZ \8d^[W]!žȎThرuxi"vG2dhCV<3oduݽ"ehasyBJ.u^7e꼣A҄Ǧ/qq,?Vn)v8Oz%EZQ`+SEwr{^Q7Sn-ˮl>-s̾nhGJ6r g9X3"[2TP hly MAfZ^D5zKXmTg5kiJ{d5ΔV{Boz;kƶ3irgKT>4MUȜ"8eq)]RRI1ʻ202O-RkƆ{2=:K޳ycGfڈ2k"Q%њhU^p^8kCn&˴hz’.0P]c`\eqU'^ P9ɁIC_dv.U0t=,Pv   B_`4;XXd׼N ObՓ@$۰ҕF= ^ծ+NJ,ݴ8ɭ=N\prk87V? '5IT'IpR/Oj$8v18at 8NkojhClHꥧc1X˜ RN<2adQπ0$`ξXmg8R7q+VLJSx]yɓ? Oo$f`Ճb^xNORݴͯZc-G܏?M]6ri-^wI^Q&A㽚xy 1|-ح/;>U`<5Pak9)"/Rq<-zcLDGKΝ["^Jesbf9;oPGzIX!^ڤq?X%hwM PT{u~F B=('g[J>(*]ԟȲ,}ꌇ+ ""Y'VVZ(d:5FQI`Gk<^kYd{AjͲ|)W 0d9G}Ģ+%[9]혻[\iGutpMcO%JAĝ4WP0*vTŇC;øBSM0 eI ;JoG\{p/u ~KB}"EFanoZUI8мb?_BoOW<(zxq4gtb`5$;)1ݠdZAZ&lz{אNUU=?O3[Ӈu\:[=G;v]--HSMkp#J# 8`q˔R֒\{ |B э"AT9_5 7X&%86{sEiYS }u|eY]mAD/{nd!y˔NI KՇa$X`f2 ;8 "Fc6Qu<$\QQ,\LGͮHb,po A,.YH7lј 8[j)aKZ֟*G#KJu&0Ndg EL{jچP[TtNa^Em$T[iĘH~Z>JҸ q5qj{b:z[α yDW:̑D֦a.::LDvd*\ q% U ecUצ-C,a9f[c%BWG!n:O.sECME!t3B.Qs9̫5s)^:s S  4Crx`@TĽ~IO\i'gX>HsTj;I &b8&|-0z:A |TdFCV1b cT79)d6} .U9Dpd3?~,;XMBμQƠ ,0W&ɛ9ǔX?`Rn>^ۍOa|Vk]*>bZ2SP.y% J6隊ֿˡY{(4t.tT!x{x_~hn'C+"6/fW n4zB2a#N- 7ԳseJ|#I/&*aa A懤|qKڹᆬSJ<:5,[(#Dեg5Yr!F)[Rw+lpGsM:GuM$^}lNE oi?M8D_1- 7L#6{5] tǞ徆oǟxN=mY)7dCwݔf%=Lp0%^πaX 0֝/"35#g\@-|_y>/˻\𮻨F(>]|Q:hI̘fT"0Qx.o8 [ .D endstream endobj 6154 0 obj << /Annots 6156 0 R /BleedBox [0 0 612 792] /Contents [6167 0 R 6163 0 R 6164 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32039 6165 0 R >> >> /Type /Page >> endobj 6155 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6156 0 obj [6155 0 R 6157 0 R 6158 0 R 6159 0 R 6160 0 R 6161 0 R 6162 0 R 6166 0 R] endobj 6157 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 334.5769 202.4252 345.5769] /Subtype /Link /Type /Annot >> endobj 6158 0 obj << /A << /D (unique_386) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 318.3769 181.1127 329.3769] /Subtype /Link /Type /Annot >> endobj 6159 0 obj << /A << /D (unique_401) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20211013063105-08'00') /Rect [104.1732 302.1769 176.4487 313.1769] /Subtype /Link /Type /Annot >> endobj 6160 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [104.1732 285.9769 162.5502 296.9769] /Subtype /Link /Type /Annot >> endobj 6161 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [104.1732 269.7769 161.6427 280.7769] /Subtype /Link /Type /Annot >> endobj 6162 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20211013063105-08'00') /Rect [104.1732 253.5769 167.0492 264.5769] /Subtype /Link /Type /Annot >> endobj 6163 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6164 0 obj << /Length 19 >> stream q /Iabc32039 Do Q endstream endobj 6165 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32040 20830 0 R /Gabc32041 20835 0 R >> /Font << /Fabc32042 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 6166 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=493) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6167 0 obj << /Filter /FlateDecode /Length 2853 >> stream xZIoWVS ~@n/0CyA 0sڸHVn`#Q"|U,YVK.N?}1#CNW ~45S4Q?~fc]0䌹y5᳃~'P E? { G$˔]Y/$vMvc~ r1!mX<`Adl\Zȟ2jAg+V0rp6>3sA"F,pRo exgۉ2#Ȥ!{<'!Q"!*3*%΂$K0Œlz`2߰%hzTE4O 8MՀ0LC9p"Ng} 8=,5DumIy%`:N|mZde҅A댖xV5xzhUtyPOU> Gu/2b+kȭ3]NrN|`-H{7a cЦnXcF/vSQ ;"gUJD)߁7mˍx֏ "p5Qq~^<&7=ޕ%ZV?qP"Jj~ 5YmeN%fb!t|{G+%cjڱIu߁2qD8{Fq D-M"f<$V+n)b(`[^ayw7zm|Rk UCi:3* J,*Qt:/H,8yB'He#Ҽo޴Qfm!L%T`ێp&m8 Q0b G!%Mp tnUs8@JcJUJ*oq*f7^cbg V&N)y0|;{v`;8la}M1# V¼:(9sF* ؈g!ipѻcj ;Ȯ@/k^\?)v[y&.> ߃K?H7b~w%OrӽQ}!Z'\z[@J ^^)UK,[bTJ3&CX_ӏ?xҵ "b_I(~0hx}G lIRԠĐ>!m+$jfQ\L2Hy>L5.T)W-T?>'wPPV*%ߵY(ܝ}DŔطV̺N(5 ęHXDP:zskf5.RWR鵣YGLou9Z}P׉saEkҼYVᅛ} Np#JϾ2ԛfQ5%; wc]-wנhtKZ`UR;&_ځQO%'W2\YGxWMZY*ݜlx_3o,ZYR-piAZa[ԍbWaaW %bGE_c-ΫBB0`wtP/ji5!aa9u^b!kys@RvSv?վr.4k1B#`wt| O!Ξ5#&/v9jyxI&Ϩ7Ul`zMYel>/pؤ ,P%mt6q'SnJ^J-H|!Z ? <2Ue*7i;U i897W{x+k{3=t) N2KC jHBEa26odz^?rGNzDNQ riCvn =E Bh9F-^+%"H6{3 "<'UYY>f①Y'}1F[;PJyrG'%K? -OUKO՟jjf8cꆤhK+}B܀C<ͮ>wTUi @XYұ܂ p:P,#Ϭg`'f'uJy5v" GZF% 6}ѳvp#ZDK™O)ޘF.[ǴtьLmσܒaF טXV!AKiX9yOrB/qbrׅQh"&kn]2\=W Vb3Fߖ .u#U!x&o=AnT-f೪B, q݋Es.(YӘ0# ^gdW(jbԺXćD@> !P>Ǡs3Y/Șyy4QH7--Ju% 59<`oe ]*䝃0h7~$銇,7o7jB$q23{|9_2 pVzkxl?Eyg6N<ЌN;|("ykb(1X* #q#YG~=ċDuTJVNűs Nj^~+< \߼}g endstream endobj 6168 0 obj << /Annots 6170 0 R /BleedBox [0 0 612 792] /Contents [6176 0 R 6172 0 R 6173 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32058 6174 0 R >> >> /Type /Page >> endobj 6169 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6170 0 obj [6169 0 R 6171 0 R 6175 0 R] endobj 6171 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 369.525 147.728 380.525] /Subtype /Link /Type /Annot >> endobj 6172 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6173 0 obj << /Length 19 >> stream q /Iabc32058 Do Q endstream endobj 6174 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32059 20830 0 R /Gabc32060 20835 0 R >> /Font << /Fabc32061 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6176 0 obj << /Filter /FlateDecode /Length 4005 >> stream xڵ[Ko$ W+zMb `?|U]mw{mWI%QɏSVwG.N{5j1пSƪ>,9ǝ1!3Xbjy-{%ol{rNJѺ5DyTzhq?a%Jo5ED.[RgJґ)wsJU.kPFcbmB 9{ "'TcA~A` 2zӰ{#}za4Bڷ9pcT/3[Aiw eY(`9ٙVWtC uM7-PS[4Uj ϯwɥ=s9 уs{,v=oeibt^;; j JB۸Gή"/0>*8?侠치zB}t5XG.y%E r+In5FZ \8d^ZWE8e+V{;vdd gp) lL 1D4> D|<I3S96Ė #{Ҏ]\k=EmJu>7H9t##b Ǯ r`,\v/vN|G V-YN/lZueD(6Ce˲H!{NzsK+'F d]/BX2zgTKj/1M ]d]25sv1TuԷӍ@ ,+,, }n`v`Q11QyHVjfjlPrve\y(pR"` NvNn ''ip'I3]( 'pR3gI pҟ':8OL>Njc?'5Eπ9pvEF8WC#>HlccA/kJH9t8}F#e= \DtO尅zEN"kWqׅ _w7 &])˧90mO_09 yʦ 䟫muQ, [ XORyM]XFj#HJW R R8u1[e[ OV7GZj՞fblZNYs;$Q0T Ky1މ%- ߌXʗ$9 y^H<0vCYDMG&꼺p[pk(ALVH396cZGpc6mn2QOiR DcGE^~@;xXN|гk5w3IjqF[NTni3VNMM#qFJNi$ 3 NF1{n_?&ƦP io1-!(?dˁ䬢 *5$28?ض*yIn-E\ >4Hw2IG<(N6l90Fj-l}4?^85CW Z ]Sz]}%:0f:yoi$d&GQ%x"FnQ;dg Z'85-x]DU^0)4mqDTF:b΄13AkWK(Pʾ-n5[o@Fp`@I NIM #oe3pv-kO'^#iVcN}-#"HT 2&+5Ź4L>#ۦnPx|aN4Jh5hqn*rHz .\ ηڌ1zly\'} 3H&v#_ۥ Aoپ*)<ĦfxyYq:Zr 8׎#SNC! /$ kbs0. snRSb\X e1+0;dE"yJvp/-ŕgW[Rf])ui=Ti87~Q 6?CCLӒq.~&4vI,▴s3- Yi%o-xuLoXj$QFjHuZ5$C6TS>jWdbb)rM:GwM$_m.U oi?M+D_Z."6a]X h˰Y/Z8ہXon:p츠pǛWee/T0"C{0\mzI>eWiHe:`]s`=Gc~_N <ey 7,(tӍjJ: 4  I c|h?ģsG9=lT>1! Q(ȴq^T{u=q%^4a7`-rQ S_B L?  endstream endobj 6177 0 obj << /Annots 6179 0 R /BleedBox [0 0 612 792] /Contents [6191 0 R 6187 0 R 6188 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32077 6189 0 R >> >> /Type /Page >> endobj 6178 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6179 0 obj [6178 0 R 6180 0 R 6181 0 R 6182 0 R 6183 0 R 6184 0 R 6185 0 R 6186 0 R 6190 0 R] endobj 6180 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 446.3461 202.4252 457.3461] /Subtype /Link /Type /Annot >> endobj 6181 0 obj << /A << /D (unique_386) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 430.1461 181.1127 441.1461] /Subtype /Link /Type /Annot >> endobj 6182 0 obj << /A << /D (unique_401) /S /GoTo >> /Border [0 0 0] /Contents (delete_bd_objs) /M (D:20211013063105-08'00') /Rect [104.1732 413.946 176.4487 424.946] /Subtype /Link /Type /Annot >> endobj 6183 0 obj << /A << /D (unique_402) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 397.746 215.9882 408.746] /Subtype /Link /Type /Annot >> endobj 6184 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [104.1732 381.546 162.5502 392.546] /Subtype /Link /Type /Annot >> endobj 6185 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [104.1732 365.346 161.6427 376.346] /Subtype /Link /Type /Annot >> endobj 6186 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20211013063105-08'00') /Rect [104.1732 349.146 167.0492 360.146] /Subtype /Link /Type /Annot >> endobj 6187 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6188 0 obj << /Length 19 >> stream q /Iabc32077 Do Q endstream endobj 6189 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32078 20830 0 R /Gabc32079 20835 0 R >> /Font << /Fabc32080 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XL[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{w  endstream endobj 6190 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=495) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6191 0 obj << /Filter /FlateDecode /Length 2434 >> stream xZIk$ǯ@c_@Ԣc$C2o%SUeInl̈x{K%4;|nsVǠ)COOV`fqU[FxIx1O{WGbrfwnbWO\{ ,`E?"al,n9dNƇb#~TGvmV,aɩ^yl B${C;ag"0bW0t" )p`DXϋ_e=o@7ev<ǧ ċmlzΓ&|JԒwbm(O'瓺g?xKUEɝB33y=WR~pqr5M94u*{krѽر;Ғ]9FM)jFsEiO8t^3օ֦HA]*^ёY ٝKJs!gTECE@P65P}N\WN1 Yߨp*kձ$1qM}'ӈqwcTOmJWj]+A/*zEQ@ M pJhE#i 'ܲhBϷߨG8/v)k h[+|0I;S:j~վilnC [j w _Qgo[:(u(\;pb&\ Gޟ8RKp[4XɻLefYP7>:{{'3wQ:X语aș}GJ.p؋0J*;ʜ /S@ S#t`9RZ6%Gd~#0+̝"^z7 ΦȘ0U53Wt G@w0N?{aLq[W@ny_F3&-B~&uE}E= y݈b;.I'|'QCh.b/_sЪ`" ?YbrE 9XK:W(^hD3+<1U<ɧ kWN`08Bj9*,x"4,mD۔hI84 B}`ۘO yfdj>OskZa2Xv!oh"y4] ;+'IQN8|^ ]E&05΃n7 G/eA +Of oBsaW!6䕒jHU-dI(bV/^ vi܇.g2Ƶ?%cR*WyF@2N `̼:Z{RHw-Jm% 59LV/x"JTȒw0PG> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32096 6198 0 R >> >> /Type /Page >> endobj 6193 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6194 0 obj [6193 0 R 6195 0 R 6199 0 R] endobj 6195 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 422.025 122.109 433.025] /Subtype /Link /Type /Annot >> endobj 6196 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6197 0 obj << /Length 19 >> stream q /Iabc32096 Do Q endstream endobj 6198 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32097 20830 0 R /Gabc32098 20835 0 R >> /Font << /Fabc32099 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7SthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM7 endstream endobj 6199 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=496) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6200 0 obj << /Filter /FlateDecode /Length 3962 >> stream xڽ[KoW>E0 m{@AN`?KRm#;ۖX"ŪH?tY^, :?vV7aJaN^ҽ>8w.ΕVWrgf[vZΏէiE6cpp4iKy,_~/qpUGڍk/fd CxX^Q>N9tS2({mQf}k|BWiO\N"x!EQ'QGP\r|ꘜQ֡Rv<ͳf=Bx/sM9D9v3(NIcoP<-XȌXKMa}ۿ~Ր )ÆbATՈ"7i=bӲk9Nfq؄VW C2 u0-Pgh-("Tk38d䗷;̞9P{-yyۛpZlLRB-;j% JB[h.nz{Ƞ()@~ˇby#=nؤrBaVѕ슒)ˊ%Z23)Ht.K^gVN\a +`R,L?W- Xz *,Jy>-IP;k߿H4tC<$k䚨?K;v>p:&`+U h3/Hȝ"("~qM;?>`-z`Ebo]Qqޥ9|F  fL%)+uCW{eEv"#WP/},c"̴ͅ$U սt($l~P`%M_RơjP tƣ PH<|q#X? Bh`C !*B5~M&!z%pAsBɂC#iRR(Gm4_KT[mu"r5Y+.w1ۇ)S$S9$i.1I3ASAi±Q*jY Eӣ^UFg>6k'ʬQc@QE@J~+ ѺxUYr޸[C.u]Zk K5.Mjrœ*A1qC9ofN!vΦ&Zwc]pۃ`u@,, +S %YlI=3X)=N +]@nue^d8wpR`fNvNn ''p:o '[I('i8N+:8/zx~ TIH_'I +:{~ }~#TͫFHc1X2+H9tJ|F ¸@% =[iiҊ8)T*t^!'=ir ]&:x\v`ec93^;pWZs}wίګ,|"e'{V>iMٞΰ|k~7leF s?Q>>2mdxn?0AvxWܽwWɦ`%lWv&;k9@6z{5SCԳuw C,?!>qw0|z*Rc2Dz]=uݱ |7Ԭus.gZd|5&47S*ZCeйb!FI7:]~OuPgng\ 6Dr'1đ#$MN.\o8"מ.n*O+?gm;/l#)s/:\`vJUrkvE~uAb)L=]  ŶxVU lZymD_YZ% ?|rsynD.g 'R}՚^/X`sP&1d>?ظ&ʟfԫɭ'e8IV:scFÈ-D" A\qK’lD穛D|a^3'wuƨ3jK1Ax's;c`mh3t⠮; X2St"T l V BZI̳G'` ۜm=к i]; ?ۻhIN8s^*Eb>]7 d-/t\0h/SJ9R1c;dϵL'u }|">a3q.Cqc(qѓ+2̷ d]{E̖L{&]r YP8b_S bp$cRzTQq=Ǣ% IS퉉 Daes6>)$ꖲs#/Y}Ç݆7ͨ`I4ѷ?e0(J峢n'n$ }Lփ{h=Gy`COj;Q> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32115 6210 0 R >> >> /Type /Page >> endobj 6202 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6203 0 obj [6202 0 R 6204 0 R 6205 0 R 6206 0 R 6207 0 R 6211 0 R] endobj 6204 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 372.3384 203.2722 383.3384] /Subtype /Link /Type /Annot >> endobj 6205 0 obj << /A << /D (unique_112) /S /GoTo >> /Border [0 0 0] /Contents (delete_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 356.1384 194.6592 367.1384] /Subtype /Link /Type /Annot >> endobj 6206 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20211013063105-08'00') /Rect [104.1732 339.9384 184.7097 350.9384] /Subtype /Link /Type /Annot >> endobj 6207 0 obj << /A << /D (unique_118) /S /GoTo >> /Border [0 0 0] /Contents (report_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 323.7384 195.1652 334.7384] /Subtype /Link /Type /Annot >> endobj 6208 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6209 0 obj << /Length 19 >> stream q /Iabc32115 Do Q endstream endobj 6210 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32116 20830 0 R /Gabc32117 20835 0 R >> /Font << /Fabc32118 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n&RthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6212 0 obj << /Filter /FlateDecode /Length 2592 >> stream xZnS@wj.`@`8C A@.~|R]Ju0Vwmi>g,*՛WIѬ,tdӧ~6`]M`]^NgsJ{w鿀@Ka_#u[mu).e~dw8LŻ@>Z͏x΀qDlvwoX\D ]00}̀~¤r6=q1v"=V:NyC_t713N$ TBt<'dy<ﻛCgόHHy=*๖%..f|}{TLtcc;98$g FLO $|ܣ0YwHP^Lqw/DLYobvQZ9-oĦ(6o>ּ$)IpG_"& ,"~a55B頂ʆkSB8!^#1:Pdi𾰧Q Eؘpns ,mg`ݷ2U!u9 !(G6 >#eNn;No4ttP[\NB/W^.9[TW%.".#a:5Q93 &ůnR7R8'B;\ɝ3'ZoM&CֺG7@;|F3aIY榶<2ג6Iwtܼơq2o=d[ѮE&Z &H4DNX;{]'"\P6a Z]JBSfކܩpLtK 6R O©It|\ϠU2{'5.T߸װ8Gⶋ9e!҃v"~ EΡ Ze %i(tB7o!3&1zx I$"T".\$zsS%Љ2fL;)Ef^jM6M)=(6AjuZ!{'RJfkyc\'g{Kș⎯dJ>mK?ψ>5&GK#+K.%Cs|BS.Oo?W4j<[}^wg fG?d_2KBnã&hloؚfȫ,ȼc`kLNRO{"Y48:؅Xvh_z"IE2rG;igRJ [wZηvC斏c7*ٌfqv[iczm&A_6m@8v~;@zi-Kˊ01LHJ{FesHRҙ/L{k4v~趛F  >ZG,s)~gh ׆3(_6?}0 8yZ|R(DebLkU ;n Pk gulr1⹆6JPA d-I*I ֝|n.nT6 <4mCŠK^; p% &riY&+OA"ɯk7Y:8;Ǵmno' /ϗ+̋TB$Qjvl y1e񅮾^'ī8j. (e ĠӒ!nwPMr͔wOě ^vف] u7aȝaƴx?mNJJ_ca`y{vh;rHss;>Tbvp6,NL{epW92"3L|/Lwò#vDUH)RYu w̰ԹY̠U )ضO^>HbtUV˳E=)igY괷T#,u-uTؓ?ɼNV1gۜ/, @,X?A>I(^l l;x6} d0ꕢpn ׶ڜhV28IT/ldym&b3Kl+vW-tAc LmW(~ "؎XO!ox"q)Py]gAy8 e7LlhJ&\ʯӞE2xK3JT5ѶCJrWR#Bv䕒!kՄtȹRZjG S?n?Ft󙤕B`ݙkEw]ƍ r{"鏸/қUx=֓P*t:cRc|zoյve%""@)D7.BEoOxGRxi#^ ^ HXI37xwT>hqh2hdgM?N!:;rҽMyځ&I~UU!܈YevdL=aYl5}Ğ䐊{^)ּ;(O0z#dj }ۢ4jIc endstream endobj 6213 0 obj << /Annots 6215 0 R /BleedBox [0 0 612 792] /Contents [6221 0 R 6217 0 R 6218 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32134 6219 0 R >> >> /Type /Page >> endobj 6214 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062949-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6215 0 obj [6214 0 R 6216 0 R 6220 0 R] endobj 6216 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 6217 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6218 0 obj << /Length 19 >> stream q /Iabc32134 Do Q endstream endobj 6219 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32135 20830 0 R /Gabc32136 20835 0 R >> /Font << /Fabc32137 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuI|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYc#x#5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6221 0 obj << /Filter /FlateDecode /Length 4113 >> stream xڭ[Ioɱ篨.67|0|=@>@VUlJ ՕYYEDn˷,;O*v:dU:Yz5˗O_-_ޖ=hrS=`iO.|Q)?ޞۧО/_|$P'.A`ïo^cJךߔ]?,YY.P֤ 뵎xZ3Y/ bR.9 /!8n~ !gt)jsܚSF5BcZ!9^9 I.iAaBxHGeChxT̚l4Q"-4 B gkr-eSb4P]lY>"`g-eRcWa0ۿw4O $ COYO -]^AA>[mlgl/X7wXկ9Djl] DdէjA<b3iy:*a` ‘}h=v+ `vv99Q!el=[Ph-!X!Xo siWcX,שުWStT˚w/Ss {(jQ@iv% *_F\}=3 #{\V37:A~8)|Ԇu]j#^M@g%<%d@5p ԻWz`悭ق}f߶ d]0@,k湱O>\Ce8#Vf+|cW$%k}hp2EG1D4> D"ƪ7Q;~v5nCީsW;w=HrF)?~~nwgkC*i[)$VȔL請E>άy3ޮ-9X*rv7~!>apիʂ5my;"J| @Hpo,d0O -C]Ŷ.(֩7dW4JXÿol1LF0D2 11BǕz,TI>9LG u4@puĽ¸ q%$si 8 ZZH:{jTy7`ڂEJ0…-P6CT}Hz7oZZxzn.:'LYKWsefX$C+urˀd"h>Zj/j=tTce.o+M\ОSXr Fg?M;۾/d *j 2pyP:ch{!s Tczq9s?nMH&{%r\46HmFtֺW4s16['JoQ0b@QC@Jv; RM88w7jTqa΀JP"B]a9o c[6+'8t9vipʁPyS |N;el60XG,배, {V7A,U#+X=OA+C @v=v%zz1n dE5&~?H`4G?'~qRH2q~ԷOVO )4*4^۬YA zԠF̵,0sRm0֝Bv(b}^S.2:d+?}c/ Z$\`^Q#k7mkF%CLvtz]=EC2=[eH{rd{%V){* 3ΑA卵6#0Rʸn?iD樃#;tfNrm\ߥ[]:h CQmR8fyYG $KG'P tpHQ"?nQըˮڧvQJWm ){Mq0pSiwHt~*|^|7v9cmj|`#2J$(LL>P7w ĕv].(e^Kvge ]S 1px8A:mg1Yr 3F{(zUE^qtJ)^(vgm-joFC=淍Q 4\"/@gVZuC j7&{FZY:F7uKC`E^MtXϯ' I cu'OJQ9j=QF' qO4f|bm*6/SG_:1q&X>V~cPISAdm/TMai:rNg8T!۲.|aY vdE E$gz/".}3/Y5L[zp2 5P8 sUZÉUM݅UCr69 -ۨcc\PS$ƻKcn-fg/=GQl׼kZzH A8y}آDN/;v,@D!fwwdYW-dlBJ+w"Fa7̢|}sU> 'pMBϙՏXb\p'KqekS$#AifI: ,se>〷%FI/Tխ@'`[Xx-QY8Mb&Y-G꣼ǨP&9x=_[l8=PQ2rx8xWmͮ~o+g7l$sfSd5Ɖ!r*>sFNDNЩ6i(Nw:1YrL&t3]6Y;jӾ*6r3/VA޹R;tVivУîa^s83p(^!Aps{ɫI}U>VmxЕV?dmYueLd^6cTj*:SӉFnFv)xj][<4uʸLZ >^&>;NPA2=RI+"hҮM 9W{Η9O̫-0ϭ)uuWi{av$G).XSqQk(qё)r\f'"9 5_Ӹ%ؚLom!mcq.i m@>@dW牸\P,$p3?$;!&kԠ1ʱj+ƚqsI Gphu)vaM墏_R[t01GGnqbtMMY_T|gTiTjB(gGYiFAYaOI+p\8ɫ: *Ekx\ f85_p,|ҡ^UTðcR$>/ i\E:e( ȢNbkӛ T*l'H.?AMvY5 ) q`8ʉ>@?Nә"6 !9W'/0y+%qw |_{5^wvpC.h̘nvΧ£E>ɨYxvղ`̡蘃G'>_1(r>_g˃tVN$V`:gˍ^! fp݉nc`ҝ:1-|p!^pwRT;rCbND5pn{8+$ r)h ;tV_Ez]^%'( 7|ރ}={YL]A/,*x?`A? endstream endobj 6222 0 obj << /Annots 6224 0 R /BleedBox [0 0 612 792] /Contents [6233 0 R 6229 0 R 6230 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32153 6231 0 R >> >> /Type /Page >> endobj 6223 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6224 0 obj [6223 0 R 6225 0 R 6226 0 R 6227 0 R 6228 0 R 6232 0 R] endobj 6225 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 524.1115 197.6017 535.1115] /Subtype /Link /Type /Annot >> endobj 6226 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 507.9115 193.9112 518.9115] /Subtype /Link /Type /Annot >> endobj 6227 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 491.7115 179.0062 502.7115] /Subtype /Link /Type /Annot >> endobj 6228 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 475.5115 192.8057 486.5115] /Subtype /Link /Type /Annot >> endobj 6229 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6230 0 obj << /Length 19 >> stream q /Iabc32153 Do Q endstream endobj 6231 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32154 20830 0 R /Gabc32155 20835 0 R >> /Font << /Fabc32156 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6233 0 obj << /Filter /FlateDecode /Length 2035 >> stream xڵXKo$Wl`yh 䶁 ``uOdFZiH&WŪߴӳsN)K/%hױ5dc-Zlҏםuǃagmmų%ú#սvqN#ܭo- ⍫5`O^{q܋뼳=X/pKDXV]XLN3Edo}db¢CzІrbQ ^6:f% d=2I{m_xꄷʄɚh nٶ.]̋p%`i\ȁ.X{MV]k0X\4AE8QVg"$:@ϲ3DP/~ ~dB+}k-wV{gj3H}9€ ?_2\a!A8 2\vOm<1pW9pD&Uލo};",R.%9rVCRLc^7j @?hb^Xܜ_QL; ԉ. {8+j1N<[ Σ0S$p7tDOnCb 9r[߂oc@O|B@fZD; @<0i=KOp")%\C[ݭM&׃hr"ӾχXa嚅'mdZ:g"E&ط4̹;~u~61ãi19XwP UA|KId+S9eT5`X ΄<U~|Ѓ8 C;KnO?g_k9c)Ab(Z?0>\Xzx-^aF Bx d08+Uot5*"r`Vk0 L]|\Ѹ28HAjڅV.4[R5\M}}K8Gw{UgGd*Pn2YJ4h{Q;, `vs:[a:r'@Wh{H 'RZVm%6MRPvA1Zo)[\[iq᳆r +x W}w)CM2ġd0t+iE`N&Mk%oWWIvYF%}Z q.}?y?wx4|8:P_DI9^Іp$bMCL{i:zV[%rw5rHf" śX)jz\6BCI6j#\+E8$H> ?7eN F#Uf&l3D#=M T2B` Rڲig!Um {^$%E*z|Bx9&R?,}NLiz:@X,XAxD@Fb&bUFPY"SD^D‘BƼǣiX)pYJoJn ?m1ӨT*B,7ﷴ,3⺏ngu챃C$ޙDLhHf0J~b= Q(FDL1hq\Wut)5arS- UT.'u!x%q@)lVT1%eU97mS5,PStbӿ\@' 7@)qg5ugjӸyB6V?;◳l4qE|ڍX5$M, 9c #~֞ J.װS; ?XhLr끄?BgnS~?UٳkU0 \xD ,@._haPlu9꼵侉&>&a7vXev N=r}OIL[7|b? Α9hFih1gxzݢ45a{ endstream endobj 6234 0 obj << /Annots 6236 0 R /BleedBox [0 0 612 792] /Contents [6242 0 R 6238 0 R 6239 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32172 6240 0 R >> >> /Type /Page >> endobj 6235 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6236 0 obj [6235 0 R 6237 0 R 6241 0 R] endobj 6237 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 344.825 121.8835 355.825] /Subtype /Link /Type /Annot >> endobj 6238 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6239 0 obj << /Length 19 >> stream q /Iabc32172 Do Q endstream endobj 6240 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32173 20830 0 R /Gabc32174 20835 0 R >> /Font << /Fabc32175 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛJ endstream endobj 6241 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=500) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6242 0 obj << /Filter /FlateDecode /Length 4761 >> stream xڵ\Ko츱WhI IEչw& ŗ~ٞ9nMU+)RӏL+-v>:3?eU]=z5ӷ[Mާ=ht*ZW}Tre+jw(ֺ;o7z8m ڷ #5_MzKq YB+kMfԎ3),sҡH/AI:E <'=c=~}oQ'>e&x2"'l.e$M͝9?z[Kq@.q覇ES;hzo4aNe bLPueI 0vDFN~Ѧ?@ҧWH~I_^lM8ˣ-CVg(L\&Z7kv6VZb u;x' *Z$0ѝ,m-h1 t#K1dAc'1B># NXfVJv^V=$Lgz @CY7k?;pepOQmEZ22&FbhwBвw$!\!=61dň#* ܘ:ZgcaScTk*kf;U@XS*k7yCr֘Qƥ~n{^5M7Iݥ5{4ݢ&u_W BZʹYX[*3W/ur8J:]^ 0KaOyXfcAsln : ;5%{Fd(קz$g },W= 2حfW *ܩ*t':b[;dx7)Y Q>`wiY@_|A]bl߽W6OoM+:h45pߟWTS("ծ"RlQul r[O9B cOmߕ}4sn5ly@RCtxD\⸌\%qŒQ ݽͣ{;xԹ[rxrf g:՟R⓮uGny{)ϊ1C'5P[0xlZ63 p㮖-|%6&mP|zD'9jMFA H;joBO|p"f4*] k +<%?x-<q iA^yZs0i6g-C,d+ }-k계5}gxܜ 3$|P̀+u_RšjUmpґꨃ DÕ f)9a vقURV•jLRNd5 T`]3՞yukRmRjZO]ڍzV9^>0QYJgcefX$CꭣrɁF[h 2"[j+=۪uTgҌ..ϝ+<9#يƷo[b=*Α}{ۇ9P \ )T1 IޔrmgdiBUTj-ȸYEjӡֽZ=6v.6(1 lUbӡR 8ؕhUYreѰ_:*]T35k k@j\YnZ P\yPЦTvUM:"kXOdPMc׺ 7rzװ*w4 ,EkV`az>)YH5!uyPݼ}L&u 5Dc\V׀M}ZO0q/BF %+s]$AұwI.L_"VՁɯޚPc;,dP-8{upm-;6 8gƠ-OYٲ@v?vV~;gw@'}m}:Up7au.4ئ{/Hf=ǽEڱ?ǖNpGN*A =B7#m<-DsJA^; 'm'_OQzkaj|(IͶݍrESԔ3hCx6Kc Q*?*:QB=e"31pRUwދs/MI#j-uB3U>Na\򑽌m//`Bca^dWtKzܸӄΎ¦u#bw_|7-++(| v4tPTV4D(كhUtl ۢ3o\S9Gl"|PX]}50~uCr垪O3By8 \?x%\3vf\nᡩM-y I BL_uZug6-ϒ TcPeaL5̕vjp5]B^2hJud'*2f0H]<|r7ofy:ܒ/Fg#ۢJd'<J2āUb[8 i!ryN ɰWm/dշ\wxo&& aB;}EF1;Ph _au!hBCmt/_r`nz~g;+Be\^ڎbM\- }Էpl:?mHIR 5֍@ᶳ6wF;U٢ZH+"HRv)'KO7KWkϭt'u4}gQ>Efg:,4i%.:rZs0蕟!<0MJm k|*TL{=G | ȭ:BG";0\e2O| k=$5zzʺFuBSREIXR{zG߭G|S:_R[4ӂ/ GGn!blMMP܉PhTjQE%u3k˵"bgZ`\8Om<ȫ8,Ij8`_aw)I;Os3/:[ '|*?O\uKڑfF^l#ﵦxkXnE$^Q+5jR![ޗ\jEUߥ+ 􈍏[t T*l#H!]Lvս5 )twf{¾~8:ӈ͏h&-@GtO+0\{򎏶1g^zM7WP[TyQ,9 ˫8\Pb}ǃcyQ4 2J@9{'}:#|^^|zO~S|-GpۮA<˧/WzDȨ`.ėz_vG> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32191 6247 0 R >> >> /Type /Page >> endobj 6244 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6245 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6246 0 obj << /Length 19 >> stream q /Iabc32191 Do Q endstream endobj 6247 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32192 20830 0 R /Gabc32193 20835 0 R >> /Font << /Fabc32194 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7 |:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭf^?K5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6249 0 obj << /Filter /FlateDecode /Length 3952 >> stream xɎ@+1t K S*EǏ%XFg6{znNom˫gz~kâZoGCRrQdzoeNV|1;]`lDCX|v /3~?eby\?r^=F+4i GR>(O?淿]\ri̒K*3x% 4aY|UHTx_%#4#=94FRpl#-.4c''}m dk/T-ZfLLInqTvk|T 'b4hLС 85ZpT'$s\D@*9՚j+#xsw|t!'/@+ޫZHɳ6FQH(7]&V{p0 U**Z{)XrKl:ڴLc *-)"}{Dt_!9@~]LrCLҧga xO1CYEHph&nZ')󗓔Y#K]ʊ1d% ]|5:+A5jAvܷQB+F' )uqH&*B&r)~2^aBhj&H[g¡TPkYH.v-h̩`GMV(XPK{ɺ1=E~'1; {yIN!aY6F2qH;|R-Nf[FF P\8Z5#܌ppr%9]S.+ lImؒ8"&LspX0BIxVDa oQ -Z(_)z\BgV6O OFdu| ミj1 ^XrYiH&_aafXv"Xw0Ѷc'WFtm>&NΏ^W%(b:X,[MU͝c+hrϻ{h|;X Ex)jo>GCB7"=Ϲh.R!v^KܻL & uA6qLeF P[\ X@DD2uZ* *"16iȽ$+kE7TcrY@k0Xrc?i#J3WB@J:z)7a2+I ܫ7ZWχXl Ko4Ҥ[EW!-V6u`-ҫy+ӑ U`S:zkeYp=2>&8bMqgyu?Pf=Kz>AubP]$9 #Z Idqi7RlLݦx#Vd Z/-~;mҙG=o7gٝ鞜ȲQN˚E+^{ֻa"pp)Q4K|JȤGzwJoOӞ$TT>B /Qk|7^}ky 7HQ$nPW(_-t 89L>UTqkzk unw'5xRֽURn^PWZ! "*5B.ejVK1D5Ln4l UT?Q˟Q!mVf)9S(K'de&qeokN/P6_Eu{<[Z1[2+d J%UP IHx 9tM0vi+P$C>܀w|d v ;Ԅ9LK2Ji[NN1ۃ))SrP[&mf`/o̳ PܘGͿ0O7tS^/!J\8.lݟizO/CVzP$ImA`EC{ؘQXzvXK@"!o-ѡv"IϽiot;bt}&(ѻ=&oûq6wc?vND? }#"skcVRN^qv-!;2 l^c^&ots=}]Z$:`{NK*:zϩ'I5}gӦ߳#( ,kVZU w '[|=RWkD>,kT)d:QBh.$V CwsGbNG vcJ9;GGcߜ(+;\ ޛOLmvK,^Oz`?& <,60,9ϫ{XY@ )?0VP_pN7tPF4?B7^Gu:$ODMv A/$YEz'6*0yz5s\LI_@Kw!x|?bC@O_p®!D*ri0F~4K ܧ\'l= @P1܇bZބ!Xc> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32210 6262 0 R >> >> /Type /Page >> endobj 6251 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6252 0 obj [6251 0 R 6253 0 R 6254 0 R 6255 0 R 6256 0 R 6257 0 R 6258 0 R 6259 0 R 6263 0 R] endobj 6253 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [104.1732 217.0384 163.7437 228.0384] /Subtype /Link /Type /Annot >> endobj 6254 0 obj << /A << /D (unique_446) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20211013063105-08'00') /Rect [104.1732 200.8384 161.1202 211.8384] /Subtype /Link /Type /Annot >> endobj 6255 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 184.6384 155.1032 195.6384] /Subtype /Link /Type /Annot >> endobj 6256 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 168.4385 187.3167 179.4385] /Subtype /Link /Type /Annot >> endobj 6257 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 152.2385 152.6942 163.2385] /Subtype /Link /Type /Annot >> endobj 6258 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 136.0386 166.7302 147.0386] /Subtype /Link /Type /Annot >> endobj 6259 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 119.8386 154.8007 130.8386] /Subtype /Link /Type /Annot >> endobj 6260 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6261 0 obj << /Length 19 >> stream q /Iabc32210 Do Q endstream endobj 6262 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32211 20830 0 R /Gabc32212 20835 0 R >> /Font << /Fabc32213 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 6263 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=502) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6264 0 obj << /Filter /FlateDecode /Length 3624 >> stream x\Io7W e"`9i& %"e[<dSG-DzwGi^?>Ns:E],}?vN?6l5&qe׺3ڒ-@7AyK<)S2qeӵW\]pe;,mv^Go~]j˵5eÿHz23BXhc¥#4]haBkf@P-dꤝ+bۭ /Ig Xe;Z+1[7L &MbN:W\fgϭ*FwT|3' F~JS%I.ps;h|hV@VJ:q:+Ų2yH#A2m&~VGya .jCPkH"]@rΊٗ6BރĻn=;iwjKd:Ϻ3&V>(-\oF΍Y BzyjWI'[VReu` գQEWK,/C mmM#2YSb` ^# ԃi7/8 4v;6.դlx_fB|])gk¬o8?o鳏5Yq mV<fNTx{f fpALBC*?"Ň;xΗ"ܱAuq鱍[e?''f[\I r{Sv*-*BmۓfR*xPp *HؔQ7ӯ}NW̽fjP3]5 oY7,~Wjt"WOi:4']kڟLTشXLT\s&G ,7 <*#R9X ՂpT{ Z=̰6= &@ 3.P&QIP:t2&WyPR Q19,L-VAG0/kLC/Sm! b$9v1)Y gT(-py?e:qoT(_G\2yM 6_ v%$XJf4Юx|K`GVO<QơTPhHsqVdlek!D}}{-(MC=CH*V $p8Bi%D9Q蚕G IF&PSAo`vTдB`YLEH'U%@z&4XУDh1pS7̑tFqق"da-:ؕЧȞ_PgѢb#2҉#"q/GpZDuԬA}W!Wbòrz ͭ ;K=B \A a@@!!BUH=*5U!GgNµA;U:mUk F.clj:]@ƽ 6h#u)JKՎyeVD٠ fpS(;أ;ShOjY}Ѹ#!ΓqݩSV*ޣT D>L% rX,^zUj:gz ր#zN=c]v!8 6D8DIdlUץ4q['vx"P-q=Lfzx"$vG8k'7n^.JWC . QM Q\ 0ó!L Gwpm'vЉ݆U?C~79j,kVʳ66Qs:סȎ;`P`+\V ,x̌{]21kJL\֜2sܤFQNAs V"ɔ6CV /a/(8B?M~w)~6nG_ XX:f9.vv` ,̞- iJ݅!'lnY=)Ge,W+m+i~0h YsXI=> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32229 6271 0 R >> >> /Type /Page >> endobj 6266 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6267 0 obj [6266 0 R 6268 0 R 6272 0 R] endobj 6268 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 387.625 137.278 398.625] /Subtype /Link /Type /Annot >> endobj 6269 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6270 0 obj << /Length 19 >> stream q /Iabc32229 Do Q endstream endobj 6271 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32230 20830 0 R /Gabc32231 20835 0 R >> /Font << /Fabc32232 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoC endstream endobj 6272 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=503) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6273 0 obj << /Filter /FlateDecode /Length 4604 >> stream x\Ko$9Wy[ 0GLsz {KSR<2vQΐBAQ$?RP9}d ooֹ)ũ:/qnhs;kcNՓ^ܮBro*ēcpppLoj̮]*UN_:}jq'?N~;·Pyp6Pۻ%o?)4ߧ_Yarky<[4e.6Pr?94{kK!Ehlm}P^\ҽa7|nL|Cp> jX=fޤ;&^'P˜`a:CDïK2aǿ2r.:]K˒ϱQeOድO  '# O\~,\ۗg70:;RMkYƊF|98k ~! s,DxG4j<չ cȁAwig*ocEϗh:N vhCBLG!/qSpy To ,TG9y0V϶T:(C&>*le#Dr`3z\Yb[1@6 D/bV kHu=܀7n\48Geh:j(wXR%zrI,GQ@bZ]S5F`"Ac[]vAql/€?; 6[P-g mPѕA̞uGssgk4Noq +\䊷 ^ km;YOy. $7Zv \!Oq'^%z.[7dbWtמ%l&!IxoJ qq%`?(ePIǕv,⤤zU0tMG u4@:^ !DJ=< =huB*32S^IވZ"Xm Y*āxn=4f#zi5ãku=Љ0*,`01⺲2,#cʅy\2u{h 2"h>Zj/d}tLcen+M\ОSXr F]moy+H*W̳'8XbAĎipC木ƥKIʜ' re|@\*>M(JlZw?N}t#̙p2gf9>Nf;;?V䭀q^LR1xwgF@oq04Saq=p}L@BH#"dHP̫ L^k^Ρ$̭}9gԫAIӨnǕAtYfXʈ+;@CZ>9/uY^Z~; ~"Fݏ?2Ls %ז^r`G٧n)KG]3Tn|MOyQh%9X7q9y`ZyJk}.EUhLNme,DFQ}2)͑7s7=PZ'.-xzQ˃./Pͥ<:B(LT+ g[z1>ZwLDW9[4×e Ӓa|| (Wi9l""[oŸX}jاdc_sBVOC hyJ7TI6t:0;(Z=^8Aܝ "?=f 5vs fkL$gN)3tAFf,\dEL; p!a){Ͳ`V#&`\pC+K03L\Sm1BhY:PJL[7l0N(tDZ*h:.tYdT *m<nKP ȔK.j0Tpl)sL%9=o3|7/sam$GM#;mzdm#3Fss.YwDmu#Hl-rwۙLd_4+p@ta0.40zLh)1Pb;m۬{.HViUY^i/&]JumiaqKO~/B=A-;AϻxnkԞgut#矇[/! A/%^^_"zɡi!8'xdVp G[G.r1l'9-}~1vPFnv݂pG?A0mxԻG@ݍ9.lRћjqpqЦ7X./{S߁_ r4h˰e d1 fXRLc|s\#e@M!dh,Aө^_A%~S%Z OSv)̥,Wj*/2ۊGCNvH+TviWm;cĈ?X1/~xhY T|wq^ ƘgDžu*/|Bwzi{@Bޤ+iCy)m-'k@y~̹s>ye~Rgny9K (I9< 4iQb Sn<\&?inD`n؁`2<( i(u7!3Y"=C fB DN}=zE\rH4 D˖K?ހ";XAMטAcǂz+OƚqJ9X?p hz%o7[I}]egx:%qj55e㋓9ySt 4tQV)x{#m| %ʹBg;9Zw#]r$*l$xRp8 qؿX8HKR*a9g*|#I;6G Sl&ilz~yB-2ND5w'W.쵞`O{pػní?6D84,1߉V endstream endobj 6274 0 obj << /Annots 6276 0 R /BleedBox [0 0 612 792] /Contents [6289 0 R 6285 0 R 6286 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32248 6287 0 R >> >> /Type /Page >> endobj 6275 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6276 0 obj [6275 0 R 6277 0 R 6278 0 R 6279 0 R 6280 0 R 6281 0 R 6282 0 R 6283 0 R 6284 0 R 6288 0 R] endobj 6277 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 243.2615 174.7052 254.2615] /Subtype /Link /Type /Annot >> endobj 6278 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 227.0615 200.7917 238.0615] /Subtype /Link /Type /Annot >> endobj 6279 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 210.8615 198.5697 221.8615] /Subtype /Link /Type /Annot >> endobj 6280 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 194.6615 159.8332 205.6615] /Subtype /Link /Type /Annot >> endobj 6281 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [104.1732 178.4616 185.9197 189.4616] /Subtype /Link /Type /Annot >> endobj 6282 0 obj << /A << /D (unique_302) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 162.2616 187.0252 173.2616] /Subtype /Link /Type /Annot >> endobj 6283 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 146.0616 155.9997 157.0616] /Subtype /Link /Type /Annot >> endobj 6284 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 129.8617 190.6607 140.8617] /Subtype /Link /Type /Annot >> endobj 6285 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6286 0 obj << /Length 19 >> stream q /Iabc32248 Do Q endstream endobj 6287 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32249 20830 0 R /Gabc32250 20835 0 R >> /Font << /Fabc32251 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 6288 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=504) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6289 0 obj << /Filter /FlateDecode /Length 3424 >> stream xڵɊ#_g±/ %4ۘOmSm-e*r٩HEx)4RoXlό ^f4M/^#߾.*4Z嘜o?Z[u. nJpŃ| 5֪RL{mbXxl;k G#$~p<6DD[< dpނy4.##Y Scg# sbIVrq w )e]7D3 |UgT!ϕA 1@XPc2 LHVW"+$Ps%c`^M^ Qjo%v4#(.$+X=#xq h kbuThM-k7ƽ4!κz։N;1&-P $XqS=b 6)61>*dFt]T#?m+U:͆ܬB[FE[\h[qA4B/c=& mk= 5+=2yc m6]&Oh7eCb6WfAشTD< ^_h XJnI>hk:ݨv&ѦD^n^- }+DP,t_ Z<"k [q$EU5y*d?CUvW6 oWa2:ʋM{V rdw`'oLDKʌލ} w DA>i9z;]k|JZ.rq#h@>wp7\ 芸ygX-l;ի&t"mr8nxæu5HiX)^&;y^\3'3~IM;:_p;z;BYYI?;8sU>l3|ĝgvC-p-X~;eּhDB)˘RZ&'wd'%qt.Cn·ymN%mJM=Ma*RAOFg\lխd>jn^]#Ǝ aTa 6;%ǸeSq[fVt[ex~ $fORKH. nBvɭ;尥cj=N >?dӉ5Ch ~%&%OUĥ" gHzhy?rЯRt6A.&;$9M&c8w}| ;CURtPd7XFz!nEo }Ǹ1m.ܧ35$Di+:OcGpcqyu~Ĵ!o|:^~ Br{o}ӛ~.IAJ6`۟!;R 6Ӭ`Hg +OX!Cv̽:}b@,D$*Jq SFC$)@ˤlwMȠz%b{A>tЂ@ vy)71טˇ1/k'Yz]:c MXG tw mP"[;m7W;zWpwLpxH8*Q}V[16X& wZi:˴֩l6㘯bIQn" b."1'1}n,{m82@̀֟!_(#Cۖہ EhinK}c˭K E&1Y+X'/0}< 7R_3FJ]VӏnĘT"FfXnzЏ>joo27jYgV1/jtlG:Lt}ҙh*k'W}:Zje>T<{v.-Ru& u'<`5?XȔ2ECe\jRlHg α^; 23(,6B~!j?U}2N5p8]B(UL UNL}4:0j3ċ*|i,K&'Pzd#ᯕ%k 1. endstream endobj 6290 0 obj << /Annots 6292 0 R /BleedBox [0 0 612 792] /Contents [6298 0 R 6294 0 R 6295 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32267 6296 0 R >> >> /Type /Page >> endobj 6291 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6292 0 obj [6291 0 R 6293 0 R 6297 0 R] endobj 6293 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 6294 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6295 0 obj << /Length 19 >> stream q /Iabc32267 Do Q endstream endobj 6296 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32268 20830 0 R /Gabc32269 20835 0 R >> /Font << /Fabc32270 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫ jЎYzrq" 7\H&5UJ8*eAT Ɣ3wҜHNIx5s剢xyRٸs@61 Ej9zs2@}giBxg(7FI% uGZAF 飄fѯMɧ5UG)qr޵n6ZNoK5m͚ˡ|72jIHh&Wj)\Όl iVspk"bH& d_ EC^B 0)xp´!ld̪'o.U1" ^_/|Uv,1@wSvbV^B90ډ_'Ŷ& endstream endobj 6297 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=505) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6298 0 obj << /Filter /FlateDecode /Length 4285 >> stream xڵn^_gӮgc 79rrRb@z")ݵ{^/4;#e;}~JU}S_o~fz92Nkv)o!7W[oJ|m-_\εa 36uV ko 1=ez|R_h8𓝞}{1oLLzy&=kg\59ߔ '槛OcSsҡ0U j",0kmZ/g`=^^h~E4,M\*pV4\9 cLH5D3޵+IL۸Jԧ $-'B+(7%$ASvBӣtNcgP@6+>[/AP3_gm ߱}P}+~C1~ߪi5#|6?` .}*P er '#~=W tX/0h4T8P,VFT,a4w:93%EgAzRt?ԓ ,XqPuj#θ;UMLaQu1A'Ljy&mI`6;wPIxH/Ďr(̭WvxYX[lE k htΊ7ـݨ 7kf!->9fy 5=+LXcoM9Pg/-p^(C^o2blEAaF E3g4WE@a$j`_u/,wMry Y~K8P=',񨡎9 WF*Cc"&fo`! աT*%`eFT0YEL [*x4Sm:F0Ы*ڥ(YՖ9 _xf)u 㣟M Ώ E2,P;()Јykb1jlbўmը:ң[҄"Ū.ϝ*49 #ҟt~K`%sj;7M]hoW˜2K OAywsBiU"UjMՈYmԧRjfmžmV$J DT۾X@*`t4tJKa zt[<*\T Ե 0fir0R>v ki{@YY.favf1avfaw̢XvؠB ۪́Īl`@v4 g@vc@JWF3'% nR왓]t,jNvmNvmNꍉj4LHʄQOf4F?&~qmR; $ٸ͎/[}~~HTGOBU{XĈ̂ԧl:̯%߲ޠi7Y)[e̬e%l[-UYGt_6XpBRwXn0NbQp'Hu+_z^57{;p} =^nK WJ|/߹+& r$KK&NwO. D6hm 5D*'p 3 ވ¥>ava"!HtfVȝCí6YZbaEqW&< U(~юL0F?ծc釻EB((4{h&e'd0}~[ka[YenY/עCA_ez4#,9YL)AhP摴xʚ*bUK6"9  XiqnEp޹|(M`vqqzM[5\,p5IYNNݘ-XH( %C!HlI~'2%^݌ߜy?n2awiO%aImWV1&wG[+@myXcqyNU4A!茥ԗ*݆`:F5'5dOZ`"ꭔ bӿ!i^-!bkNu {gRj`ߜfgJHŁ7e|ԡ4@!o|4ՐS*ar7)(.e`0v]BZ1+HGvgݙR7A%6D}x/|oI(-rl<\8Jd _oZ1$q۰f ٭zvO?}zAn\/19eU9XomZzNV9m1{gjœ65+8y6SoS UpTQp+eӨj~+YV uɦ /ycpC^h!Ħ6_9(ԅOV^%毅O'(8W?*cGwkl]0۫ M͗~Ջ|~Pݪb޴܍mi&ZTu-"VW^WLtYpܾ;27ǭg]}vУmV>Tٯ;칟l1Ͼ`G2Tgӝ5#CQMG߱Z>#rƏRW׋IchxX|l&ݪ]l@,%yS9G$sxy)a]sw+ C&^s4o.[u30zDքܚq=SnrrY2u (QՉ)shAk%["Û,g^k i!?s YZPcjw7vX1q6)L0O6:Ger=r  Fϳ^X)Fn~F[HRPзۀxKl6c0ܖ:-  6  $Y?hu289ubЊ@/KI1jKn{jMs/Ѯ^:S| (~Sp=P>+fg:eDQ#U8'ܚ[]XR35ܔkw0[G;Gᘝӿy 2&pX4cƅo ';0~ 8U[ 𖄐Vd3=Y5șfB ԕf}M8#:{[ǕozCG x=hD'x0|Fu1RM,r*uF6 G*0t*p|@!h}:Li;N"`KmѳCLI-0J.iaO"Z ҳxK7 3>ZXÇHxR?䒰[֎4#M%ϵxnXnE^Q+5jR!|ޗ\jEUv-dei^ s T*lH.NLv彙u )tmqYlˉ>OW?| El>S׊MݑrǵExZ$2CЍ/K[җ-bwI.u^fBB"*bٍoœiH0LdR+'}c!>.{$7\c<ï<]nM9+G30rȨ`B뱋z;PX]V*[qn3etDP݇k7!8x4,0XY` X{}⏄u>vclC> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32286 6313 0 R >> >> /Type /Page >> endobj 6300 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6301 0 obj [6300 0 R 6302 0 R 6303 0 R 6304 0 R 6305 0 R 6306 0 R 6307 0 R 6308 0 R 6309 0 R 6310 0 R 6314 0 R] endobj 6302 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 582.9 199.3617 593.9] /Subtype /Link /Type /Annot >> endobj 6303 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 566.6999 195.1267 577.6999] /Subtype /Link /Type /Annot >> endobj 6304 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 550.5 189.4397 561.5] /Subtype /Link /Type /Annot >> endobj 6305 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 534.2999 205.3787 545.2999] /Subtype /Link /Type /Annot >> endobj 6306 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 518.1 185.6062 529.1] /Subtype /Link /Type /Annot >> endobj 6307 0 obj << /A << /D (unique_303) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 501.8999 190.5452 512.8999] /Subtype /Link /Type /Annot >> endobj 6308 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 485.6999 190.4132 496.6999] /Subtype /Link /Type /Annot >> endobj 6309 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 469.4999 207.3807 480.4999] /Subtype /Link /Type /Annot >> endobj 6310 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 453.2999 194.3402 464.2999] /Subtype /Link /Type /Annot >> endobj 6311 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6312 0 obj << /Length 19 >> stream q /Iabc32286 Do Q endstream endobj 6313 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32287 20830 0 R /Gabc32288 20835 0 R >> /Font << /Fabc32289 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7*|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1f$?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}>D endstream endobj 6314 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=506) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6315 0 obj << /Filter /FlateDecode /Length 1820 >> stream xڵXɎ#7+x6 d`n=S@e2Q! Fx v7QQ/z9,^W9j4dcmΚKZ_MkcDiԝf) M %}ULmN7 6GyiQ6w6C)v"0ZN{I*H)Tfȍ19`>Q  X0@#l똆Le rH bZF:sIy~vxPՄT[EDFdasԐb 릝⠆D~ښ"Dz#uvj]֯/?ϔ赌\Q0 VoR@M?ndtF(O({w!ݧ@X#%+|9XdS26FƁi=g6.q=ߜzFԖME:S>,^h%H M|?ys_:>=!p^x9f4LDXSp֜2\4?0YB}73d9OlĆAXQ&W"<ne6UG##oxb55l<|7eV`$2G3 nVLJ}P}`:R@&]ζO<_1-c1pNr6('LCFZn2BNJe6Ŷ׫F,~釺o1)GM"V4k-t67X~ kL{שۚUYV!x殺%n{` eFLl4kef;2U3628ҸQ"x$ѿgJ/׫9 XUY^Q%T 0]77`{2@J xgcKߡY/h0OwDiX$_1C1R'bܦyOkXTg{fᙙ;@ѰpCxxfkUPV ~kˊ#>F]6vq[/"θ" %rw&w{1P6Fqubt!"Op7KבAV5aMq=nl/N DSe@}ʪ}\NkW,Ҫ^K _Nq~\Nn6V"u(3_ _ O` _]B녰c,Ҏu==H,mַ;iqP![S01BD0~oq$?hj(xFS ( "k0u;vf87ͩwf1 sJ!r3}sAŹjߺֻCni={Kmr|R'iԩmPDwWsG$=HW-ONy>] GB`> endstream endobj 6316 0 obj << /Annots 6318 0 R /BleedBox [0 0 612 792] /Contents [6324 0 R 6320 0 R 6321 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32305 6322 0 R >> >> /Type /Page >> endobj 6317 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6318 0 obj [6317 0 R 6319 0 R 6323 0 R] endobj 6319 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 372.425 118.9685 383.425] /Subtype /Link /Type /Annot >> endobj 6320 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6321 0 obj << /Length 19 >> stream q /Iabc32305 Do Q endstream endobj 6322 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32306 20830 0 R /Gabc32307 20835 0 R >> /Font << /Fabc32308 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd>3hf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} H endstream endobj 6323 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=507) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6324 0 obj << /Filter /FlateDecode /Length 6217 >> stream x]Ko$W@*5om7Ş1{+3KURX]I& FG2"IzE'I./K3KK6→gX|m|Ln>/')c ^ɓky~_O_78F~=j?duk,^U;ZSYB3UkSʚtxxOk ~IWԋ_z5&9|o>y UA8_52QV(7j=ms\1!| E>3Y 9r:H[4hꚅhM'c+KvEMVPn}r 2#qOwM;*dmgNdŶjܧd7Ey@I^ӥh1F7hzac0O~ܹ9u)%vG) 2tx1prf,S Axb` AZ0^^Dk3Nz dyL_ּ8dɯֆ-ϧ 3 j.ʙ#FsAv,G.&ų,uU<ߐ<ް.!). hJ L~5Wf_^x9C  t}kg *Y -ԆnuˍvEL<1:/ âY 4a8t( Oo^h W.ضѱBJV\6r$;CY}lקW UQ{)Hmg2a^O @Gup)Pk{ƀI飑ި?jG<Yd,h4<|#2]? tULenݍǴ V64bDPy ^e ?ԊvBE}eu2Q艚|o$WpC&a^Lr 4qS8UR=l?`ND:S^CuP@4\q0nq_L"a®:[TB23T[e:ΓdXMW'NcCR [6ipjylmZjEj^k9j34"4TQ"(ڬ`|933,!c u-)ȌE$ҽ՞zY\ƗWI6sA{Lica4!t~@6l6'HVO%8K_dAĎ+rC(DŽ5ɐxHJkc gNcdӄbDԖf&$̈ΚU<9"8fkD- F DЩݎɆTM/U83 0e:dtaPmn101hYnLP9ˁKsXf.NU0'#,Pf vrv`a`Q11Q'}HVjfjlPrddwzS{!|:؊:t,}w pd>NW3KEN`1H z>|i>""q]<`JiY]9sv! ӾΟa 6אxZB ?dtcϬ5y6B# XavF Fz~w#`X:Xu>\9L w' J8jۣR>ǀ'H#wg>l &wΪ Sh݉)$Hs%F e8GEF6OSI00ge*Oivrq܄<ާ3ux0 #y Xv"?L

n gy䴝Fs|y&ogm %"yPF* 4*%dMGhxkq6$t6Oݨp=f3.@>,%mjAchrۮ@Y-ZQIF+:ЅEWgf,Vf6/JUؑvv ik:xv|,QK7{RڭvL~ Rtw\qIRmZǾtmfMN0\;Wzs8h ],a cvaG!Eg8)̗vĸR0D5~G GOtT+ Չ9ԄG 9x/q#Bzzez9(ZCfN> wºf _`MG; F2Fd1#,1Pz9 {gt=k$O pPAeqa\vL>cւ E?qǷ`9^ 0݆K/H{Ӊ2 Sרt_Ku´%Z89lj\!W34|emn![֔M׺;vv3.\ͳ]]KMvw3G]jJ^4D1Ҧ&ߜ6o~cF3b=}IUGE`~CŘe&)=( ~ ,bLqJ567TVZ(ȸx.9D\|cxlQo)M=)9 /|\papUp@\E:ɺ&hL=zUXuL;~>R2,Sqxj j6[t!ʊ7rWp |p&p& pq mq= h14z|E[H])D..SZmr]n,X^Ѣ9;V-2yO*gU64t/_HNrqKݾ&}أ;d!W"v\k xXm(0Gfä!h1&ǡzny_{G,7͵`oF=N!A|W_fSiIWތ,6< dn<M3e-iYkvvOc(= (G`1r 5 I/fP_@C$1nFi 8IfV`2uE6zPa⬻L5dM6bb SZP M:D\6~(sa yVg($;aY69jQ{;[ O¾-sœRGZnþMƷ\1>^oV3Vibz{H6隊_TSM* *]-h ~\1.4M<+"{&9qWu6rjjmΤp6Ω28q+<@G0 J=1\Q ?_/[U[^hYm:BpVB.xY%v s)Vr3ŚGD̟ ]Ox~>_}k YsV$v34%0F`EnGigڱLB!^(]C?z]e/'@ӿܤF2zQp:/'˵tz:'To-Ux޶ڂ}-`(F+>މ?9%A{ϳ endstream endobj 6325 0 obj << /Annots 6327 0 R /BleedBox [0 0 612 792] /Contents [6334 0 R 6330 0 R 6331 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32324 6332 0 R >> >> /Type /Page >> endobj 6326 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6327 0 obj [6326 0 R 6328 0 R 6329 0 R 6333 0 R] endobj 6328 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 237.35 166.7302 248.35] /Subtype /Link /Type /Annot >> endobj 6329 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 221.15 154.8007 232.15] /Subtype /Link /Type /Annot >> endobj 6330 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6331 0 obj << /Length 19 >> stream q /Iabc32324 Do Q endstream endobj 6332 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32325 20830 0 R /Gabc32326 20835 0 R >> /Font << /Fabc32327 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ ,ӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪE!H5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6334 0 obj << /Filter /FlateDecode /Length 4606 >> stream x[Io,Wt/@4#ͱ؆g/Wŭ{fFNM6Y,^EbMH./_gl:\0]MzN 3qu֔J/FFY@ZPf a~),bMTz&Ăi!g||9k~d͏R/YfQQ5%%S_^3Y&%45Z ƚ5uDsBY VR[ 'D+ohr3% bnN{Oƃ]x DHPήavW3] VXR|P؝m8Gav-їuXt!J_;p`M=-Y5_K]F {[#}$[4J;4Ӛ|!a!zL2-B4L-^t?,-oOWuD(.8v{aB5MV4GSmyؑ)+5݀ZcU[*gkV͟;_ (IePXBh%GTDWiwݲ%!!Ul設;LpUG8M+/:pyA< 6 Vca L}$PIW, D'rn.!qũE\e624E68W 0! M< 0U 㸆z~%Rl. Ya+& 7d)N`G4} Ŷg;KZ1U(Ƨɩ;jÎ}-㍝EH"Pel7ۑS9қUf; ?E.5EYI6z9 ?rN(X DC{6FpFyGBNnE"午S]8J(d lLݍUȴ slFv & QL-FnW÷-4}zش\no%dr0WSp[$jvSG7tPbt8?;>yKx{x},HzN'3{S&m.y#̞ˌiF(.rs#U2]JK,+[Oqv`qצ)q㊻CRo@Qm@73½Ml5{S?e`$p~5^7rILf,.o&TC=*ھ+<,{5m)ʆw\{oX\h#]2|VLz&؏Rq6R}2SD<:Q.k_,3'R81C\+UݙتyTw\x䛺L񪛎WR9ՊG=0ۚC5ؾč6ۼa6\3`l<1AͮNNjjx7Y%q|\IKZܔr`Tj>ũq _Ӯ/Q|:{ $QR0ɓ]`.8V?7c+Ϻ;MF+a5ABm/nq S ya _ę2nOH=x0܄Mdj+X*D4Gݴ;]K1,P dD_ӄBR7fmN|0vU;vDFZfwZi9_?&:BQSl"8ɉ;\lc#mߖvuzyaWFY^p̈́ѧĽHYV_㠏\|#%Ot\3]&EI V<u낚oG1`00O8 =>q [+_a`}q1zP05(V8'I<9O&dq.Y{kx5z۸34(PH'Plpf'nnj,Z;˽ʊӺy%`j.5dq+.6b*]F5=v|vM] 7>_S3u#z_A#؏b\i3@a//4 mN G'EO2e83y=s5x96PȎXTz|K(;Rwq2Fac=$+%̣6~! įDm6]=27c5 T^Yӯ8o~in|~8A`VVt8dtX$O`m0W/|A^_~2JO7.ؒfބEr?ax&9"݁6|lPV9EͿnڎ+gH6۪ѬI?W? '}:_N; ]߁ڀv>]Pe b-#/SE_{&6d[6}j}k] խ g1ʡFNsMRVR?CR,5`% kƒ_G&PY xċZ-4W4u24+ |{g`oq %Cmh<4XmJth[|Cz̭TR]/L?s~fhY.M[XZ2vj{똣uhZ;L;-ew&|[.mMłV$Ӻr).η]f\o6٬7ϲ]wXoq0!nk!wGd7LܤBs* _G( Ij:;޶bi)8#Cy 9.]1u2S }$x:Ǟj5ҝJzISӯK=J8.̿G ]?<x±_=ne&!o0T8onwxwd(2L9gȌ3aihZCjJ0'<7ߙW+/-bAy/&"JeF*y%=Vun!J'TIJBZZy?Vdį=JG&812E#H} endstream endobj 6335 0 obj << /Annots 6337 0 R /BleedBox [0 0 612 792] /Contents [6343 0 R 6339 0 R 6340 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32343 6341 0 R >> >> /Type /Page >> endobj 6336 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6337 0 obj [6336 0 R 6338 0 R 6342 0 R] endobj 6338 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 452.425 145.297 463.425] /Subtype /Link /Type /Annot >> endobj 6339 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6340 0 obj << /Length 19 >> stream q /Iabc32343 Do Q endstream endobj 6341 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32344 20830 0 R /Gabc32345 20835 0 R >> /Font << /Fabc32346 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶL endstream endobj 6342 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=509) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6343 0 obj << /Filter /FlateDecode /Length 5279 >> stream xnί9 `r뤁2ڸIz^z&H&%b6V)/hoK*vy~]*,/ HQ_?>ŚcWcro7Z|V]0Χvwמ[%wz_w}F!)]^}1rp_ZKGzθWkJ4˟RvUpVY bimJYBْuv1nEk`[t0pD!2'~V9ߥ>ǎp_Vٌۅ=voiE:!IVP3o+g@De:PXzïoS~m`?|A 1A? HU+@/ ȳ0/?-vc(^`wp'h?zmpZO (O>-dy` ^[&ZeVf I3{#!f6S{V9c7QJQr^p.TS$!=Ej5&o3(A" ,Wne[] 1v<6W-̀a[^w ؇Pt̠ʠ\or@hml)xk6я}aA&D"UσY rL.>FhUaXҊv{Z>( x4jADJǏ/_3sF#tԼjႭ}` gi#l+{mFBO<3[q4j rUy=Ѻ+ͧ}ϟ2C=bDy>9+a誖kYU n <+\Dc  FƖы0mA[Ď_9{N`\J0E_p=-aj@ ۆ ߧ^d E/4Xÿv%߈ueD)&9y F9P-X+' Kglwq[(Lb-7,,:!@%xQ$W ZĦz!֗~ lh^ UI#iR{BZ/|ͭȜa*_x(Ko&g`fH%V*ˀ-G>Xf,/%[XUG5R^>_^yP&Qa{Ovtc[Ldqd2:sԕ޹YbC`$ŧ DԞygMh;uV؋j09hǹmA[h5 XIofNM-93X)y:\{A5Gmq5-9T}.O}\?ڰN++T7`=9 Cȍ ;:PǕJ9{U#8y b1MꚁpԻNA\ek7,S+ZwFcvV3gV0}(0 :VLc$Ȕ ˩lނނт0 e3鳪ӆH2iJdԔ2ڞup"E(/C+VsB=2R6$n$Ie-%iNne=eOfo/k\V#ӻӫZZLŵD+Qw'a=*̱"uF-lv CVJTStRؚIܺ] ][.CY$lUVWN/e rڪzR鴨Hr҄Zqf!b41Se̎O)BRHx17O5ع 6UdI@{ė2' i,k:~F.le|q.D +bB l9b0-,bE,nl~! ̸X2LТjPlrD%RZP+4CKHB]4Vư )x}P] 0a4xKqjʆ]#v{, )lUx&-PM(}tHfS* P}y a%0y!@m%6(f ^[a1C[2i- @^;ލ.x'ۃ`3<>K@ &!ȴRiG*52$L x<1&p}:&I@yc9tʸx^juZ]5-DϓO3ё>Hp`ok.ۡO0Y@4rPy :s8ZR>V hyGsa}7 .I F7XOz^}}g%b>T8BX77vv"Y͸arnM7}b]\YXh?Q(Ƣ{>|δPFiQ) x 9i@El(}ԡZD'5(m|dF>-6Jg4l kl҃.,aE XUŻnN﭅˰8>zbQ#wlj;-A N [Twcuf& L/k̍,FfAG+xٯ])H jՆ\X20ޜkhK\i=,n{e|^;*ց+MڦH"G2Se&iQ]l[^](o[^~WvW^SuqgqEUN˽ iqO8Ic@g_YvM6= $fO ṩLCX]M]3~M|؄2r!F;9T X3|[6`X>wf?mUt/_Y@@a. w'uSUx%(VMe[([C8ҕ4%xXNGnUW^׆%򰮶s^F>;g6;-6V$ 66]?4.v4:&pH*FպUlN@|Л-2o׏A`ҾlXZ|K?DHqxv=? T$`Ss6_NIE[l -[l:L+![7m!;\j/O[-s[lNlTC v[VpctKO 7 WJM9ϼK8`ƕx8_Q+Bd][בiS/|D>/?@<՛\gj! (~ܵRxHsHPk_xۏ7rkǍvnc(&(&_`&C_G,h^vκQYfЄn7}/6,ݘ!(HVyg'rv2Lbm; ﹌C"oQpPtUA<7!:h?ThyԻωPSU$xQJq0 <@Ϩ.F$kz7k鉟/޽Z+@*^-h EOB75rYnOoǡCrcGe6"\+FkxTB#I_p.qDmH'iP$#S8՟кi<'i>|ZH%aqiXh+i?7H}}`ݛZlCYȧ.Z-zSsdei-xirɑSee[7wn7[C óxި'zM,&l/2h:#6*W㜊+'jZvT̅5C )~OEcs̉Cv^AhO2|I}8/YxC:|c`ܩ:TY3b~F?=ºM=~I-OY$1๗n/AD[Vڅ"*H{XeYIk?C{aSKសоѡOhVdɿ"ٰ/k˟'}N:_* ?R@/>#{> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32362 6352 0 R >> >> /Type /Page >> endobj 6345 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6346 0 obj [6345 0 R 6347 0 R 6348 0 R 6349 0 R 6353 0 R] endobj 6347 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (startgroup) /M (D:20211013063105-08'00') /Rect [104.1732 512.3076 154.3277 523.3076] /Subtype /Link /Type /Annot >> endobj 6348 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (redo) /M (D:20211013063105-08'00') /Rect [104.1732 496.1076 126.1567 507.1076] /Subtype /Link /Type /Annot >> endobj 6349 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (undo) /M (D:20211013063105-08'00') /Rect [104.1732 479.9076 128.8407 490.9076] /Subtype /Link /Type /Annot >> endobj 6350 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6351 0 obj << /Length 19 >> stream q /Iabc32362 Do Q endstream endobj 6352 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32363 20830 0 R /Gabc32364 20835 0 R >> /Font << /Fabc32365 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 6353 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=510) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6354 0 obj << /Filter /FlateDecode /Length 1939 >> stream xYI$7WlYEBne𭇂9 >LۘM!EVfOUиV)_/ؕsN)K_U'cckڠ55˃Z` N?PA1xoj( {Xi]hc`j1,'t u$壵>_ JZ9gbM  S7Mz"!}]Z_=zN<>Gwm&9Ԣ0CIJ*Zkz}x`qTS0Ued#1v= ?ɝÉ1d\D99*8s5 ƘCCD ArQ9@t+VP 3j3ֹ9J5#/~[˺1OȰ'xF70ȥ"蟈E6> 7Ytzߵ$c#}mQ, %@6]slʀ0o+_e\yʕe,:R\G9P2g%{c7ُd> cyk:OLJcw I,VB˿꿅 "a:r'+ mS|?BL)-y:![b["do5$x;kRvRBj`mP!X2jW(Z@tU-M _4l T5E]@3/@ŽeE&re!%ҭЊ`ݩ KJJ- ^] Ax^e'W6bAt ocW twx4|w@1@WIqHt۫A 6?KBp^TجN`^ojQwS#"[H6pśX8R4\6BylF \+EL&"ED[/xa3WYNAl3x#+&pTn2Sω?% /bb'o 0Id,:Z{Dz&Τ5l@m p0!k=Btx> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32381 6361 0 R >> >> /Type /Page >> endobj 6356 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6357 0 obj [6356 0 R 6358 0 R 6362 0 R] endobj 6358 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 359.525 147.728 370.525] /Subtype /Link /Type /Annot >> endobj 6359 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6360 0 obj << /Length 19 >> stream q /Iabc32381 Do Q endstream endobj 6361 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32382 20830 0 R /Gabc32383 20835 0 R >> /Font << /Fabc32384 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dr2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪzkEŐL$E d_ )2̼d9 M \09 `FcU$!oU櫶`ѼΡDHI]@ U8Ρ\j|=B/}$P endstream endobj 6362 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=511) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6363 0 obj << /Filter /FlateDecode /Length 4110 >> stream xڵ\Ko$Wl`:|?AFm99c`⿟z3Y)X*+7ȯoiL8ȿ4Z16n.D+_owJt/F?7۩{q0"<}Ԧ-M)҇߶Ʃ{/Ə?*rE_'_E%EjQVF? #*4+/,O+,O뗜S%*_J%`HX Ct=('#{M|:"HN\ 6zD8(#=S{h[e£`c^B0lw y.-]EXWԳk .x]` %R.}.cxWPF^= -$C/0#zSu Xr' g\t8{A?B .2]hhL-Nսw7nF܌+Cqv/>:ف;1F-PhΫ,~8lrtZT?ފlpNCKѥUG@ 9D~ RJnK@IAL%`{mF8K=2s"&0̮ E h+J"0]d6T g%JRu\U9v t0/^'߰+k›ϒs`+p"#blsp7U~cGBW JUU8y,x?ŗ{i:ٸ[ta~r%$*zvo[s`A+4EӁ8hI_EvuClDKSI. YF˽(25Ug +ƺb=+7,E&!F:Ze N3L5DqzVB"ҙCtbO4lqvr&qqVH:(2T{e:Sz#j^xJDkK 706$}"ipyl6-*5GZoNV[ ł :m`0.EoݜQʅx\2-4 2Cf{)h>ZjOj=۬tDce.+$=d?L!?1uF Ya>2,\2&.w2E'MUy2O9/.).9 ]"0O+{&r%\46!IuFtּWsb(1P&NvL6L1`DRƉQ;w3YCFK!\2zk K1/}s 3'8t9viṕ٩dfX`W@7\aa`aX0, 7A:YlF2gR{(63d;V  E햸0Q$}w-Np{p2k8 np2k85;+$? '1I~'IpoO$8$v18iv 8Ak?'UקF?6#bZGmA/)Lt\>H]qFn^GQOI f^ v#q3~:ʝx^I`c_.lѾWig0Jk@̙i|qeIYn.};gۮ^Hel9'3kY;A0lMPÛ71]dF<m6>/ЮuI0n;[{W{ϑB5to(W⠐ar+2%wN:"weg[Q;glQDYa"q2@!]vPo4b6m҉jр@63/.tlfj:k@p<+UGɊBQGFAhƺ8؉LAh`H2k!(ۉɬqvII1Ҽqlhr vYU{2ȡ`@k[vwūOE;xucUh8o:(tOeX~#,N?|3|eEr'm&7ʢu! swU達^瑘'{v + UyV[G'su:˲eĀ93;Wޜ$:gjZOv'f.1f%Ĕ*[>z |l4Y)nw9;{ hdZF$|Ɨ k4=2/B4)C|Oρ}p8">}P(qM,6Osq-)Ӭ)PT?(zh74C7z a90[lTv**vn㖪@!nkHQIu|WVb*C N vվƅU 3|\}^A_ac˜ vî1N~o6{L2r[Xk0l5X5҆'<.T }d'\c~/P2_\_؝_F#*R,ӄ[?L6,8,+mtrݰak5&Axd^4?_\t&]SUW~9<]ZFl* +]U<{s ]/LҁC|D,HwIKp8: *Ek(e. f8_p,[S%LðR*=S+I(ߚجd`SR6q13-iiQ_[NۨqiݓHvF΋!9k֕)e^cƞ^^b*,Zxmz+#yч& vPdA}CYO|-{{1e>]覛*ь~}] p6N1c\#x ft;p!hԯ?#O8UsiυB륜\@4ǣ/ץŬzi IbOܨ*bU.T₸\L>ѣA6pW\9V٧ endstream endobj 6364 0 obj << /Annots [6365 0 R 6369 0 R] /BleedBox [0 0 612 792] /Contents [6370 0 R 6366 0 R 6367 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32400 6368 0 R >> >> /Type /Page >> endobj 6365 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6366 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6367 0 obj << /Length 19 >> stream q /Iabc32400 Do Q endstream endobj 6368 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32401 20830 0 R /Gabc32402 20835 0 R >> /Font << /Fabc32403 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻AXC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ E endstream endobj 6369 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=512) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6370 0 obj << /Filter /FlateDecode /Length 4893 >> stream xύv,)<.8\>ј GpQ!o`E@ _> ryp=5ʚ dYs]7pȑ#S|q)< 0i*H! sɲ 7iY5+UVV"L? Vcr~? z%)&YH\rdH/v i?= ڇ.*D2W[|޳E1nҺNl oecY_hkZt̷ûD`£A'nܚBhlk ްGe2"\Qe@Ep9旝t~99GWi+Id!JWJKw&GcWA) '5gfm;hA*ՕeZp2q+1= bxnFWj-YX@ z+yk Wy ].19Z*F% VfaӦ2g~`i+^59&hb]M.,b5$QkW].G}Own{ݣ8Voq7lӗѦ9{\*Modk椎ʇ}ТM6I !8 |oo.CXuL%TaB2sG k k0jVhwsf)[JȨ]Ea='VȦ\3鵝;3'~}Pv"<wg\Y/(^x5=;OEM7K޳$J|ϓV(~&bg-U,ă"йߖrCDwN%B!r adk?֩e4/|*љxj ~q>گ06b8uWW\4xzYM kJ7(G YDh pKKOF ^ ఐ]~I6cu"H蒵 G" t"fEx-~a @_^3AE 6C|׬ Vz e-E\zB4+Lבx8Z$RLh q_K,iʒ욜 5ɏ]H V, EPή`VRNy5Yzd:ֻR'0~Ή8pߗ.eٵ /ht)bl8Ry%r,oTÄH0ѥ?7:qhCj4^[j $8ijqf{\A6Uley &xx;^7պ 2Uqo0'k@o!eN'oх/%{ʚ.:"(Y# XݐYhN*@S^mfX(,hp* JD&TJt at%"C ( !& o8k8edt[ϫNF.ⰷ3p%"ʃEz =8$?u˸hYaҡWp F!4/`ǿN</XLt <68՞wK"V n QSRۃK'r}q5w"} pϴBz =Цn8"MǚV%FF铘R5XS?ÿbN  KOjsٚp0*STSd4l=H{@+ )\c+)t &&Lަ8N τ8zl<ۖa.vX0}DcvoX?* `@&"qKHoSW?J0+ tp.%'PF㔻y;'5j i03g&0 Ƽmj^kTo΁u,XlnX/5QyCѪ<9=D]~4Va&y=IPҶ),I!%/iLGF-ort CSj8h~EȱD,k)O|?GB\=#ۊP)y` -+WhX߫Z;GLDi["wwc17 2D9%/9nGM8 :>j.yj6b/T'2eO؛e<u֭g>JBcQN[M'šme 4XG++pu`c|0"u HO˅z$X_@ ]X,)`I„4h?)HQUNA+9 hj 5.i^OnTms+Q"m@}i;aK u5CzElNc#3kj޵N9Lm]=/NN_]-\xtSl8zVW:%Pv&0S]]~] [!Xd asQЖ6V||o+)Jϰyf9 s|lQnr\|ɭ̦pxJLfi_,XS"[1nu`DVyjjm]sAm2=ZxF2[W,Wh~S #uTS`^(Np4@1,?PE._ԅc]q# vqke'1®1e})^fRp*.OL D}^QثU.K(iǫ 봳jέBb㭪(襰N 1G_GVm*oQz eikf (!9 >˭B16HGβ՛xaoIoE2}_R|Uw%վjҙb?ߌfF[.ߋx* Dk lAlf!i%Rjה^GS%ƦݜI^yK~i,^m `z$6W]5Gkp5ѪÂZSxITvПUHU }mNgWf/YJwK 1۹;j;2v\zP25,L<ȯGr+'쪓J,ߊTiLa?yݪf)wxEdc6Ʃ} e;aA{1{^P˯0ޭKGJw4NrSX7WLy;LRa,bhu%LoMZ˗Ug,~d NF"KnP̽&)j4&{_ ȮIQR9Zt LV(6%Č *hERgz:pVþc|"|y~KTglZ>@T1<UFetoZ'꘵fJ <ƖKd,V4AcGs,6.TfTFu6ۍLRFWD? X}.c )d ?nt.ퟩ,­x'ѻtht+q%z5-KLWEE_Bȟ_1qXz_>/ɽE:ˋ;,0v<*pK;G7}^׾Ox7Dĺ o'eRx"mvPd;x5D3#WcX~ӣ ? !/[uLfO}f3?# ;C+` w * endstream endobj 6371 0 obj << /Annots 6373 0 R /BleedBox [0 0 612 792] /Contents [6383 0 R 6379 0 R 6380 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32419 6381 0 R >> >> /Type /Page >> endobj 6372 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6373 0 obj [6372 0 R 6374 0 R 6375 0 R 6376 0 R 6377 0 R 6378 0 R 6382 0 R] endobj 6374 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20211013063105-08'00') /Rect [104.1732 572.9 192.9817 583.9] /Subtype /Link /Type /Annot >> endobj 6375 0 obj << /A << /D (unique_388) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 556.6999 199.7522 567.6999] /Subtype /Link /Type /Annot >> endobj 6376 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20211013063105-08'00') /Rect [104.1732 540.5 189.8302 551.5] /Subtype /Link /Type /Annot >> endobj 6377 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20211013063105-08'00') /Rect [104.1732 524.2999 200.8962 535.2999] /Subtype /Link /Type /Annot >> endobj 6378 0 obj << /A << /D (unique_421) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 508.1 204.4492 519.1] /Subtype /Link /Type /Annot >> endobj 6379 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6380 0 obj << /Length 19 >> stream q /Iabc32419 Do Q endstream endobj 6381 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32420 20830 0 R /Gabc32421 20835 0 R >> /Font << /Fabc32422 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 6382 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=513) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6383 0 obj << /Filter /FlateDecode /Length 1885 >> stream xڵXKo7W`Y d-&E!/f\ʲ'-97r՟R~x}hNQ;?!kv̹oiomh- ϼC &81ὙCEq+֣='K16Wtl;E-H僵˼.K|P)iA]1QKc)~r ] ssWj;ЏA P١͆HlnZ8fENf{1AY.%3b뢸ea.bC&?{S!+" (ɗK 0 Wc_NNRli ȫ*U NsJa([RH|Xi 7 G>\uZ9܅^}Ԙ59>}Bn̬Y??h@g,87vTl*Xxf{FtOYbǣud-~{'}C,>o1YVt}Y6>C"'eIy+ڣ7}A:2\Ǫ"ӼWlrԲPGK a$$<㍛y^b:<\xaΙLq+Lvq̖=ouk1tPkC*5[xp(u6Vqx]4kŴ8mR~ PɋsSpb*?d*TK}@xW~#u9XBƕ: u#6\mmL.547) ]6V)Tp^%c RGg%`Qj"o*jT8E^)oB$ۇQe]I8h_Ps)&?3׆X "JvbMʮu jZ7X2iGtd[f#1>hj3B.GJ[kF B@EbdxGe9r7%xˮW.h/B7:2c2ޯF=S%g:4!Bgv¡!!q}Wlv-#+v`f/Ŝ ~=֢dYUc+KGɘ6ydZ h׹QڀވRsK9F1!cfS#x*PVCmx;12H邺f USƒ4$ztN=%D,M(+~+ˊ"W:9v(nI»2iM dQ+UgG&/5ʆ}`'Z%F_#$q!Kܚ:y[IKh*Lq&l1/zG:Ea+G>8mYs+/HUwPWb/AnjtJIntt|qo6vȝt*ireZk\ubkC5x3Ljp5B&Oh> ɍi14ߵGrE/ endstream endobj 6384 0 obj << /Annots 6386 0 R /BleedBox [0 0 612 792] /Contents [6392 0 R 6388 0 R 6389 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32438 6390 0 R >> >> /Type /Page >> endobj 6385 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6386 0 obj [6385 0 R 6387 0 R 6391 0 R] endobj 6387 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 6388 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6389 0 obj << /Length 19 >> stream q /Iabc32438 Do Q endstream endobj 6390 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32439 20830 0 R /Gabc32440 20835 0 R >> /Font << /Fabc32441 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMI endstream endobj 6391 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=514) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6392 0 obj << /Filter /FlateDecode /Length 5788 >> stream xڭn*իco\sƶ%| \o[lo4n[о_MdwKkMf7e63SDžPʞt$wZgpp:vsҧ-oo;o7 -`}>yp}ψI'zL>>_7nF[Aܴpx΅Я Ba OJp%Qs  IL D?7fOMܾ߶/  4HQ >W㻿w`zgW~p 37wזAQ;m[ѵL{3> 7KX3]m)e<>}4K}#;1;eJ/[I ax8X,QY,',ndzzi 0w\=rO ؼ]:}q CB=45uU@k7|}a+D:Ϙ{A=fafo nr)~͢"h}Xhj+.bj\yBւ)J>laATAa/_SsB#ʨP`ReY #)`i56K2ÈiIg&kՁi+QVE >D7,ځwV=C="@D??!ȪD$lz̻UE9 rGy)z/;.:KRa5!daZ*s{qTƷ5^5n=+71on٤Gx ~rf8^ȟ".'W4 ,CCͶ!hP/2BEi_}_ f\I$ ѯzlĥ]=h9! g }4Apuƽø='Fb3 |3nXp,puzB:9"TGe:tYMLύ]jy-R="N#OЛԻKڣxzjo3 "0TC`Y[wsgfH%V,5G>hf,,/B%]X5j?`_:^yE(0-Yel/c ГJV52Y26^t\5uŽ4sc/CM9WQHj=^>,hTT{Z#׉et,jJ~vd3LCLEiqZGXă#{ʃH+H5D @5=fP6%Jf|H?*?vV!3bGkR'ER R_9i3d$sju0H-ٰzjj-gZcTM9qO2`{em[y*HqQYP|$%lp=>'}zJ*j6=Xy鯤(XzAJ ĝ0DIJKbc]ɝ2*3ir\fj6 L8Jb`{iT0~Z΂rk_.J"*m /ʑGO`4{ehfKEfE`׳d4n@tQN(af -ItaBy %MĖv(4RCLEChKY)eٚ{bVeܧtf[6bE{d+vNMJnBݬ\YUIƋ"%U+js..=ȠqI?1AU-i0ȧr|؞${9)-_&'.ŜR +zսYp7s^5 k~f]>:`ZZHQ=Fe*ޓu+Wo8xM/ w+q0 GY[Ƶ.Bb'hkD.%̶oΚƣxeuYY>lʞW۶.cef% ^Z|*Z1@v$UD9eAW%{K`a{gFv}MlfBvpQ_sܪ kziՠR' ,S )b'.Ct$]B XlXmb܋G9꿌]v#PYG"M9*ވhW 4<4z8i~C9؋vt'2m{L5bոQΪ4 ra&2X*:M,`p/!>Cga o"!M 78A<*I"$ʦ!;t𥳐`ޓЃ"on>R+:_M8.RYk[Q\+g磊/cj>8.H1Y;-Sef}?o@Ӣg8ܔNΩ,"#K):W݌gc0;t0QoMjkx^xyl,9ֱy@Ӓ)MW6뾙_@Ry(~xavfUgꕨczl:(LN6dX 3g|N÷7W; iRjRr9(:ys"-y;o:RS]s*҄n۹r'n3qw׃S`M2ʐ,!Ee' vk(^-LY+!^]-_xE.O6(|a {k .55XPAp,LZ(wdg͎}[6wDEEec!  ;o&5S"{@n6&ܣٯ!|Q%yġ% ~'vk P^7ū1A]|$` fR.\5iZ zWCvHR<ώ7  <taw R_'%QZ;wD)|E)7vwC:,ޥATګa*#Mx>V =Xﯷf{A*a:= |7`n/iL\p :U!!u3)(_HbY Jta-g'kA1ς;)QvN9„l3fA*bgCf3!İadE¡X5 &@$(ët5'".F!|أ.q8W4SFA%bʎ];uAerVdA^ T0BMuu$S`%( D `QyQXP7:՞gJV"V .6ZJ!4@{}v\.[؎%9`}hiOx8wFzE!]+i'P =#0cKrTCۊ'T-ktK_ .hDvAG)٥q8Vg2PyO|\&Dřb3nrH#>J6t󠎉 ",Ej:ɂ1.Pp܁9-K9S@MGqCm6YK͇5h :JRxK;&g{.9hLD_JWf0A}^R\J/X03?m,Nվ;+G  >Ի@\x k6tC[l u0tp@K(K@.9F;g5^+ -g!q[y6T8p^d_p.|LUôcTDwth`w @Pv\rK(㤤z{>uVǬ5PV:ПǖK`LV4Aзb#Ź&#է"n`hoE{Q=?󉾨/qDj4%? S@T\ɑ5׏I0L,L$>I& ${>@~;(?'kDP{D}bO4 q%^=d𙝅O|'!<{3!hhOO$w&Νa DAOiG Jl!{qv^N^j56p5glykNQR7#/ sG3#Q`#O}d#߃#x#,{8&A4XjP{ endstream endobj 6393 0 obj << /Annots 6395 0 R /BleedBox [0 0 612 792] /Contents [6408 0 R 6404 0 R 6405 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32457 6406 0 R >> >> /Type /Page >> endobj 6394 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6395 0 obj [6394 0 R 6396 0 R 6397 0 R 6398 0 R 6399 0 R 6400 0 R 6401 0 R 6402 0 R 6403 0 R 6407 0 R] endobj 6396 0 obj << /A << /D (unique_38) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 398.3115 190.1767 409.3115] /Subtype /Link /Type /Annot >> endobj 6397 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 382.1115 187.6852 393.1115] /Subtype /Link /Type /Annot >> endobj 6398 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 365.9115 192.6352 376.9115] /Subtype /Link /Type /Annot >> endobj 6399 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 349.7115 178.0272 360.7115] /Subtype /Link /Type /Annot >> endobj 6400 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 333.5115 177.2792 344.5115] /Subtype /Link /Type /Annot >> endobj 6401 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 317.3115 182.0037 328.3115] /Subtype /Link /Type /Annot >> endobj 6402 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 301.1114 205.2137 312.1114] /Subtype /Link /Type /Annot >> endobj 6403 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20211013063105-08'00') /Rect [104.1732 284.9114 168.2427 295.9114] /Subtype /Link /Type /Annot >> endobj 6404 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6405 0 obj << /Length 19 >> stream q /Iabc32457 Do Q endstream endobj 6406 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32458 20830 0 R /Gabc32459 20835 0 R >> /Font << /Fabc32460 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}- endstream endobj 6407 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=515) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6408 0 obj << /Filter /FlateDecode /Length 2922 >> stream xڭZK W@W~1@n C'' /×U]ݞql%DRGd[V{\E9c┡PWkcO08E[b%ş@0+y瓱cܳ~'ҷDxzb儋(pY^5͎g%X[P6 a<($,D}*:`pWx 3 A9[g0KvFlmaGz2 h;K.luK zrEtE'D%f7 BhPAU +<ܚMq{dG?؟}(lf3˦ 'D?AC=2v,s:&bݑ!s-sH/7eX;ή:ÞʶLMkR;RFaA Ԅk hjmlܩ 7ݭ@^2+ZozK?W{9 Xk9o׍R6{0I#l]JȂAU"bjQ6))߯V5mkis9ɯϷ~{Vx?(]nu>UptԥJaWXƒy%P 86q`f19'\jtvAODžHk84b߉nSlom.JA?k\"kG6͂W=t_|l"݄K BT6Pm˂Xxz)KTP IK[CX"\b_^Wŏ4ιKE%LHx< s_où. ZbkNUJBgy? ߫2JN>q3_=t-Ǻ+E?qؒȺlexVJ"ZR %F%#e(@,,Oᩔ .yWVp_ 睊(y .s0QJ 1`ӂ ]83ӳp:e'h}D)D d-߳=BՇnuDy%i f+%4Z Ɲ\~Q!JQ壦ڜ˺-bJU|ԀvԟGzBL\C C '@Z_x_H<]UD*W>d(/I!ڑWk`qCR]v?Зu `/7byt^\)gVv)CQl3JCI+" 1hnm^ ,+\[Jx^LZgirK p> IO3Źhs6P_p9ac'LqZ2cؾv;5BՐyX2~zk)n?)ؖ ~]FjBJvRZgϪ\Om+vV/z`ʌskBHTvTNȆ`?{{zpic'~y eR ~]!g"c}OkiZo3i(1` `aO¸Ügx^H>O#Iy=C G/N"҉#?lxB7^/0"s_Bsi، VIٛG+>'$ P~bYts>QS_Cz^nK4cb endstream endobj 6409 0 obj << /Annots 6411 0 R /BleedBox [0 0 612 792] /Contents [6417 0 R 6413 0 R 6414 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32476 6415 0 R >> >> /Type /Page >> endobj 6410 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6411 0 obj [6410 0 R 6412 0 R 6416 0 R] endobj 6412 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 363.225 147.728 374.225] /Subtype /Link /Type /Annot >> endobj 6413 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6414 0 obj << /Length 19 >> stream q /Iabc32476 Do Q endstream endobj 6415 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32477 20830 0 R /Gabc32478 20835 0 R >> /Font << /Fabc32479 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6417 0 obj << /Filter /FlateDecode /Length 3413 >> stream xn8ίyi)w=f{Nfp/\[/$-'I[YU(Q/iqUgSгERg%hgvc 1y|3&zpZө|iҚζ ;wwx4#L\flK/Pw.B_ӳDCU NɄڰr p5o?WmƸpˌ[ic xm{ i\IO˘̔`N$gLu{ 4NwM##{mKT"G2H/ rGy(ĉ xYXۂ+(Na0Ё}1F=KatF7/gtlq K ^?}1i]ԟҿyA  &5f]Y0ЀN?F_@ғ3ց*O {y4vx\"s?=cd<x67gpT364gK m4zMi:SʹT[(ǐuy|^fH;;Gfע@MѬq l_@(}zK1>fVmaC8Fb7Dp-~;Z =n$!W'N"];rZ"}dqR#v,>x ω:q18õ$DS6 j,!i5nC²n6d}Zz_R@C\x-7zpv+w_fϽ$:2T;>]Xss-sPa^K2)Kj% s]ro-J2w:H38 PR6ijUMenpO._wac@{ rUs" Ŗj=a4Z]yoj<+W(;!͵:=ڙurd:AƩntcK-㦹Xo{/lji }S|\*pXJC^[#us6璴Џ)G)tIRZ!o+ӃkjƯK Y'QDb8U,sPkG֥3{zbUZ7j\]Ero9hW,%V,Q[F]m6&%W8)P<`n [W^wa8owh!~̞vSg}W{M4LQmVukV4ܕAYҩՖTSa.F&YmME,'oC^hQq[ [I#P* ?߽BWܿ3H $yѓNh(!OlM4&eŬ]a+TB\ }&aLf'Uv5+q#T28T1dRU?NTSY&D4|qEX?BMV F3;jCJl\YJ$+Q3@K@hk[U}M8wԋJK(|z*a"4T֋'6538LaqGɒ,zi@=mhJdƅE4P:[ =[T:Ҳ*`qƕV<#o{`aE%C,qT~h,{\"sc1ɒ2Ƙ$̲ ŊDZqK&ԧΌ{Qg8:l#؏Du1 D@J~ۂ% 8ؕhYr i"~:m.BX`::S,W m80.M8dPȆTUe! ڰ TnuX4]z-âjj`Z '-%+ bqShJӳ"VT` zպRWV:M8)Q0wcH!Ğ*ӫ.٫VTpRoWػ.`ɲ,c5Yew v4,v;NN85dn '" '|w85DP]vr%ve{U+N_x3T,!ԍ-6}J Po=3P?G@#xfx}MymԵXǬ7Lfa`9զI17NƩ@6N{1÷wM r~욖zo5ʾ&vT#7m]z+ -%՘9L7M 6hPڃ0iStj#ި<ԷEFid02vS{G zvrjOឿ˧w^Ls|^DqT@_tSZ&7Shumܐ Ң1Lg Jo}%0.n N<,yK^_!<2Uݤ0ai' =Gn r`?cwsvmXs&Σж @)*4!^~xg4";#cDNTj=E5̥uNd:;r h),r وz E)8U @ l0UңDKߋ:iZA{3PٝJ yr['!穪T=£b`[*!SD^nA`HR˫E 44!DK™)]B.K4.w|fhKq2ȺZc*c]A$"L-T%3tr5ܗ=)+SƜ֟ٴEsZT0VU >җ;MER,9l+L'{ϥ==ZM g}SN`!Hiᢥ^WəJPkPU3a܃9kN3Hҁ|}Җ`'s_ z)TfoXE+*<&?- -^=XA.:q:/8QXq7q|~3G{²><779tuDObvKk0H I=l endstream endobj 6418 0 obj << /Annots 6420 0 R /BleedBox [0 0 612 792] /Contents [6428 0 R 6424 0 R 6425 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32495 6426 0 R >> >> /Type /Page >> endobj 6419 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062950-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6420 0 obj [6419 0 R 6421 0 R 6422 0 R 6423 0 R 6427 0 R] endobj 6421 0 obj << /A << /D (unique_77_Connect_42_synthesis_commands) /S /GoTo >> /Border [0 0 0] /Contents (synthesis) /M (D:20211013063105-08'00') /Rect [90 340.025 134.451 351.025] /Subtype /Link /Type /Annot >> endobj 6422 0 obj << /A << /D (unique_77_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20211013063105-08'00') /Rect [139.764 340.025 201.001 351.025] /Subtype /Link /Type /Annot >> endobj 6423 0 obj << /A << /D (unique_77_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20211013063105-08'00') /Rect [206.314 340.025 245.4025 351.025] /Subtype /Link /Type /Annot >> endobj 6424 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6425 0 obj << /Length 19 >> stream q /Iabc32495 Do Q endstream endobj 6426 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32496 20830 0 R /Gabc32497 20835 0 R >> /Font << /Fabc32498 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6428 0 obj << /Filter /FlateDecode /Length 4666 >> stream x\I#;rW!&-/܉Mȣ-B80/KhMcW Jb]\cʯ7 sl6HWBߔa+4i>oHͨâ-h:4 ~𝻜)J.SG˃FYW9#7`́5u(&pNن dLvdn[Qyʠiel5Ճ!(YI*f6n]RWo-xe=}!:3tn=Lܗk22+澶O #&[8OAԀZl@>_C */ECb?PRetX_Nȧ#iY \ @'%o??+z;#WЌ\.tߜ?43 8y;CϺʾmn褐Otl>~Bx&OVa_Eu6'zqFM~u ù0n2B2S ˒]CE#<ץӞ= ]J+"nF2o'q.fq1&;Nl|Nh<ԃ 4dmފݣξ@bo΂=`7ҕK4S^4dٗgKxX;O74Z[ ^q+NU>P ,p..}89E~ |8V65:[i]wث 5EQ8 Ž\48%YcjR{cxdPU,P5W%Mg|95g$z0cg`Pzw2eۛ'vE ׎j Mc!)wu0fe͠[ d:|[վVL[۫Ӹm/ELX| :,P9x*%q>úߧ맢 Mn Rbi>ϲ8Q]>,}ܮ>hw=$W[n2Xe[r-<"Li'Gpky&ly7.@ߠ 7uƢkJo@/' Qbx4iySsOn K0"N˪R7E+#n^Q2U(`>Xɮ ع`t9 51!i+Vw?0,1 !ϟj}xF@Hp/LP<*3mov@,u1k׺%zA*|Q/}JKM 1Ѿ-K$ؗb~P`>sد4zC%ի.HGj2M\" ;ۇ2H56N.)Ok? {{%r\K P6Y:ؔlOcue"jTneT|Z*.HVLEEK!\* zk ;R5Hs׫ +8t9vi 86RP9UuIX v tnNB߆@n٭NB,U ԞLlNJh9ȍr{rݲ< TpNvNn 'Wt[ Nn 'zpR#F8鯀p_'28/ZmNjcf8pR7fIݘ>'7;}zv8߃['H[IȬd69\Y:E#}@ @ DuO"/ֿYߩw#s[<>OU:uɓ?!M>`x7.WB%}qyR_y%#E1aw,F50CPpN%<[qpIk˵LiQF!}*J CɮGrh `iaDVeo-Hq4;_wUED{} ff+BՀ_bNx7fFVUIѿCtㅵ6{qHOKu B1S Bw!-;1%>bo1u3Sl\μR@)<K#' ߲%f{kRe}[5{0X:*Gψ&sTw:dO t]KS NI/#~6 >AXwP5| :Kˌ2!ޟ Y HG &l7]%M榎U;V ,b\Fʫ &1Vظ  tjz T3xnawDfjQ2|t.chDrtmuUUikJ{45"3:"b.+Pu2eoVEsy x,YʔH!θv;ފ[ D O,V'O(SpĹIѴ9΂Ȁx+#&܍gXJ[+ ʘs-6J!?7qdrwNam/WZа7;ؿx2ZA+ %dc s 'G={ ve\|k7Tᮠ31-Bӌ^kWBؗt"^&Nv NJP58̣d5-SZ^oɄ]x$6{L-m'.NQ(fdǡSZv [*:ξȓ:[S: }g4C6}q'C~e.^g`ErYbK~eXLr}f;W~YɴtuX<oSxoL4EE9Rd2LŸc{B(NTSa}+J+3yLDiiT+DG-͉}A`uqU9oGc\RL''u')9]ScD!-C9]J9Y͆\lz/?INʺBNjq~/84Am.b^/ł~ynVCAe23l2-SJ!Ξ]KAC*bo7c`C2tʦ~ְ ;١ [&VD:RPG]K\߳s9՚y湕W&-v}!y Qߵ e.z2VNSo;zGڊ+E j!O |/֚Lij6³8@2!rt t0;2p=//Zs4 "`nZg(W0U>lԫ\x$o&k:1%7~(n>^~{x-jLy&)9_h.T)k_j-O ?T@:W<@SXXr4t;z.kEvL{GZ¡ܚYgRľ]P`S¯k鹔xvUJg* I;&G g|\x~éAUJ>qs#-Y|JG-3w\E$^;(uZuJE 0qYU/CqM@n"HqQv2j ݶTaQK=`oMM}öÐIof9 kl 7>(0^K GK "q%NwϩTȁhƚ @<]-%Ҟ'Gr] 3cs*Ynw`E-VT"zEA>ZJ}L -B3D5KN%BQ{;؛`O=х,ǩHbvgC(St,"Am-[  endstream endobj 6429 0 obj << /Annots 6431 0 R /BleedBox [0 0 612 792] /Contents [6437 0 R 6433 0 R 6434 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32514 6435 0 R >> >> /Type /Page >> endobj 6430 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6431 0 obj [6430 0 R 6432 0 R 6436 0 R] endobj 6432 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 489.8384 144.0317 500.8384] /Subtype /Link /Type /Annot >> endobj 6433 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6434 0 obj << /Length 19 >> stream q /Iabc32514 Do Q endstream endobj 6435 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32515 20830 0 R /Gabc32516 20835 0 R >> /Font << /Fabc32517 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7+%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lMN endstream endobj 6436 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=518) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6437 0 obj << /Filter /FlateDecode /Length 2102 >> stream xڭXKoW@~ 6A;?_"x6"zߴ ruM1cҐE/_ZVcf&ꗷ1gg3S6 s~7j@ѯ.{Cx/8cDwc] 51&=\S'&U? ^_+ V<#₇n0 C9lNK\1mM$kYm Zusb3ϱ͋_S#LC))o>:5Г+ TZ_!.Τ%9SqQWjSa-92#ܯMb[&rfӎC7{TN$RuᩰAQ0 m<"[DQl+ygL RHl%U`? I¤'/I`|P˗Յ<MjVj{݉W[!M i0?~E}f!Z7tZFT_McCP;&^{Ԍ͏vKv#N~h!ǟ6NOuV\ѿeլpx9냞 ^{N1], evXU >wo=)o6P2k*oW$B,$\[Px,!Л7$P-cE>%cmާ'Q3t(@LFvllB5D.RpǶ_+nV{^*q!q H1itA_Ij)MȗiG}lMzR{a7)3 WKA[r5=w.;%$zWa@e#@XA)cj;8F~d*bG.}75F1Q4AN<(R>R#RҌG^7,%і3~?RxY0- ^l[I.:3fށ ,X!ص/g+B}nOzmV#yUH4H= ǒV 6սF݄Z&t)pf-7ff};aJ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32533 6445 0 R >> >> /Type /Page >> endobj 6439 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6440 0 obj [6439 0 R 6441 0 R 6442 0 R 6446 0 R] endobj 6441 0 obj << /A << /D (unique_77_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (simulation) /M (D:20211013063105-08'00') /Rect [90 225.625 139.797 236.625] /Subtype /Link /Type /Annot >> endobj 6442 0 obj << /A << /D (unique_77_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20211013063105-08'00') /Rect [145.11 225.625 206.347 236.625] /Subtype /Link /Type /Annot >> endobj 6443 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6444 0 obj << /Length 19 >> stream q /Iabc32533 Do Q endstream endobj 6445 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32534 20830 0 R /Gabc32535 20835 0 R >> /Font << /Fabc32536 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ жYzr"eYHUC.$G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ&o#x#/ d_ ECC 0yW!ӘV;!JϡmтGŶ endstream endobj 6446 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=519) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6447 0 obj << /Filter /FlateDecode /Length 4735 >> stream x\Ko츱Wh%w=@vAVf"ŗ"g"EUXt?0{L|7?wt׏:>{}~{ڏj |WQ u'n> ^֙)S6-iIٟg2gU}'I{ȯ_M_@:i[θ~ݟB3G+K6v .L&n;o OZVh-\[n g'EOA_4W|~4V3ĝiz;1y4"[}SyAsadLXG.ļ!8 gP21U/6c-BBRVGA "4J3>؎=Ӹ TVT )0Ƹ'yt™5 5KsR"=19;jn$GXIqzV~{)ehJ\y N}9VA  zPga ?C%Kp_~En97ilFv?ݟjcA/  >?X| Th=yNQt Z쨁#ӃmC?DjlAZxCv# O o;4xw0@3M' D Žd&LwG$|ILَÁ11(8A/AEG{X6>}F)W|D4}ء7~Y,IDd{ ڍ=r l}Z!'F/Ðf+n4#Mª#ydL (ǖaCE-cڶW0gס1ĿSl#a0d0"oc>lc @$0&7E sMhJ͢m1%;Bc(PQac#C4:XY/CqE_1ĘشfIzX ܾ8,jOTiU*sCXoya2XQUӪj<-UN#چ\9qArր!o2kgAvY}XYX@f@YzyWPmZv+UQmZavUw[WjzhC^DMlS7^xBa^s+$zmgv7N42/<xtNxmqZf;䡉76ZXx0i߸;NBvf)Š]M@&9kpG | J߉ϕyx3ד^cM0*>B%2hn cÍ?_QEor2-+2?vFA-ư35n® G(bL."}IӖtŧ R\9Wm5͌M(RM:謱Y#3?܉s(#P%RQkhe^peQ!~eZUaPTh_ĨELprlC&UV6ƒW9,`~;1EPqrfueڪŠB,U55T=7J+URYuFVReڕPDlߕWcMS%8]T4IV5I]}5s#TXh6vJmjwo@2ռfe~3vmf5ؕy*YTEfc @"i'ds@ʛUj'UiTJy~WjFvu."tt?)Um} = V'AJͷ> Rj}OR[{wCj=ZB(Rg@JՐmPՍJTy郠}ލݠR@vS7J홧n5O*oŊc|-.Pۂ]R{@ .J]7 *uGw T / {@UBz0oҷD38Vp_f(LzL*ɷOCw ZYɸKlH] b~C,X? |l CCo{޵>DʧF]tVst{7Qjw9p{F[>d6~QQЌOuLJi&㤶mq% U$SXt,;Uf$/u3|Drֈ)BNsX$qru^Evi8h# I7 ,dH,[4C%0 'SUՈ|uu$v#~>Jz3+,V-Wyb ÄN*%ׅ Lpcès^ʆ1$ٍt  i5+,<k7Ȭ3ngY)p [ Z97߃ m}2S=&-3$+ B=ԹT1hCmfh)3g+e@$ GPTLEgPeiSBK3~2>Tn\H|Y9r|h{L)U,L h&~[^1˃Mܟfh'u:HI\qһ&-o/cjkݞe `o|\? S#j z3 zatHAMFPAz1O=83j?`8m{ 2s)] [DZ@T&1-uՒn75y5gyn+E3?6i=$iTDF@v0L9#6LR D|o5.rsI,|A< | Y/7~0ä D|CsIq0l49% ;ƸQ ШTiwqmI Gju6v7cM30[ )x-jˁIQ Gg{p]|Cs1RMo|qHuFĽSfADW4b|/{\rM9/׊,ZK#]r FYM(Zy#w wRMJK&=_}A% sabxެv(IOgyJNA=iraܼIvxi[WE]rG/wq{{BGd%2U.Tzܳ$A )ׁei zd endstream endobj 6448 0 obj << /Annots [6449 0 R 6453 0 R] /BleedBox [0 0 612 792] /Contents [6454 0 R 6450 0 R 6451 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32552 6452 0 R >> >> /Type /Page >> endobj 6449 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6450 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6451 0 obj << /Length 19 >> stream q /Iabc32552 Do Q endstream endobj 6452 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32553 20830 0 R /Gabc32554 20835 0 R >> /Font << /Fabc32555 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7FthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4 EŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6454 0 obj << /Filter /FlateDecode /Length 3880 >> stream xɎί9]umrrd&j!o"J.ՕtmS߾L+e;}u*│Ws{Yk7=_EsU ^' ߖә\ן_:,N|c HiyQ9GA?M2|'x u΀@D`\O&_e}c*D\P}N 'EK| k|?,-F5d. ⰯBEab6,fgz- 19k$np&7"`qy;_7QM?0n֎ 7$euR۬ '+XssɬҢQ|煉{/^4?0 i626u:.;VH$.2ku^Y\Y㝯Cs=:;yqsaX)ABﬓٲa!HU:XD[vzDv#|8Dp ج=|#^F`m6f)BLU~ sHF˝;1 b&t/+̛yٕ.); tQM of ;A=wm9]T{K l0 Hb =\(UD<3KB#̑/J4 8+k|y/ lbqa-=l2XeU3\l`0 dzoUK<,Z|/u_.ǖI \E:23Er^ !XOʀ˂Gl ӮG%{Nb.zy=N/9w;hA,|vA$<:oB6?L Qqp҄)˒txOxJ|93+]Crs:CNPCqTju8ARh*dui7aĐW%Y׹e&]ǻymP zT)NCJnf*Ѕu96F˺6Q#ޙ= = Vԉu[r~!n u!iGxjc*7PpaIX'37 q_n:Q\Km*3ȝg9T^$ο\)(.]k鵀qJ5D'"_َuj1^]ivW ?726@ }9Ğ4f6!C"b'@d' PKʵҗ>5v݂T _\e.I#F#>BQ{hYSْԈRzW\E1}̍#MLk9?BaƵ{P t-NvDۊmEqn?qr~%xg. f4vcٚ(v*\tkLq6=dg~]^_蠊 fG3}_,{c'&W6iY#ae!4F|lKjάEVKTUɦ[ݫޛ08[ ]E8X-|D(L:杖=7KD]^g7}|eJH<| auLg>4 *@6?o) Fԩn[[ E0e*]lYysjYL{b/῅ĦȻʑNQ_st)im =pP<؝`!kkw,=CGNG]٤VQ-k6fiW綍%&cزe7f%- fxl]h9ǒ|Fy x}L25,ȥ>X} "UDQG'yh. O7z[TZywo%~]A \/eԞc6nvXk&!@ݡkp"B[Gy-_H `5 } (H?>T4>GՇzy\9YE"E:Q;WgҦ+%UUVM 1x@4hry<@[B˛*oUz^Uu=y~7`"=d?imrsJP-4k5|N:$g5/ч]2PujnC JfFc12 MuPsR卑@x~~ڇ )* ,u 1sNet87-ݘWȫ,>:c镥}XGt}ғ|!Ck yH8 CZn@Z,O[B1l*.4!%旅t\T8f/&Zfn D_k^Ҍ9  pa{\1p0%}7"%y7D%AAk / oYQ#poYLKAnKfQy(pʿL-V$Zց'lli\ׇ|skZ,ch=Gs; paδJ 8@;20`/0QB_TxxZz !zO)I22H2I>{9}^2*fK>YwfUx$?2!1VgEL U՞i%WYYeJ=OwnҦ`vʞW>#.x ;`A/ۢ endstream endobj 6455 0 obj << /Annots 6457 0 R /BleedBox [0 0 612 792] /Contents [6464 0 R 6460 0 R 6461 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32571 6462 0 R >> >> /Type /Page >> endobj 6456 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6457 0 obj [6456 0 R 6458 0 R 6459 0 R 6463 0 R] endobj 6458 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 127.4885 144.0317 138.4885] /Subtype /Link /Type /Annot >> endobj 6459 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 111.2886 138.1357 122.2886] /Subtype /Link /Type /Annot >> endobj 6460 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6461 0 obj << /Length 19 >> stream q /Iabc32571 Do Q endstream endobj 6462 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32572 20830 0 R /Gabc32573 20835 0 R >> /Font << /Fabc32574 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 6463 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=521) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6464 0 obj << /Filter /FlateDecode /Length 2688 >> stream xZ[oܺ~N0[ }(6(f]޵6i@Hù~3JN[V?}OԐ&٤>XvѸ (wz7Ddeu(4v #KKjbD#aP[\E'IB, f$W&Q(kCa C;nt퓘%Ĝ;9[MwcY_M 1'M]1ן~(=Kd)`lc1 I>WWx +zdeZ++}uygKZ637J'Uq&]{7=ߖxAӵL}nlݩy:OkF˳h|%,bm6mEpS6sS ^ol, -_c;{o C=n(_sLF‹6,ܩpL̼^AԘkm7z{iY)q '!p y(uc3+/b޿:ʇ^_* {hD_RyԪyv Xk37{.!g%^lIG4:0X="J~BUtVbu≡Q-=)$Alp+6S]aIr nޯiYYG=.sp4wԷ,%以|{ϊ^L}+UOŒbGkl".+rl&.nn=N.dXIiBgvK%ub )AaI%ՇSd-᯾O[3W*(RVK 췞nToB )IM#dg8{@G 1s.E<︬\vapa/cPC+xϘEzm=,_uUi}ZIG(П*0S܏ Er0-,}Q?cTqJ{"d" DʚLξ]}{P27m!Mv56VGvM8o\qjBmiČ[-$*c[I]O2.I;MkĦr=}ר14>ۢ5k(H ) endstream endobj 6465 0 obj << /Annots [6466 0 R 6470 0 R] /BleedBox [0 0 612 792] /Contents [6471 0 R 6467 0 R 6468 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32590 6469 0 R >> >> /Type /Page >> endobj 6466 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6467 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6468 0 obj << /Length 19 >> stream q /Iabc32590 Do Q endstream endobj 6469 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32591 20830 0 R /Gabc32592 20835 0 R >> /Font << /Fabc32593 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw71|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'D~K/lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶fEŐL$K4>S~3cy/ KaErv3.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo1V endstream endobj 6470 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=522) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6471 0 obj << /Filter /FlateDecode /Length 4918 >> stream x]K$ W9@WV0`{ڀoN!i;f^CԫՏdwUEQ$?RKk: vF}MuvB*oݟOӪ{~>XLIJ;ț~z y>wo|T?,17*'Dy1)\0D^3r@ÁaۨJ~}ß w}$=t/ՙUmLot*iBԽgͥig4Zرe jcPSQI3[uOlԔdr89`QX 0<1X-?CqZaS ,݉7s>T1UAm`!0QuJ> > 76zComXId6@ʼ(CMԶ5&eE0g2a88aOÚ'C`ea}5x=5 J汓 Oc&!7I&#!I|']K( :\M4Q*3vKĀcE,UKL%*vRÉ>y܏(ה[j4(ǗZp=[!5t}'%jGpM Ҕ2g0,ExMtOy_U8P&G(Փ98{b!LBoO4gRd!ϝl"9 N*þצp)[2<:΍ TT J4xDeagYu8X[߰if($3֊zE 7N1p/ERwiOlrrĞЎmaOwQpMgsTV;'ɕzgȲr%xMٽ ӂ{7ȃM[&I~9-uolG3Ty?)bYj/qL.O( K-e0b A&5k'ɷq8NK(^6&?Uyʌr3GwU!DSBN+g L#Mۚ-sUpw؞xlM]px7װX5/VgXpquWJkY]Y[9 ;O j5hpr=pz&ua&:'+$T:9h7 gǸ䈲XHї:V)4CߙKmmZZ[aҴl>/N}["KfX2"5%;*Ii&G땕.jOKm0 Q/媮ZxbZ!]3-qr8˱o@iBɶ+-'hv5ĕ%ny/ R'9t1Bc-P5MA'('r+V#Muzςg~C ~JUI4տW^qwkY9^![:nЋ,55G46{IM9 87^NAOWdY"ٺm&zn͓AW||'YGTZ K@^ty%ƒ9g!~#9s0OP[e}i+=!ϸ3{ ?ū!Eݍ &0x~4nf*pˤ f$ "/:BE 2r1SR@Tr1mٙ6a|A!wU0Xa..*i4X-7j5 "Z\ m0,^_>r'a%Þ?mdyJp4ń%(̖~9#wCJ$Zz'dBֽAi̱Q*1晰LL{& 56"6K%BNQPc;*qG/D`QR]+˒oee""0c&J3S D,wNgnAX!E DvUE+*&SX-[âӾ7}״ /t{;EE\`),2t[d]HŜTYJUs14[R=YUU1oXtֺW+be"؞"鬁U ąE{Iph=NS 8C$ v'1"=NbcIAp=[iēXnƓXX'1>7AJ5)C)&,_d )<}gؤrQ|!]~A"M<8T-@J,g|Km]*LbB"q3AbRX\(V,~DlbOfUԆw Sb0~JlF]Oè:Oè J\7OQ)q0A񸹉fVs &Xonbi޹EP&A%X}nbTUb*sss -0?)>)>)i@J')>')O]Z{$EĽ dq5hTH:{oĜ/|r|OhX]3PyGe+x3D1i}ĮqBb76~ha(fGy;a(ڴ(ԥj_|B9nrCSMЎ`\ ^1 lnC~ԣŝJQǽ2 <L꠩}q@y@K^LG&"v1HSi9 sya˲b.yYy# <=%+YU^G qwIe`bJK]o+`'6Th+eWK;Iok.h# #iݴm8zį7:߻QC{'#IC _,T\ =A\d(;4 s\(p{<\}*eԿ3 Rhvp7)'!$SkKbԂEPD|t=onpk4)xOӂ!&0.(&G[G_bhČ(`wb2?ҞcM1gZDfC#{5+@URaǷYp8jNR.Ic1H(m|+޹D 8T.ik/QSt'wal/N%X\ֺ%"%LIOwd.Ed*DU*bJ3gɯ%JQ}nNbpIyGl7n\4䲅a TfŷU ._.|al$o)'IԦ9YǦn[Y*{!fE=ԳǼ+c+:Կ:UVt?AfSHdsdb9Į}5EN[?ٷgg-lOiSi6wN0%E!/O{-">Hkot371=2*7yȨEp%A-꜏tWϜ"W|܂3IS^Pޔ $'zUG*y-׀_y-0E'Vk2BE5dd[ endstream endobj 6472 0 obj << /Annots 6474 0 R /BleedBox [0 0 612 792] /Contents [6481 0 R 6477 0 R 6478 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32609 6479 0 R >> >> /Type /Page >> endobj 6473 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6474 0 obj [6473 0 R 6475 0 R 6476 0 R 6480 0 R] endobj 6475 0 obj << /A << /D (unique_77_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (simulation) /M (D:20211013063105-08'00') /Rect [90 476.325 139.797 487.325] /Subtype /Link /Type /Annot >> endobj 6476 0 obj << /A << /D (unique_77_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20211013063105-08'00') /Rect [145.11 476.325 206.347 487.325] /Subtype /Link /Type /Annot >> endobj 6477 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6478 0 obj << /Length 19 >> stream q /Iabc32609 Do Q endstream endobj 6479 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32610 20830 0 R /Gabc32611 20835 0 R >> /Font << /Fabc32612 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (KΡ\j|=B/}K endstream endobj 6480 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=523) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6481 0 obj << /Filter /FlateDecode /Length 5598 >> stream x]O츍S@9o h4 I`O/,3|%Q\~3L)䏤$5rYTf u sԛVJa7 G2MAz@qT2-Z66uq2a֣^͝a 0CiTH[W%Fښ-1Ď%ښ)ZwPJ~8pSooXWR:IYY')Z)<}MTI}jPxugȢM/ tk_BԳXq{]rК*9Y WS5o;\]r>/oR\~닇{̆$C`H"+2(kEDHXP;=1zmG ! H&u'lھJCm&p2rP+[G ժK~ l [n+_s,cr:n"즅LEqL(W "#)5( ΗJ4,[~M,piړUrGa,*#@&_=^ƒϗYO(JT 2\Rlu[H4g[1^^R[vbr]Eޛ8EilhӸFêsLbg!;r#.~(!4ho/#W%S^|pyWsڏ5OlEI!e8vyX,v)lܸ(fĪMm*̹Ǥ_O6QZX-(zE_R R*I STu~oPt!mM8_?R2"#4`KE0}0 O=)砆M֘9rq]|jdY_Zu W-M nrV7EeTd' te3(m[@ WuS6Rξ)uADlf=G\}?r; m>&.!(5]-֨/-?iL?q ;/djxA-^Iҧ Rf,#U^p27I K3H"*6?O`ɓZ bƬŽ+%/TH\^H=f{! rmj+b=۪tDewҔIj.h̔V[35ٵtolr|F Y`ޡ:‹Jш) ٥\.i}I7k3/H F[%r%\sPLm8:K݇u2c#1fD5 8(U4ny*E!V瓂/C2˔U4a;7Tw&D3Q,3Tpf6 (86Q U+5,d$7eAk9 ,X吹cNkXԺ$/`dFXa=w &نֲUbJtUW6: Nio*H骂INZpb(łeXtUނ_eUQɔYѣ( ώ&2ze!8У7p)A8(5Ĩn81"]tNL]tRU 8UZQMp8$vW$61pp {Hw<;t{36 CAJ'P_؛@= 1z)UH5)!u>iàV%؛F= +uJ]8 *q=N=*q N*q$N*q(N*q,N`_X*5P&J<6qT*#MPG$@%NnJܟwP1@ԐG/mdh"W@%`%IIT0~61 w26HdvhOKx|ch50yχ&Q,YI3igtd˳=w;d>{@q 7 9{5xi dPwXN)ClHGKܭ2Azn)R˷\> %Sfaqp*GN΁  x7d*dQ3 _'; /"l R$^XT bOH bHl x& ]_E.SחԆ@]B+|v@OMF;J}H:Fx#v9q&U~0fyj-|c`ɰIe"#^SF^_b- } WZߜwC2 e,ZLjGHٞ+JX/ ,)!7Xٲ:R+0&".369g bWLVb} $68 IIO-[}W6:+Opňʸ OL|Ic2oCC1p-/0w?\yF~yFkTEu ' t1F *(F-\wE?!Ώ] o E>iH(wQ @)^j[IuT g$b1a& wk'9JiԦl\֛ JHV aJ7&QMN9l&].@XFnx(ZWqc뵯)`JG[` v5 JY==S\ _-co#s`Dbdt bPN(@Q-cHWV*S (_`(.sH8/jLc<֒ DR,juF0C@S8kf\PL-y*nYp uVǢ r4R1 /i۴).T]D12F^ υߧš)L֢ɂ(jlrH6a7|^7y){?XM M7ظ~Ћ jF=0lZ(z}).vo732d}mN _R3A#P<_ґVcA;Oб):bayWcp4#ahfm9U*?;^yg' Ц.sqh1]A*g̞ OP3l]ox)y+ lyV7̔"@@60"F9rΟ%޳m;&fk؍^ .,Ql ]2 ш p,؉;[ v; Z}̿ x *—G>Ekr, _Ay:x=ow+OSg2>MY>#<[NX&(B|\D6ͷQXljj4`ipj<@K%%8/,a6<2xn_m'm~8J)?+H?4|ϓ= E62;N G7$L7 &?aHQwmch*:b:+lV^.S/s` ]\Mc_==: 7o*E"ҹB\ߘs|:9'1/O0[Iƻ*m.-#&N5'_X tC|[ђ&r-{LOJͮ|m\z&՗5bo vm0atiQ,Ώ@*2LV;t40{Iv]w*2,_f1(p2B;;)LcGFJҼ ԧq\_#FiCݭG3RtĽ׆T|M/3>EQuuj=_J;ŊSBW )d K#x-P-̅}8S(}8ĩǒ@E*6lT$վ" 4my=A Z*=8Я4LMN_*Y ?Pݭ; endstream endobj 6482 0 obj << /Annots [6483 0 R 6487 0 R] /BleedBox [0 0 612 792] /Contents [6488 0 R 6484 0 R 6485 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32628 6486 0 R >> >> /Type /Page >> endobj 6483 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6484 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6485 0 obj << /Length 19 >> stream q /Iabc32628 Do Q endstream endobj 6486 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32629 20830 0 R /Gabc32630 20835 0 R >> /Font << /Fabc32631 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%T)C9PmтGŶ endstream endobj 6487 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=524) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6488 0 obj << /Filter /FlateDecode /Length 4106 >> stream x\Ɏ#+<PT*|k zLo??I။>Hx^sYggdfS{\Jܟ h0+P cge&2L:IH,jL7IЈe}j{Eײ'ͩ99Sc*xFJ w:5Me YOu @t32y>)3Tn6JTsxllk"?kft#= 2cь`&/(TVYNry`Qc‚p֛-SA H ~?%B( )`IzC ȣUvϹؗvsLtHl[Y>S1Cj Q!#ucVYPø&\2h蟖PumTy"uK_TaYzWͅښ80q),'ybhp/Y00hVGl1Vc7E;´{X\ҳ0,nP56 n@4Ws7L +#fs I毚S$!'׫ӳ Zik +͵aNa g(=^y߈ߜ*I@` Ҟ6\?e-`6VT`>+QAz` ws~TÆY}ގŬQ|8h1D* (IDKB~ ; ֘w ѪTX]Rz;/ثĉOB]8v" (F'R T-ScptbKĶSi͉?Uy0'V0fE'HJE>HylIp?t@Y .,bC}aƊt2?DR {b8kx-Q\$3c}!֍x3_ ^vv;f* ww[K@+Vσmea.}^F\ʞC[W$:nא ;3ڤɚyjcVזq zӛY!Zw}yXVY w][-P/C{Ca (I ''_k9/Wpsnւ㬴fA: ׶<sonԹy$B=jWVu,W;u@QnlǾ+8qe%(Dxz<v\ڼY"9w3I%iO}qE7rg1 )2%v.'rOZ2-+0e,dC /:N8j0oG`qE3kބX4J:#UKD?O-A{|9E1OKwy]xqZjrcmqDz&ZCL3協wom\G`W^Cmz~f.nKu5(q, _w<0e!H:[G 'aZƚiF}㆘|l*(w&p4Z؟j^.R>YrWVA&lKQ 5uSov.򋻿D1Co_5sH'Rc<;K8.~8xѶ13C/:EW$&3@&x`dz ;} J>2}٦ 6EDp gp*iA@v9N Mr.֜O9/#V]ܔ:s UZGvmXD8$ oCGO r=`pr8v<)(ӦZ$ ]s0YCbHGaXӿx 2!+t5mT1"=8^L<`),&79C Jmr͝EƸ">REr ԕ;BJعh?`S%^QMwO<|mHhS \"s0I[|EuQ255YrM'8CC+BǬ$|!]j  "9'%_ E쫻\2q_p,\|xNc7lrt$HW&Rt5sLZ/iIHi, Jiޞt;E3`gvLhv&XV%'uhhCem 2ڡ6ݮbXPC\wo|Ͷy[msA,O+n,X1`F !_Y1k**RJJcN]^3]b;ܢh/2QN/ЯXKڒ@tl_%Z[Ӻc稿܎ھ2PGpEHE'#|B>> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32647 6493 0 R >> >> /Type /Page >> endobj 6490 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6491 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6492 0 obj << /Length 19 >> stream q /Iabc32647 Do Q endstream endobj 6493 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32648 20830 0 R /Gabc32649 20835 0 R >> /Font << /Fabc32650 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nNӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;F)^B90I NЋm߽?3 endstream endobj 6494 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=525) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6495 0 obj << /Filter /FlateDecode /Length 3512 >> stream xɎ_& F3 C$~A0`!qE$65bm,V?fo?)X8uӋ63~lc]TZht_?֧lj^~ Z% ʑj~:l{@j39L0 p" =#F_V85 0Z0dM0 uZG@$}ƿIsU8؟1 tN Ar]>)B LOI` F'}&@eR pݤS8駶;rhB&a0Cy efa܁:FfL|"U'1"p(@PD~E[S ĖN VwFi%6THO.N" b3ldpfSOÚ\Nkb)%Ic54>`t ~rcIZeH?\" V9dXMff G +hЦA6\ ojKRjQ$DkuK:a 0R.z8#=th1zi/%cO:jXuDkj 9\)&'Q4Rg@$[  ՗ԜLQD70 996}Et[qbQX0h؀QQ堜 *>3w:=ҼMǪ}W*Zwm: P: "Va%3дFV:Õ5eeuIS$WYu =;r1k[M$n,:cz;͢c˂`b4Q!){ g;ƶY-]WU*2|mq.uOMz|hagπ@<q&e(:|eeQwY4Wg)0y .qu&*/vM, }pܹha_33wtu 6is s "eGbEU6$A9%2lƯ47]Xm9 Ϟ^9lԆr%RWSs} gR$+XUܒ~"qS@e؂v:pbr{2 sZ؎ү-S,c9ZzMKXߖ1w9b8^kiC8aB2w@Fs,H<+‘qUOavS7x]qY)f'=jv72;@!m./7H7Wp籐1âd^rN>ݹ~m[S,/r@-n[}0%m\f)KwC_ΡuGjq}[ќo`6z%ؔS+6h~oam??͉`66.eZٙONUʠS iqڱoz}xH/ _e e=ll"ֳcIwn2*zgFWvKqc|4n6+  !֯v>!|Iw:ƿ|@mb獀ڬ߯q((*{IwúOi{Vql :\8ܧ#%2vr=CrX-X-r0qKyGdrb\S po^^%뾤(sho+\qIA`d@u*Df|q=`]*``}$vm,%mh3yN{i* UHe0Iل^6R(dATx5إtIJCkǻ1ϐȏ_<U3Y勰@ 0}-P .t[aEG\Dx34;(3Lm ŰɇՄ;,nSeLcvdB -b3LaxJXf3O|3X\bI(DiuMvBL:9RYa¼4lljG-G=| UFR{4P1Bҟ7T#$kR]32ڄ \-h E +nq^6CjN&YpW \]+c49԰}AZPpq]JL@ũ#;% oĩ֢CV]uWz[)$얲sX'B 4.՚㽍mb݊LF> ]aVu*+(+|K.P'kB-hbة){G C#U$^]'&t@C ][.v }sho8 ֤ p7Rvψ=8ɀ_$x<)\ ]A"&!6_''v5m@"X*lGY&>AL> a~, T0Lfef3?#.? סk n endstream endobj 6496 0 obj << /Annots [6497 0 R 6501 0 R] /BleedBox [0 0 612 792] /Contents [6502 0 R 6498 0 R 6499 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32666 6500 0 R >> >> /Type /Page >> endobj 6497 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6498 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6499 0 obj << /Length 19 >> stream q /Iabc32666 Do Q endstream endobj 6500 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32667 20830 0 R /Gabc32668 20835 0 R >> /Font << /Fabc32669 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6502 0 obj << /Filter /FlateDecode /Length 4064 >> stream x\Kk$篨k!P|X|{a|DeUK-`{tWUVddG߼u hݠN~TNMĔq@'O/|{ȁ<>%W`Uɣe9Clb0_Qj֬n&*%EUD^ޑϋ=wwT»i|'weH%g`ijJ耩ux$h6%'yco^agd}_)w`Lمx)!G]Ys 0I$ ?Ȳ&hqsf$x!>R^x. ҸXmا5S$qY誝@ ΉHyB[zWMMl^hժ2ml^K7 h8F6 --˲ (&WYK1trDR'P03)%U<3f ~^oJK)-kFS\ښ3 Xx^{wr4:jlnaCkcx9!(!݃pգn-Yq2KdNU y\>[],z696ǡw22|lxD۔"* #T_,r78"67jeT[_k>?o3<v"3,ˆR6!8v%i:45y"$.4?)}dfAEZNA-5^ xy~@YJà4kI $1kVg6m& Vm%.R"i/! .W7MJKa  +{'0CdiPĪ.ZFYŭօRFHxBd 2n:va,$]Eɼv,r`d!@|x:pYd4;qꐢ'f$CdENTcO<4iH)5 s|H4(3:'GS( FWm1 m.J9#d5]KeQK;v kf]53)_&M$cy%(#+WQ.Q.IS8nLp!>qp x2v[6&N?A"oiq{sG k=gwfQWˈv@N6C|5"th뚳~ a/7\xu= h;lrcK&k}fkFmZ^*$V="D22rPJ:;9lmQwj ո&PS;u]<6Ba EVpOjo"+-G54;^]&[}dx , F&qM:MeŔB :)Q8TAm% [e{1jĘ%(ӻ&X!hu9TnR^Fac%ࠪAOz~kH-Wl MJfa Iiob[wl-!>H3fL%#9n 2֞MqQUc>S6ĚݙRkp(x-)x%!c2KŵJVoThJVh7;(iI fYsr(m /任P\vPZ?% ˥;S}#r8mBZ`֦lX o \~yYDɖK1mD"!6MN=Az .$ouMP 2F,hk* V%`%Ipzaor*]5GP 1o±Sc,ѩSGk{ K T0 mM8i+Ֆ ,@MN}6vmY=̓YHc(J;[GbIp_9=?N:TB{ᐹ;>5$CmrjҮRw^Qqoi'oz3h=05{ܽіOq1ྡ=p<2w2GAa$=@2GFRҐz/"1wն_"jzD?IT'kѥa=YXA_T8*){ev z[ >.-=(s&v҃hmvqkskah=H۴mc{U7V{ۼwnyN۰Z95LPmAsv.<$.LntFFa=:R#d+0fJ\m pݞ!*;A\+~n?Lu!Fow-zy ŭ*nyn1ڌ'ǁ nrz4mYgP|9[^Ouj#- Gzn9Ru f?]e;j}3[|igBb_I(&|4cX?ڜ3!|'l@[mw`iG]ģW6Cгm?~>:bMyM=<ޝ Ĕzː@6]@ynMlfHv^e`<I nɎf/y5YKd[;q`xTlgCϷ vq_&t22NEf()n|Q['@&eg k6{y}x|}b|5t|=_|T[^\ /0T:';GH+}# *!V|pBlJ0tbOR/M S'"mf3?ѣAPR}ݗV`l5:G endstream endobj 6503 0 obj << /Annots [6504 0 R 6508 0 R] /BleedBox [0 0 612 792] /Contents [6509 0 R 6505 0 R 6506 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32685 6507 0 R >> >> /Type /Page >> endobj 6504 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6505 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6506 0 obj << /Length 19 >> stream q /Iabc32685 Do Q endstream endobj 6507 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32686 20830 0 R /Gabc32687 20835 0 R >> /Font << /Fabc32688 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7g-ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1KΡR-z^lMQ endstream endobj 6508 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=527) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6509 0 obj << /Filter /FlateDecode /Length 2743 >> stream x[I#;W 5aSoa"2m~^pej]LYoT|$[lJ׿/Kc>EFsӓ6kZ{W ?Ga}\)'VebϿx}XW4➮)eFPNtܮ-iʑ A5;tOA:G퓯CK9@Y5ј/*$$%"Π 1IoOa%=Oxs[xj@)P8-gM|Vr_pq&Զ"c*:u \xea%٠ֽ T@mȄi.H}sEy/ 7fTVvg̺iEWL;,Z!Ao!oHO\鉨/\r:d\^Mٍd P  %ᰌ-$;`.l] umj~.!V+2gCG[L\!29k{shu/ww Lp9[s=HYl)0n˛y} %럚/hr(eUb ضdbYc1,2įsyiE=)ѱY̖ ye1Db9!dJu1:U˜o2=rP%<8Դqf&zfR`[ kW仦&'Lt7⿾Y} G/TXac7no?q䭘!M޷F ނ{ZW w+罊:O(#N(2o!Bމ sD6¾_8kL)E{S.mSP.21y8?Om(ogf3Y;Ann'jŲOZVxR2c "kp<ӘqEjpӗaj +Rsp֩1[D@%֢x:)"@W< F;oK[:c$ !L`vhM?T9_8oSv)LθL=;'?ăO9OIşf*[te$CKу玤l:~N0.:&c ~8r6#`3Z9oO?A򤗙mAMA!/I^&RSm/.2mf==iB57 >2-hDs[_)W&% &6@.~l^p˛#=`K|Q J ~%;)^{E%! 2CSu\_wM`ho Azoxx6BKO IAZ F6TJ%\{ d:Jטчe.o p}}q3+87?I #,^%>#|IYDG /˯zAvIڢEZGqWM4}Pu$ r6UAuf`j(OiBkvhE(]ᷮZ0MNeKI G|&Et%PD_JtwYY~F\q9e ̥y ={uye&ֈc`^JC]ƚ.q8D?CsQw 1<>κ?_W{tׂ(h!M^0\ WW_׮Y:#Mҩ E5+Z_Pd\%RTbԎY9KToa0d&}j@.沵sZ e嗩xctg̣{7f1dSqbe2=ƒK(&fUMLY*j^'rqAtU)d;d[7؞l^Ԏ!-/w3{~\FcSIn3J_l0!`T@'Rb~Z:<\ćK}_f>v}/θ~?S/j,,cq}wd£8r8n@4`p tԾ4aFߡ =]~_{KJ:KJBhIt5l6;X$f9#-yvH5Оm'P UdnʉG.R?OkqO< 6/̈́kabOgOwhF+>>Э?f!E  n endstream endobj 6510 0 obj << /Annots [6511 0 R 6515 0 R] /BleedBox [0 0 612 792] /Contents [6516 0 R 6512 0 R 6513 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32704 6514 0 R >> >> /Type /Page >> endobj 6511 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6512 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6513 0 obj << /Length 19 >> stream q /Iabc32704 Do Q endstream endobj 6514 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32705 20830 0 R /Gabc32706 20835 0 R >> /Font << /Fabc32707 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6516 0 obj << /Filter /FlateDecode /Length 3068 >> stream xˎy+|??ڇh Iv7R/ReL,Y*Ve;bןU}fT*|߯? 7Sƨ.ZS=/Z׽puG㙯)UgWNkϴSo$Q05z;LOx:G퓧³ ګuT2\tY eZӉ;b CI^K%j iLɀC N\WvAepM9h4M&M ^Y٫%!9تVĈZlCW^hн(LgZ?LI#' .tgJ;k㘢/ˏD'#Uh&HXh W0[ԞQ @U jOgy'fp~faYux-Γ (sT9vi>{.?.So ˫_Γ/gV*@BaS^*, k~N EIL0c%4] >ƭ կP?NR󭢌NEPq ɇdQcdgu"IJ'Y{r.to/$KD%"oCTZaنO!ޣ#2Ӏ|!hι 0!&(y>QbEҊolEi;.H4EQ Yz3D0v7vt}J(U3bgLm 6_ތO U%l ?H7ė)>VrfiGN h)5U EMnc-zS\)C~dumP,Eb <VZHLZJu7TnVj'J8܏Z -h\9a.aLTWlΣ,iB#1u!x1nkD9uKPCEhgCvk6j\NCLf`{dds( }bdCr) 1^TOUE|VZVg B˸YйAN DfjZޕR:huLu12 _#BucJlӦKc zf,pΡӔU9NLv˜N^V>*DE77t@5eςWBB-8Èa^hc1ổiE1?^yk7VMUfB Ӊ+GʖޤcnK(6 h[N=2nr[ep\p)1'|@n)^R5լ<q*3]sM96ЉP5cĖNlgs&*UoR}SrWj(}TbPLe?pnx (x5b4HQ2¯oHivHu`DClӝ[kpٜ&s)Y:_SHX7ty?.!vqlK:K߹XxɲM1W2PO^y: EQkǘGc'(mVGGt5Ո~#3$as”ʐTuHpoUuU>L?%kfm?}IcI=?ـ|& ѧ}4 XslMZo$XDXCUawB o ËPҫX$75'f®^Yd2c0z 廰? @b|Hnm}3^=ը>:-ݧXۖ2yP읞ۖLA|RgBUC􎿃)SSa7>FJ迵cqm{0|dפŽ~%ETaS.wmG;RyÎ?GO{/&Bzw {s`3͚ :`;صtvPzчh mlD%5 yRK]qʏ%cޕzW'~T_!q )ic*0!5j3=Òx@i%,r6 5 JtGs員+'!G`y݂|4=_> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32723 6526 0 R >> >> /Type /Page >> endobj 6518 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6519 0 obj [6518 0 R 6520 0 R 6521 0 R 6522 0 R 6523 0 R 6527 0 R] endobj 6520 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 485.8038 173.3797 496.8038] /Subtype /Link /Type /Annot >> endobj 6521 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 469.6038 144.0317 480.6038] /Subtype /Link /Type /Annot >> endobj 6522 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 453.4038 138.1357 464.4038] /Subtype /Link /Type /Annot >> endobj 6523 0 obj << /A << /D (unique_35) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20211013063105-08'00') /Rect [104.1732 437.2038 174.7162 448.2038] /Subtype /Link /Type /Annot >> endobj 6524 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6525 0 obj << /Length 19 >> stream q /Iabc32723 Do Q endstream endobj 6526 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32724 20830 0 R /Gabc32725 20835 0 R >> /Font << /Fabc32726 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nVthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭfo#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM$T endstream endobj 6527 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=529) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6528 0 obj << /Filter /FlateDecode /Length 2007 >> stream xYKo6W@, X`w{-&E.CEZk!k"gofHq(U;mo[i^?F$YJ6 1L=y}*};v{M4 ;N󮘜 Dg>C+lzDJ5\Sg)@tRnjrфns#1Z!@=!๤VF45_eڅ{]SEck|k]jmw։Mm hdF*fY֢b2&)p| @f_ әe|G-5Ch2i ~9ݫښ[eԖ]_!dPuQ :g"'"w@3f`J)"h l^i(?3^neb+ x}]Yp;!>Z1t+4)a"qsIv<8FSeȏt;~odb9;{dvv>`pB7!{?$2#vK89LDG^b+m/XP׈9~ Y3:w ?+3"B̧-ɲtzYrK;H2]x6x Etx#n۪-i<ƭJxxB5_BJ8u5PL(Re@JW3AYtUZ@E1yo|-pOԃ&B hRbQ3hXĹ6DTB;*jq׏RRKw| #slgì2C Cj-P @qe؏ćPécՑϭru%iH֌}BL)-JV l֐`[_Iə5>T{G5w^]AMguUe[*;>hZv8E Y +AX "ͪN&'.V&r~6Q _{m3.ȣSG3&O6Gr rzIqHl>ګE0_-! 6a cu3~՚QnFq NV+uɼFM,)jݭ@|f J]//[ )FcMiSK|RӖ!` YyGJW*T)OiQ4dqlTTL {lEJ$fJ ?x$\uX~`EbMʪHPY/baG E5iD`p\(qc"4K4L2 )=?5Ź.g3ҙX[[(ZeCu,cbXF/"*ƨ!QZY|%?E_LJ}_(J4 S%-wˉ7KKOE!-+iMlJ%HIiyAnl>zG3eɪ_}Lן\i굴ǒ66 TDI%:Cq6a/f*kġ.ف$*{lQ %RXp$ #{ҏx7ҳV[4աUfw\\◎׉ͧW*3Bg95ʳ,T3P *ege~Mqb6zIi #Қu7$̆*=YbhزT%ăuuBdIprdGHzt#4?OQs,H  endstream endobj 6529 0 obj << /Annots [6530 0 R 6534 0 R] /BleedBox [0 0 612 792] /Contents [6535 0 R 6531 0 R 6532 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32742 6533 0 R >> >> /Type /Page >> endobj 6530 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6531 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6532 0 obj << /Length 19 >> stream q /Iabc32742 Do Q endstream endobj 6533 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32743 20830 0 R /Gabc32744 20835 0 R >> /Font << /Fabc32745 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 6534 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=530) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6535 0 obj << /Filter /FlateDecode /Length 4897 >> stream x\K$W@(4PYY9oc7bOmE=&)J""_5]TgH!Qɏ4wT fig?Y3}EVu~N>&7}}IkL)ۓkO=/S7'OJyioh,/W$"8m ÿ~5?M  q ~YoLs(Yg`gSXC5_&!qߤu /x_;Ϟr2A ŪcttG.x4cf:8Px!33AuD4/d s3H%OR= n^fJPi/yCr7ט iVkdO鷤GQ.%$5ejFقd6ôhg$zУ-jlBÆlUa#Z` :SGIiu <(b<0kqaʠ6 NCcBu:;7`kF+CѡBA H)MǷPb˔ .\# ӱi r iAw%?zF3Oxf 'DD\7sADlP‘y|! ƢSx"~ |V= C>˥J7g,uIh_;JSe78K fϗ|Q&Q7([ܮHgWu hBgA`q)Z/mZjOD0(ߥFoqwmqYҼ]6AXZ %i'4QjCj _h}&\hqŝ_7t:LaZWm37d,d87XR-Z֑HcQef&tٟ%{&)#, 9z(θsJ7vԽS ma# ^JJnzy~)Mc߶pZfP0[Y[~8ۧuR $J, <ͯ)L&d[0/#2RZե74HXÿ@f2'7gLdh1a;(fjZT/qzV_9H _j{q3\W2aX%&쬳%8S1-CTyw&1%1DK /KK/ѓl#mR+RsT鵞o-7ZpD#LCU8;&6kJ~618?fdXbB * hly MFf\ZZ6k4X~ts ڳ0%i0L?} zdD%9K_d^BVnȜaB ZWoٛ4Vv6Hf{&r\ K2-M<])){]lF^@bRŢC2v]QpGonxyWhcy?Tdt0aPmo10Tq N8fi5@L E&Pf j΃WKXL6ή4vPAgbenlXҬ-[R Z&`͒d"Z(63UB+dMQ&kotUWvN\[h~&V2X骂HN2GF8M? '5i 8)'ձIpR)IbGIpRB>'eœ4$,j/ՅIY ^O'J v'yO4ی k<Ó ;-0DS4/Ɋ̇$H<9ѵ(wEOBL뚂7TWxxXKp@aI]!@Ȁnr+fq=BI߄0pJ~\|0oG(!^:l> R^р@B |A:DP-0!)PWVcok)LF- hA#/ǞY6(Պ[cvղOCX}ZƮb[SWVmu.9/yY=C(1ʒ?ӠFSS 6O sgnٱiߏ6 0W"/B!0J^b#jP\euUe ARlæhGþ"⇁9בni025dGSv.lIH646U|/J]qUZ5T.UM !oF˒GK?ߤ :1Q< >8 2R HGpiו0,9 \1rk|@Q|;Ri^$?us 0G 6 m?%6nxK ˂ 7UQ-sc]uyy;lWCCFᙖ9~l&Ђ0Hqա{v2\F5xc#_֌j6"SWb%{:`*MC(Œ,D}a!O&VqN.˦(91Aȕ%BE4Y7LѯVg ˁ=PG'+s 5%dP7N!rZ<:L ]]ЉW̫K?;ܯ'p;MTB>qگ ށFCvڞZհ:"_} !D w8%(jh$/fu7O:' lm;Pҡ\ Ѯz}`bTⴊ鍴1r6+G53&9Ҽ4M>k=^ ;ɏ"I; ʧX42M)=']kN' 7߭]pϫV0l\J;XADlW:z2 ^@ƀK\s՗hQEQ Fdڇ:M ["KiXG{V Z'h;I,":!ZzPmo ӨzĥM4uͥ3. nK\a_ m AGiCIzuvvA0>;<'(<K5N8Y/&!wV؅mׄ]ֽtf3l 66j_PZRP'z~kj# C>LXC>Bu>_&oW(ـ7ЎSZTjHȏ~us? ߠ>dW>rBCYq2wNtҼ'C2^RNxs/ %)6S/`.ڲ^I+"` D./_/sOws.̫5/]]zi=TiZIuXAΡ54Jґ)t AvZrNmZ(ƍ$8Dui^"ηߥ# AUGT:a,";Tax$eN?kPye3/$fuythJQBc0,0B<79ƔXтhz>n| S_R 3'GGp p6隊+g&JCS !#c9&YxĮYS=ϊF[*UZ4Y~$CmQ#NM/ؗ8U:(EcR)$ЪNs1AOSNN洵#-]2tZѽaݓH6F>(ꜵdkr\]\I+ Ȣ$ޚ޸szWv2jݶ\`HAo;m/&Y{+J0qXl'oy]cn\ր'x9_ OYd\3 !: 8Ͼ`MnK3퐂I>_j0t |W83,xD``:GF K[/ f3rѕxDf^ sIЅe_#_Rx ǗZ]Nv@J}=_O=GT ͭkI{|_2uO,WV= j@o/ endstream endobj 6536 0 obj << /Annots [6537 0 R 6541 0 R] /BleedBox [0 0 612 792] /Contents [6542 0 R 6538 0 R 6539 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32761 6540 0 R >> >> /Type /Page >> endobj 6537 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6538 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6539 0 obj << /Length 19 >> stream q /Iabc32761 Do Q endstream endobj 6540 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32762 20830 0 R /Gabc32763 20835 0 R >> /Font << /Fabc32764 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6542 0 obj << /Filter /FlateDecode /Length 3329 >> stream x[I#ǯA%tmSg6[R[Us0ZoދoH v߾gd2_]줗ᅩ7V&YYT Akvx앉ƿ5NbTko Ѐ^do{>8u8A@hx/x3wXNV9mUb7Mf!Jy(*7<$%7B !4!?1^ mDņa֦{4/:49Ji+XTǬM-xv(ohR8\Y[E)K'~d;6AKi kt&ȱy49\_Vjμ4""5mSǐom玴+A!PW*Hcqq< |vr*J(g4UEr0a1 &.D> D%g34%POܸ"pu!?AR~o͕19c`Bt&\jPaA;%i8_UQ: &;zZym,|PWP=[n#Sƾ7ǝЗ;z-ݷsO̓4d>oehr8Su2Υ\ΠGMh` ]mshb2P,F~P;7 ֝8 K? 22`6cޔ^&vKcM* M[KGX vwuPNG\/=g?,#\zP*a,HU[실 2Jne=AqMVpC1(+~/RT>s)-ZL@0iډ2s4i?TX5Lk.inC5V be3&Bw=ZH2m -˖%اU-ˉ$D걭g@/AO%L'+2Ȥ[ [KT~:]訲D)kuxu ]Gy3͎8 jJZBRYRmT2máArs(`.@mc7#VnD#d0bQw1".ʪlOy~v:r45r3 VʚJcj91A;a„b.W-Eu)aOF\EXL5֛F 8өrc=pƹNF Qt[Ѹ>#L]_?"oc@$;L5p!&ӺWuyYeMKϤ}ٴ/IrISElJ R+ tp hW VW6)yW^v@qlK-SmH5'X@?#t5"m_؜>iCxy1lqxcu+ k3Mnj xw?L,B8 N.(GM5i~Z7Xid\*xG&Kx$). \-qXD.Cqez#ߩR|C*5]1fySM+:Onk\?T ^nۑö-Jgyy.9bYWO{}B?A|\!'nGv}[q>{~S:UqUŭ-,̜v[2K}ڙNaWP@*6&|36Fi7e{}kXv&Kz-ھD ^Sy[c4+~jnhpUM-9ll9 ^nZ7':den.1k MF,e0n,wxꥹ} ڱLk;LuU*TdJ;{V.x{EQ-=_%;LT&( ɿEW&JiԢ1y:qNM>dSkC]iUa2Q,~^,>Iلȟ) AA8H4M'-tP4hu&) Cr..9s>_0/O0ϣĻuuWi.4}PDMx v'&Jtʽ!g1n t&mmC1mbMp]e,cu~ =2&r+Aؘ`<'a{A\0qʜb1͠[ yk&UFF߰Tp#&.pW&q˖%:"3݊}t=;|Y"578bVhbآo.vi([ص>S߄mBwN/P ?jO`~FQA|-XOr8<%7vQfw"5=e.v f85/( z2>j̓14-ՙ45_Nt }<&~hl3M9rQ+`Xvڵ`$M,90c_>o{6i߯Ie!e!肮 Ρ~v **X~偊 V>!0 |pJlF0G|7ER mJ5Kflnl4K2?g_󥩰f%h"Vgcf3QSW|WUn,5k0zDKe7 endstream endobj 6543 0 obj << /Annots 6545 0 R /BleedBox [0 0 612 792] /Contents [6551 0 R 6547 0 R 6548 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32780 6549 0 R >> >> /Type /Page >> endobj 6544 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6545 0 obj [6544 0 R 6546 0 R 6550 0 R] endobj 6546 0 obj << /A << /D (unique_34) /S /GoTo >> /Border [0 0 0] /Contents (write_xsim_coverage) /M (D:20211013063105-08'00') /Rect [104.1732 216.0346 204.5977 227.0346] /Subtype /Link /Type /Annot >> endobj 6547 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6548 0 obj << /Length 19 >> stream q /Iabc32780 Do Q endstream endobj 6549 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32781 20830 0 R /Gabc32782 20835 0 R >> /Font << /Fabc32783 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM7 endstream endobj 6550 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=532) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6551 0 obj << /Filter /FlateDecode /Length 2505 >> stream x[IW<@p_ 7 O/6VRk{F>mruY:cb/y<ԧK;c\1& ;x>㿁WL 87S֏x h-s\邴7+8~+c=rX#}Zx+]Jۀ|g8-K8TζGؕ uq'rSH9 p\$&B-@VMBV/kģ>10u x~R@Ҙ\mzPKaCjj c&%?g`3r 1ɰ;+unI 59U/t~t5Sw{8p.1;(x!j@4)M[p qt16@aG2h9yv^M ~e9$E'd<2FP0߰ f t-/$>Y9JTd\lRsԠ8aCܜ{M$;6صd:c.uѳP`[mW y~WgA*?'h1^ZK1do*п~|#ZLGw# 66L;i|G[gGS;o ItvZƴ!d~+Ia3L `}D6II:ثfRcEև3~僋cvkؽEc?Gf֫ߎ 4n2MY0yL2C SnAP5 { -hgU]hUoB ${xM[G`}͌4C M?H&7 ٖ:u%wKAƒZ"-cf ߄Ԫf7fq3঻7i$ub+L ᦽ7DHq^϶__l#:9c Npw[O͊x%^dAt,8^I0' QrѦ܍0Yq'nCx|8f* m8 p\cMǾ'qKƭ(zخHV+!_[.EG.ub' JFm!\2U0ĴcJHߞX<3c_C«1Goo4Svozit9Pj^2j|! np7BHhE">m/)NmSsQh6${Ϯ;̗ncڬgRhQ$ @g P[ n #EuߍBh%_F-^` SG"Tf‚k:fR^51iS*0 HRTT-"Uz[C=yݐ4_EO^V) + He2U3ME#@XY| 6'z}"%l MʼnD3QD^SkD‘ByK{) ] Sb%L'ZD^VIJ>D32/; ˹%-ÌO5j?13c=xcF!Y2`]I; ϋk,@1a"~KJ3AJ+qr ؤ^̱kKܓ' ,>-zcJ*{pqos%S㢹ݻm l}0X31sB6Fߡd D\D o^[;ia}Fڗx?Șy~w#;Bzbi}MTݡ!5fBּz灄_ 7'˷c%c%P!4֤`:kyDo VfSx>&# "IO Ӄr؈6윸09O6fQ n Uڰ%vOX{RdloxFwM< 1]̈́s$*X!v37hF!݉ıGh58I ;v endstream endobj 6552 0 obj << /Annots 6554 0 R /BleedBox [0 0 612 792] /Contents [6560 0 R 6556 0 R 6557 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32799 6558 0 R >> >> /Type /Page >> endobj 6553 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6554 0 obj [6553 0 R 6555 0 R 6559 0 R] endobj 6555 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [90 313.925 123.198 324.925] /Subtype /Link /Type /Annot >> endobj 6556 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6557 0 obj << /Length 19 >> stream q /Iabc32799 Do Q endstream endobj 6558 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32800 20830 0 R /Gabc32801 20835 0 R >> /Font << /Fabc32802 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7k thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 6559 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=533) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6560 0 obj << /Filter /FlateDecode /Length 4249 >> stream x\o:yH*̭ga1yoH込I]t--Y&)?JERKxoiLT*zrhxuKt!Z.A)ȃQpYlg9EYش;jgvDZYT!^&_^`GGU_CߤZBNpI-jhtZ7aL7tbubâQDbԓRQx!Ay"!=&iCľZ境P޾=/SSfs$~ ~GlKEf"#EoXO8qrv1}s,% &/!k{5::Jq%%.yb?/KX6A/+ZO`M5--9A>r{4$}2J 4qf1'(A;ϡUPzoh@φ/8KΣ -6XDӴM,d;zahu#Iw"It/$Jr(?A 7,jyGQEOwiAh??ڥSءӦ{;#`BڂcGLBX<6]j$|g]hlNKBkTbvw[شo+ac-6Cgٺ79OjhO xq,cDd"G.UUq1"#i!)"B(Uc(χc$3f62t)ڗ6 mA3>_(mױ5®{,#,:70kZ*SZM(kNV5yEX*]^҆P Žp1tN MqPݫp‹G7z Tn8GQIzͯ2OQ4%s@5w]ʋ[n&rD nyƄ⺖傃:h0nIX䌄850/]m4UY֛:62FMWXӃ2|R !1n߈NrsfR_ Y; Q%.~d1^ذ۬?$EdqD,FӊV.- vgŃU8Y&MʼnVO8;qpWM0(ll^65(:T00?Xkd +|@_g_Yu q1Er68kˊYӟ_&` xX5z+pnR3 wEf 8Y4}3k.V1↧_^ $+(fFy9?nMgs_'TچTh9OG{>w'dw;||^x%򷭕UY^CS\()K@H[D0g܃G}A $McQ;T(Ug +N&1=~/{vI'E>dJ5DoqzUQpҙj/B m[tRǂE,E%VZp`:[*W2q{%.c[$ڽ⹷2)8OԛԫJIk%XͥvV9!^,[q-:xD8KRG4sB2 k{o V{!TVͮ#(#ėnw.6wA\i~t&toV7} !@M0PS} ;ۇ2_j_"O!).$F\]"81^RT3f&KM:E9"ݱD5 F @Щ \)&M,U9T3 ~t:Tt0ag7Tļ'\ PT98oM kOiFX z ff< 3i0;nuXŶj$s+D4۱2df= >.+ Nݭ8Iɬd= N dp2k8 ˅$Nb'y'8]$:v'zD.~Nb'1>pupgFOI~Nbotztp P P7 __P^1}O68x)v5~? BXa-G>H]QqGn^9+ //B@hngB .-3z?fWkb'.9vNl}oݠ"mHd@oO7N@v?kLt/ syZ6k&@O )7͆6߰qwB]NY-D}#K o˿9>My9GܒcI»sq~6e@qǘ1w$H0`@tS"UKG&fvhӝbn 9|0)H^<篃d rOي&hQfhj?|{6:j7Bt KH{W{D.8 @"Ds1R .+`0%iVTD vY 9GP?>~kpXewmFOTF4IuYOFTS [ү2L<@ rV=1/Q[+"RM^TmO[ ~` 0*E/Ycp} 4Z늾xf;.q^cSu+p!}Lj6SJsЙ\]-LKOE=kw d"WDe>ce_ XNJFzUFlyN3`D=Rqgo-2T`L .pI'Bɉ|ngQ%L1OY4!LJ1ճw`Vͦ=U&MYB &fΘ@Rb)aCwN|YOYHȢeGk5}?ā㜷{_$ax5NҴ 5Ʈ6p:,:z y4Pt}v1{J0ۤ"t=# .xjȒMvA\bg|ڒ졫K[h*7 C9*fO`%- i_E*Z:O\l_r\^-H|^ZAvIZ4gi=T i:/Nm/xqW$^Fr+pk2L}D6\Ľ)vf(+p5 ڲiS/,M'u!ӫBnuYH ^@` r;Lq^VP"fPٵEx" ZCU,TO8|V]1^cnZ2lRnK.1o.KS7:9:wk?T@:Vo(CHy(ts^T(RV T8D6 *E5\%), W$_/R޸Dn_ĜK[T|pL':Q%ЁK6lLK#/ߣ:cxeV*CK֊ ~ťdc/Ƭ_箘*& K7ƩƝ+w\,!Lvӽ^Di7f7'*λ} ʈM/g{V:f q Xs=T d B O.R:LՃ*R@atyǝ TiJG=7jUH=ạz:RԾ '#"s~`LynLc *sx/=<,%˴>ϔ!h_?hԕk=M+o1/5rbW!qzsW@ş&?|A#[zc9 ԩ.<_ذy ʾX endstream endobj 6561 0 obj << /Annots 6563 0 R /BleedBox [0 0 612 792] /Contents [6572 0 R 6568 0 R 6569 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32818 6570 0 R >> >> /Type /Page >> endobj 6562 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6563 0 obj [6562 0 R 6564 0 R 6565 0 R 6566 0 R 6567 0 R 6571 0 R] endobj 6564 0 obj << /A << /D (unique_362) /S /GoTo >> /Border [0 0 0] /Contents (convert_ips) /M (D:20211013063105-08'00') /Rect [104.1732 291.0577 159.4812 302.0577] /Subtype /Link /Type /Annot >> endobj 6565 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 274.8577 148.0577 285.8577] /Subtype /Link /Type /Annot >> endobj 6566 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 258.6576 144.0317 269.6576] /Subtype /Link /Type /Annot >> endobj 6567 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 242.4576 138.1357 253.4576] /Subtype /Link /Type /Annot >> endobj 6568 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6569 0 obj << /Length 19 >> stream q /Iabc32818 Do Q endstream endobj 6570 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32819 20830 0 R /Gabc32820 20835 0 R >> /Font << /Fabc32821 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7+thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ ` endstream endobj 6571 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=534) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6572 0 obj << /Filter /FlateDecode /Length 3166 >> stream xZK$WPiPtUu-g1\;RY]3k'SR("#K~Z*wKF~"3-I#E&//7 |ڰ(eVW^|9*R׫RNiSO7lLiTNDRcx"Z\U}qJvI-6j{#wgdyqqd,eb:bD jѴ#I2z㫊EUҬ4H ,M9 #QF3>qXkqQC;zSs}PW}S31/25+Hȟ+I|0L$.FW;/#:1[aU#Xq@\Y1T7 `2lsg { dC) Iz&̅ bg[8:k E4Fԃ3a0iEX8nͦ`[DIU؄T`(Y`" W\b3@ I.`ɚ#.L nSW}|ˇEЎO_Agƃ]L4Go wH_(m]ZrmJ. \yN,FM󛡓t(qJ fbVV圳ֵRO>%LG 9TVj' r#FIa˧+M Ǣ&U SN*Jϖ}iz.ެ}M9JڹA [ vq{>VQs9pmƨ`<* &YvSmEdgm+Cjүh>beP.x+qpZv\)DچmC9t"jS=5:yj;zu_B 7%nUp't)_Em)ꊻQ ;PSRW}wXng6MMǐYN%3%XUs>9-:BقNs!Wo޴ZȶŶsNҠ&YJ[w_k^O{'F:_[1AD[bȳ۽Z.j&E]e{E<+ `X)`tO|| x)6WW. 'nJ:+-tVă ! &ykV Hc=M;^RmɨGmw5^8u}&98"vk&HUVȄ3T$n:ŃI; oPǴ1LIM@<Ʃ'$Uc~.ů_SV{ݵ>kz~Km}?|LXzuA6-AM5`h%Sh44*=2;0" y~_!g5^7iEgGP?Soj %/ڿ,/#?r<pѯF;B%F+_ʘ zW I<{S^F6j\: A5K͌DJ]kz[B¤Q60T_>˕KO /hiGM9Lĺ4lM_~/>M( ê& ~/1۷8Wp-]{V ]d"v]@RW`{b|>@c&Ѭa; Vh=EgJdYe G%CBGZcB/-P`x^4䫄*?R)mD`W҂H{ȑ>q9[9̋y,.:s|Q>Mczս"j w~OS8v CV*孟DHF"F[ę]l|,_J3xTqbjk5-X龵{[TᲅʕRe]R`WRJ?tyWN"jiHLpx 4=vW Yr`;vgb|/g'ްְ(*k:׏Wz'*_vU efP,XJZݥ(‡έWZUܬ \cfnOK6T'^TTrUaB]՚x =tj ǢrUeiՠuu endstream endobj 6573 0 obj << /Annots 6577 0 R /BleedBox [0 0 612 792] /Contents [6585 0 R 6581 0 R 6582 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32837 6583 0 R >> >> /Type /Page >> endobj 6574 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062951-08'00') /Rect [218.8375 152.8325 381.3955 163.8325] /Subtype /Link /Type /Annot >> endobj 6575 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062951-08'00') /Rect [178.99 100.1632 396.03 110.1632] /Subtype /Link /Type /Annot >> endobj 6576 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6577 0 obj [6574 0 R 6575 0 R 6576 0 R 6578 0 R 6579 0 R 6580 0 R 6584 0 R] endobj 6578 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 329.925 122.505 340.925] /Subtype /Link /Type /Annot >> endobj 6579 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [127.818 329.925 238.9565 340.925] /Subtype /Link /Type /Annot >> endobj 6580 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [244.2695 329.925 267.122 340.925] /Subtype /Link /Type /Annot >> endobj 6581 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6582 0 obj << /Length 19 >> stream q /Iabc32837 Do Q endstream endobj 6583 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32838 20830 0 R /Gabc32839 20835 0 R >> /Font << /Fabc32840 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ: endstream endobj 6584 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=535) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6585 0 obj << /Filter /FlateDecode /Length 4604 >> stream x\Ko9W<@eM& PI{ɽ݋}鿿+3K*6R%d0_0,U[m W]묎AU}C/Ͽ]}XrHW;czOc[]W'b]ןW=9 woCo,i-p,[5Y_ J3k;Ƨdӽv 9aZ ;DtڙV^~$0%ZR?FCdhBߒֺ~z@;>*%[glIཎpG 6 Ӛʺ%%A!c>>ǢA{@'-kR Sm l(t <EY#+h$%2ǯor'pz.A-O$p^@ѿA?aҽBA6&*_nֈб'"yb#~G$nsJ՘,8C>Chb^pĸ ={cΜ5K@Fqz,q8jlYVuꕭ!x;U5;Cekxt\]%\9bnMh͈deY/UAӭ; (YJ }ltfr݃Fbkik,CRY!PE</g oBnb1wz#k) Ga̅nA*軼{sTo tg ?Uд*Yreppm-9 R1h7Oۻ+ܤн:o'L ETu$Rja5i2YOY+]Dn @7l~A gQ òux&֫G|Wo+>:ydr Rx5X۞hqM7m7  c2PBs!vm"Hh@*a_YLfWݫsF&!^m8 ^qK8UR?(tƳ:ۑh:^aьJطgbN-R =CuTfDRʅQ;+YCE׋ u>5?hY^o(²pE5ajS+jWCP-,Va]Z]:zo}*iVX`q VחQ f,b_590D$۱2PԵvzSWv&{bJ6U>Uӛ &ժ6T'8w|N7{au9|NjMMpe7HnXe*nQaq NcN+KImH7iJ:0"TN=Z 5賭N85P%8RX~ԕGv9R2_ >w},p}&:R1[~,(JatƑ G# /|פDo=a81s+f⌞U:+)'/1|ޞ^VmhW@KCv@p$uMCvqZ]iiugy))q,T:NCYǑ"lQ}6%E@ , E%6\c#"鑳Z qeYIBL%Z&;\#}QRh#D)`FdJZ0MMθ,!LiNX+Ki5rb$BH\nj¦|jR6pt`*[~!X`Y2⼈yT"|da=2=#f#l=떘s}ld8:}* RRvkp%mśTK݂՜p&9=L*48&:|6 8e@̑g(+$A?-UWL/&|na{m->Vr!dl4"%x:Cp/|2 @z8/)kU(lh\~Wl(beC dg1UfIS' m=sjͪ:l[tªMGд~x vIҮM2y2TWOBڈ/Zʌph46] "#h{8Zu5y?WoV );kI싊MUn5~R荏E98|{s#S aS ^C8q[Y}ډ^ó[}Uu<ހOE)a<A7Z'lqɃb4Oi}]v{/udP˴G#OÏ6rX n9IUQa+5cټ:nvb%7gCvΏk ]]}d#?U;˞*i }Ԫl]4QKdI=Ȥ؛Լ'e'`bC{;>%8?M͔j]SaU%vZMyhXaY >*Yb<)xj;$,~jaY݊Vs jr>- EeP iYI+"o]K׉^11jϹļ2yne՛RgneJ x}SfZx ʧ]T-o@LӒ1B"}'XvRT!Mpw#9d2mgq4dFC61Wba`DNn aKCe?"O W-$SFz]]X\X65jb^ed}j|}J[lU \f: 4J5隚ֿũY/e3Ti\@K(ǂrk4uZHܥxH.VaO"5e.N3GZ/8~[K/R**a)V)TBwLl] gL4޷y+"n);72<_PVS[>,{"D5:g؆rR!/tK>j2WٴK1KӛLU*l'H._AMv]59q`g>>s2|~ZsR+ոկÛ糼}ӁAZooʆ}_zܟ $tӶ9ߓtX 4kPxC=0V6VPD3/6|yϧ@rO~Ok9Ί!юaQ3{X!ŚEuǍ=|zZ-'yvMAT,3ޡ<{9:Πm|{N"n~V=Fb5;IWQ$A/ۼm endstream endobj 6586 0 obj << /Annots [6587 0 R 6591 0 R] /BleedBox [0 0 612 792] /Contents [6592 0 R 6588 0 R 6589 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32856 6590 0 R >> >> /Type /Page >> endobj 6587 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6588 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6589 0 obj << /Length 19 >> stream q /Iabc32856 Do Q endstream endobj 6590 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32857 20830 0 R /Gabc32858 20835 0 R >> /Font << /Fabc32859 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7kRthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMQ endstream endobj 6591 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=536) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6592 0 obj << /Filter /FlateDecode /Length 4453 >> stream x\I#9rJs_Os*0 t];2dfJz *)`0/̚ b6zNI)Ec|rpMϟgmXVW~tHJnJ}8w*uJ]@2Ʌ%t>㬔2Jw.2V^qt7 l4kvvq ^IJY(zxfx"\ODn䬦U Df Uέ<ۤ- # ǰhFvhl˨F7/e>_GsWԩĐTH|k2M,kq~#W%V1إf(F<:=yRΖ㨴}p~qk{;h D`ƞ.F]Y\%?㊽Mm7x;"NAP1 lo`^&Xؓ F'9 5йKsioS89nƒyp5`uֲnJyxR`AN U!|Ba{zs%6 S40aD%]jJ{d]Lr b)`զzJ1U2x PL?Alfe;%)*-H"O?K"+jK"i-%hkVTmc5kzE醪45w3Z5U7)Fi)u# ),09+CD iT㯚2s]mU>q'SZc,$\ F򕠈}Ar0ɞL{2tRtư-9 #g5rzf2r/]`B:Ъzx.BqL #CbCj5  #eU,`s'] {%Wk&ٷ)[ׄHBXߠ{{xQ͗E5yqٵU*m+m kyFFՂ!qvЍ fBPEq/=@S RpTs9H/"iE,:qدkT XVH\zKk$k$-8m=2RI\|#KP;/Vzּ]mX?řG4cAg ZF>j5h&:d@UDUbu x%F]S|1sǜv{/oSt$I&1lj ]j ,IDp" -¸g&׆GEgp5, tW!_Zs}7K;t}^BLZR.vu ~ol3P׼.E)o3G֠CEӯa_aQJ^aEuka؏" 7۵rպnGc^ TTEUPe5~@f={L 7TS sY^[ 6[u68clpon@W_P[.N.7`o>UE Q]G_!x(+sޛxIECviu +@a8ָlH~0mJNJ"B/<: o T ='92:wFq˚q{HEBjVF^LX3m0n7,hmx]y)li*˚+irm\0ADN woW{j@A ,i{>님 1!)\TZB!.:aHsZTa@FD󯐶v)a瀽K ށ^IODڃҮGu\r|~sIc~yK ާRgnia>MLF'!kt8wTe(%S^'_/[8f)`PL"Njqvp,Kôy}/^a".*͙aY\ds~w'&Nf1k{0rIM '0iW4U5A;`鍠z ̕{fLhڛX=guT:Gӣקn|㛾j|mJ5kD`&_.It+k8s< ?U@:V<@)x,H-?S\=^ 4#r)$Scũ -}/G<щvUJed:FjW_(+AVŻnUl,.ǥ >w|oϨ6py":p~Gpʔ$(S9/ll:X^b*Y߇Hq~jSem)dV5^enыka[_ZDLKDaQ *vM-Sa'up*MP`/7KrCدQq:LJrXwu|"*#?Gkkmx g 񈀡YhFz\w\!1 r烘ܱX~5<  k/t.#WzoQA͕vDHV}  !V%h:Gܪs)Qͪ\( U(H<{%g\RN_'~:5$²Հt!VFţx+=dj "{1ՠ/ɺ4 endstream endobj 6593 0 obj << /Annots 6595 0 R /BleedBox [0 0 612 792] /Contents [6602 0 R 6598 0 R 6599 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32875 6600 0 R >> >> /Type /Page >> endobj 6594 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6595 0 obj [6594 0 R 6596 0 R 6597 0 R 6601 0 R] endobj 6596 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20211013063105-08'00') /Rect [104.1732 453.7 148.9102 464.7] /Subtype /Link /Type /Annot >> endobj 6597 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 433.5 149.6802 444.5] /Subtype /Link /Type /Annot >> endobj 6598 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6599 0 obj << /Length 19 >> stream q /Iabc32875 Do Q endstream endobj 6600 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32876 20830 0 R /Gabc32877 20835 0 R >> /Font << /Fabc32878 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n.ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6602 0 obj << /Filter /FlateDecode /Length 2137 >> stream xڽYɎ+<P@-]&9 /~$Zd\q<^ @y{pOc=qbc|yo*j336uq?zsdm񲯃7x ,^Xɲ 2Ok(n Z$ {y+ϪCT]i *PcȝWa„8ke1ipNO$蕬̆Q7GXV &,bHQ4.4ybbrt˱,_t&)le>d>SNel[֒ICxf~6o}<;h'˭aЅÕ;5/`Y6t#^# G$G"|75UNǕ]kvnoNJP{ qO{y 8t|!Jz>@ Du:ߎ$Ihs6p jNAU4t_'_V aoq ^#MEQpsK>/ϔ2l _Q$!cWXE{%v%s^ ݨF )hz])d.ϯ8Sm>RpX*.gp~Exa|똘q}X\B7CRiӹȏ|6/a>K*mގxnB*4CKQQ+r|t [eTð n]/0:ht k_deO82FL8v#[씲jm`o.X^jEg[[hᛉWCœ ̫)$Ow eM:424qNS&:v=weXs͜7̛`^VW.ܶ=Ă;vcƄ\FUWDuXdاqp:$2TlħeG;rjo("N߆D2]̛~%@3 \&amS,)S0xd -E:xjML53+XN,XI(/g:"{" f*zh;"њ  a9u 6=h\ kAyš2;P>fy\Xa$hUn4[,+__ge]eHOĴ-Y:_/(~af`GS6b?ZY ^gly]v䴒fӄڷUBK%H Y)Ai9 T6+ #|P'tݷ("굴'O*SџSt&3k+lu$>P3Rsͮ?X"e s^ ."㵧[\Zڔו4jrLS1B̠C]o'fx #~?*EE5 z<푨PbnFMOJ|{Iw㤣W&9lM!҈[ev`J"=Q,m}?&U]l]{CSXQ(F4w'6,ݶhp  ބ endstream endobj 6603 0 obj << /Annots [6604 0 R 6608 0 R] /BleedBox [0 0 612 792] /Contents [6609 0 R 6605 0 R 6606 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32894 6607 0 R >> >> /Type /Page >> endobj 6604 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062951-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6605 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6606 0 obj << /Length 19 >> stream q /Iabc32894 Do Q endstream endobj 6607 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32895 20830 0 R /Gabc32896 20835 0 R >> /Font << /Fabc32897 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ^ endstream endobj 6608 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=538) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6609 0 obj << /Filter /FlateDecode /Length 4867 >> stream x]_不קsr60km2=i!_H([*wfhHHI ;ϴif~ 4m ~:?N>Nn>u8YW*2H,__]|gKW/n:/7+I"6_? _=e[zθ ~Yo3s҄L epi%ݛgnI|$,o'L`L zq :J`rb:=2DwT:]uN};2DUx8~?98Ж64` ?1g0h IЀWfpi@@;ԇ(O@~I 38 tUT_}*v.'[ d ZQ_AD"Аw|F8 p./IB=e"g%]8UMhLVԑ1~$!w1TH'&!. eșQ#'g`d!?Vǁ'/qTGDBcH6R] xi{a:kzZFT XoN_+5#>aw2ڎۨ |Ku? Od v^X(1qjG=0'"ۀodys0&W4 ++Ho+vWec&3s%yV+2 PdbyIʂxB2x1ӆb aDSV%юvM;x$,J6-貺yK>LC!7bKj鵳msd /ꙮvRV>a5շԩkOXM6|jx]*u2+O(ɡ$&q?so_Rᬦ`0LOm fl[Gu A1Eukk4\Sju-ˍ $cFXH0y$&ηƮ46(lUU;- /s6N`΢h?3Ty8yN\dgHF~,ڎ'[<_?/dz}K ܑDѱhƉ9Txk+;_8֒S-PЂ\Ҝ^?_2檄Yd8_j&Jly?E̬|+>S˖Pʫgz"8묥^t~M\[ڰVI2y#zuu@i~gthzykrpCG.UܡEz'>mop 5t/l,BHHDAgݰrSE=}~S,H,ʋupvevu||"=UmǠ9Eݝ:#K0R)ɴ37qd[Bv%NZhkw/zRe&< nB[=O޽ _M믎cyߋz$?ҵ]ظ]FA;uۣ&n&1sppej9h䳷,:YGEÖmfkyO/aތ(׌WVo!_3zb﬉=bceqC>;?ogb㎜{hN1wz-έĵ _o{M 5wݷٴt_x䆷8-WӀCEi-zNs`xtf . |x5 /CM̃-M.@"#WVtj ջ5$1ŝ_IwgB̸a;d,ZYUR=EpҖ[5ne*=F.`ʩ`G=[jSlʭ&**މ["x.!ʳTB0Q;ȦA6`rýH[TdJCRyjPY/0%0>|K,&CMp@m[h225PʵZ{uTE67흋]П+<9HaFg}rƷgHfKTz S_be*>49Xȇ 2@8A@7e| _с 34X(ZK6,yflbtڛ*4))]lF^@bRmbզt5,Y9 S'& B 3f@9S3Krœ؉0 KQI84u2QpMUU5,vSW*h vV~$8'._h5]kYXW$0"Zn86cS"FԬD>cꆨZU0L$H DzճUڑ2 ؓ+tЩfQGPrAPSLCe"ٔ7(k(%ϜXN,v?T\MI>TW$BoIؤH+8bu>klOt\%{Պk(uR֏USwԮv@%A|*G'Z? ^% *,AUh@IJJpܦ۠Mr,L#`7U+ ՊdBPž뚁7\ T;@dz L!Pl8P&S=TƘ81QP9P-ςJA5<TJ}H@pPf"_S.|=:8kae,;-꽡N46ٖJp4*aJR +-4%3#S,1V|k!.dc֔*]zx%HIgJXu$]FͿIm+Cof7IdX#nal24rn&ײ7,yAq sM颍o?"05Xk?hI\V!*Uɼ ,jup8}Tv?DުA>RP Uy4n Tiհ[;0Bt '3 !~]!s+I[(1kKwJ^V&bƧpS8Nr>!%S=ݯ^9HI[ 8MwP=m7,\M27qS9PkS:KC XL4UH#_7NLl/`ܖtAoK*U0~qc }7QO3~4fwcG :vBp4 73kE)<Υ}':Y/J>-d>BvuUIZ./x@S_[4 A 4ކTtʥߨēcȷ3=ms ⍫w ^Tg4 6oHmG_,.09O9/(". ZJ?$ҪCyKQbFn!&恢TChKԃpz8pQ]1`jZr@]wtd5UMV.NfIMSWB@FǴ3]بP yVl.w谥H)&Sķd39b[nb$HR %rKv YK2#'\eeԟ>ZƦ.ek[^:5dk\^([}F׆ZM|PT%+Ҕe5?.KnEU+6 Ȣ:U!ފݸsdjWV2fշ͘b:덉~V?@|^Tϔv꼙`x8ړ8Mc ~twq9M吀:ß6U9/|§󡗬vHOV+ 7,~6Ƕ^xcFgJ׼kYq֊O ?7װ?00xXU8]89ݫ'4 "p"!r -43 h>[a9:O i=`jE:v*->~aaS k5Jp endstream endobj 6610 0 obj << /Annots 6612 0 R /BleedBox [0 0 612 792] /Contents [6618 0 R 6614 0 R 6615 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32913 6616 0 R >> >> /Type /Page >> endobj 6611 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6612 0 obj [6611 0 R 6613 0 R 6617 0 R] endobj 6613 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 675.15 147.728 686.15] /Subtype /Link /Type /Annot >> endobj 6614 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6615 0 obj << /Length 19 >> stream q /Iabc32913 Do Q endstream endobj 6616 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32914 20830 0 R /Gabc32915 20835 0 R >> /Font << /Fabc32916 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6618 0 obj << /Filter /FlateDecode /Length 3758 >> stream x\IWlȘH *++ 4`|jcLaXV4THoEf3kwT׹^3ss6xIӿN_.~6fJk7rL_~=jmkf8^2}_@Z]i@8eЎ9oz{-m6a(bT9عNF&1433-2 2{)"|/^^&cpTI' @GSu?DpM^*-'mdƁdK'trp$m30H7E?]źLХD.k1-yq;eU!H2\#o+& 9@Dݝw{'2/Aӥ.xcDD1ƽ\r :0\ez`4&zI/?;sd%Wa>`u:`mp}wz !leQFKVdm/<ΜJer=B%%d5Ҁ@4絏=N2D+EȄz3e:]>"98,rL.6+p@ xK=wpݯ|6`3>{yi [J A+!B3͝ykm6_- SaHr^Qn}%]ח΅;^U[FQ/nXa`FD=Ӡx[8H4H<ϢCtN I,f Z]LiLRKۘOt}5U`1$urTل1 BxS&:ٮB֑(Ů2RNp_ f@tl*ES8#˷¹j:*D>^b|vcki9Fdݳؼ ?:91ZQ^`w<(."qO4\tCA-1L3k0{1Ƽ2$c cJ&ƙ&Ӄءe$9I5Ҍu&ubG |^zhRP!rߚ^Sk\Fe8mv`k YLKdYhu24@, [NLsx޻r_ H߆UV)*'xa+kN ö %.b$ *hӑnmX0%@ˇa,kH8?h-Pa֕a@Gzn߅$u,9@=V@IeN ೮ſ=\fNxHyz}1ڬyFdsg.qcR`f]OJ?GUlXJoݦqAQMA#ߙ}=Si l&i)Ӱ;3x?s][uv:>Q[;*-]1μ؋(:b4}W6רTk۩"5xte`2xgZk"$LȹFꏽx+#n֋)bʳatwa|M>6yk`nN}t- SPJ[so8OےQ\f[ym680JvJ]|6Go+8tbaf3ew}c;U*2ȱnXW cNh45Br^%^@3۬z8TJc-B ̌k{kt?.?Riޅؿ?oz;*հ1Yհ($p$ZY8F#aE4i_:}{ӫagv.*Qzs}i!6'+K 4 (Z獧a|+ G]--.La_W9ڽt*؆Deיbr6B/|6AL 1=keMLH,l= ͇w'?̜n;f'rD) qBt1 散EQ ca߻ R(r=al֛,3Ѧ/SS 5|exAϞ?/ufka1OfR*fh L@IC0aKȎ?a:/vƂJ*x"D2~MKysve1%̏?SȄ%])^EHOD(HҮMR\|r>?H|f~KO7O (>rOf~֎DIx0C-xy L#9B}TV`PL&Z;S2mcq~i4[ D_Jwf@daz* ,Zg(gI׺p%oL;K`L=Oʧ£|;}G}Rp$^#`4ڵ)ԾG[.op V_ ਿp$9EطV^, L@ endstream endobj 6619 0 obj << /Annots 6621 0 R /BleedBox [0 0 612 792] /Contents [6633 0 R 6629 0 R 6630 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32932 6631 0 R >> >> /Type /Page >> endobj 6620 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6621 0 obj [6620 0 R 6622 0 R 6623 0 R 6624 0 R 6625 0 R 6626 0 R 6627 0 R 6628 0 R 6632 0 R] endobj 6622 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 377.773 172.7747 388.773] /Subtype /Link /Type /Annot >> endobj 6623 0 obj << /A << /D (unique_391) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 361.573 193.7847 372.573] /Subtype /Link /Type /Annot >> endobj 6624 0 obj << /A << /D (unique_392) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20211013063105-08'00') /Rect [104.1732 345.373 192.8772 356.373] /Subtype /Link /Type /Annot >> endobj 6625 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20211013063105-08'00') /Rect [104.1732 329.173 198.2837 340.173] /Subtype /Link /Type /Annot >> endobj 6626 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 312.973 172.4722 323.973] /Subtype /Link /Type /Annot >> endobj 6627 0 obj << /A << /D (unique_396) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20211013063105-08'00') /Rect [104.1732 296.773 171.5647 307.773] /Subtype /Link /Type /Annot >> endobj 6628 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [104.1732 280.573 176.9712 291.573] /Subtype /Link /Type /Annot >> endobj 6629 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6630 0 obj << /Length 19 >> stream q /Iabc32932 Do Q endstream endobj 6631 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32933 20830 0 R /Gabc32934 20835 0 R >> /Font << /Fabc32935 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM1Z endstream endobj 6632 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=540) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6633 0 obj << /Filter /FlateDecode /Length 2775 >> stream xڵn7ί9@p_u:<0 '8A `]6.ՋDZQ.E}koj|ӋnkVǠ%C_SGSǯO:c띶|_?a(ʙ5Vcܝ~vx+vNx@w8~t(80Sΰ+L'e&V `jl4wY4}VQhBJ#x!)ءkԁ}Ϲ(NJw*p M@B%9{oϔ=/(ݶ9dJ"CE(G1#co懔e13GTٍu8!j{hĻԼzlL6Xwn M4Ge6c-p!g;3㞅I珝H qݞ "Ȗuv'Q,Yc4 |w7F!:.KZ#Qi'VV?{00aq~~0+ ޒe[X#-Ft%3u{32FL$+e?KYA<NjQ-t[mΑQp`Mm+U|ls#Ե<|4.x(uVj*/82%5T[S}<Ț|*xVTk'VZ0Q;VdLF|Im@PmQ^VJ/TgV2=ybJ/zaJ ~_?o-*ƮnG.cK󍮦؞R\`b"ߺJ^]p PqBEv(g+sk9k%1fNy)pǜXobfrbi|܉&sc8g:faYdKJeg:Nò%35Fx8C\ Gz-LhPnF<68/eMDJf\'+МEgtQ~fKw7خZoRJt5JeT"I+PG 2` +<ޯa/ŴVjи~ 5<5zݬI5\k69c_k>5DW-?w#coMm:>5$qAzO7K zo_s{7^mJvdלktvm&%Te>$2Y- } xLT߼;kߝ W`B3qP/kVںD?k(3+A5UCyvЊ@CI4mvͫ-7)WS>ΉA<2M3pa a5qrFuPm;7m/=|^*b~SO6i+>ijS NkŬa2kq ,gr ʑu8!pڀK@[2[ ˆhג?Fmހ -#ڱѦ_Jї+VY.m3HYGgk\eeyYY&3lOowE&dassכKf U'5ٶCً9NPR#w~ JIex$ +@Ĭ^2_[w֞4fބ}]뫗vnCw_J&l~,! >G{g.6y% 8,ݹ#L?Ԅ!Bq`ٟ<v*=ѣA?zyE3L3F endstream endobj 6634 0 obj << /Annots 6636 0 R /BleedBox [0 0 612 792] /Contents [6643 0 R 6639 0 R 6640 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32951 6641 0 R >> >> /Type /Page >> endobj 6635 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6636 0 obj [6635 0 R 6637 0 R 6638 0 R 6642 0 R] endobj 6637 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 314.425 123.253 325.425] /Subtype /Link /Type /Annot >> endobj 6638 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 314.425 161.071 325.425] /Subtype /Link /Type /Annot >> endobj 6639 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6640 0 obj << /Length 19 >> stream q /Iabc32951 Do Q endstream endobj 6641 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32952 20830 0 R /Gabc32953 20835 0 R >> /Font << /Fabc32954 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6643 0 obj << /Filter /FlateDecode /Length 4929 >> stream x\Ko$9W<@l{f {K%%t(2PPɏ!\r?x$Vdo,8'!LJK$yIH:kk;UBg=w]f ~ s+LkW/o\,.%dtD5Ns9N%Fq/\S*P3'GZ1oL<3 ǝeJޘAg#Ox4hy쟰.WzY8l띐 #V rp~J :sJMp"QU^pJqƷߟs Br *iKP@Db*/\o?|A(H_k&`T }Qܩ%fTʩ$]"emY74_"CK|U yṵHhiFC]3eI@xmsmApg:n __AsE"ܩ˝MRyA!6q1 4mhKlȶy$㮆DXb<. RM!1!2r.^z__^VHfNP3MXuv=' 62 jgY^Pܷ x=yP<`F`(R+ rkZemhV B C-v]ZHlTc Uc/f5Z0 on:Բ{Tϩݣ~N~UUWA] y@QF( FdW\7H} P%(b $ycW ҰKȈy؛„L0b)YkRf6q{y2܂ `y4# xFd+גmmF1VΙӕ^L+&ճzA b8&v^('A~mݞbnWjRdA_ULQ0U6bDoo1[`wi}yVZE&oEPkߛ&*s#&7Fțffj@4ԃnG#jn7ib|*>񷗮LWjRhL!{.WrNG<$$k5 xj E$S ׷X[1EICt:~+: Ȥ+ϱF ړR~@S\qN8|wC\0"ւʦgWvhEvT'"rP)b?ydIv&E<%J2:@])k-Tj=?`D:Rˣ4@mˈ[ԋ` .3huhA*fU}$j+E Q <곩`:ƺޫ`^' =ԫUKIayԂn,է:!EhHqfj3V /{靖354 .f{! rmj+b=tXe.ҔAj.hϝ)Ml{!Bg`e-f=*]LsP,ܪd64YWd.6(Dr))H2>P!z#|Ql;ilb1YY=6&6['L(1@:)b 8u7Ъ|B,ӮSa:c`\Nfrr N4T䐌ICߦTvvCUL}`XO,  t@,, k]'JXWdvRz(V3edV  YR^x(wpb$`Nz Nf!T8+p23ŵvPl Pq@Pz> Pl$}&gu@\)v1F)v1F)v&F)v6F=)ۋQwCQAQwBƨG ŶbU&16>iaj(剭Θz"3C0 chUʵ^ S]uӬKP1UЅo$EQL4iW]):"=HThZlUYtU4}Q^QQ ;&SWT<=bg@TlTAP@0ؕ-W#?Tl3P] _qUb7ĩk!ŮSO< 'nCېbԍbԍAhV } b= 1H$A3 D|?I\j4;"v.ۃL>J}E~AS-ٹ^ S|UbR#aCHzaA+n'Qْ0_ ͵"ڐ/f}%gsۏ;qw|`'V/.Bțcc蘶J˜-[%e@>=ZeVq×sU~VPjq۰Vdn`;y@/1Վ`qێ`ڦhin ɺƛ IӆJ4,!nS1MŹԞC5@ s]:Z}oeԋ ml;m\L\AGY̛_UnydmGoF:^C/hK|$NHf)-hS_~S~h@8i%l5Mލ!dU@"Qp2&,oo:l4& .r|?d "}ˆL"G$('ey̎?SBSV$ðcA9n]Md rb̓%ܱi Θ l<셟M BmOB102 a^L YZv7"O`eg?bTS@f$Z0d4^\ ),R(͊ز@2C2\DpOIى) .5RX, 72RjIwN.} DI6fv`-C  9 ́1h13O~`46XjӚ yo1/EIAy@jFn1΁wL)70 TTJLHImH(vK5Kʲf)!v7"qRhɷTN9oL-oP?yWA!i~ R' 眭9Wsg3s+J=s gؑCpn#7E+;W.oCG>u2ZvS.ov4ӊ6N~SF_!0ΣY@*2H䦁X40;Ai8} a#`5 7#@fM5c 5ɛ5ckXZ`whz3ۍ_0>v!/ES-p~Sܔ.I|}GsTtfR'I|/qBHBW)dy'kF.4eL%b >R \8Эu<Ww7sq 62sS %-w*偲T ݰ-RS{T#l}p>0LɯxC%ITVj%rG'Fﵦxoψ1=݊Ht*e]qV+E_}IVdv 4^FK 5l#\FԝL*{=zsۅy+'ʾH>Ro|:a4^@)aX/$f vF;&Q u=lwOhQ,^zP:]:L#[ $ endstream endobj 6644 0 obj << /Annots [6645 0 R 6649 0 R] /BleedBox [0 0 612 792] /Contents [6650 0 R 6646 0 R 6647 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32970 6648 0 R >> >> /Type /Page >> endobj 6645 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6646 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6647 0 obj << /Length 19 >> stream q /Iabc32970 Do Q endstream endobj 6648 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32971 20830 0 R /Gabc32972 20835 0 R >> /Font << /Fabc32973 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDUZ ip3S~3ȚCC 0y^ endstream endobj 6649 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=542) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6650 0 obj << /Filter /FlateDecode /Length 5132 >> stream x<Ɏ+9rw~E (PJ֞0S@ſظ$R50}(RI1Aj}1J.-,/`utq1_{WWVAo_/ߖCIkS-&;~[<|js<ҷhݷQ[q/\w8DLKygD0 rEpb #M_Y>ae#RԦrS>[jK0BBt@8 A4lTyw)KC ]*¼ڸgA|SΉ4T}oxzciBZ7ڨfH U*H]:PT'dSa`D[]*]@Pq`S\6|<ѿiR>&&m[4q D~ O'0T Σym2| _LQ*)y6ϧZ=״ѧ:?NͲFNYÆ!6((r=qUV,b2`'::|R,A$n!!u%9)e=f(eT.մ0bh*ۘg+nk-,Dkk@ }%! A:pxs<XTcjvBt궯jxx/xL@& }g}rE$$[F>';WM. M]iA֙ɸ;4lұj\k$PMJӐt/,ҩjkJO;ABҬYڅmjV=11sqgvQPwmו\FvxH_4ژAW#}C*e\#ջuެ?H$5z+WS1ڂ vn `nYem\9ݵoR.8vѓ@Q6G^/մ==u0ȱ9ђ(0-mݐ靼3Wl3c>z [\:SˎubBg"z J74xB L:ڟz{:rmJT+փÚi?{[2fUܤ՘ 0$%էDZ5 `}%umE.W6(0`NTkO*h_^ư; u %kd ceai5oBj`^t&D@1APIŔ9=KtsV˘P5FH*Kkr&$?V f,>"MPQgWK0{3v) U0j52zU CXufs"I<;Ł硙E(8FR\ pVJD [TÀXH04QԉC:,^AIf Ӛ?Rj]bk_N ŀM0^t. `Eݼ AG#6?3|Q@QIJWZ_Wւ{ʚ.pYsupM6s7 jP[Ķ!rLS^mfX,&hQp(VVQH(}X+CMHB]0fE]H=z+4Z NgQkuugIHa:5$$?: 2N`E6nt@#(ĝzBWǿld _P 6E <:՚7|J"V `R T za94Ђ`٬-q;?#= >2oVB54#jaQ K|5^~}hDi]ڡl "pJo--ra <8fs_7DG78WTE%Lu'OC <1OՠgD?OHptN{R?S5<s }1e!aLORg@^\\p z&q<ſ!œ7D*dV^8{%r B g7XD0 \ pGcZ{5{Y27 ڗ<@C/X%rB p,\Ѣ9b<0 _F[øa{o$ŖٸrY@Z1t"B0%}K1n҉QsF(mg cۗ,ಹ ' ?D zMLn1G c<8>mŒj2\Ŋ=,J-5:DMl鼈!f)&t23Y7E{'M@!mzMr1S(UV'p>& )P/Ăڴ8l͞ڣ/M 2z@a$Gqc3 +fo枣:'V#%Lh` @fŲY01%vrÙ<†z-6al9%Rp0i*gͭ~YDnc%SBZ Vc@ɀS7J\E%) fnȭtyvX4-QseVۉWю+EHĎOYmҐkB܆yҀ1ˑH?sx!t5A$fHF⽭44@o.'L%U*~e_YůWV+FqTfzb6np6\>:./>9Att]u1C\~ƎG圽[- j7r܁ ,[S^iElu0΅No.lQ9jKas:6M7uqs7 P1YTSBJԣ<~jR?3_E;/?^Ѹ:C:TȡڬBpUggD~\jsjnۺ]8?7v-f -}z+</XH~)7]{}Q9<_i:M4sx#ԃ |'*)w] IA$w.Z+:AA"KQ5?ns?lGzO,+%fIx>rLҲImh3cmZ-4^r)FJ/(oJ~"ڳ~,tuj<oew> 4n߽]8kB;'zݨU]c|$p_K6D`5nN^?q]2|VN.5urs9u7tsv{Hzyy$v׾-r7 ]{o؍w?kcܻ~, $1vЛ fԪ+fl͵6czOƙ<Ŗ<ˣd_p.QzޤUTôcTJoth] ZP_RrI-ek4D_[Mm>Ek`TJVlCY BYXrU؊&hr0(΅71.>ULFt|F{&ϊwqPOlO[7jnx59W']w>76_;{;qLmvTm5n#̋._m|Kn z)馠G֟Ƈ,­'I\l\k# pT $g|F/'Nj[<>>I-OY]9g,7x` o<*qvE'<QX`ER#$zkR_k endstream endobj 6651 0 obj << /Annots 6653 0 R /BleedBox [0 0 612 792] /Contents [6666 0 R 6662 0 R 6663 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32989 6664 0 R >> >> /Type /Page >> endobj 6652 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6653 0 obj [6652 0 R 6654 0 R 6655 0 R 6656 0 R 6657 0 R 6658 0 R 6659 0 R 6660 0 R 6661 0 R 6665 0 R] endobj 6654 0 obj << /A << /D (unique_120) /S /GoTo >> /Border [0 0 0] /Contents (get_bel_pins) /M (D:20211013063105-08'00') /Rect [104.1732 528.0077 163.8867 539.0077] /Subtype /Link /Type /Annot >> endobj 6655 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 511.8076 145.1812 522.8076] /Subtype /Link /Type /Annot >> endobj 6656 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20211013063105-08'00') /Rect [104.1732 495.6076 153.6732 506.6076] /Subtype /Link /Type /Annot >> endobj 6657 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 479.4076 166.3397 490.4076] /Subtype /Link /Type /Annot >> endobj 6658 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20211013063105-08'00') /Rect [104.1732 463.2076 166.4497 474.2076] /Subtype /Link /Type /Annot >> endobj 6659 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 447.0076 180.9312 458.0076] /Subtype /Link /Type /Annot >> endobj 6660 0 obj << /A << /D (unique_610) /S /GoTo >> /Border [0 0 0] /Contents (report_route_status) /M (D:20211013063105-08'00') /Rect [104.1732 430.8076 199.0922 441.8076] /Subtype /Link /Type /Annot >> endobj 6661 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 414.6076 165.4707 425.6076] /Subtype /Link /Type /Annot >> endobj 6662 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6663 0 obj << /Length 19 >> stream q /Iabc32989 Do Q endstream endobj 6664 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32990 20830 0 R /Gabc32991 20835 0 R >> /Font << /Fabc32992 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 6665 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=543) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6666 0 obj << /Filter /FlateDecode /Length 2355 >> stream xZIo6W@ `4Ћ;@ANN^A{KFmn//Al)*vҼ~~ѽuuewux:G~:M X~zyWkn | N?HŤ~UN7'p?Й6HA²&'꼳@pBd9Y;z-+n-փ ;G)Bw3|F%PK`7/* ,HpCڄMI}ִr,wذt&7vdo Eт'ϸa$`s-ZL,6V^ r%ߵGtWjW ax=;{0@ڎVl~ $oֲ!cY8ZO<ŸaM^У-pT@?&d7)/TAȝ3]O-ă$&&ug U>WԍΠNHNl \eE`+i0ng~uTbqw:u8 g:vRMmJ=Z )()|\^t2BxlclS8S(X耥*"J22E)󴿏7@(3z#coED ԛC)CBG ;.v  -ۊyvSzmWAt[ OEЩ¡x]z2d߫{gXxzF>1J CJ| ɑLfx{-I?|:\͙ p:0Cm!&X7rqs!PqR }2F ν~%)kDI?|?"mQU#Y^jre=ec?&|9;aauo4"'%A `JiSµl̈ jt0S 48x1v,BqB-R8uB)7E XM _Q . n$t2{6qW!7%WגwK>'^ןGٙ.,m3&-OYû4coNG zh,|zlgS0rxC3^MM jI82LL E%K65/_3_Ղ\Fe>Cm&5{\BCA6j!\" h6ɾPDǯzfVfm-}5LzjmiFxpR8TTWx{Z38euKR:Q$_E^N h(;3? L AizSځ@XYXAxD &z?*-w( xSGqcWՋDSD^D‘W%Ѕ|=n]5̚h35 )=#5\o>IZ[(3eFXuLsXfOM$ޙQGL$(+/ (/bBY4}'a9 !fteK[AZVh03Sh(FRIwy2RGr~6ȍbH )KV }<Ҁ"굴8֦x'>؝(T/d+`xLzl/fo֥W[sտ</k0Xxq4H(-Ru& 99t0~ ` }&=5 }{8z$gP45=\E=+<+ !XI䚷Gz;X 3j$\$C~P爣wd䴥WED5vG7 Un{#*stLU?O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33008 6673 0 R >> >> /Type /Page >> endobj 6668 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6669 0 obj [6668 0 R 6670 0 R 6674 0 R] endobj 6670 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 364.125 124.1605 375.125] /Subtype /Link /Type /Annot >> endobj 6671 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6672 0 obj << /Length 19 >> stream q /Iabc33008 Do Q endstream endobj 6673 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33009 20830 0 R /Gabc33010 20835 0 R >> /Font << /Fabc33011 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛE endstream endobj 6674 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=544) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6675 0 obj << /Filter /FlateDecode /Length 4663 >> stream x\o й dz6@EO۾WIKԗ$bw2lERKg#ҧw2iRUVB]('mX3>ȿ?ɗW#K|^vTSRF6zZaLF#R#~g?ST S=?HY)P_x6^Y _ύoZ#^s>Ow*R(4c d|@ _)i~ *t`#I~8,?vn BYTMO#i?h:*:/ ;KyGP8u[1H @2'Cbd ұ9IHJ.h,V&g) & kAUA(!..^AnG@ ?e#y_7iDAb?oX B f/ SL 4"ϿH#_b' }|Qw圝__?5bJ&{Bq1mXfҺwBtrJ;' ל mj/f0VWco.pǔzSZ3:ܹSYjqGuز}n} O=@T; ܉.p37#q:ϡ sǶr%Wvcrzi}\+~/786P$?iI2q&5_[gif'_3NBБpg&gwymg3J{bsgG%sdW) q^H[5Npƙ:,gj(:yeOl>?ҾMO:8 1J~ \2/2ȴtf,AH2E|ߠS"a?(D kYuPN|"15NշDPHD9X#55 <3 [F:`* a uVNt$TdLK)2(!Q 5/=d!{y^}VAVuc޵VNDŽi a',a\p޺3,⭣ŕFkh22L6ڌ{]*J.-흛]П;WZx Ko[a2ިYV.&(iCM] KizXz f  iv0(Ȯ}T(ź's+@4۰]9Ȍ2[2+].Mr' fnVषdp2 p2K8%čGO Wr6;oIp,p1dJ@(PVp: f6p}$v6ԑقSy8,v©c7P҄λh[ͪǷ;CtdZ <^QpN8 $.Nؚg'Np1;)3DgϯgS>bZG.) 'x"FjzD\fx;0KYkN܍&-{#xO@5rlCO 9oO[YORk, )llJx؞7+3W!uXjd# s~\/T kYVՊ;~3tg%U.׿50U!=3S`L+zFc_'Q̽\i[K=CT4IvVBت<4饯N^:V5.Xlkdc1[4sjUPnwP+7 }Y7R_tB2nZXf,Ei{ r:q03|S/z1\tM}7(LiYx`.?.4{0`%魪{F|s |OCHnTr~ZEҗ"Ia]LI!vO<`h](m./a>'R4B!!ھ"uEϏ;zaq ,+-nk54(p`V \E)8𱝝.nX\F): k2KvĐ,/9]вD ;nw@$҈N ~HS!̈́=*ڌi M2nSiGVksiBȅ0P)B%X\˵<~(P3$s-"g=S4mJ^q@'xpG]9kkCf^LMy:6gt1eGgYslA!<5;,z/ -9\Rxa ݓy`6BCvݻsEt ;JNLXB-*YWv*/70u:ICE[>asPt0>N joĤ](C q,ڻwxJ@Sj{7_EKEg'ŊBέK{oĆ՚Z0Őm2-ھ[W50OYo)-bE^@g3./ zZk8Y獉& ίU;VԢn{F[3I\ _q$4t"e}j Nͼd3ÔSR[-,=_YWe|#ʩɞ.6^(lfW7{Qp*\!9IGM5=e[?^C R4lc:^QYCB y}S7)_54W!Q k4BnZv>Yz Q/m"5H'g;wUVLڂ4{E45䛌Tk~AAJ($-E& B.qRh[r\'>/OWNvqU$<i;= i:?R/327 OHM]_ʘO[r&4e9(*0WV^0Xi(,MRU&@L w9|a8s2O8*0f<Gi}vn2nŀGޙܺ]Ѭi{?i^bw ,'o8ڐJxE3-H#܇`|uhζΗտi1"PhX*!O! ##m^ [Ml_ ?m$E9rH0&ӅmF˜G:?u3~:^{[^^zsO߮ uЌKKB΂" P.usmk:EBLӜݶKev~ײö.Īro-`g]'N‘~^o A4c5 endstream endobj 6676 0 obj << /Annots 6678 0 R /BleedBox [0 0 612 792] /Contents [6685 0 R 6681 0 R 6682 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33027 6683 0 R >> >> /Type /Page >> endobj 6677 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6678 0 obj [6677 0 R 6679 0 R 6680 0 R 6684 0 R] endobj 6679 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 354.3731 165.4707 365.3731] /Subtype /Link /Type /Annot >> endobj 6680 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20211013063105-08'00') /Rect [104.1732 338.1731 166.9282 349.1731] /Subtype /Link /Type /Annot >> endobj 6681 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6682 0 obj << /Length 19 >> stream q /Iabc33027 Do Q endstream endobj 6683 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33028 20830 0 R /Gabc33029 20835 0 R >> /Font << /Fabc33030 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 6684 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=545) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6685 0 obj << /Filter /FlateDecode /Length 2740 >> stream xڽn$ί@/@/jM '% ]y43gP"|jU[mo:E9c┡bO^cf&/Ʀb 1٘G_W (eoӿ1p,L.Z Hkga=;v3Puwj%.7{ڹ &Λ逈 b 8|݊@`Gn)>. !4xfBǠ\o"{ Gyw8оԊȅv2{ZE^Á1Z5/1 x 'y9;C(bG shH rg>pLu]j'UhB 7` [I$$7̬3X(R qi!YLcE; 3Nξ`ݑmHjksp)uDNj̱o?[|agZ", BuRYLN-PT<'|Fj6cȎK_p|~UPWrF-mdwָmbHSj:%^Iy]^y5R3$}r=-m| 0`x3|B3$^&KRm_ @L|G~:0<ֽx*qQsy='' BXF 1B <=]nm"ylhE2VM+Jn_Ғ+הjI%h@Wm6KVRs_~/wЩYj.L$ý2z17ۘaC^"=9ŕ㺺VW\FKvDmƅZL׽c{s#9;w0(x+v fHn TG@@Ī!"l#i},m'\Ll3qZq\NEnv !0J£;7΀C-J;bÙAUZfس.ٝ2jqtAwϧ^#F' ?LS&+O6G .bOu5:lh T5L6XOskXY73e1CސDhPf0E7r^еnЄLp1]3K/]o.)^VbWfXg/8KCI^Ni$%է >" m@9K/l"}F(f˞{#ōoTӛXnD<T7t#x,QkI Mt(4fGdm)s!ݥ*440t[FL$ 2@;2r둈?^8WI d(<27&/hSEB:G'މ.tIޚ"9*a56J@{Rdo ~G{M":> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33046 6690 0 R >> >> /Type /Page >> endobj 6687 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6688 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6689 0 obj << /Length 19 >> stream q /Iabc33046 Do Q endstream endobj 6690 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33047 20830 0 R /Gabc33048 20835 0 R >> /Font << /Fabc33049 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 6691 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=546) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6692 0 obj << /Filter /FlateDecode /Length 4372 >> stream xڭx3<]U90GzmkZW%X'l^xcJ2&/^0q[Ql~$]F'蛞 u/f]@M0f! ]oQneF؟"} nB֛e-y-uF,y dq<*#BHY" dr>tF;cf8oc CcqUc^̕V(,b(hyiey r-j/*=YtTCel3]M\PQHrF}$?͘;پ/c 0JV52:Y2^TX5MŽwnDqbR"jDs2v6B안b5Iil7ja7jf;R 7nP 9;P٭n  YF0WtX]:JzF?B}lKJr 3ܧF#]%Ѐ:f́{ٽ?[0\j)OBuMzd5c-1 R_׳m1v63^|Qhs^ " Ffnd̅d60Ճ{5]E(5 P=|R0X#H( ĖpSNvu 툿GMM&I@FCޟkJc2{T S\ljŞk?O9El#ड़%)Ӳu\]0q5L _"]+'[BRp(l)̟xW)kM.Xt w5;GOƊь_: D)e _V'>pƲ `Ulf;`̵Ax8'UԦe kEﳵQZ s8z8udzV"h!Ht`ߢVd(=ǒ.Cb$TWJ]~Q*?Ɏ<e `)<* B(fwD'bfQr& 6[m}6/%l{t}att4Z;qdu _S]7E!s\c ^/R'e QaFK @?<0AtFjd8GˬM@!,w5B˜b g pksV:RR®m1W{ݘ'ys+3nRUUX tOcnE#,D:8 R ~2=r+%a=|bIUldž{&C_O?ͳL7/Hhf" \, P2CT'2>{"%aW l$L ".,.жF 1hW2Hl8@yRGmGѣTO |קTmeSxbLo(.VԔ_NJOT!XW$h0res&%bbLoD0ġBn);72~]GqJu\U#x(8ѕP\5J=E :\ y怌{2ƚ~_= |{dxɹ,bc9o,7x,֚U@D˞UI|j?ăɵ;n6@rH.jdݬ bt ݡzK` '${e S=~ೡHS ?|^K+ Vj endstream endobj 6693 0 obj << /Annots 6695 0 R /BleedBox [0 0 612 792] /Contents [6701 0 R 6697 0 R 6698 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33065 6699 0 R >> >> /Type /Page >> endobj 6694 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6695 0 obj [6694 0 R 6696 0 R 6700 0 R] endobj 6696 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 150.4282 608.2] /Subtype /Link /Type /Annot >> endobj 6697 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6698 0 obj << /Length 19 >> stream q /Iabc33065 Do Q endstream endobj 6699 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33066 20830 0 R /Gabc33067 20835 0 R >> /Font << /Fabc33068 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n Yӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6701 0 obj << /Filter /FlateDecode /Length 1482 >> stream xڭWKk$7WЊ0 3c{! 90/ԏ8dK-WDCi^?1t::OQ;+kڠ55Z_MGkch?<_ 㽩ϟog0&X~.c2?Xb>늹ELp'kg>3T*L *?x RX} @|g麓r묽O{#['K.4&b}3v%}0rGJʳn*X޻;ͦ ܋bXtq%BƕM)ŏha=$:+I"9P}NXy+.CxаDa |vlL Xma1>B-wkWŒ)}K2ͷHuUEQEGL5E]1/J2Y7u-cC!@Zud2<1>+bɻ|V^ntWuֶ.(6fL-(Q ꅸ>O$_QXZsza14>k3nVRQk8Y7Q/`gl6'_/O(w""{[Dt8vpɛX8S}H)z;Ũ;/lk?J4eNȪl am?fԷZgV;RnYRiZ= %igiTTdfmdpVmh+~U}r2Ӡ/xi9__ ~AYcy"x%xqw*;vx+S 8 D|E4\[znӾEiCAu6%^ɝx`hܠ=ѹ=31Z!0xDz, t=.+] J'bRf7|Ӗ eGWeTO4Q%F/1.Ykp6.yUrd'$=> -;q{|ohH *2o; endstream endobj 6702 0 obj << /Annots 6704 0 R /BleedBox [0 0 612 792] /Contents [6710 0 R 6706 0 R 6707 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33084 6708 0 R >> >> /Type /Page >> endobj 6703 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6704 0 obj [6703 0 R 6705 0 R 6709 0 R] endobj 6705 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 353.225 118.9685 364.225] /Subtype /Link /Type /Annot >> endobj 6706 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6707 0 obj << /Length 19 >> stream q /Iabc33084 Do Q endstream endobj 6708 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33085 20830 0 R /Gabc33086 20835 0 R >> /Font << /Fabc33087 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM* endstream endobj 6709 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=548) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6710 0 obj << /Filter /FlateDecode /Length 3501 >> stream x[KWl@2 6rrxv/S/$uO89$^H,UŪ"E/jO'iVǠg$C/cmSIΘpgnwZ(h]rW[L-s@ >=S{NRh/ߙ{7~~Q_:hWM7V/ 8-3<2':LVr<~ӿ4IeL&f{=@h^yyn<3訆xDlƁLHAAED9XjZHfz굋p:ʆ{GĖD}-p9 :t~Q΍y8M{ac# { -^=m2?AiJ ,}? 1`Btן/_17:@7zl4IhS=ij9p'?coe{k#NG{c'G.%7Z3r=1$ֺ`]f}Nޙ.Fi3vGv3fGJ¥)16]ozp'E;X1=ZkH\I `+ߎ[v sq~q)29A0q|:~0l(@4UkUbIɴ {plb sqO^fd+gS֣ =L 3̤2L 3[D@ocNt(5n6ڀ ^t0o.[i3 2D@llK62n҄u2~-1w:HMRzOHkQU76Ʋ[SUnW2/dPb*t,XNPϙ2MH놅=zfSaBѡa,>q"Ef%bArO٫/DITQhrnJTA"NoU.(uDD8r6*r..~\#8pM(p{#xC^.7('sEo qoȣ"%ysgFCΰ24nHsF3<1GvB猫S$vz#BF݁BE)cCPqh ZesxqOyFvHLCZ.w#a|7Vx G}|C]X_<Wm\+Pr" ёGYEZM |˪ {kO4 7pn->JDRe%a ? j,Gnh/\^j/7ӌbow m~'hXmfYfOm՞@,+"`JAe:x~e`X+-5g{]/D8i0G%aQQ:}iK2J3('&qFT8{\ @ڦ18.>[TV: DܢvPͅҖ Q){ã`'.1R/*F5K8ᡲ]<;voR0a =qfX$K2e-kRdN NjXko 0ْQEVܼ/i')pxnBiɱ aVobF aޡrY .w2ۇɺc$c!%)I (2˘&+Rkz-ςM(R 0:[l,-Y(FA *W۶XP D`W*Ut9xA.m_Ū 52o ,W;8T;PVk6phdbTUuP_NmlW+X0a`Xp ,g Bk`B,dlJS6]+NJ ,]ɭ=N\prk87vo RJC.-8N-5Qѷ !jN :u<3S'Unt%Q jGN TijR^1Od_j).9JpRX>P=R} ?URo|O|Bʧߕ)~ʧS>OK{S>u1{ԥO/SgS>)KU?)uviKSGCf! Ιi#W4y%%X 0 lX; 3@R~j]Jz\qJ?F[)|l︿@'965Jgy ~$ǞQ7>A'>:?~os",]=켹P_-y7&x/ڏ)- 2f3 !&+_XgΑ*U1(e\5xFvI3Z_@cLЍ bį>STpN.f/7V:Қ:Υ! 5W[՚;Zy幖itW@stCpg?6L6ˡÂql6X$&.zRƓш10 ̜[ð^K/{ 9iK x{ |' NV ;n$#E5YJ4uN7Qe9ː|D5|"EcJU$mfi{UR㪴nW*)nqZ^>RGDj#uݷ<3XuCZBw jO^VT f%}Ly4| l`GCB'f>'] \ 5L )ZSxmL,kgaD-U NF5<%)kɧrsWQh&@Nq6E.IwOv iX5qShc[z(Jbͳ:)+55K~jf5LqYM pY}N_tL~9Orx j/|CG>Οk*ܱܜwAg'S!OsXKיtr902`ՄsP=Gcg9ΈKrO:9˕eNrC9⇟`Cffa9<*ˑ!ru2Nc t|꡴ơb rD烝r4po؍40c=;r(ē%QlNΫ÷TqC,X!ь`SN 'tv5B4 endstream endobj 6711 0 obj << /Annots 6713 0 R /BleedBox [0 0 612 792] /Contents [6720 0 R 6716 0 R 6717 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33103 6718 0 R >> >> /Type /Page >> endobj 6712 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6713 0 obj [6712 0 R 6714 0 R 6715 0 R 6719 0 R] endobj 6714 0 obj << /A << /D (unique_77_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (simulation) /M (D:20211013063105-08'00') /Rect [90 261.925 139.797 272.925] /Subtype /Link /Type /Annot >> endobj 6715 0 obj << /A << /D (unique_77_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20211013063105-08'00') /Rect [145.11 261.925 206.347 272.925] /Subtype /Link /Type /Annot >> endobj 6716 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6717 0 obj << /Length 19 >> stream q /Iabc33103 Do Q endstream endobj 6718 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33104 20830 0 R /Gabc33105 20835 0 R >> /Font << /Fabc33106 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7PPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 6719 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=549) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6720 0 obj << /Filter /FlateDecode /Length 4344 >> stream x\K W@y 4{M99Mv7\)]U$hH~dh ;8;U1"_TgS?> cHϯo7Ƅ[}pr-*JWc=׮Xq?Z;,]?՗l 4Mh|?Wm4Op [?·s_ߘg,-0IyMdhq혌Gl3f`LrpCzUyX??y0qӰDLOU0BA~jzBMx `c(u~cqhW?^ 7vLsygs V"toBG[Qw^#Xr#mqZ,7.D}Kz≠Z(@`A߬0((}7 {RO":rhGݿ[L - W^5}2=yZ9 ek1k1 Dyhl*X`[ڏQc!ۅҩ$'3clbkseo,`:Eъ`A=\t] hwm-DvtfD", Oo/Oz", ۼk.Dl%V}bˎ¥n@ M WPBvq"qpw! K؈L]%I:ё-X]5=w+ϱ` )H&եnvlYlQޜa3#q\chs;zA;wgw~w`|nTQ)Jیےrtn!`MO+}Mٹ|wl?g~<;_]"Sۦ q+ qZ`d#O/|6Y15{5s.3hUW-B?f'Ff㓕 *U^$KS^lєӛŊ$,2X7-#$4Y,|٢SOnײ(f{ôw̶yCa1MbMoǿgEȈ|#4]P}=sڗ[bO9ER_{l @rEE>Hm -r5mB~_44)GFM|燙X)?2}<'d^6"#*#WR }IR|S|ݗm!xT`َ堑S&Rǡj8ؤ=75w*<3_F!{"m pxD| Ttbk5v"ԆF67PL4O=GW9z`'^c^] EѨүoիB*vvUD=q"X6K*Z\dd-PmJI}訪7-9핛5\0E(-"9ʀaFgB*ݞ%*2y,YqAT64Mѽ]\9Lq9DJIJNbmaE&i̱Q+Ԫ,yVlB3,Ui))Yf<U49neM\ǫRJ M"T_1 Ś%ת{]''^LPDppaJSI8t} Qp;9U5LSAB4x U.PYB7K\¢ڴ%`dLkd!XT 6(DulݰYqpRl`oD#?UYsU ԅo 'I 8׎I5|bqpR{T>NJc+,V =pR'fr[;NNjѦ ڢm-e8),yEX`sb%> NB/ N[ī$H8Ѽ-b#(ϺsTju~~ 8]xvw- S,$^'d'qdʌE.(vH+< 9<`ɳ Kկ فqp0>]8,K}d f@ūuRS)Dq_ŧXD5NUŧ4}eV\*8b-?j*6Vx9eQP_k@zz'˵BR[jyߥj,GEWf,:SQ㭺PxUlN'l~8Ywf_q:Sp|Qz9>wbDqrݼ5Dܗ(u!D=F8Epuu]{•S1 7CK3vᄛK\os=wgL9>tL(PE+Uo,l 8g&|Er+Zov=c@/|ˢ]<̓yeה4yF p89]K``B? EGC) |0U @QOԞTmM9V?F.L]Pw64􆟅խèR镖/F8MWאKcX&g_tp(4W}G(VTϘ$6H$5|j"IeŁ 岦 b h*7'1Xrn|ΖjgL@- x| [~dss۹!A ۟+xiט&nMd^eBJfYE9&=I×˖[SUa<5YPRƃˎ B1)zb*wcv3c:Z}ROC"f36M) p6fڑoyQisy'+|3FK;ZWR+tzZ9yJztW |33Z(rF)p10 D€_:4Ap6Ǭ<5}O\$ #9G+?QGXQV`{`^Qo\U6b>Ztdջ!s4gײs5Lq)8+b I]OtQӲ2 fwM2Es=2!ݰ'zkAQd endstream endobj 6721 0 obj << /Annots [6722 0 R 6726 0 R] /BleedBox [0 0 612 792] /Contents [6727 0 R 6723 0 R 6724 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33122 6725 0 R >> >> /Type /Page >> endobj 6722 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6723 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6724 0 obj << /Length 19 >> stream q /Iabc33122 Do Q endstream endobj 6725 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33123 20830 0 R /Gabc33124 20835 0 R >> /Font << /Fabc33125 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM? endstream endobj 6726 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=550) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6727 0 obj << /Filter /FlateDecode /Length 2290 >> stream xjcu__Q*5 Ev ,[9y/w9S W-wSÙ*oi w*ׯ9,:??;s7dcmΚd~.{xO>gkO[w|h] }vq/#pP/٤V#VfYLqVGwKXX=wп闿,c19y,=( 8W+,{W4f+ cA^Ok02@I3G( Dk`̅APÆ;кiw>ԲˤaAXak1xz~|ѽ3_hnx>S1ܳ%s .X4@7F$h,zBݠC. g`ev!{Ltٳ]H{F8v?I솤EnH6v&ت2 ]^dw LUS}d7Fy"$HV&IaH yЊ7huIW@Bھ2MHzPG\k N.xS]X R;uyg|M[o"D@3=  X/HaRt\IE"Sb9>YjUb^TЗkB'!pa7ڳ8< d34n,?WIz*)73'aٳXO@; uP`G'HyюoN4l]80͈O}u+#RY2$VIܨqbQ *KFj[#=ES 1*tLGbfk,oU_)_Xs7jX(^TeEm&YŘ<ÐY\KBnNVU=|" Z[ۨk3;3k?JWh[9[& k+oO 7*Qw(v9 wM?Uә4>S;7J5X+L૗M磔K\tOQp_PuP3ٙu4΀t6FSos7[^.g%xN'~UUwK/q{8gAuid晸-]BHd.c(ek^\W,$'k#W2WX H1kkB4:N3)ӯuRs.g/0t^2FS6>`zE]˗UՑ﷾ƕ?COQKҪ fl ]Nά9L5oNƒ)tfo}6m{$$o7r3(eE9 ԊP'Aw#w*\\yuͼ.jC]\Gvw8c2ޯHi'l >]Co5-N` Wp6,kR3Nbܔ-1<.!LTϸY|dAFd&Kw"` -yR y {\1rZ~] x'̱i;aQŀFk[!pWF(#㔶%x?Kp"b\}t=Rp>S:x+iKdЎآ0ٚ_cǜ섿P*!#V>Dѝ/ʷ"duXod`'Sm"uHke.v3G:/( z2&.bAu;%L+ij4@.x$6`n%~ [`ﷸ,r`%+; "NbR 49 e_L+ 6EلȲ|gmM#%5Ee)eϰ)Ndha*i˷W/艾uמJLA_!]iq--4zhH#-r9Bc߷@~;nuk &S۳(*kIP[> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33141 6734 0 R >> >> /Type /Page >> endobj 6729 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6730 0 obj [6729 0 R 6731 0 R 6735 0 R] endobj 6731 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 360.325 118.9685 371.325] /Subtype /Link /Type /Annot >> endobj 6732 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6733 0 obj << /Length 19 >> stream q /Iabc33141 Do Q endstream endobj 6734 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33142 20830 0 R /Gabc33143 20835 0 R >> /Font << /Fabc33144 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6736 0 obj << /Filter /FlateDecode /Length 4352 >> stream xڭ\KoW|K nx@& ;e~EHdҖHQz}ER,er',>NM)N*KiOOqnzCļu睵~:ywkK.nٵ{t>T]*Ztn~>=/$5{˔ll7Noy[ᑝmpaw[vo??%4 Oz-4o[ҔyoSp$k+/6.~T^Aސt?=e/o~۠!d3f&$|޶yzt_ +g\1C:67Xb@ۜ_ lB z \obrxqiׯNxn^&,<}ߦ_QA΋rp&mVqq<~?/^ 8C ]#P~+_ HfQu_*CiĐ*6W;Y|l 'P5q)B>>Eae~s@L&s [siC-؎$/#mF7v>6]?,"C_vg"2;vt75Y7Vqʄ259'?kXr.;[" 9}^EV$JQB# '{>CE_AQ̨E #2쌑R9]\gZVYhǺQ%uGQUֆ9vG1mnc1aTDZPTUftt.L=b1<پ/w{[٭"8D͌È2Xཧ'tdFBWvz9<" Ut[Ec=ZJ8ɗD@7Q&V߆qa<:˳Tҕݸ]|*c>wΏGo9bҰi;mwJY3buGV/j7\nSvw-;4yDO35 xL0xC^ZwƼqɘaY(p1h5(<#uU팕odԎM=-#3`:Ow10$p|hkpiUBܜMD'/6-,wa| &DX/auZF^%\UТ q4#i҂w ]..hc^ez ܋~__{LMe.T +5 01+.THqsҞK|D4BU0!p%,9z.xX yjB*3TzeƓT4yEB T1Uƴ5dzZ6)5_KT+Gu"4LKPXgS}ʰX9K.\E-{CS7@-7i z1:K3ګ?+W<9iBo۷.dy<{Ȣ;-+2D5.͋!isI/0/*1M(Jʌ\kP6:Ȭocvb*U[](J]GRmJ֩hvRa0Q1/L䫃Ya(@۴۔JEPxWyװ@n  `1]W@ ٵNB,U;MlÊjG;i]W6: NF,ݬɏp p#'[dz8M 'ip2 Nyh8'3,~!ƾN~珁ӭqdd1G$)62cy>Z@t6z S ?]#!*au-0bQ>͙:|H2o`p Cpd>-}F i4xmWS1*Lڀͥ+ =ʌtpz۶XeDhUMXyƄИUy.|-賺Wxˁ7α-XL׵_dgԗ{P)woں?yPW1 [ݕ >n/von }fׄ .'M)fJN oCK>JRxoJJa~}x]f&/WΒ//8IAfpC Fe0]N'_HsSɾ˦AGս|9u?>K=wCjr \v:Px{Md}|dPp@~|c\l*:DQ>nĉZ؆{o>OjP%,ī.p W!}2@Jٖ~"%e!FYJbXZGCa:)yQڗ`~6{5dyKڻ "Xۖ܋1f5DۋmцDQmq[z1ܢ_Q=թ@8ܹM9u%MMC2*9h(n`--mFc/vБƽVy?e @%d,eqD&1J 8!5^JVԺʻb`I|?yGc-ޔ^Śm)ޚT5 [TfgZr0 9bv8aP6mP沖2XJW.Ul8w- GA֬/#wRZXq4ֹZe^Ez#{x97gNoqH܊Gw(^Ѻ##r] l][Ƙ(c*f1t\9oMMCxMX'hPLlPg@ t6gjuj։FD}6XV电B Se ,av2n^(4`VXTJ-V31,TNF 'cǬ7o%zBW[m] (8ʘRT4(&hKG>Sc_n`.1˼> tv΋JGʜf51m\!u= &* pyBg/;"v~IQRN>&W*A<0|Jr%v%,LbL=NlBÓG4W"Iüca.9'[Ia.]?Ρ%1-ͱo܍-|Y|ߡS;ԃzMRqD%*]10l~r.nϢOxxLa^-Zu3n]19e'sLk/R0q׶1x&o1ѴO0/^-M~v\^&# A(/֡ -!҉ RN-;1ft3xǼyneM3R?`T8<&)i>ӆ~@\y^0ͩ<`|b"m ,` Y|ÂTd&KAXPT3`>@a$gw;'\fqUD3 nFZ]fe1chfW]xhk)%s$x?KpumB>Top3u&R[4Ḱ#/⤚lMMTꜼ J*4pFXZyQsv3͖ދroZ9`OJ-p\8ɣm EK=e.N3G:/( oҋXPCUSђLϤĦ Db2Ag㏧UU狔"ꖲ=-9&?ך⥽c[v+"UdTqV+/Aou] K+Y{.& 9Ϭq.Tpdd첍 vb{7;jgfG>j~3 cwƑ>A4vp4^-ljkJ:g_1e\f8q&'tI΃\?(TsQ>|}ŀLݔxì^M,J_G}6+:Q^NlL0 t̨>,[% V83+ :?>tV'~f)[@'x̮GtÖQL,vi` K/O#/b=Qz=A&ib \tī endstream endobj 6737 0 obj << /Annots 6739 0 R /BleedBox [0 0 612 792] /Contents [6746 0 R 6742 0 R 6743 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33160 6744 0 R >> >> /Type /Page >> endobj 6738 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6739 0 obj [6738 0 R 6740 0 R 6741 0 R 6745 0 R] endobj 6740 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (write_bmm) /M (D:20211013063105-08'00') /Rect [104.1732 412.6385 158.4857 423.6385] /Subtype /Link /Type /Annot >> endobj 6741 0 obj << /A << /D (unique_190) /S /GoTo >> /Border [0 0 0] /Contents (write_mem_info) /M (D:20211013063105-08'00') /Rect [104.1732 396.4384 181.7837 407.4384] /Subtype /Link /Type /Annot >> endobj 6742 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6743 0 obj << /Length 19 >> stream q /Iabc33160 Do Q endstream endobj 6744 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33161 20830 0 R /Gabc33162 20835 0 R >> /Font << /Fabc33163 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ Bӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪ_ l?7‹C2O-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١POe P/ڢ_Ћm߽? R endstream endobj 6745 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=552) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6746 0 obj << /Filter /FlateDecode /Length 2506 >> stream xڭYn$7+x6 PPd`n=0'ʹ@wlL2JjmYdNj i-4^ts:E]NY7uzxpǯcoڠ5&r.Wka9Y{0 B3-e[eY5S\иJw 6mh\l,;~5kmABk(0 {@ 2 08p')B=ie\Rщ4P@uqS %IL;]x=3KV2?ݩz$!oQυxi_&rLti8 uacǍu4,Pq!O\)iĶ|a޳1wG |ayծ݉ژi?XDN(j對߫ꛠXP$XSFԣvϹ.ֿ*"W!ɑ"di-u)A eE =&bXt<@Fy5c m Ҕ}co5vG5 $ط{mL g7`!3ne+<΍SM2'rs$hL$ VHNb~K`&:a5עXU0J4.qCt>J2!T81mR0Bu(>Q:99*ީFn[9h﹃v,~j4B'.AVcĵh&ߨwemn3ѩRNui +͢K5TQ-h:^Fk ߇jcp|k¤mp.rĽU&XbAkk"z6_=s !L uHb .gr_@Yd=k4leZ| A8yBZwNG6TwDhw 3e;fALiX+)`k {tPv -NnIv!]Xo(nw W[`N $䚉u5׳;5'vvqe%bbfr!2WQcyAXG8yoj(yӶ}*,NJ8דf=зpnٶ:?`-rxr&: ʹs&zٽW·lw#nakiݪ>1^#:v KoS2soN`HGr"!-N]S>*} KG(iuVW-;٩rTWe_! ]_'oY s.+~lW!*O9ۙגEkxO+ ƕh_-L ؙpEYme~M\+6/w!0$Vo[^o胀&|'@lWS02":@wҒj3-B@\3B$f(z$.ެ%SJ4$8SmhD\#*jzÉq<Ϻ_BYIڔ_pXF`VҊH'vMh0ےk%oW{ϫ$z,m3MZG.]4c2D{K!-bzgo@$r mH\K>N!_TϴYv}S[%r75/Z p@W!Qxh8Rs Z'٨r zE|D+(ޔ95 T}=3y6O}j =RڍnirSFIAJ[q[HUsu[^$%ei Z?>x'  T@XY4xD"@@bMĪߡ^ŃE>U/ K֯=#IBǣg-ӰmSb%L'^ؕZkG>oO*B,/ﷴ,3r~?gcc=H3ѐ O 4\YyNB/yfv?Qh"&?R> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33179 6753 0 R >> >> /Type /Page >> endobj 6748 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6749 0 obj [6748 0 R 6750 0 R 6754 0 R] endobj 6750 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 354.025 118.9685 365.025] /Subtype /Link /Type /Annot >> endobj 6751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6752 0 obj << /Length 19 >> stream q /Iabc33179 Do Q endstream endobj 6753 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33180 20830 0 R /Gabc33181 20835 0 R >> /Font << /Fabc33182 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEu櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 6754 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=553) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6755 0 obj << /Filter /FlateDecode /Length 3409 >> stream x[Ko$7W*zwܒ5`OL %)UUn8.fU$"Q_ Mx'YxZz',E^gI󗾩ust!Z|)d-s7z XZP%?ӳJMFH\|upU?g(RF$2_ԣli>Q,ڃRSF<t|˕ Op p: 4D\{`p/#cPV.BXD ӏP,wq6(?b@sȬPν|Dn1&IsZAM#5ρ/v}&sH __~_AAM P8ݥ-{ןϯU ,=O|=/Lkz z=yX 荒?^AǷԼ`'!H:6j3{ZO$뗝], 2:^eS&+{m\1\[FQ&,0BbkwbTO\ Y;UpFi㳳GsAp 6W\LC\ y9H\ y9VUL ~Rghz>y9Oj/':16{Iݒf NX8=ZS) ʒum˕Ute00j@,Tl<Bv.j**"dG9 p pF<,;ҏx4pX.Ǒ*oSFr}n&YOp}fg2?qZ\h|)2~ թpVʪ417V0(Ir쬣]`g뒜qF]쌋cHu>1sy]9$+oX ɬyz_s %OyEz S]>SJz-?D?A$U~ ADVp'Z ]'y* YT,T[%`2J =WY 05Ug+{}ov_qv^, [ĤE=f@D1Q?0]:J˽uP`'1ad3IiDj1ա3TffN$K/LLԶDΰK<L>GصSep LjNBCH}}v1yP`૰)'0!jng/-dZYV~\]#Zf֙C" et E ўvEv |¾bk^`X/o0>RMgka9+ (ܩX/vM/|J19P<%Ԍcz1fRNW:_ٗbR,<6,K_(j9kkiJFٹ;6ES=?S_ .`gn6?L}2 x];ՈA\/Y#1k&DM>޿tQeid)* aq{ @e+ FEIJMг"yܓ)A6"EaHD]hb3qdΣzajȥPLX3S*.)⚆iO^˴L-bc!\iQʊkN~ۨ[7epe '#o:UyjF847Af|x&^jܸvjmiX54 s{j|]YhA*Fm%e}t'8Z-<7:&MmK\RM'j꾖ƒpӰ|h*K7O4QXO,nC)0: htpNf>Ю^t4;+r HtsA=*Gqr}[>s_U~ +3u|=oGE6vqhHy=oKHh9<|1rP|ɧwId}af>*GNѧ6pvAJ endstream endobj 6756 0 obj << /Annots 6758 0 R /BleedBox [0 0 612 792] /Contents [6766 0 R 6762 0 R 6763 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33198 6764 0 R >> >> /Type /Page >> endobj 6757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6758 0 obj [6757 0 R 6759 0 R 6760 0 R 6761 0 R 6765 0 R] endobj 6759 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 326.025 124.1605 337.025] /Subtype /Link /Type /Annot >> endobj 6760 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 326.025 162.6715 337.025] /Subtype /Link /Type /Annot >> endobj 6761 0 obj << /A << /D (unique_77_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20211013063105-08'00') /Rect [167.9845 326.025 248.774 337.025] /Subtype /Link /Type /Annot >> endobj 6762 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6763 0 obj << /Length 19 >> stream q /Iabc33198 Do Q endstream endobj 6764 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33199 20830 0 R /Gabc33200 20835 0 R >> /Font << /Fabc33201 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 6765 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=554) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6766 0 obj << /Filter /FlateDecode /Length 4732 >> stream x]o,9@+ 0 69dfx2YUn۳HM[*EQT߹+,{NqgyTX%ӿgZ_[`+;)=?hbFgTgk]X;*]Z2SXwʏ_{?g=~;iEe?ꟹ~pI i OL߉fWKjqbY[DU>sQJNC9RCc=|tװ&aCr=SνC=yő qb u=K"GB8&"l8(ъBPo }җXSmh?~s%PO/(nЙQ Jia#?PwT>> p~ez:kGG=?{'},(q`c˲5WyeZ(U<%(\}x(m++ʛ=΂@}8t@C;!| Y$(Fa?'Xt/7xy̝Pb @;Ք6dfJ2 +DX;"ؕ *@Z&[GP}!^;wX@ A#bGos'13OFau6qħ Go-hLNc֩@"s!`iEr E糳y\CĻ>U\ZVYBxV]D\Q*kCڊVeU!ԠuM]QݪTJ"lPjfY,hO1tK5ԏs4S M늇߮QP hLMbzQ-AơAP1zׂqcvN+6q{y\J4Q[q 1j#ߊPXl%,UZѾqĤ"k,$(\p)B2ʘWس|<$Uf\&BCMZpnMe'k?囪rj6I35ui>$=wpP/d+ӯFu/?I>Wh{iKkrC29.[vhEv!Je _i{R~_RrYKy+rT{x%FLXT09vR%Z VնN?`D:Rˣ4@]aʈ[2W+-W!EXBF ZZP%#:kJFDUZb$hυzo*؎nr@l]ZMJ ˣ<vc޵N `E.F,KPYJXoر2fX.KG-{^Cl/$ZnMXm_g]5Y?_^X3ɒ]o0Do#LlrdJ/t3/V\nTFln.(']R$BXo#0ɧV\f&S:cGfc&g"QMv+ 8u7ЪiCfeiWB0^Q10>f:9gr&dM6;U5g֩{X 5pނ`a;X zٵ eXWdvRz(V3edV  Лڥ0PHdM 'MpWpg8 ;? '6‰X'IpbOk$8iypb0;}Xρӵ'3;Nlgv pb<8]hu.} P_PX/<݀K;_nupZA{lF !D'j$/B؁ww 8.ʼb[oP,ݺaxǻ=KRb[iVBzLs0`p;vWAhp@ y>}7ElߪݏߺCx"^h3uDΊg2طrp2]:aI r' ;fr:Z,ЛVj d5pMK@X}`/K#лs°tu>wy7sE?\EUE4gz"q `+dX<حrEİYһ--N2 ӫ}S KRK^Et0_tILAc[P1XF hȽ!/qabY4K΢/9d&-t9]]kI.X jPEKI"(&Y̕L5Dq*8% MǏrTl)FeO9,9Pm6mt2'螩$+D7L- " q{:n^J_嗘z>:%@sѬ 9Mwڱ{ZwkJj-mVpy:?:{QDFqti^I8drw~x3+?}khFkm:H1B3=BlI`y~ZJmW95ʷK-;ʹ g]9,^y5r=ɖ"%E%Ȁ.&LV 敏,'L4BzK3[Z*,{]E[.˝rqM0V| ɖm0 UlScMI#q Cz$fF'MZ;ulˇڠ+CX-5O 6ar$Aҏ9G!7I9pr&)5:(`!e=b1lN0X]wAr~HݟZgzP}r!>,: =*Y,޴Y9١A)]hn{{ 7-!JOڳ0CNc044SMYЈdH%l ~m RDrvyWQ7y:Ei-k7C,B7X\O5ΐm=\д5ySVԩOo%;i_?Hu,ABx|Mw 8Ң8<%K{%Ĝ:m>13pt.!ܕn(}0SmǠ帮Epmut?{4YelyLQj㶖fhێ-1JU[D^uo*B /i3 Ru7B}۬֬!LQUZ`Q-H[EpBxd!YHboׇڍ#uԁтmMgn!إvo`P8ܦi0ڱÂ~QELxW W.r".HGĝ`k6-Σ0Y/@*2L䦁X40C DZؐa=}ge fqQ`Jϙ$Vtgu 4},بUf.yqXb[#ش:MnbMSc_R [4!C&R X EQuuj-8:Ew~  ]I7)x${̙O`~!MYXHa|K-.s@bYOP(jK_p,RzR(K ۻ"%9%I+[ |՗6!Q7iQJŨ֔/:[Ru+5jv^t{_2Y]& K'߲sXj6F0tjͶ(z` =&RʘfₛkDq YJ23&¸z07vq-qS2\/IF~旚9FK]FMk6KIK09 ;nE8|b.$@o,jDGSTygR=S0yGJ /vl0X$]V+)3V 5UMR]WD9[W/]TK*7RݕDs.|r=Htv3ߔTGZϔ(S/Y#?>_# endstream endobj 6767 0 obj << /Annots [6768 0 R 6772 0 R] /BleedBox [0 0 612 792] /Contents [6773 0 R 6769 0 R 6770 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33217 6771 0 R >> >> /Type /Page >> endobj 6768 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6769 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6770 0 obj << /Length 19 >> stream q /Iabc33217 Do Q endstream endobj 6771 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33218 20830 0 R /Gabc33219 20835 0 R >> /Font << /Fabc33220 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM  endstream endobj 6772 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=555) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6773 0 obj << /Filter /FlateDecode /Length 4194 >> stream xɎܸ9@i/@r@ANNf`|[ Y 'f32gznN)i9Z͟~Cm'?}rVJY{ p&^ˍ<?(2 p>J)0'eOo qs_ ܿuL0`GW8nmTǤ%>J9 D3J>zf I:]x/Z' M:{'|,<uhxgZsETLp7LplxKH\7 `MFp 'k@f{H ֖ }OD `72݂Ab+(p(2kO.jzƺBAYR4Ɓ7h'irsՄIpW=Ƭ$v5'Vh'-F3*?}Fh/3E;X@1SоEv#k(&)&.qKθά+]y:b^g`A=R0冘Ӕڄ;+{K=m8j-ї 򺩵Z3sq ެ"z:hVzF`n7BUo4|2\'6fb g9·l:<>eNWZ9=!VNϾVXJWch]WCPΏd rіyUwĂ$ Ղmc2F\h2KA}1ԩc(HfZP'1dL*0 VFa\ŬX{0op[; If ,DK N<w{-`Ŝ%nySqDuh`*JjMusL]qM8 b#eqTL- `⟁gX@ :|J!\jJypI6ID-a1M"6iKf7i,[=UXΫ_b!2~ Cblj/qt{i1\䨟rv.2NZȢ3 V)"+<uHfQ4I#]#8e]\ɮ^HO<}2GY}ɢ3/tk`Vn\̷e]YtȻc("4XGa0[F!,9'Xw*Vj-QkShc+VK!_6bjeh[+C{U_ "rzU\/WD@Pi_1X7Y]" ^.^/l_/]|5YsJ YMQ|_3Ӟd JT(|XLkVC=R1"GNa,,lٝe[vdV8BSZV G]zŶXKMi((-7­6qZ+uZq&L@j: ̮]CAk 3T֯s#& 1¶gV6PǶ[v\nYFh^OQA]F߅<]Ď +o%v='kܵ!#QӞΩIwӶVdL[mjQў||e~@m25^]6#r<1-6$Vx#EmzVژաzc!=aXHyzڋIv\t*\零Le/iqxOӀ.xoNgcC1a[~:ږS䉛 Ϣ ~cZ fwxee^CKzI`?1X+Uw٭۹QWܺjxJd+pryV8TldV(=*i/ 5z 6nO4ߦV8s@hoR5zFlbǃ}Ãn͵`Қ4ẓ4-ڸ-[8qL0ע/xpVz'o.{ wt8kq@pH|  |S͇8~1~cψ(Xz*n9( ,%%j9 &K-+ߤ+s>u|q^g0]{z;<s2qDݛsbRƣ1GQ-fPBq?'=2wr}8ao{CG(ӧW bv^Op~?M^/FkHDY׿?a F z ӾnhK!c}" tG댟A6$;d*#چ5#:FAŊ@KP"f }+e<f];%zA'Bx?it5ûs^9#C=%:mW>yiϽX*yyɇ Fo_p&h1(AqT@| EnZ֦D'MEa~m=¡6 Ű).V0~Nt5c f,,e#4+BD5T-jP2azY\dqLK̘gH|w,?뼃͓Ip L#Wɚ'}ޏi~`W wo#|{TmJ\`1kZO}Fq12?ͩ>e$o0N*ȅ<i-,nug+K $@^)q*LT.P9Y1<JI_q͚jeΗ5FG~ԷgBuM'Y|vyD|y#A~/pGb75"jR.nGtg|YPDT΂"+v:xZwe sy%+׉z٭ B.`lUBfbqTr?~*TIXJPC`e̎g#6p.W -dA7  endstream endobj 6774 0 obj << /Annots 6776 0 R /BleedBox [0 0 612 792] /Contents [6784 0 R 6780 0 R 6781 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33236 6782 0 R >> >> /Type /Page >> endobj 6775 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6776 0 obj [6775 0 R 6777 0 R 6778 0 R 6779 0 R 6783 0 R] endobj 6777 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20211013063105-08'00') /Rect [104.1732 607.7 223.4462 618.7] /Subtype /Link /Type /Annot >> endobj 6778 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20211013063105-08'00') /Rect [104.1732 591.5 187.9602 602.5] /Subtype /Link /Type /Annot >> endobj 6779 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20211013063105-08'00') /Rect [104.1732 575.3 182.9387 586.3] /Subtype /Link /Type /Annot >> endobj 6780 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6781 0 obj << /Length 19 >> stream q /Iabc33236 Do Q endstream endobj 6782 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33237 20830 0 R /Gabc33238 20835 0 R >> /Font << /Fabc33239 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}$H endstream endobj 6783 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=556) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6784 0 obj << /Filter /FlateDecode /Length 1617 >> stream xڭXKo7W\`7|s $ [ ==M@.\ ʊkI@soy%E[m*tk:Xi2s RB^k c-zo]_?Y;Y }5>?m^;}sGqREdéGv ޣoLXjkl|)VM1պ6B? bUQܧΔ# S,#pb.NVBj¨`48 b69Uu3Ǒ)TLtbf]?5N%2Fgue$8o lj!lR;<;7T}@@^5E6ǕյBqxNGM5QNԳy%[i2PtiIzinTC8ܿ^Jo(_ee-Xhk͒b(aR2= `87%h H6[fc; |g J!#|"m;Ȝ1~3q]ӅvO}uuR.>Hԫh{t3U ^7rIOU-ePt)z"jr#r83p8E樑 E:1Eh?\[xFP~>:,.3d];] q*ufl'LMr;⴦,T%~a.Y]uA_pz;XbMddnL Z1t<Ѱ}pg!e3ׯf>oȫk(3pW/z]ؖ| y@wj oc8;7EJ=l 4szӌW9nyLmS]XNfpa'b^?jkQ4 w"T H`8Qm#hCLQwӲ!7Q/:1?h6fE?o eeۄ[EnGW-KTnҗB7Wb\C7e8뛦G;+,X_cqv^Z_ߤq7G8];0}QnC0JU۞5ess"[I&UO3&׈`+X{L Hzr#|KHw? a2y> endstream endobj 6785 0 obj << /Annots 6787 0 R /BleedBox [0 0 612 792] /Contents [6794 0 R 6790 0 R 6791 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33255 6792 0 R >> >> /Type /Page >> endobj 6786 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6787 0 obj [6786 0 R 6788 0 R 6789 0 R 6793 0 R] endobj 6788 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 422.025 122.505 433.025] /Subtype /Link /Type /Annot >> endobj 6789 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [127.818 422.025 160.51 433.025] /Subtype /Link /Type /Annot >> endobj 6790 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6791 0 obj << /Length 19 >> stream q /Iabc33255 Do Q endstream endobj 6792 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33256 20830 0 R /Gabc33257 20835 0 R >> /Font << /Fabc33258 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 6793 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=557) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6794 0 obj << /Filter /FlateDecode /Length 4346 >> stream xڭ<ˎ席{}dP@=ȮܙݛKD>N=sʖlߒ?Wgu X2߯?S>,9׍1V=苷B˻_~ngvrEGƍk7mxdpuvMV7 NqY׼&%fWoLzkss9a߭7[/חx}JLKI16?'=!nDtdC!€"| ;˔gGXrV&%z[zSa8k]Rr?>g(s(ey/k/)qcm?(.@RoAf 4k% )o_,OXx~V6>Q>SU0"U<#G46 -%Cb~ =EB22p-vuH3D_%^1Y8fJPl]5Epiw:ꄁD_Lq1;Ghu0{~0ԙa?<.J v 2kp2(bFrǙ#u9!Г9`[ʺزУ6%EO hz[]jC ar|۩s.h(a$gy3Y4PCƸE,,ȌfL +݇֎1!qSe8#|S@u=o7 0-( \kȌMoX @jVh)QWzpӃr͠Ѯ+)X1!37lJ*6fsR;yeN+ m)UTeԌU 鞆(xj\hF&Dr]BGc"aU92e=0 yߤR(joQ4}0= kM9%(_?U4j"lEQ(j^d 9 W:.?+^!u.XN#[jqjFטU@tF:@cۈGK\Aىm5: jXo]Z`STäF6 L4-4P%8awiO+GѨk{onHj|z`*Ml-0!ŦY,ʒE@@3ie9cVG#5wͪ:)]+7> Uhr ÀҟBNd'Lcnj;6Mhw\bqR"r6~>!NJmK6Yߑ^T3d6e/m#Qfk8u PfT1M:-9ԐI<C. ;ԭ *5Ozp˜&@QdUy79wZv6T @շ I@ۍY؍Ynf @,܁Y4} F6ZwI0gla v5UVěـܑ].畃 0' fRۚct^ݜ֜֜菚IڜlN+I s_dNu%椆|9IgIM+I y~9]NsR=b},"W qٚٙ6&|*ٌuf$ Pg(󨴄퐤.ҷpm?Zgt|x\*V~ ~tUlN>n{"p0Ѣݒ$x̍OZE\D5 f>9k~f; wLS\`J8nߪh[>/Ŗ hD|E5d:L)r?ɍ"μoH e hgV|y;.cg T 2 ZqŹH_Ylpaz#= ~֔~8* yDŽ|Iˊ{ YjH䍗Q3sd>+$ݔd\5Ju \Fkj7 ոv]1ME׬SXofNqN&,6 w(n KWb\uˎԦ0by*_ $!Xlc{B%W* Fa(UӑZ rcXk 4nJzhʉHz-AVaQ2Tg)~UȠx=0czɂSQ@i@@E+%Hd7"\)/$,,0e~b\%7 C~w3h5?^!Q Nlz}'"ZL2dHO J4E-\ ץ|. d&Cwk]1uy7nի* [5g͚ڲA[61S,S׉؄^u6&'r]D\=;G=6u=g϶ Tٗ"suM7Q[k&(]žŊ4ԇ'g^.t/TE}? v^D}WV%,9pSVFpe7{崯GEJ`0M.ZS{hԷb V2(M|d5i<;] ̄!P=JH pkͮZ+՛ɞAqsK Fpu!Jېp5ʧP>^Cj>C@e*2}??P],wWYWI?,9vskBFG:JA@bwUVxDƉxjxJT "RjɿX^EUYİSl\b̵rj<1^rPP Q |fvs۹!DE#xߘMސՎh zru9?-GSud952 / SˍW*X]ƸBLav]T}1(Q>r}W06Fl*)+h\WQQrU%~T`fJLx\2ۆ)N%@#<1gQU.c~ُrGhgݶ-= ۆN0{ B9̃~|-۬p_/=JNZs.d! dT0w[WɁiR_YI?{f8@8@KjΩBV3}Oqnni|l@{>s 19f>> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33274 6803 0 R >> >> /Type /Page >> endobj 6796 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6797 0 obj [6796 0 R 6798 0 R 6799 0 R 6800 0 R 6804 0 R] endobj 6798 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20211013063105-08'00') /Rect [104.1732 509.7038 203.6957 520.7039] /Subtype /Link /Type /Annot >> endobj 6799 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20211013063105-08'00') /Rect [104.1732 493.5038 208.4862 504.5038] /Subtype /Link /Type /Annot >> endobj 6800 0 obj << /A << /D (unique_490) /S /GoTo >> /Border [0 0 0] /Contents (get_report_configs) /M (D:20211013063105-08'00') /Rect [104.1732 477.3038 193.7737 488.3038] /Subtype /Link /Type /Annot >> endobj 6801 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6802 0 obj << /Length 19 >> stream q /Iabc33274 Do Q endstream endobj 6803 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33275 20830 0 R /Gabc33276 20835 0 R >> /Font << /Fabc33277 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [naЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM1L endstream endobj 6804 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=558) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6805 0 obj << /Filter /FlateDecode /Length 2939 >> stream xڭYKo$Wl`:|?Af$mc99M6Q|`7{FZG ["Y"8@ڃ=ځ6'<}_ߞ޾|ޖƲ8wKvپ9|p{s噐xNΧGw/;E2j}\rm~X8@Aޙ^ kÕ0b+VKEJRW{!I2r#f Kn%]~EDzsl#uw+0R6h {g4-J7 .<|eG1 I'iyW$l[dP1AJ &M/W>98lysND9"CrYZI?T D?S\i7ˏitL^떞WIu{ ;~@%^_c?d?ޖ Z:uxE3K% |05tѿl} LqggdpQ&˯˞3ptxLo9CYmpy;nx }:Z;'ߕKI1MY_\|Ye9g[ҒjU [ϥӲZ ;-!_ͅlh% /> cYBa"^^g- lF- 5t1,|Bs u]YWr0@L.i`, ag%e~zPtOJbKg궆H_ӌ 0}c3l8hW}egsT K`lqyBIЊQv漡VyqMn#%vS`rlj#lL@B8:]-l "\H8!4 6yAuPo؞",ɺ4򏂘)&L<-_a @_2)bp0̕0gi*ᄄx[\/)鍜$d/4]&GJ#V"I)dWkÛb^'aVpX-r94YFDinn_8{1%4EҠ`"V-.PBJE!2I%P?K8UG(\q.:.۳E%jnZv厭tJV%=P29c G\iu 5RiVtªlH7‚켒_9tuSrմG(K"QS@ pKWm2pBx)CPEdoZBXEpufiX +ѯ3#>]򅪔7 9ӷ؊OauƣZvZ%ȑh=Jt=l',W2a'8!*MWhGڕFܠROp)]W'lGܒn:A+\VXNU;-uQ.dm'o`ou6faz{ myKDA ^a.RȼN r߽I*ŎY( u|O\Y yTq' ҮA K*~!<F̢(Jm@4M(CL-׉FW3e$OU^zbl8\uFO'PhQa4ڂФkFH'!`+:҄9;JQ ZqEgۛWt[ dEeiWI{$2odEkw+ۖ86W2fb!״ӽm3#I~%7#4_,53=Ƴv|d '=TTXŇх1(wsv?Nbt#u K5\Lx\ela:S6VA0錺T AGs3VrK> o? rVi4K qT> aH6M4TmDG~FJЉEan>czje2VJXBli|F{ 'G+G(;&F\V̀F͎\!e~Nw|mlNNu.Wd *zSqf/]tkUjP(Ķϼ]̆ zKʛl)aIZ9Nӌy+R>R_fkc)]M?ܫ(ÀJ@0p^VҿAA t@VcmҔ:7k c2Km"ZGGT{0Jt;e. & rf̖[ ,;?`֧sɲ4Pku^!i9a1沭qc]!l m9:rXgC źX6a IOMeǭeF&NnJslJlU/h]RHo'm?SLYe<{.W+JJ5k &<8|hxAA XY=6*Tfh;z6a\oyΕևzOj8'e,_80,yXߕBWw6VE=0'ў4][d>OHM016I8dF4TO-BC endstream endobj 6806 0 obj << /Annots 6808 0 R /BleedBox [0 0 612 792] /Contents [6814 0 R 6810 0 R 6811 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33293 6812 0 R >> >> /Type /Page >> endobj 6807 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6808 0 obj [6807 0 R 6809 0 R 6813 0 R] endobj 6809 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 372.425 118.9685 383.425] /Subtype /Link /Type /Annot >> endobj 6810 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6811 0 obj << /Length 19 >> stream q /Iabc33293 Do Q endstream endobj 6812 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33294 20830 0 R /Gabc33295 20835 0 R >> /Font << /Fabc33296 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7&o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ7 endstream endobj 6813 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=559) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6814 0 obj << /Filter /FlateDecode /Length 3360 >> stream x[KܸW𼀴|S ͛rr7ԋ/z @Gb*VG6oC^|҅fu zH2۟>~a!eNo 7zrϖr-(JgFǝ,v8Gs~+YM09 1߿_Ӻ%3o}k_߅g--l]M,57:IAhFyn\s#Жi2IDf3+~Nٙ0;# #0~gi Di,ZY>3ٮa]HiuF=r< 9%E;cJ,D\}X[甜;|=D8H_y !܎kk5]_~ҟ00@@J`2cԬ3 儁ӏ7 K4= 0rHA}TBā֕yٶ˪C`W:tvŋk|UBmiTD+LE .bm^m;3u>,;^狆~F 0n^ږg~s .`,֫kGHҖ6:/g;bg:3M)K-PWF_ouiQיF_mi_לQ5GeTZ~-}Ї=L'3 s`֨Ӈ%Ç4;t 6esGyhR\3VmaXeaZq $ Ϟ.h䢔x6 VJ|V̸-sq S'xK?'v z>)؎7KYчϋiEg=9S!f'!!CGC >4UGԼz< H>F""qr!..~\"z8?<ȥAGWforKޖ%;ՖDҮado%%4,!`fC-uH;X52[{v{D|[]0 n+={޼צP9NϋG%^d٣42bjhj=ªnʉIGn6e(1 QE@Jq7+  .8'nKdva,uA&5>Y^o6(&phvm)Ďŭ5n`a7euЗa:X nٕ֙Yz6R$kβ +]@n;Гޕ0p͋NNStNn 'zkF87Ip'Ii.pR ;'Ez?8]Nj؝Nן/ra^ih/\]؝z&[80ɥwpR6(XSG|NJ< S N=8u N=UN{écI ,DESOg\7pzKt!{tNo؝^&{ߝvgOp&u96-~~,8fIi)'8>|}đG/‹cRO.-i+a∞ǛS0z]~ɳ!Ԯ0+Հ{7HRZrWnW?RIc5Vr\tZvD2TM!6BL;}c|}~yn^C7dfW`S%++TSgHCCߦ~ڀ_ZEM&/h-~}n7,7[n!F2B8ᜍZHaQxe͒k5Wk3W[+^]=iu ԝ< LKѺ0iEɸ237=tm9K7;r;1sTk oX* 1뀗nElG5(b;#eO6v 'n#EuEʠ4u/v+X)`%eYT4fES:u$Al3HKߣj67Tv Oa AJC8 =e/R="U[,!-a:xz Zg /+d OB =Qf 5lqŚ+p]}ɫ_V>7ˆ$R]dPX+[-N.HozRP+N!K{SZ4L:;Ոm ctfB9(n͝p߽:by G,[*iR&z+Kg*oop!33Bۑ!ru^>VCWY*GRvYWqhkUK1ha7}V$R<^O<(vE˦К:vI;z4#ԯ!}~EG !pd endstream endobj 6815 0 obj << /Annots 6817 0 R /BleedBox [0 0 612 792] /Contents [6823 0 R 6819 0 R 6820 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33312 6821 0 R >> >> /Type /Page >> endobj 6816 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6817 0 obj [6816 0 R 6818 0 R 6822 0 R] endobj 6818 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 381.625 118.9685 392.625] /Subtype /Link /Type /Annot >> endobj 6819 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6820 0 obj << /Length 19 >> stream q /Iabc33312 Do Q endstream endobj 6821 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33313 20830 0 R /Gabc33314 20835 0 R >> /Font << /Fabc33315 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 6822 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=560) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6823 0 obj << /Filter /FlateDecode /Length 3215 >> stream x[K6W@ ߔctܜ`8ŗZ=iGb,6oC^|Efu z(2_>~7a!eNƄ=9gK9vGoBzwի^=Ta^+YΪrfnïA}%LGMh'V'_͜.x3]տ.:Ovy]5lNENwz`Aڙ,-T+>kC$=FljK\I8B!n[1sX`Ј2҄:h^Ӎ=B(2bI4  A6Ny哋p)96Ucc #?1C =9k,?árG8 AbhXg&c@mE; MKQG`6@G[.c>pۏw7 Fi/7{&uyX@69pl[{R؍!YHܧN/A`h|5Hm ~FFFYbPr:w6`wlSH\WZUj]+ž(^䵘`avHhQxByX ܏Jxw3ppbg"3ʂk$8AȳIYqHy^)N?j 9Lbyu,SV֊l¼i섵b9Ӹ'\ ʁZ88U]V3{BB] u-8ZpԵ+QρD?Y@0#wXq(pm "iB{B[.g9\ pn.^kɻ>yjA.XMs|eGb[Wnq+'}iP1ƌ0}|Yp>GʥM>yq="T+Q[qcr(sᙹ*n,$ʕWߌz3w\Z\3#R#SuN=d6zKӿ`(3 _nr3kDYj{dklk6}F)$-tQ5J,xKK@4GC D`A(QO^2͎,c鋕;BՔS[99˔yJs*s0g,cr ,2&D֪^g&N"{TUf=7{fܬ(eAY*V۾XY B5`׎ݠR9qN]!c _DZgZa@]pz@pCÁѡSKUB} bl7Z4\hh:Z˴p-PgG C*`0},^5LUlJWs  we_l4wtRw@'G'T:]vpm餞yZ:NtR#{IutD'ut T;ImnޏNjcoV>t .N:Njouz:NtzB BP=M :2uLkl2[3Y̒҆TN/H:" D 㓾4&Vzsä^̠fy#}oOuwVr' ~ԧk&TòMČބٯ'`IE^ΆH*&v.'Sݼ3rdW_1D2L q~s[*6G }g۟skt  =`O6 2(YJϞ0 '`W|h & 6 Z%k,7[.!D2B4ќ]ܢZHwEw)]V,}չj{jk~\t'Qgka (ܩX3D\:%k#rhb81=f.zZNsnv2'+N膙+h|z]D<ϸ>qDѐ {`/jPp069d՝^K~a7j0zPJө/)$NbZ0@gΫ: YyQ5IGH*Ci0 Rj2i%{H`ƞ Y Á퍧`%~"0d*2-iϗ T稸h@`,') 圔-RB̀' \ \ZXNE#UMbGZ`,,G)M]q)%j% )]kƻ)xx/C:#k[sD.Yו?o%ֱۘnET^:#A}Yf(yu]9.6o%+]3FQ@1ڈsM{ⷶS#-lSHJB2BQVs쮥bdmޖPf, gK6W%~.y50N ?!7akIgg$ >V`whwk5V8bRu9bF;Iv:(zU~j ;3/-D "Uh(eh> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33331 6832 0 R >> >> /Type /Page >> endobj 6825 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062952-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6826 0 obj [6825 0 R 6827 0 R 6828 0 R 6829 0 R 6833 0 R] endobj 6827 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 397.625 124.1605 408.625] /Subtype /Link /Type /Annot >> endobj 6828 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 397.625 162.6715 408.625] /Subtype /Link /Type /Annot >> endobj 6829 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [167.9845 397.625 225.7125 408.625] /Subtype /Link /Type /Annot >> endobj 6830 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6831 0 obj << /Length 19 >> stream q /Iabc33331 Do Q endstream endobj 6832 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33332 20830 0 R /Gabc33333 20835 0 R >> /Font << /Fabc33334 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 2~f| fpYw.Z 5J8.y-xvw7HCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6834 0 obj << /Filter /FlateDecode /Length 4938 >> stream xڭD_j&.{7dtcBozHg2T| gC>o̟lxOuzU0 }TrmevD[`lEٮƴ&Vح>էgswъ`Wo.[6uXov[6wޥ28pϲ|5\Ə8Юɣ5m>9̈:x-DCVeU !Z᷆⴫1y,שުW`\w:H QT1z2o 3DQrv_5q?^''Uz@QSU2ڮ`B"\k 04޽}mgAQ[+=u #?C/Փl7VB x{``eb)ߟ SI@l߁; P5-]NetQ&ŒOH.H* ;ˀT: gt<]ھ ]y+n@G$SH{XNny.71ӣga5EiN7K85 ܨKnj.v@ uۺU >C"` _X<(D O" HOv$IAnf\eI WxPGT8 WG+`B6sFb1؎ C \ZJU2R^ǩTXEL:Ʌ ]*x(^ZR}88<@o2R6.&Fn,ŭۖL,ܬ%!0>XY-!a ,&@c{,7BV%]Xg_5j/i/Y$A L˥S;پnj>he\-s,6z%}W ^ɏ;|;S$fkNulaEOWk#ڈ_j9$x:\ uw{NiReo;Nkw}i $`wOhfRu/_e㌅(/51L 6jqMZ 2P4ldx59$cD}o=?*H6:at%\iqn%"E.+00Kln,5@AT[fyɉ_\z^E{Vy]Rsڄö=RJ\oԅ'Gk&fU/_Y:;eHQiYڱ 6#C/nQX,p&iKGLŌ+Z;@W}u* К T&ܰte.?3nsʺa5{{g*ѠvuZnXq;6բv5xƪD;Y"ŽGz}ۤWG. c#]ΙqC^D3%DY$K*U>qE~TעXЙB ..FE8)PXG(|2AFh%[z"$iU4J7Q aLu̍H6(a֔`3 roxf@ݢ)&ѫ>dۆZmG w3&f70A—qx,Pׄ3v5\XR2  02+hEeVصtI5s|s |@^/@[iz-Waw{aVCYNv)!CGDtYC}͑c}ۖ"f^l'OՋ&]GYuGᘜ@fMঁ p te;p?/iM9}E%71 .Dz]_H%8;qبW2pZ5j:!%u4~y(nBE/|§+|}HlY X&: Ŕj55eS3MK8SS B"|ʒošU{+:_i (3$S}ũ j鹔xY ;J3~+`n4Nӫ|)% K掌 Mxqyx*|P1k֕-%Peɥ^T V4Af1p T*lH&:&eެijx\QtDԷl>O3ylN Lz6sɐs=K30ĕ@{ͼ"> .b7_FyQCÁ|[( wqp%2s q8 ?08[/{{=I??WwK徜_wVb ̃-޲Eu ޗC=ԿGR߉ 8&[a8?$˷7BI~Li{?nK jBx~ endstream endobj 6835 0 obj << /Annots [6836 0 R 6840 0 R] /BleedBox [0 0 612 792] /Contents [6841 0 R 6837 0 R 6838 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33350 6839 0 R >> >> /Type /Page >> endobj 6836 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6837 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6838 0 obj << /Length 19 >> stream q /Iabc33350 Do Q endstream endobj 6839 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33351 20830 0 R /Gabc33352 20835 0 R >> /Font << /Fabc33353 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6841 0 obj << /Filter /FlateDecode /Length 5220 >> stream x\Io$W@UƆ!=2%Zԍ=TIE9ӷL9O*vzj*M|:Ms1Lo.Z90}>i6k}Z_%i Kĺ?@)&lHOZk_ie2'w$`0:<$.Ux39k<6?_p`iq`m=вJNHgDX#A.qs=+C5'ZG2J[M'nۉ@X)p=qeF G&QsaIFpE~DJG?M|w 2a)ƽ<+]At.M$)yi *fʂQ:ʠpNF à\ωș6*گ1%&R X X?6uvnVuҹɠtFIxF3y3W g&u>I_2fuwՂ)-MՑQ(l@,<9'Ϡx0c2hGv~̳r G_ÌG=jAghs@ _X-{C=Yu6X"8j(3>-0;}M.!D#kQѢj!-o\JZD Et f43~̾Ē$R`uFW,yon5Fw֮Z[;;.1mi"NG6"D hlX,#VSWn/Fao=;DUAa1v)CϮ:,*^CS>mŨA6SJ'4a ;j@-o0W2Uf2x-\aէw]Vt)-nddu'<8\Jyj . !iqadCXf _h:A=Y3.nWn FߛuUvUX_A1XL;"L pnR$]R Ӄ\%`\SI"R[<)VngfaCO7=#Ý@*NveQ)2Vַok}Ʈ֨S{6|D!@lm(if4B烒0}+4!l-˜5bS5W{Baf|OÞ^6FɪNZɷDv80dPdpwTʉ#1u#MƓ=Il Es6\e6&5qZjv"ks]&D6q)=DS,DbFbm a}-"d#m~tdvħ6_F>u |;V}F͹":ǟJ]Iv3ݰk_f=vFu^eif=x2סOU4C"ç.wWZe9CXr̗h)˪} oq9Xͦ _acທU#wBLȜVC9=<\ I!MZEx?"$rf|-X2]%7Yfpex^^J %7iJ^]0zvf,a/K k+3w}mfZsT֨,W:Jva_xMHr^'Opt}[WU\y~rda:`=Nc[8,Ef;zTq;fRa%>oɮPFŞWB\^lz(ՌW03lcn,c$aE\S(a}M.|Q'_vtc jr1$Ayyz 39=J 9(!tP`AxǛ Vj>&N>>8BL/:TBP"Lg}&SɁNpp 7! Sy cH"ILy fkc&6:X[Q4A|F49+Vz0UPuȳuBFSェM_yDyu(l`<$4J+@J+"4G"ԂFaGp'e@Hz/Pl +H9|ZPSbk`eH1UƬ p H97%z_%ic33Qռ̘A A,>O 7¸^X(ְØ1Wx5e~ew:!}@+v.x/į H0NEQ>5o&Dž+z|'.$kjRC3m9,40SĢEGme)) y9NpWʌ:CcJfqixv_G] 踸!P̵DݔpIF;qjjot#pD]~2r[ L-K%-;5lGGw/Z R)b{bz>%E[>E&ˢO]47 Mn\\+}Te&7ɏ-+bmy!]BCr=L[E3FSG"M@cRB焗 1$7 ੃I9_Ւ%Y3|*H7" ]`妄q eoOƇ e!A昸'I@2g  1Ю?dW/?8^NJ?^E#Kly"pAȬ t7gO n.-(Iדp|$;p‘&<9)~iP("^ U^h$^=/1; |,f'Pzd#wAoCPP \ endstream endobj 6842 0 obj << /Annots 6844 0 R /BleedBox [0 0 612 792] /Contents [6858 0 R 6854 0 R 6855 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33369 6856 0 R >> >> /Type /Page >> endobj 6843 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6844 0 obj [6843 0 R 6845 0 R 6846 0 R 6847 0 R 6848 0 R 6849 0 R 6850 0 R 6851 0 R 6852 0 R 6853 0 R 6857 0 R] endobj 6845 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 481.9308 146.4737 492.9308] /Subtype /Link /Type /Annot >> endobj 6846 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 465.7307 148.0577 476.7307] /Subtype /Link /Type /Annot >> endobj 6847 0 obj << /A << /D (unique_701) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20211013063105-08'00') /Rect [104.1732 449.5307 171.7957 460.5307] /Subtype /Link /Type /Annot >> endobj 6848 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 433.3307 150.0652 444.3307] /Subtype /Link /Type /Annot >> endobj 6849 0 obj << /A << /D (unique_548) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20211013063105-08'00') /Rect [104.1732 417.1307 156.5607 428.1307] /Subtype /Link /Type /Annot >> endobj 6850 0 obj << /A << /D (unique_373) /S /GoTo >> /Border [0 0 0] /Contents (open_example_project) /M (D:20211013063105-08'00') /Rect [104.1732 400.9307 212.7432 411.9307] /Subtype /Link /Type /Annot >> endobj 6851 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20211013063105-08'00') /Rect [104.1732 384.7307 139.2412 395.7307] /Subtype /Link /Type /Annot >> endobj 6852 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 368.5307 180.9312 379.5307] /Subtype /Link /Type /Annot >> endobj 6853 0 obj << /A << /D (unique_375) /S /GoTo >> /Border [0 0 0] /Contents (reset_target) /M (D:20211013063105-08'00') /Rect [104.1732 352.3307 161.9177 363.3307] /Subtype /Link /Type /Annot >> endobj 6854 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6855 0 obj << /Length 19 >> stream q /Iabc33369 Do Q endstream endobj 6856 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33370 20830 0 R /Gabc33371 20835 0 R >> /Font << /Fabc33372 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛX endstream endobj 6857 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=563) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6858 0 obj << /Filter /FlateDecode /Length 2799 >> stream xڵZKo79@3|?AF#-{]gH {߯&{f4 ovwQ5V) թWǬAKC|c9<V=}[}`hzzU­xG >*0Mȁ|.cmVrcޘd_GL{靣=V6v> HoU}3X:10 Vo?'*5Yt*VH7,;Yp|$t)0]| Aiyb2҇/et +<_*A@|T˓XAѵ暔P@0o/ʩ/q+8h<# A> lIcn<2/FB3n6хTbS4c)jMjE6A8`϶'-LpfC 1Pg'dI*HCRxãHVA+#$k1'~돲8fvM$xH+8#yV,;0Xp6ͧg0*mg ҲuH9.6V*݊!I琣)ǽM  Sjge4J..L@^AV%#v2Ă[ E,3 cBc*$h `eΑvag8#ބN i Ѵ'3\N `k>Jhx4+~gt]91y-2d jI/©tDޚ3X #qt4F }h$GcY($FW<"3v寓fcZ4"̱&GVKvo;U[Ͷ [y`l}Ku7$h$9F>h9"ڠkc|$GvIEx*\2/`hN_ڔsJٍxNpxx* ҪwXw!py<6<GF١<$'FO2.E;8Y˶t<[6lc} ET]2~# EkW !1)Y=k-qbдUزyPNabu渝e7DcVW#/)h{: EO-S y.,WDKI0T mMA fNr 9?͗4>wgpL%7x*cspHrt̓,IM>Efx%[ x[5@8|@ld 6MY̎LqֿQok֩p3sf]obpw"6}uR WBxJR{P0'#w+Dv: O$uYlP)p 6A\9J#IqdI,,Kϵג r^­V\\tr(?]+$e8%oUW#əz2K%= a" \@ TD\]UoɚU/Q<[8- a(fthB᧦ѵye50s2X@x#A斎ݼkGͭ.kCB/:%m)g2ny)S9_B٧'' o۟!}&pO3=Я\$.lq8v7{AJۡT\ oA̢_ )tޘ]6fϳ761˻ĤߵE^GbPtާV;c)WZ>9*bP!:]U;`B-?&zG̥om1mn`YZ?@j]k\F`U ԗ.G. 3 &a>edFtwFn3wޤ|9\}33);Uf}*u&.Y7v / QSSm _SϭjY)6٦78inYS6ܸD|rܗi/*b'/r}Q^E.r|N}^v1=VCKY~ʎhג?x̲#zBJ\ڼu+Vhlc/ PXOG&ⰺm Ȯe#OK\de\y6@g#-{; %K]~.#mM3(7^[m̀A<Ǭ줿]fQu@7mbͲۧV?Y< }'5Y%ڦk kHgm !)╨4h!޶4)xfIml~ai0S(aQy> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33388 6865 0 R >> >> /Type /Page >> endobj 6860 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6861 0 obj [6860 0 R 6862 0 R 6866 0 R] endobj 6862 0 obj << /A << /D (unique_77_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20211013063105-08'00') /Rect [90 437.225 144.9175 448.225] /Subtype /Link /Type /Annot >> endobj 6863 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6864 0 obj << /Length 19 >> stream q /Iabc33388 Do Q endstream endobj 6865 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33389 20830 0 R /Gabc33390 20835 0 R >> /Font << /Fabc33391 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 6866 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=564) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6867 0 obj << /Filter /FlateDecode /Length 4436 >> stream xڵ\K$ W@~ 99cL݋~HzVuOj$"QE-^.%O-.Qa)/vjG_5Xuǃq9hbN{gk^ؔ;)]_a)Z۴?Ͽ.ϟkA//PZ ٿ.r)'JLVɫ_^bߖO$EQƭ) ]|~\c,G=/m̀05E;)؀-rTtDJd HYm(_!+1g i>5)%h/Wia<'6< ~GbUF"HD8m'?GqHh .h/|xV7f69 Į)OR-g^MkP2?]uC&9  R=ԫB*WTb=Ai[ŴmM)& $&NՙԖyU[R-   '^VLY}UYel'BZʚȔ^giRXV6Hba\Xݨ:KU2'3"X+7FY$<euB$4/l!oiVʣaEW\U"w{թGubBE/UT݌j&1Iy=r+}dBz0dӋ|ؕP*%*kr@ ?ϣ䷺@rqѵ<ĈWd+"TJXWR!aE QM4$vP7L٧D+q(ZVtFA]aJ[2K`Ք;iP32TZ%gfj/7X(QfI͒FתZ\]ʍZk+!\ z~6K33v̌…x2-4>j6c%|mh:7giJ{d5Δ&Kv0geOol  HpɊ˝LшCS[`22X6kF"42+1s56Ӭ؄d.ӣ佈' =6{dج9Dj>YQb.Vv:fL& c c-ƠN8fe(sCC6&}Q:T5g֩{X 5pރ`\`4;XXd׼N ObՓ@$۰ҕG= nj@`}7-pR{p34麂+ '=I1D2c=9Gn@/ BU`#}0Fbϯ676J̭f?voqVnKt||x^֋=S#Z>O:5_w˽eo7Ihא+)W2n+%ܷ?Mܿ20_ךJ.r'}G'uosD;W*ojn=/t?Ĭ|Lכջ` yn NAJź$ݦQvIz-Sz&1"plKF.ּ&˖HiBIM̭H`X1bmua _@ th3mwn*TڼoN*JfS,}jZܡ{|S0\`-Nw`VeNH"DfPǁ;ܒ"IC}nWqAݡ+j!E߲Nz~un2y0,̦UNt&ʦ]Y,|%"z#ҰX`oR ͻa 79]!;edםKý70z;X} pYQ xltv钇Bm}#ST lk_^kFhgϑHܐCټ[h{S'L=ꁏ,z:,;"Ytxf)&Ͻ1qEq@zhD3i99*Ǭ^7Cw*hH'>"6M\я`cRCdquw([N\YF%zRUuZG(x#E_bdGPQȎs+WE^;# 4ȖdZ: 7hkg΃P4-MBF g`VRZY:?CTu֮qf0 #N 1wks%pO+cO%l :Eʤ !ݿpfr\h"{2b4UkK\5Fzdnm]d0+v-Zۧ0lJ{.a7#hYcd5xj/pSq$"?_>;or{{Ģ7fjE/mmyUynf?ę0=2YqnC:0*f 3-Sui[>?ήo[[C>hBMoq;湬̓&hE?D!hoaufg$?. ܆VԪ0T`áljũ E:x4vT9sV)VAHGΧ7'$mPǧ:g UGS< 7Ec,$+צ3OoKe^Jn"Xӂs(tթE[cO Ϣ@,_\bNYy )\"VԼp{ݫAZ7/|}ͅH m$LSCn|zn +'8L@߱F@լjt@SPk:]V#9e/Sl#Wkcz?R{;X6x^ [\@Wn0a:ؼu3U7^e6ΝXL+~Uu!aHV]&n3rDx+svmi{|H'}{CyeØBΓ}[+{¦,b |dߟ?:UlCF\;PyuOjpO ob:M#ޫgH" GcJU@l+k\Ŭ!$c.5$oeheU{뢡=eNZx(cxsM:Yq¬4Dܘsvyqܘ{Zv7g`oy{ux%jːo~/A)Eqvu.JZB?%OqM<|BHBWr<lt~曻VY-2XՄUjā?EYOP(b[WlsSEBGJSQwEJrN|ɒj_U C :TC)Xܜz%!eRK)%^Z-Kc9:ѥg5YҜ5%WS&]daDGS;D*l#]Fi:5fUj-z _<^LI|yĦ3nuq4!1<1Cӎ^k|&{\ж\A}gPu GmD:摏reO;p2s qtwrӟ @m1/ 񂓧#<Oߚ:i4 $AtJ!Q<(r I TCrnߺ#}ko| :gљBbuPoHvazu˽rIǞdj<_wOeK-Q S`?s缽 AV_F endstream endobj 6868 0 obj << /Annots 6870 0 R /BleedBox [0 0 612 792] /Contents [6877 0 R 6873 0 R 6874 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33407 6875 0 R >> >> /Type /Page >> endobj 6869 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6870 0 obj [6869 0 R 6871 0 R 6872 0 R 6876 0 R] endobj 6871 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 494.1384 150.7362 505.1384] /Subtype /Link /Type /Annot >> endobj 6872 0 obj << /A << /D (unique_2) /S /GoTo >> /Border [0 0 0] /Contents (create_testbench) /M (D:20211013063105-08'00') /Rect [104.1732 477.9384 187.1792 488.9384] /Subtype /Link /Type /Annot >> endobj 6873 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6874 0 obj << /Length 19 >> stream q /Iabc33407 Do Q endstream endobj 6875 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33408 20830 0 R /Gabc33409 20835 0 R >> /Font << /Fabc33410 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 6876 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=565) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6877 0 obj << /Filter /FlateDecode /Length 2171 >> stream xڭXKo6W@ ߤFp, aw'A`/0WJTOd&۲TջXduV`_l6'< 9}͜7w>2;wsv>/;nNչ'зBcGP#`q:9ʼ31' ?+-˓s K5a?_?:+$f/-P \G gv,+h|„fl1+ HEhRM; eѹt #IdQA&]E"DgEo*]9Z][KcR|1jv@T ?\MΛ")yE]_hL\-N1u!!ȳf O~-ֱ7ݘv}E/muTh3STi|UQ[6a;TQw$?z~E>acJRL\>IrL{C,Bd  3%'/Ep,(-R{HR n֡f EuoRl ]Bdղh "ޢKvZ+sA 5e)wjKqeΩù8H8nB{Z^^5@.<ǰGx(|K 8%e۝sr?nZuTW/;)\*W,~n*W^7H Tcöȋc7/}@$?Zx|Ml) %v˄xQ`v[nU5M=Ñl*=tvC$[!nt^l>Y7/){TopGli  ~]=B=#Sn?{Hr^6jHjIjM,ӨϜBBF{?nyiC.#$=p$Ʋ}Mr3q{sڐ yg,3f+|Aan}ej)9Im0k{W~E԰'A]R":g~FMbs 3c<x ;v]X:/,<ԃIJtjTahaVU'Ś|(}gKX(Fj#c7f1ټbKV2ڏ{C0a$HBs%:v +zeo| oCxW..E,Qq.c̔QFО}7ZzZ+CU1etù+F髃HF;x#_`:Qj7{c7`3 G+AœD;V [`D'/xƄOM3aGٲBl xjMG UN8(R>R폈T3Fn}g4K uL_(sT y?T6UtL:];՘e##-`M)wbb7Bf 1T pk}ۓhR1D8KU.TzUj)^fJʙ]߸G!igO>-Brȇ~11I> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33426 6884 0 R >> >> /Type /Page >> endobj 6879 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6880 0 obj [6879 0 R 6881 0 R 6885 0 R] endobj 6881 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 227.925 147.728 238.925] /Subtype /Link /Type /Annot >> endobj 6882 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6883 0 obj << /Length 19 >> stream q /Iabc33426 Do Q endstream endobj 6884 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33427 20830 0 R /Gabc33428 20835 0 R >> /Font << /Fabc33429 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-Ňn8>϶g՟EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ* endstream endobj 6885 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=566) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6886 0 obj << /Filter /FlateDecode /Length 4648 >> stream x]K Wmn!iMt)R/? 4fm2ED̕ߤ ҏF~)OK1K!_N-Rj]׻[CI),Fݐ|wY>c~1r)=?C~wKˏ[M;.rFUſ7d(UNYm{=-A+L4$"Y[)eF/'gj<N>g,4RtNϲg}jB!]Ƃ^Pp=>/bu/2ziH|'l:TB_qV.C7䢵hwA; ^ Gh?+ܾl(1y]6(7:֊#'oj a[=BAz؀ikIݮ ۨ/юOd(zڀov|v8?:&Mϝm7tmSq7B3ȪCީ3icy[@ǫgg?L7,//zYKdZ:ff96NTE;7BD@;)jbv`ƁN>@#fه|54dr4A:)"Ec.r\="Ee.r\"WEl.r\R?J]pYi9B4he:&:ӝv8Dmɪ; уj+jua^`8BYBA/QuFA<4Ol<Y>7 f$S_AsQbFzֲRzKN?8{8o$Gp@"9\ .䏬 BmEeS>˛Պ̲Of7I^gju@S4atc96gV%ۇŚB-D/dy*EgY=$3K1gF "*< xX\kw~$(%0Hԡ?d(Qg -tgD` n.sǙ:W)rأ*'|o!(=߬b+&-g׍3g6W ~wC*<Kq;u~?9Q_ ܧTc.pc ݢ oY{*վ1QT n^?G;V4?w7;f۴ksyEU:y翭=q ~ej&YyWNŬKW^V. iie2*f;V%k}J~Lo€w4 J>(n3@HP@ d x^ ұA\:*\A EC*a? l1[,2yݨ{AG[TuJDdCJ0"mQq(4ljqжj)A'0 h)JS^ታ>"5/¤x(D~7&\X\;%ʹ:HyAV\oͅSU4D~ׁR:um@%$.{E5ϡ # K Kk#VK"$g#2+uuT?п}p2 seJK0k3=7ftdyJ E8s[dB(ĖO]R߅'1r4m#iLd"WbU[qM3cLΔ.r"tجXfD) j #(TɌ (52/I8|+mT_$VE/L3 c)]3e>ʉEx4+8eRfEmUԧo԰@ , 'X%X rҬ`a`*1:<&TsC1$[RldZ%.jǕr'f.Zlगdp2 ug8)Nʎp-p-#$*8I|44'Q 8qpNN8m=tNb; 'XNzO8рO@}P;u'> r~Ox<]; O@}j()qu|'5 %.)qqH5; %of~ĥ5!%VXZ3voTbFvPmQ{@%lDظTbFfPQA%lDmطuTbFuP}Q׏n6ۀJ<`ww *Pvw6|]{wwTePwwA%n]>:+&GgoU84=@ή D30V\L<& &}sTͬ ٨3E[P$ lnrήQ&U7̟>NH1< 7]$(( xҹIQYЩ1Bu;}b{:A氺6V1{(АCiYOuDgiJġ?3ц jK! "\1.%1h#697,B ք-9S\ < MO#"8%}>DGa+O0"~a1J")%ǺcO1OBjH-+i|4s衩]=iJ-=}K'-PaXf_%"q5Nu0Cv˜9r35y1e^JRDQ-pǯCTUEsvu,JZJ7M\I!8$@Ot]H_mt s|/"!?QŚ蕜*)qG&xH oCSlsSM )SSPC%U(_^L0gc=.=ƴUc0]N9{yGD⩲ἨRUUgů)ۗȕdp{7.*΋T2B0vVjrŶu bAYXN|elѥ1Ov5Q|=6C#Bo<c W#}J`4Ey"· ]Ga.#Py8a #?fJe_%fH<D +2ǥ@C u/]_FZS>2U=9Ngz? G8r I9ɑ4y<._"UO>;OXE}(S޴NR0hD869$*G_9{|VeQ9 '{)5s`H2 O,77Zi z.. endstream endobj 6887 0 obj << /Annots [6888 0 R 6889 0 R 6890 0 R 6894 0 R] /BleedBox [0 0 612 792] /Contents [6895 0 R 6891 0 R 6892 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33445 6893 0 R >> >> /Type /Page >> endobj 6888 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062953-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 6889 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062953-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 6890 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6891 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6892 0 obj << /Length 19 >> stream q /Iabc33445 Do Q endstream endobj 6893 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33446 20830 0 R /Gabc33447 20835 0 R >> /Font << /Fabc33448 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM1N endstream endobj 6894 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=567) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6895 0 obj << /Filter /FlateDecode /Length 4726 >> stream x<Ɏ8rw};E Q@eUQO ^ww,\KuAZ`0R b2_rOͫ[e|YՆE);k!Z;:Y)ep^|C9j8"eV.4fYm q:\Rj^2/yPbAֽXq4xQxgL߰m_+c rJ fX9- `"㷹 -ZkŁVdp-+.],I~ @:ItydDPv4 'v-QHKO [҈U+ ܠ*6~'ݲ1!*QeLD%/hdR4D8xnmAjcC"=6 |0Q2=.w'7Mr_CO)hိ.RS>ޘV%O5O-%ݵlt\55?Yٽ dq 8P*xx=  U5LZ}eG"hh2(z+Ӣo/YNYp(F!Ðdxgi=2r`ځq_߼[GkuHD)[lDDļFKN*/_ԝ<]*wΙGz[mLrvV%H!^w>(XL,c?"TtKI0$~ON ׄM-ݕDr"9Dҟ{gIwlK6kEl$QvlL}/% DIO73)a~j,e[ Du:"m6hcxO(]&ǾOK:.0OVr7BsH8&tcƁT ,HE^ܴZ>5'v>ܱ--x>hr#*Q Le򐓊$MT,e'L:`:Uga$d0NXM?+tCDvL,gNM.?(!rCX{8;ݒ+$c\|U08OŚe`+s_X6u?߸Vh]Oa*ZGL߭VbKҋ@czIjS. Pc*4Km zgp Y4Th%sxK}irʰ-|} Ձf3,5Dkwcu|[ ĸ ytF,XqtkOcJ'6T ͪ@Ӌ'’SfڬˮEݺH *}·CH,ޤbK.MUpg=] 偟+ysLᜎ{uL#50o+H*] gPWwCASf@`\U|_6k7vȕX t\Zfz xfHsH4ErUL W9t/(GkӐ,m(]>_I{xF0l,|d鲄R+B QY 5|\_JDȞ,9MvJ%ٌ)YM<,b1٠qr'Z2/Qn#G+AA&!W;:BV?Ȣ 1#o e7 KVtK8x.*w^y‰fW0g=9[[.v;#Dʘq;V9ī߫MVZUz*Z|o+YC5oqJ 2]d5hf؊52I,,[YlBMk_ gem\ʹ_.ng̡ =Ɲb{4)7#'[Q\F1Sn+붹{/'x6]WJD Hܶ'^ݥ+ިg>ko8&AP?A x\}rI%'Ry/Bvjhnث7kWj}gL uZ NѶMUGw/ ffqR5 J𺤵4H0d~pYpq8v^a~)b$~C_}|!bވiNcc|@ΚJ~4Ӧ|-cw,vtop_#&,M:+|ke-Q#9x`t-[rSfsØvv8ݳ zL}¢OVap[:ީ.< )4}V2#Y:GZ*GpmFK2f`Ywk,s:uv;;yf e7EI#rr8eT:nnQ%~T֜XI2H-z9%QE'7=jommaIBhE;@rc0,dLNKrE>X~R&]TI>CٱÿAxD36Q/&v1p|K\v7GcR"eV}|NA|\l?~8f2Xia8+>f3;f:$.p[gTPܺ8ʏ}K9!])ЯY % nѳ|_H=|0,|!`ª& _]|g+΂Oq I8ejYY5L><'O:pJo/A69LEDsP.a< >'R5+7LD )3昞r2,y|bࠔk(& nF$;~1fDڽ;H;A-_ei{_M{J.tr70^;7=+|mJklX X"r0YZ|Cq62׿ɩӹ'5g*0t,pU@.pHͧ5V_[p͑ǰK!3 T X)cqh0rfsAIFWOtUL;B<2]|#J'.`#s2@ŻyW6frkczXc;Gsv`tI\5eD(9/llS=S1M, Hq^'GS*lH&Z&dų-OD?Mɘ 'A͡#}1D"|q}: 刔>s+SmNux]Jl1÷,U%JjpᏋ`rH ]9?sp+x:q܏[KjT !evlEBFv)'IG%e;G0md##Ʌs&Wx2}dhԠ+I endstream endobj 6896 0 obj << /Annots [6897 0 R 6901 0 R] /BleedBox [0 0 612 792] /Contents [6902 0 R 6898 0 R 6899 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33464 6900 0 R >> >> /Type /Page >> endobj 6897 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6898 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6899 0 obj << /Length 19 >> stream q /Iabc33464 Do Q endstream endobj 6900 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33465 20830 0 R /Gabc33466 20835 0 R >> /Font << /Fabc33467 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6902 0 obj << /Filter /FlateDecode /Length 4152 >> stream xnί9@k/a>999 o*%q2z-JdX%O?&5Iw}>߯LJMo?RS9;馷_y/B mgTպY{AWK !-)S /RF*hRg2N)b'- ul~(QMSZRYр_Xs'zLX;a o$> !(M6L뚕 3\R5CB+xz}*2]G"^EzcI7csN᏷ ϯ~O.:W+.f<w`2</9R y.KZ,]gWHWwn |6!6ֶxTyUItnjKb+@yUdhxr|+9FZ68 OghWqj@Yk1˶=./!PI rr*\e +ִ4WbN!3N&"J^ t_/t"ޜGEY*Df̑kW֎}2|ݵ ^`( l_esE"CPH^KUWNn 4H 6Bawh^0'S8U =#_u e_|cRyJUm*ߦUoK Bd|~c`֑"8IWu1xj3#W6PNx 7,$حw=]1OB ɵM}ĵ?_'b r ʿ'e+UvϺ;)}q|vb R[w\ByWNnܼwtü1W֎U9S-52ڨdOȀ$sIaX`ÓA?F'B>.rOEȢ ӥ7IHu-ڎ% )ep/:2 jf*yoUհ ]m1v+noec3/Ij$QJ/8eHUȸB1l+KsS7_+hŘ2<1X-H&$u'9WHQLJ_䯃rfE!w*%r2:Y S]ky,0[p(f#M ?t% B1@}g=>S 1hŊC_8n ԈA9WD͉GU-a/hMUWR&.Za3ݑφ7_SZ8tѳSX ]|}Vb:6R5k1p  xȄG ?mטGpjVu!5[ {d6[qK4FǴƦ1t__(/ԅԅ.F]$?kB}yfRqKY ^1e/Ÿpr8DMyߧ<@fJ;EHOqnsDݯxel(Gvt*_; pRs/7qs [V 0MY|^?O*x l)a.9wSjx P2vQOewZFY eq+%)N ,94hTg;TQ2 ē&y,@e)Y h$돗Vg^Lk )3n:eѣzV豜 Pҝ)%ɃϞ?S>xزU>Xarr iOAL׿'N\-y+9ǰsF:?gT[Mt?dPy^!snt8G[mH킆¤R,塓U+* J ʆ3t3W V;,\|KRdauՊ57i"ģZk?HfW{l:TzPHͮ%jS/+ȥ^ NK?Xx\RfFgܶvNǞ5̞֢i֨feo (^JKߓ'rC}rTNOKKܓx`Zn]itۙL3u fd,ʯpf$43ڄ|EGglE[e) +N:`F_sQ$^B2IF)hzZWܯ*o6ǫjB4{,-2[[UmaĚx Af@ P<4:!2]EڎT%Յth(iVK_FuDm$(tu0Y(2=`ו? $6rU'3}ySj9&*Y|6|vWroIOz8]ߥc/ iΒEJr1|UIi̲&u(ֹKxVh0:\ f} ve鍳- 5 U;ӷm & fT ȠvmW1ķ1ȋS/pWNئ9¯c@P1܆u#aNN5& 4[C|:~@`h]P;%;H{w6րBi1$ nX܁  3~?%r; PQL !)Xf '̑ϴ#`W6O:-[bkMCGuwzgG/x=bREysWw9gu* *\Yd8~̤-,8[E94%5P!RV]!1U_p-ɨQZP-ۻJ2sngJ7ҵ!דg}=2^’4ޞ}ѽdu:f٦A&.-zS]ɒ ;Qm9їJ,fo &y{;;x/[ =`\*Wf?ƿӠq$_iX5c щN)_rVErN $]yEgKpKn=Vƭi]1и<_ ;8%=`pH@t9/$2( \'P5-hZ. Sx{" ÏrZxTz9; ?ADζ˛_ TG6[OB*Amll<ge,K% "'TH#ڹ 2~Ug4 0=hjOp]pסq J ֳ endstream endobj 6903 0 obj << /Annots 6905 0 R /BleedBox [0 0 612 792] /Contents [6917 0 R 6913 0 R 6914 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33483 6915 0 R >> >> /Type /Page >> endobj 6904 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6905 0 obj [6904 0 R 6906 0 R 6907 0 R 6908 0 R 6909 0 R 6910 0 R 6911 0 R 6912 0 R 6916 0 R] endobj 6906 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20211013063105-08'00') /Rect [104.1732 532.9 192.9817 543.9] /Subtype /Link /Type /Annot >> endobj 6907 0 obj << /A << /D (unique_388) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 516.6999 199.7522 527.6999] /Subtype /Link /Type /Annot >> endobj 6908 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 500.4999 206.6382 511.4999] /Subtype /Link /Type /Annot >> endobj 6909 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20211013063105-08'00') /Rect [104.1732 484.2999 200.8962 495.2999] /Subtype /Link /Type /Annot >> endobj 6910 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 468.0999 162.8527 479.0999] /Subtype /Link /Type /Annot >> endobj 6911 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 451.8999 182.9552 462.8999] /Subtype /Link /Type /Annot >> endobj 6912 0 obj << /A << /D (unique_421) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 435.6999 204.4492 446.6999] /Subtype /Link /Type /Annot >> endobj 6913 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6914 0 obj << /Length 19 >> stream q /Iabc33483 Do Q endstream endobj 6915 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33484 20830 0 R /Gabc33485 20835 0 R >> /Font << /Fabc33486 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBNR endstream endobj 6916 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=569) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6917 0 obj << /Filter /FlateDecode /Length 1985 >> stream xڵYIo,7W*U 070C3/A{K|\TKcHQ$%/[߁ڂ}zۜ˟h$},et.ZƩι09Υየ_QT4B dBXKh}ۆ2cMPtK5NTXtǽMs5ȺN4e=ڄҜ"cT_ WAZ0/*җ! {"pmo~;8Iiы)jv65|G >˶|x4_[ʞR R3/'lh,+=5 @tQq -%.Zo4Ὺ-EtAEFP:e($-JEbq}͍eX|9ZeJs'i879`f!zD|Q|U 0[HT};uV׫w&17zx5y9+{h rN Sc Přo"I8q wop9CMÖ09zv5&U$IAjmlFdR| R|k`rِ+${ aLU,Ŭ4TـސkRT-G;{a|9~˰)+*똟㹧wkg-mRLtís!{P$pp/Pj=1$)k0+~LoGhpu,EX.w|?!9N9(ci<}X8%yRh"lc7I'. ="U]j] +aᜄ FOjNcKe w`P, !VC)qom endstream endobj 6918 0 obj << /Annots 6921 0 R /BleedBox [0 0 612 792] /Contents [6927 0 R 6923 0 R 6924 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33502 6925 0 R >> >> /Type /Page >> endobj 6919 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062953-08'00') /Rect [218.8375 92.5941 381.3955 103.5941] /Subtype /Link /Type /Annot >> endobj 6920 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6921 0 obj [6919 0 R 6920 0 R 6922 0 R 6926 0 R] endobj 6922 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 313.925 147.728 324.925] /Subtype /Link /Type /Annot >> endobj 6923 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6924 0 obj << /Length 19 >> stream q /Iabc33502 Do Q endstream endobj 6925 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33503 20830 0 R /Gabc33504 20835 0 R >> /Font << /Fabc33505 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6927 0 obj << /Filter /FlateDecode /Length 4735 >> stream x\Ko8W<@j& 8h`n=[{ٞŗL]VW%> F Rwm'oY. Us꯿䐲__߿+.f{;%wXjVj1/z^Ӌ>=P':VJ\Q)>_Wz=9 57dU%bήChVe)zmg)_q]UN'pGIΩ;eW9ZfJ`H5<@%%Fh,Z+5DrO퓶.Yc%E1@),2Z`dd[B^QLE;wT8=CGc1߯tOgyгZVtgF?mI ,k<ckrv-R],闐k)0DK'l+@$`Aח?(tabkccUsYvC6zufG DX>-U>Ԥ{A8],Xʵ~Y$@!{AYčCÆ6bp{b^ ue VօnOI,v{/J"Û5=J9',O;$s <ӏpP+_l2-,} ;2H96.Y\JdT} gN/)ӄbDԖf&$ΈΚZatٞ8fkD- F @ЩݎɆTM/U83 0e:dtaPmn10/ ya N8fy8sCoN]:`[OFXv tn 7ipny̘>k$s+b3S5Hce9rGr;]ծĕ'%Zdpr npr[8-kzNj 8N^''ձIpR)IbGद3}~n}N8 Ӈ.N?'u4:}vt pzk'~'^O@;[iG[P#ŎŏǂbJJL9 zG6 8 yZ5"k v ܊8CizUhnJ <?!O>Ӹ}H"AWuoI\g 'x34N64GTMXq3-xdL1cӎϸkGe$%cT~Ғh8aa3Wz/AzP]YlߵYdʢMathl^d?sM i|FXFT$tb:`+~E>N}>ո6 =ZH6PܴUXM #N| #@7OL%-ٜNi#~ʄMV#܅fU*#>% +30?7#["+v B'r\M9unq _`ƕ|€2UM]E '4]~cY?=3qHcmg^*zz5D\Yo/ՑZI~vDW[.ΣvWww=G[kmڸAJ'@˾Jn>:A֪22:Lr 4`adv8@% \%Վecԋ+F#w糉TOL%UKyY:Oclg!QHyN|^rӳ ٛ`8&BiE>p#\Y> =^wZDiB@%5<8fA<ՐW,>F2XЇ!sŃ9HI;Ė~BP3bp_ZYnU-^͹K? =Λ(3r`r&>  %b$%$kϲ4; ky ʶMCyI  * @4QY8g NO0kk;d^,s*PzQ 08L<+/~m'/4Dx,Qp/VF?νiv5a q/MC;C3;Co:LV࢕a(J\l|7,jH@U ɢ{mhǜ}?]rgK(}0D8!0K29ZY?aZ>!AXO\N=6O8m:z/:r;+APwOsl|Q O̿T5aȱks+4'U[tQz0ԦbٴV'C=XGzC;D6:dyK`e\ 8Ï2dz%t*Σ=6ŒW *H~S\ #Z+5GsW'7fOC@>WVFl-mpc<>+zxǯći9j ]%5BĸVCT)%&+20x֑$T< ղ5+K1bGT`K7n/:/.1eִ#_I+"=A]S\s9O̫-s-3J]"-vOc!F(㊋wQVl,2'=rK%y& A}eO;I^MMp4|v۴V`2gpǎ`/˧&e) rw>!͗hWepo#`ЇFO/"Zy0֜$q޷ z_{ǝNZd|H>u7=>+DT03ZvR<, ]!vFeS m MND5޶xM\GSO':i9y=Cq\@~Ƕϥ0޲|H)2kH_DOg@[ a endstream endobj 6928 0 obj << /Annots [6929 0 R 6930 0 R 6934 0 R] /BleedBox [0 0 612 792] /Contents [6935 0 R 6931 0 R 6932 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33521 6933 0 R >> >> /Type /Page >> endobj 6929 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062953-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 6930 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6931 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6932 0 obj << /Length 19 >> stream q /Iabc33521 Do Q endstream endobj 6933 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33522 20830 0 R /Gabc33523 20835 0 R >> /Font << /Fabc33524 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 6934 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=571) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6935 0 obj << /Filter /FlateDecode /Length 4848 >> stream xڽˎ#sWV 0hr`& ;ԋRvbgv=)oR_H¯\S˦/˟߷EˏUʪ/?/8Kˢw8p\^._ Pd"\]8_uD)~Y0yGw /a+iMW |mpZJe_\[~FHx>0*Y&L2,?7Vy{_i-+ "*M uNs Urf,|om0|ˌHfO]b!yMb Ë&eSL v݀|Զ3q4Ʌo&1en/"îV{*KEqH8Ju J4O yM=gC(^YcjGI ‌q7N,oUٛohpVҌNu FL%O5O&*|jl-ք@J&[ k6xDHJ'mW/]zE t_=<7 6%:cNT+cq dG&*'3%k.eF V9ڰzĤ"oisJ"1qy8 (k")4}# 68 Tlw Sȵ7 [w#O ELE&DcF=DP𪘁0k.nfDȔ&Jf bּ[]T!V^% V:!vnO"x1gb1IgA7z.'LZ:Xx˭!o =/慵r cMQOK8"NJRT=z ͷ1GL6Cbo3%siBq yn1 CY#Ҕ0tt蜬MYx&T0I-]35%IgV|d.z.DGA d#ٗ:* [|x)!"RԶ`X}Kb#d0YC:?n4VNA4C  TvĤٚIMP;3B\E)➄̹ҤH7Uʼk( 4CK*3剎7JrBz,>L -DN&5p%~P]A[/d[V̔&]0B;' wDʽI !1a-JQ VBz+PmiDFဠ|XkzI9>ȍ՝J/h*MySuիx)PeM%SVm$JG>!,Bi ޷uvkT>zZ8\ippE=y\9~ /ҚfSuI^yLH0ьO)d/,p^}py%*) ynkY[[*" Z6 r"7%pDyÊ#,fwю>fnjnbZL, n[2^*efrstaB9r' Ve'RWmeԓ[TZIv2J ʼ?&zA5*/Td~ޞO}T>]DoAaǺ'W"^)m3V9͖>Z|&5Zft\ +ʬtmV{ƒRgBZ!Ǎ?b>Qy}n!3+}u%^uhO'hkjt`}f%UiT**t(1+7VE絉Ob.C~4w%kr/rÑiɵ X=1t!oF[h-z0NƬ`T^luU-}݅'%arށe/564j֘m #ǯ*m 7BQiQ-m˹P~`{kbaigX$O-SubcnWd E'Г3r[`|]F\k"N[LRuL/}KENt%9r iTsU\UwrG}_߯QwϠxߊ&ܰRe`XԪ*X6} 8[jyٮ "S/}q@fah zhs:vhrHk12R| ln )ƤgAC̬z"~L-ZоuRNAˏZ~-=mi[_~&?as:Y5%Zͽ@5;mjy-ڼC$pfYlLA>q):t6`}Q0"9m8th 6:N%F@+:Ks7}2ns"6 =hA`@Un ڶ6ҷ&ٵK)֭ڻ1~ mPՃ‹0HDAcCMe r.5ݬc r!'a}0`Qcf-[eѮA);C۵1ĝt+h*Z)!3rI6٤d*-B3h*:LJQTyaa)gh}2Pk6)%74<ӚBM2̪썚7Zg%hvઔZƣ]U?{'WBrxjj~DEq+h.xFJ[2_7bսV,X|\n B>àܦ\Y i"%> ;'oJhev{[Z*0d?mh C#(d_c(ell_{!<:˒[^E`$k'>xNuFU>Vw@̖& .>~TBteGܫ([XT lvX¨Tgkql8tddA,s-KY 5&"1wþs;ˏ`]Ng0b@.Ŧ_%]PXvd`D?dYٟ0`'>fx\WW9@uCQmAHF-ΊzJ!SS|ŮamQB:Y-amqai%` NU-7G1{̗1;ň S.R=ai &kO{*a( /)peo@!z׀m>fz[Sܑ$ qPY7`YDoDf:Ix 4e0ȿlHwEJߌbWG!>JF]k_F`1w⚀;fJعL&ҟf0:Cn@Eo@ijצTl'kKDf(Bҟ(.*_&^ӣ_\SMT`P1#6JSW+bj `[#W'_'itrʷ3T XnH* J2t- :ش+T#WT#]}p W=W>3!;kÒi \Jy[{Gu5iJ D(YLh肦.pO'*qN&mdCdlW|,A'_n<$&A'`\JnSЍEC"FM!U@QrW0@nߺSU5-ned;qaLqq@kt^LJ%X[ӺcHx9z֐j> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33540 6940 0 R >> >> /Type /Page >> endobj 6937 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6938 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6939 0 obj << /Length 19 >> stream q /Iabc33540 Do Q endstream endobj 6940 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33541 20830 0 R /Gabc33542 20835 0 R >> /Font << /Fabc33543 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶZ endstream endobj 6941 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=572) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6942 0 obj << /Filter /FlateDecode /Length 3625 >> stream xˎ#_ufI#9 çI S/Z-ƻw=dŪbR*4-e^>gTj1إ_ó;ns]v9蠞?쵉0hw Z,O ݤ0j.â s2N(#AYAe':'8i< 6Oݪ>1+D\0zy:4D~NÁu8 ZNX$s<Ê8{.]upx>x$c*B{8;1K/Ke -Č,_!O ϸGa.|?M,a.M$ 8pP:8 @h?+$%ԃOvLbh$a]ĵB݁Xi?cDv )hi?J^D){%}*EcRzd`oK}K꧉-nXQ܎nH> -¢{r.D.8rSNJlgLLj,b"vexm2*wMG3, ynrg73 *WXYڀUoV m9aᆭ1N5)`Z10qVRi-e+u;ݺ0[Ωzb28}!,8m20k0.&f-~JzOߛL[JdK ZK6Ⱄgc^H^^t/%Ð9WubmXd0v UђuB"L GT-$}-]Ld>f [  I:mr9j!p @$6k< 챿*0Kv5$zJxdwT\}%ksm]/6RP$1]~p~7B@˂/~8j B|sC|R[&Oӯ@D-P5h|RowC_>A[}:L1:ƤTdd$2!#\qbxM00sGG(!#BѸzw<{uhl}jrkcplňB%c1xBv6BР}~KyJԾIihVw>Θ,ٛlPO1F@oCZWs pqYاO; pQ01aCxt*&1VK0o }0DZd>R?gjEd`M1uS]7FbQ,f7}Ly QbW<s\ܕ\4Ըnj@B>edú\bq)t2@us~X4gV_+PyQ jvE`.&@uMP2GVG7(fq*V6|~۳#@ǁq}1s9QA\(aV9VXtq!k%3j`]`JstQ 0Ź}Z{؎8:u.cJ08؊#`%Y8Vng()z|zC:fۀqzPqK} hPr\Jd-8Y+6!K PN_E>?YK!N, ;Ps"@i&Lr6L kX(qӑ(6sRkD,4A6%wAMrT8fR53h"D_JS4LO,|bࠔKd3)!<%AgU!uYaؚg 6rBE zC _#x#P E nkʻgd& tYj@.py$# H3{yR߀wNt4/ɍZxz2;A#L % ^ńN\:c(\BjotS ' @'J |Kni[;ҼL~=ek25xY:|Vì6RV:QVз\jͩ. ) /qn}hHemdGmo]yy!]nn> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33559 6955 0 R >> >> /Type /Page >> endobj 6944 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6945 0 obj [6944 0 R 6946 0 R 6947 0 R 6948 0 R 6949 0 R 6950 0 R 6951 0 R 6952 0 R 6956 0 R] endobj 6946 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 192.9817 686.7] /Subtype /Link /Type /Annot >> endobj 6947 0 obj << /A << /D (unique_388) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 199.7522 670.5] /Subtype /Link /Type /Annot >> endobj 6948 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 206.6382 654.3] /Subtype /Link /Type /Annot >> endobj 6949 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 189.8302 638.1] /Subtype /Link /Type /Annot >> endobj 6950 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 162.8527 621.9] /Subtype /Link /Type /Annot >> endobj 6951 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 182.9552 605.7] /Subtype /Link /Type /Annot >> endobj 6952 0 obj << /A << /D (unique_421) /S /GoTo >> /Border [0 0 0] /Contents (include_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 578.5 204.4492 589.5] /Subtype /Link /Type /Annot >> endobj 6953 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6954 0 obj << /Length 19 >> stream q /Iabc33559 Do Q endstream endobj 6955 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33560 20830 0 R /Gabc33561 20835 0 R >> /Font << /Fabc33562 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7h"thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ ? Ρ\-z^lM endstream endobj 6956 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=573) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6957 0 obj << /Filter /FlateDecode /Length 1331 >> stream xڭXIO,7WEB#1rC)('EC?6<j嫯]i JE>Saj7ociڠ55lm`>X?>PA1xo|-9[#`ߵ = O2hqSMOI;-R;>Ɛ렕ΰN!\'5s"FYw:ûL3B͢zfꢷW*גOGS#5?S~s}\u #_l-w]ng hy<~,p,|LGsՑ܁I_ӡ_?~:RZfm lK&e'5>TKNĒ)t[lʶT#N|ѴNyX']K,֖ VhEdD Se2f>oȫs,;qW?:myt1c2Ϡ} / 5`Z rzI}8$.ګ! 6]K k4˴6 ד[m-J.Z_;V  \Fc~m&5 iد\FmHox)w=@X DSԈo4z~3Em6':Nj ;R:.Y[)cSEl4=2Um3UF9Sm_3.EK0G;IWQI7MxĿ:@D+ d%g"D8c /6U0ޡóba\:"ZG&Z#O%r=N"-XD˔xI4L]euMfbʯP&-eEOy:5v(CD:b(Iqrf)r?(J4 [ F<=iK[SOHJZC09B[K%McyI•i3l 8S*M ;njNW WלkNzF&5hB' wMWӛX ^>GhLu+װTc!=PBu-ρ7K+a|[3&Xxy4^Ezj}~:؁܁),&Ål9w?H045x;x=<Vo̠ny*P2{yش' 8꣱?7BM9k 4 [aiEibQKeI]OH\[wNkXpr74G|In8,W;eg n endstream endobj 6958 0 obj << /Annots 6960 0 R /BleedBox [0 0 612 792] /Contents [6966 0 R 6962 0 R 6963 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33578 6964 0 R >> >> /Type /Page >> endobj 6959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6960 0 obj [6959 0 R 6961 0 R 6965 0 R] endobj 6961 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 313.925 147.728 324.925] /Subtype /Link /Type /Annot >> endobj 6962 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6963 0 obj << /Length 19 >> stream q /Iabc33578 Do Q endstream endobj 6964 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33579 20830 0 R /Gabc33580 20835 0 R >> /Font << /Fabc33581 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4՚Gp#?$_l˜!E_@9?ɜ F0P|sLѨ\U$x2X4/s(RҥC ( 'C9Pma#bwo$^ endstream endobj 6965 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=574) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6966 0 obj << /Filter /FlateDecode /Length 4216 >> stream x\IoW))?/̭'rrL/S7IosGX7m鯯Y-fwu|} Y[S){qcLgi.O1ӽu4*yRRyiK%o9|pEGڃ{/d͔d._~RN!4 /, ( eˢ2e8Np[!t9 S+KOʢXuNpR;XuEODK2l(ؔ.*UQ5tu?= w~TD!wI#  "Na++Õ?:=k(C]e߆J(߿W4K(tqa_COw{qHpUcQWwh >z#`s=m Ep yvDYy%4ufɿaTˍ~ŝ/HҌE K1Xh D@HpJ3"[2TP/s4 3-l/"n%D*ֳMGUV~4J sgJ+KKµjcm;0irgKT>4Mώ\9EʱqR"<9c_(~,>M(LJiVlB,y/Fg}lFYǀ D*m(L5`@Rʉ^;w3Y]FӋ uƎ7vc׫38496ivp̎ɡ`خ`aW@7\``:XӰp,f ׉2Xlz2'RZ(V3UdV  Yʼ0PDbMNNStZNn ''^8NF8鏀?Nu!pR ;'Z"}.~Nj>N/o:1:N#pR{IGO8>'> 7gO@}PoԅRS ޷^{ ?f)0dm;Gp3N(;i MuDa 6v6 ԛԸ3qDOSz]qɓ B˅È?6[>@dk HNj9lD*!'u9<lwH:S4ɭv|0ysx1R]Oطz7W~kHe8!] ݐ tsn 0[6BMVcWߴr^֐Wǎ'1ʯ^0yy, U+uc避1-n xTd3l@Wn/:O.hi2Ls{"ґ. :MIks\_yO|`^`kwuV2-'i7{( ?ntDhy`khʜd5 );R#m$!ڴ2|_0t6is/79)d6y .[90ş#iZg(3,;Xri̖f2Hެi8^Vbow+ۍO_0>Vk]*>bZ2SD+l5UeCɳ&~Zȁ(BHB 4b+SD%k<į7ɉͬPĶR l0sĩe}%")u;"%i_IR xs g Iginb%5xiְݒH4F!.8ڔ e>sKX+& KVV_YxzΑj]emeGߩ)4ۮSу1Ǯ,' 6ג9eS(2GÝG,ώ;|rW`FK}ͨEer!^kZgF_D5@տG ܛ!K0^EkD:-/.q^"Z32KH7"GviV; endstream endobj 6967 0 obj << /Annots [6968 0 R 6969 0 R 6970 0 R 6974 0 R] /BleedBox [0 0 612 792] /Contents [6975 0 R 6971 0 R 6972 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33597 6973 0 R >> >> /Type /Page >> endobj 6968 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062953-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 6969 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062953-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 6970 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6971 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6972 0 obj << /Length 19 >> stream q /Iabc33597 Do Q endstream endobj 6973 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33598 20830 0 R /Gabc33599 20835 0 R >> /Font << /Fabc33600 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6975 0 obj << /Filter /FlateDecode /Length 4913 >> stream x<Ɏ#r7`402KUE{π222](! Bo\SY^fR/mF(F_~yR[){+GH8ooV `jF|_,7-?o4|/&~*.RR|~,A[O! PX2w) >0~6XxZUauiBXr>Fיr=<[ogѫJ(x#踿1=^3"g*膰Fj1 e4p̈O~ٝX'`SJtc`t>2<2XF9rA ?H\yk8VQ Nʮ.y/"qHƞֈGrQ<a(nʃq 4's6IHpI#.Ұ0hz5Xݧ1 Wb?42QII9TdyA1r(H }ndQ[@nɂJ] H$E"_΍B^FE;|h/S,4+$P5މK%{Hbb\JID"HdLLn6BT P[mI|5E,Ij 87h+Y}d[lY8-OwtP@+uʋZ8|ۀ[D/""*-J '!5vyк/Y&Jxr$QA22L:'تy1$79)$ .H%52`^,DZH<:v @x#θ&X1ݧkEc(A ^hh*fCݒ$/)Ey\HnUʟGʼIOŊlśx?1|F?"c rJ fX r9 Ff0U\ XDGZ YNZAn}BpMIF &']E9rQI@ ZM}R4E8pnmAj{D>4{=6 a˳ek]N,o侦ST[U<:; }\V%/5/-%ݵlTX%55? ٽdq  \5gHcx;zHhee&lّE˲ aV¨>,jEU}^Xy%C&*J@-{.x.UT"Z,RWT"(+Q,pG%[.Y&VEl2 ʉ<^fSr6fP*eu/\RITxsՒ)T~tJ/LE9̰,s+6\tr .QCݗD1q瑋gc^;f۪#epu >cߖ}S݇ 7\$\Ah.o $Sw_h)b-). vp&mgxdFZN{S'SWђu~ENjfRXSZw}e,)MÜ@cowDq8 m ^eǼLcuwq+U<6so $K@lgLxv1* ]Tfai=dC-/DLH!~w輤нԾoOtކko@C=r.C[N5$?VVIr%XGu>_і&a]B7L.9{r_G`΄i.)],Gʘ{WJ½zG= -FBҬmR 8x [xusZyqŨu*X{erzrr+AK99W-Gse)XTixqL  JΘ zh;Eε}mS24EskzԊd#HR<3e{ R~dV"wr%LikMݓ~Mmؔ|)Zm\c0@i}nɥ󩮣jwHdfÉ9p7u"ءHbB+x@W_ 偣+@z}Ǒ!Ks͕U\M1@=r밡Q^¬V+2ꦪ&dYct)m%M-L|\98q[on: }u'lQFoW6&v V7vPMd';fy&; n +Wukx*a; y|^D9^RJzn\:ׄB9ե-h֣Y#~'Qͤ;)QT_ĮA꾇I|_)clXr@^26U@&@hm0PKA!.z,v<Ϯt!j③.;moh NR)T`CSչ[Nil 7ty2ʭRaKTX),4BTm?A0S1XbMx]uۗy38OtڎvgFTkuTxSXYm:uuܥl:ⵡyEK1u? d(@Rᷥ.N %QD2e:EtJ Hlj򦫆t`z͹TJ[JSٮm}m_zЁ|ݩ  v#jkR#GX;wۮTY|6^g:UWNlR2VgℏIb/OB^r9&r%o욋d.k|D Q0`S=J\E/pϭ6}v;Wmz#W'OE( 3^iat +>¡*>͊0äfh~֓}s+wv>NX*#w&son_q bͥK9;6]Gzs̩M~ 5P2ye5?4 px.q65;~Ua pG~ch0HfWX@yakOlC , Z˚tME-%X d3߄]$ @k:DXu7 ]Ƞco@738UBpN8bl1Oc_f'drm&}Xh<>`Hd[N 'u >5$ܯPr8_F| Ű)d<{Ԥk֨609Bf:IঅxAia jp<_8(e ?bRk3Do↌HxgWH+K1-u_0^i;7-{ Jr7g 'QkK*6^%"Т+ʗ(s+5G_,uCg:2 8fy$C@qjjBbs}jROxJ>9[y<8Ww8e49T}$oi]RN փIC9g&drsGX'R{|^)O|kcd8nLF[Y=S|3<3R'kRtA4KW8||ˋ#ƹ-E$M&dtu׬?7Oms)cs(V[[cH&QoH pxJ?crqt],˾9Kچ"ٛLTin!?G?g',D^~X{:?{`nO2|9z*5-CH> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33616 6986 0 R >> >> /Type /Page >> endobj 6977 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6978 0 obj [6977 0 R 6979 0 R 6980 0 R 6981 0 R 6982 0 R 6983 0 R 6987 0 R] endobj 6979 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 149.0425 172.7747 160.0425] /Subtype /Link /Type /Annot >> endobj 6980 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 132.8425 182.9552 143.8425] /Subtype /Link /Type /Annot >> endobj 6981 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [104.1732 116.6426 162.5502 127.6426] /Subtype /Link /Type /Annot >> endobj 6982 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [104.1732 100.4426 161.6427 111.4426] /Subtype /Link /Type /Annot >> endobj 6983 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 84.2427 164.9372 95.2427] /Subtype /Link /Type /Annot >> endobj 6984 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6985 0 obj << /Length 19 >> stream q /Iabc33616 Do Q endstream endobj 6986 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33617 20830 0 R /Gabc33618 20835 0 R >> /Font << /Fabc33619 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7$*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"Ð̗E d_ )2̼d9 M \09 `5ǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM1P endstream endobj 6987 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=576) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6988 0 obj << /Filter /FlateDecode /Length 4051 >> stream xڽ[KܸW@k ^ a7 X_|(cl#6zZbXL+e;}2{f ~Z 6|1Lo.Z90}y&.Zz'm…_g ,\ 4.n8mu>Aж?07[ =aȷߟ-z385Fkb `r4J02 Piϓ8>G('H :9P`5!\"I@y5)UQE..PfRxPv24W>g`E;peTw7{N S```8 &D^qЃ 0c@whŔq!fH ^xϗLnrgM?aф ĦaƔk2XW c럧&W{agTwe3qe6+n[IyO?Tձ\uJ>Ŗu{'vgMtFdwA}^X25\YHGcYxn@HR ^BC \XB&P܏0JaFC8₝q;`W`( gWqvۊtl(@_`0엥TiւvFX\ҸNGc}ۅY3˯`iw<m)]fwP`۝ʣ̯;9{#}@lHݗC*bR!5!+["ac@*BA0hY9+h6Lkej"D K8D&q7xLǥ٦f j3(ewȄ9-3S,s|;&/*_M-dU`7" n'DOvfQJIO{b,U4 %aT 5̎ Vn!J%VFVhY넭v.];(Yu)~e_]dKn[/tDv!j %^J۔Y+urOUjEr*jb["8_Cy.j\(s@x  {?jxKqUc,x PQ˴ I}  VA;J.\(^ l x:T6KEt>XAԃ &uGzX/)=h;Gv6:q,=tݿځ' E5Ϥf? Γ0Ld6^32!mO"{&"MWj}8]z,ʭ=1 i'a7} ;H:W&^~MaLdOc?1qӑNv5?`q`UYhj`^Ļn^,8oQDnwFӗ]`MՊᛨ,`3%(+^aԊlkiue5=@%wĪ`y} tr}ahLew*IplZOjӏT%5u[ {쭺&.a:!K¿.?zrԀB|CgMkҠtM]^%K$Gʶ8󢌫l2$P>Q6}pT2><_gnC1{4^G}O;@IiG$ n(਌RD3f|^K/I$IPXm9l7zAZۯZfhnR /|ċ̷kgED5p8o[X' ,φU,H<{e)咽o>ORUe[e>O(F>>j,5/^ZF?7 endstream endobj 6989 0 obj << /Annots 6991 0 R /BleedBox [0 0 612 792] /Contents [6997 0 R 6993 0 R 6994 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33635 6995 0 R >> >> /Type /Page >> endobj 6990 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 6991 0 obj [6990 0 R 6992 0 R 6996 0 R] endobj 6992 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 180.9312 709.9] /Subtype /Link /Type /Annot >> endobj 6993 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 6994 0 obj << /Length 19 >> stream q /Iabc33635 Do Q endstream endobj 6995 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33636 20830 0 R /Gabc33637 20835 0 R >> /Font << /Fabc33638 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nɢЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC25h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 6997 0 obj << /Filter /FlateDecode /Length 1155 >> stream xڭWn+7 +.0k$ۉ0Eѕܢp $(ER3)"HÇfdxHSrpys"T N˺51@5%8>O;80EBw1xI8SSs͏##݃.z5#,l:߉OxQ%7Qٺ{}?o\/l)V%$SFf DJĩ`J,ɶH 밖6̘Kb3|HiPm6Wp: zi3:ӈܘhΔݥ]H&27f>oț[ _.l?Ţ>@w cdA݁<_$b#Y=ȳqrΦHp**6\d8y[`K8Az?6 w7"h}M`8x1#h1Ka޽lHy-n̆RbS@[LQ:7ZO5CUYݪ*.V#6_j?Dr[bn=*MXیfI=pL OETa&A+2EE73Abun:״_A؋Xkq)\LsWEZFjVo{$7/E|d.֞ԈL=9#5}K#48?hŸh'tM;p_f endstream endobj 6998 0 obj << /Annots 7001 0 R /BleedBox [0 0 612 792] /Contents [7007 0 R 7003 0 R 7004 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33654 7005 0 R >> >> /Type /Page >> endobj 6999 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062953-08'00') /Rect [218.8375 93.4941 381.3955 104.4941] /Subtype /Link /Type /Annot >> endobj 7000 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7001 0 obj [6999 0 R 7000 0 R 7002 0 R 7006 0 R] endobj 7002 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 329.125 147.728 340.125] /Subtype /Link /Type /Annot >> endobj 7003 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7004 0 obj << /Length 19 >> stream q /Iabc33654 Do Q endstream endobj 7005 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33655 20830 0 R /Gabc33656 20835 0 R >> /Font << /Fabc33657 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7$MЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//dk,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM>T endstream endobj 7006 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=578) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7007 0 obj << /Filter /FlateDecode /Length 4757 >> stream x\KoW)@n C$ ^^|I=S)XXߵ;O^K1b~:}| YQRۃ1\bun|TrwRھzU{< ?;qpUGڅOLiᖙ>ïK?)_vOd)XDdu_z~D!csjˣ8:ύHa ˏFb~p˔ x&Qf`:G?"i O /NYc%?_pAJ3 b2xϜ,. keGp;/w?qceJ[&m->gpP]GQvI%qǜ#M393}C3a)MONV63{a Ů6Lq2{3485K†^%B)}pԽ G+}p-]@_,ehX~-PgTkb` }}{`DѬn8MDf @fw2.a՛LPIK|׎b뚗;-y`Aq o*4_QXj#,U4F}>y/J"#݇r- ;BT4}" ρP<2:ʤ-X;ud߇NIQOMe5ݴ;U JSKEdk(uTү* '/;õqv8H*(UiwJ(V@_^*ȊD"+4 tQ: N,\=OBaPy .}XPJ1 ,yf5}FW 6$i[*ҿuǎk7&! : $7ڼm@PBbon^hYb֮vAzC*P/}JK Da$jX|'ѽikrdfAAsد4}IBUPxԸ*/#nOqf&ͤv,:J%t ^F$UoD-j\" ͅ,BXW70ipy^mܭZMJQͿXOmu"4T-XDLHa)0V lXRBvT̴j X϶j4UY黛Ҕ&j.hϝ),9#nªm߷Df*2XqS}h{{"sTcťDvIyJ' r2>PY|PlȕZs5vӬ؄"Y^U3=2ج(FA*U۾XQ j.V v:VL!,c 5M5nB ,WWphr mз)SUL}-:] ]®`nuЗa:X nٵ eVd.`Ns0;}N#pR{'Ig[w@w&GՁi[OȬd69$sz q/M:hRPw_`Y0w#q+vU; )' ԗy>0i厕t`}LӼ8$&u/|Jrk$ubw)MD?N׳z~,G)QΞ,ux0S\MK-vWTIc:s4W\i|#9˛I&Ҥηr[>cvSY,Q}gYꔶȃO:czU-M]͔L<4Z8KJ}tj֞'l?xHQ8l˳BRSتg6H6–N]$6"Eo.H&Uԫ䩂-00 !5dTW Y}!_1ZE6[ogɋ>N%3(^iAD(J!Tƒm\ϹMr뜰Yu Cͳ\b"6(ڦ^3KM=44AuĜ&[O CopT:gk2o?;, r)Dh]TSe.TIwS zIښPu X0}] -C;'Q٥@w[ kwް`0 S xq@Z4DkVO%l(RjgoU[æmprek宬ix΋UVu3NtS]΋eӎS ug7jlJVk]#k޵w ^ hK8nYAJzu ʓݣÌw ^.Hv\\ £׫ݵ;uw Sw #N}^ZA#zL:׏|45DE~~ynVCC@!)&+ߺ1q#)xh×U]iqKEb@fDQ|<:e|&21^P mIBZHyzv)]&nsI\m9wsWkV]]:sLEZ`G 4CėQ>':,!5x:\dʵZ AnHTvRѲ@T#mϷD9x48^>KP?"{Iy7>D⎭=qz_Bz?ܞoV`l5E endstream endobj 7008 0 obj << /Annots [7009 0 R 7010 0 R 7014 0 R] /BleedBox [0 0 612 792] /Contents [7015 0 R 7011 0 R 7012 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33673 7013 0 R >> >> /Type /Page >> endobj 7009 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062953-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 7010 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7011 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7012 0 obj << /Length 19 >> stream q /Iabc33673 Do Q endstream endobj 7013 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33674 20830 0 R /Gabc33675 20835 0 R >> /Font << /Fabc33676 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDՇkp:3ϳ-nYMޚGFx|1$-_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwoD endstream endobj 7014 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=579) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7015 0 obj << /Filter /FlateDecode /Length 4757 >> stream xڽ<Ɋ$Gw ?7 $  sSSЇO5# Ci@u[lyfdIQ={smիOYsznMO)/׏j~?j/Jj?P#^{~]~b3/J۬2;lYUm ,icV.:>k+xQڽѱ r~]2 ̕Șlf6YPV茟+}k.lج++ʶ*"HF z K}S_ UA$Nڂ.5~ھ}:+_($藍Od\XAn1`w}] &^za 6TT̀0\֜mܒ95'!Cb]5 _a qAArˏ;dS@~:;^غh1Tlllkf*eSoeSo%jMar#I 2^d;Q\V>XA/!.lA\#<+>q!!@,^s ѣs^7 ]ic^yhf6j>l5ʹp)O%vv.UcHLO9[rZ.FPtiڌ74l%e嫐=-:'Uv!XX|&>u.ڥ،^Җ"N VjNhL,$4@͢zf}B`Շ'+kIt9wnMQ9V9WG7^~ky^x,Av3.QiWI$R*3I9ӕvZ+BD*jɂa3*>Ċڬ&sN*c_c-6eX{ -w7| }Dy.ti =90 .ћ׃Tr,1 $opo3@L{-ڵh (09Q;<*c<لud(,Q=M0:+; *ԃH41 ng4M{fQ֣gzHw r) ӹa4rOW!wDӌ&"pF%<9P0e^BDUƮԂ\Z"Bwzɸ *h ;\L1!;t<1R[epDT=6c-\xAdpۑՐ ABi{4Gk ٹa\U^Wc8$J¹z Blv(.\J텔E~Ibj?_oROHV:1д*Mv=7s(olDԆhb=^RՌm lFz96`JcȻ %a5BF 2ĸspу[bsDѢiGRViC<լˤQ9`D݉yqi]/&gŐ%9S.Yk--A@[  z עtޞOc #YwMJK khb{gCA3"Wdjq(jףfv$ag={P㪅ڐn \r9pq  j{-8ݣ,ldYm!L\.C-,  {0R >طN\BOCח1}e~XޝFYwFh,<.F0CD<\'vLα S1/rilQ:j@=7~XGSV 5T. ȰL}-0𫷢 ͒O"k,27nh2,sU/{͙a>T]Ll,?6Q^4Os*MA3>k|ьݵ[&:(:CO#g^ޱ>JG~` ΘAil3վpj? C|5 NlFGOQi9[z ~LKqy8y;&BUzA]|EA@sb좉/f310 q5>&\A {O^e4*r\jfDn#DIQLZd;@d{Rz"wl^`"_iݵШj4Zq^4)HJZ"[26B+PYbڽ 8<ʼ#Yhl$QJ$ÿ}b/J{,Qk *b:jgqPrfFZ^3,[[鄡嶒 |c?^6V0H14i?IHtjvFqv?ajJ-5F5bN3 @򚢱z< /[Us/yVV6.,ev;wwAkkR;GhZQ)n\$ItR9ԼINy=jW^/i{ HY&ɷI>١pi$-#NoW^zRiafZr[ ܯ'q6 O2.NQgI޳b 8EM?lhs!1 `fs>yMK ;#쎆XUϝANoRCQu`! 7gppk{_i44)ҹ?";e h>2%㱖!HI oyڌb3 N/_2t9+9ǯ9MdKFFRL^8aRQRJa~^xQXyB_eOhXʎcYwm7˛J|)(.+rI9Xý1zyvXd60@x㯫2Dcp bMmEYǎ÷c!K(B n iP®G pM̗=ӘKˌ]Jܥ:c|D>bx ]F'!krʸ7˰PCK܎1zU-3L~ڔC(MNb;q;xn0lޅer~[74+7mD݀JW{X>Hdq~JF1)׷Tm;:kKDvjBrxM2׿S=˓𯉎)0tpUy\XH[~b}FvEmWG/r)1$Skũ % }+GхvJee:JWoLX]a)^H8^v?~btEua[ʔD(S9/lK[_/[1M, Hq>V6GK*lH&Mv=+G/ワE7 &iwJ䱹5μmִar%e [6~S)i0ģGq*S_[־[w>\k`nO }t Yx!h43=hwȘ7uQZ?.y'g>ç~'߆7i o7jι|q "*HxϪEH6kVY'"best52t"}iB.0XĻURy%/\>#OP! KٔtVV?;UWv4مBwKjdhԠo endstream endobj 7016 0 obj << /Annots 7018 0 R /BleedBox [0 0 612 792] /Contents [7027 0 R 7023 0 R 7024 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33692 7025 0 R >> >> /Type /Page >> endobj 7017 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7018 0 obj [7017 0 R 7019 0 R 7020 0 R 7021 0 R 7022 0 R 7026 0 R] endobj 7019 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 187.8502 608.2] /Subtype /Link /Type /Annot >> endobj 7020 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 581 192.8002 592] /Subtype /Link /Type /Annot >> endobj 7021 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 182.1687 575.8] /Subtype /Link /Type /Annot >> endobj 7022 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 180.9312 559.6] /Subtype /Link /Type /Annot >> endobj 7023 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7024 0 obj << /Length 19 >> stream q /Iabc33692 Do Q endstream endobj 7025 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33693 20830 0 R /Gabc33694 20835 0 R >> /Font << /Fabc33695 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!oE d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMKX endstream endobj 7026 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=580) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7027 0 obj << /Filter /FlateDecode /Length 1541 >> stream xڭXKk$7W`a/a o?_J8]bӖJOjmDMN?>>fu X2:OA[OO1^[3;c\1& Ci+ss9e}CFa+Оv& rh;]0V*NP:ah~CX:uxb}m9AgC2~MbZ<'S@9p .w݉Q|,w>*!HN9T`k3=O$L?/5DKM ym_>cus$ڤ_O'œXplR(*3Qv>K^!c乧;rx`ŗI<^b⩔v5hVuy{glo{66rYׁO+gنMvZ-aMNu_Է|ȳ4[ٹ{mZGô6ݒI@[=I[ltU&}wP?A'á8`tc o~s5fml bGl昬P)9S"efXs;j}jM-$ e&>0׈P.ںٲ/:pFDքlfYR1w(/ζKK9o`E$Zo9IbaWʼnG+oI`皧O%ҸMKA,]jjJ$27f@Sq?]nv+Yi 7>B˰!zD]VU$n 8Jg>F or(]Ww3M2eY7 -"]:eWveiLaD(`Kx1]Z;3y~Z?7T63c!rdox]<\H_ReSҫl\c wcxR8 e5y=!VHoꆐ[Es?J$~[=z͞ksRN%KMwr puqcw59q{9<7`MhxzǓ8H8  (/x;T®̂s Iq<(; ]I 5-_rp4VI%k >+IB)iJ Vzi_-|)ȩ!Ieq `&"B endstream endobj 7028 0 obj << /Annots 7030 0 R /BleedBox [0 0 612 792] /Contents [7036 0 R 7032 0 R 7033 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33711 7034 0 R >> >> /Type /Page >> endobj 7029 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7030 0 obj [7029 0 R 7031 0 R 7035 0 R] endobj 7031 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 251.925 147.728 262.925] /Subtype /Link /Type /Annot >> endobj 7032 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7033 0 obj << /Length 19 >> stream q /Iabc33711 Do Q endstream endobj 7034 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33712 20830 0 R /Gabc33713 20835 0 R >> /Font << /Fabc33714 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7036 0 obj << /Filter /FlateDecode /Length 4894 >> stream xڽ\o8y6HU% ̭ga7ӳX$ wI(T\IvѝW-SEɖOmN3Nxׅfu zH2_RWחկG}ƐF_<Ub]_];׻i]q1a^zU?׿W3vrMGڅ&i3y[f0~M9',7 dF-gvH gbHLחhhV߼FGFYQ4L6%`ljt ɑX8E %m{z0a/~v~M]os6J[7huԆ.lvɂfvivZuv| (ӂ! zxc5+eBy!U=!+Xw&oCk4o)-v ($3 HH* Ԭ[4puy8!LO>7<ɊJQd28q8NQ،9&Q7prǔG7-J/C)a?[⽁,ױ9Q}xǢcQ1³O5!2;L4>'J S0Ft&gC N숦ZF!UIKifjKX+8-5#?dW*UVnp..qڡ0ELE6I%jԮ]S:v}XjWSɤP5n齵HG-xB'[as<@ @h0q89ZHB.e9PVi!O D$(ji~-c)ˮU՚v;- GWcQFVK70cyS'K3,&4iPIT'z?3Q<1llj~'tFNudWv86#sBK2&rٜX &j k_2voSZ}C|a-|Ĵ1[B-QQ>֪?>|Il|7"*ONTfZ%$PjAo8Lؒm[.af,#;{  JzGp Й쏯u/?Qjmn0Qd؏}PHY*L#P]]%p$tGuċ$6[^=r <$^hŻ$Fb̘>tGJjkn>:ox!?R[j#1w찰Jv1C=MaV IBHabXP$'&'/-S =! cy;\; ҴQUe/ق֠'t'xp%83Nv^eX<д%N}B\·#YK '$'_rON>v6ʭ.<Ť%M `xNjĊ_";_Q3U*ŵ}͑Z`h]T[޴bN*ZbbY?^:fqm;n˰"`1%9fh&)[铽-XG(K2%偈<~}7 Ni[ FoYi,^0CU|ْ_s`A 緇-\(f*g6yĤ]m?Qd ?C.c;S~fݽk?LkJ$Tdb{3y-c \B0Q{l{rZV)E6~KTZ+G4ڈ2KH @TиfŊ&r:^Uw*HoIŒvkm.1п,P˂:8b"bMN! nCU\};.`a0\``,>,p(Ȯ4# eX$;MlÊr[r+ݴ.Mb7+vp[prK89FOӾ+Nn 'Ip_᤿NJI{[v Nj1E>8M}NNJ{t۟;ᤂo2 r6; W;dSp)a"dԢLk3L+8 8)nX,pN58 6[p-N)|+8m`" Bbm"٢Iؓy2pNO. SW,$ދ'd':<9" OjQ5~ƾē 7,y@ݙ'Pԍ 2>usOmO ԧ3>us9H[Of|jwOmMj܇gM8Ա5{ I)upM05ÐR$CJݳ&qR5![R5_TJ0O.m[YBX̔Dz\=G|l<"9f{FR<, U9nG}1vq;s6g!u殑O^wO9c8V4Еf@oH⻄UOD 9׻ӦmmW(G52pW],XrSp;w^lcJV:oCg\ۊl\nC:~yX}o㐦$6チ@ҙ|$7;U-BFV˷&[P+.\sIu-ŧusP9dh|u~x`}+)\{A$V-\ȧ/Qi⋪Kttn֕0@;w,fÝEڱ) vщA8& \x͕JLο{"jngvyY޵m.ZCsˁ.@ʊ9Ԁe[ r7vtnn03RO˝VlŊ[|Xam9Lr9V]FۄpgaO i)u!ƿi>n$![6ˎ1Cw>|S:_Rk4ӂcޯ#.ֹ*Y] =bO _!dd@t9beiN~%*33xlj '5$Sm$ʼn Ფ VKKQvEKr.ȚjwL@"3)ɪ-V%?\PC6aRjgLknEd^˄(5j6C ?.K~lEUk+ +rHj7\6\T䲍a 3Uv(vPb ?m~+']/ YVK;Gi,ϼXo5HND=Vl\P Cۦ~yw+'W&g-N6)]J̀a" 6h.%> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33730 7043 0 R >> >> /Type /Page >> endobj 7038 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062953-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7039 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062953-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7040 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062953-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7041 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7042 0 obj << /Length 19 >> stream q /Iabc33730 Do Q endstream endobj 7043 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33731 20830 0 R /Gabc33732 20835 0 R >> /Font << /Fabc33733 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQathǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 7044 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=582) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7045 0 obj << /Filter /FlateDecode /Length 4745 >> stream x<Ɏ$rw~w&B]M>>-Ȁw,3yPӹ1=)Z*b2\;jᅨˇZ/6,JYղhR)p^xc^/`p1jc0(u/Cm* yɏXZqB@3W%`E7oiQ+,'{ X Ex肮fIZ(|KґABzGf nx&^Į%v҇V4bU';Bd97i KmN:e5 b" >B2Ut,˘t TΰxE'ESD#dF7|Hd]'c?& <[&}FF{Hk8eMu<U!5Ullx 2/yQyQlIEt׊qQ Ldd6q XDk&p5 pT0kV\柜o2iΖ j fW0OY$-`}$WT Pz˽Hh]ay(f}Xpfo8RIhLU!:)~^xUlr>Bx5LQ/mFsGUIeOAL =z^0 )xX 7pgvIpO$%D)c/- ]VRD2'c;=qy6^X}?fc.3G\`LugZg] E@g'T~G|mEeч5aE|v6f4{iELomdmK]ɩ$c {] uYmRp9 a#2p aӛtM!4]_zK|aZq)~";o;W֞Ws֪Yxk}!Qm<8ђA(;ʡ6n nOmdfrw,*ެ}NOVx3z{s`Ժ[*?I4/Jq)}37\MoNbk<#|rRş~F69: =*?=.n{y{_6Vߕ9ݺ2Fpi}SϾ:S}l]h55c{) {qnhia ΨV?w~+d杔 \CX I򃻋8ob'Zo6=Sr~|38^ g:&wR>~~Pad5Mfj>A,${#MC\7GpAl5UZνaw6fʙmg#EBYx*5Ayon itSvc VX0$@mnkPNߑ;ڏ]T)q}^oLMxO;mD'-Uҟɮ^v-^8bg+fe+ uZ{&zq}^>ۻ{K0,o ~^cuV8@W/0p+v}غ! BH;/1OUeONnwsO#j_4C/si[c͊^wQ^k0dMw*W8u[{/myjG|s̩eMdx—yTC'-.#'57^ƷZY޷sƅQܳ z{¢C"kJwS ! QS.=GN 2'GRt0Y4[Mc4&.1&oVzj8逝j=(bvG2r6K2ɡHj HLncxX|Gh>d\L` a20.38 wje]Ύ{B!b|@R&۴CXb@T0܇bLF k8%&Ax&|jIyX"fzPF| ŰO*B=jҍj629TM QpҔ9@Nfqa8 JF1)K%cF]kQEi":)6`>+ c3~^. w(zO>%ZUHMhѿW/QW>8k:M՟  \ <iMtPgqXiG ON< DX2aپZPpٷ| tvP)L'_RuXU ֓z|fc&w>7fx"m<_ķ6Fvdtyѝ5i D(9ߟNEK1M,Hq>VG-E$m&dcA{ak_ۉ~F\Z9scº9x5&(T.i t1)}iUj4ˇ) ѺK/bW(_09g opW:}Vt>y͗g-gh!B9ܳ[@]#!|\!|\N_>~+b>6Hkxi7-g8+pQ3ޜ?gbtIk!z 4]{2/'s99T>T !evjEBfRnʤLp/Ff|36㙯 HFPHr [ RdhԠ^ endstream endobj 7046 0 obj << /Annots [7047 0 R 7051 0 R] /BleedBox [0 0 612 792] /Contents [7052 0 R 7048 0 R 7049 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33749 7050 0 R >> >> /Type /Page >> endobj 7047 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7048 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7049 0 obj << /Length 19 >> stream q /Iabc33749 Do Q endstream endobj 7050 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33750 20830 0 R /Gabc33751 20835 0 R >> /Font << /Fabc33752 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7d%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$` endstream endobj 7051 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=583) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7052 0 obj << /Filter /FlateDecode /Length 4195 >> stream xڽˎί@+|SLw99mb:O=(R:ED,VMjm1'l/.Y_64:.zq1}TAoZlp/C miMЏF?%=Ll!:]`dyJm e+̝3 $lk :6art*5 Tj+pzb< 8S@m{\@r@TG!haZi6.F5dc"Vilk4qDN5zRaaZq%*#_U~cWۢK_2X#|O,(z Rm@\X]M3 q (Zg@2{D@.1?J7O<.{}# paRMI'dÚ)(z D<_ cˬ@+WJ}>ӕ8m8~_w] ^kB!mb:#}MBѮv"MMJ\E(h; ~fGtE&,R좒x!y:YMA ԞLeI10)d_ZGP('ڱ:!!5ΧAB9)gZ7om3ر"B\.mL7xS2' ]R9_Cmʧ-6k>z=W8UPHb:dlC4L#,>硣34j/Gy/`qt"\9@RhD슇m4C2iK4y7n9T3-7YK]sR-He5#ZD: 4O`xa2%o%ZdT4{1cXKS :p*:K 8pIakc4/kŊaEql]&++j>bE w#DK[}edrku=? XaON cM p]&ެwgUoO3J[W}ϜcodҾә/{gmX@m0}IGnN^[V(U jC<@jνGۙxHzvi$]|zAq; ␫ /C[vXmaxѠՆϛEc>d "O4zVF٪'\Ӝ'rŏ/'5,W [Qb[C,Yu/Z}៚Tz]/iZ=hw퟼3wíi63 Yۻq*FH,r{'.wnJ%SZ^z3<&u 7+ce!RQcpew\v[s*F z1o3&cb'7QNZ脍['GMy^{)஢lmZ1K{AE|+& >ߠzRc =*8R{S0& _74 "`4MOL~h>TH9SǾFJ͝:9",wa D(=o,^15m!۽8|Zy}F!õBD׵DVڶ[ d{䪽C뎫-KM[7៷!=toGٰfd BYur$I%'3 %N_Cp_K1 KXPn;L";5boU &ue nLs ;ߵIpqܤ3Z7w[J_Ltf):~DftQ2\ '*Y{=w̺7|I6鼣Mt;u|`fvBv$YhgoN[ַ~ecM3B=xv`=>0Kq%ɎѤvx:`/>!IiIc]G$>1@ H+_6[u<߀;ɺ:-͟;bqba +JT0hOy=A^ZJpC Սzl[{?;ת\| wmT%жif E8GG! L?nB@ lQrOķYzoդ$*RaX7:Fv`6rJ Zє/c-) :};bWdk٣JHW;ӆ] mpc`vu ! $I!f4 "a nNC=˻1Ռ 乗\gl! ?1Ld!LfqRnQ7r${ra ҾT}B>Ox~Ċq#WȚ'Ɩ:")8:My,}\7O}T:IїD䀊Z|Aq115uU7̔&TaT2\XX[nEJ ˽"`[{W3)q*6^3 T!\-cq*h0ra$bB57Av Kefj|!N7:!`p$z00RZ.v#,ajTiiOj}ѽd%ZlSe <d˥Tmb+Y% ׶n8Z:, ~2.ۍ+RqK͟;~ReeFS0g&e,7Rv>Fi:S.p2_#+e.\HtXEx\ؗi-E@]1u$K, ^s O |@^90vf`;~; r@>iMgBYG~T-,!9l7x!|+#\wxj?ă¼ /N8;d%=>۶B.2Ve'Y2>"O<K’R`eg<> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33768 7065 0 R >> >> /Type /Page >> endobj 7054 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7055 0 obj [7054 0 R 7056 0 R 7057 0 R 7058 0 R 7059 0 R 7060 0 R 7061 0 R 7062 0 R 7066 0 R] endobj 7056 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 606.1 203.2722 617.1] /Subtype /Link /Type /Annot >> endobj 7057 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 589.9 145.4837 600.9] /Subtype /Link /Type /Annot >> endobj 7058 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 573.7 154.2562 584.7] /Subtype /Link /Type /Annot >> endobj 7059 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 557.5 144.2737 568.5] /Subtype /Link /Type /Annot >> endobj 7060 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 541.3 149.6802 552.3] /Subtype /Link /Type /Annot >> endobj 7061 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 525.1 164.9372 536.1] /Subtype /Link /Type /Annot >> endobj 7062 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 508.9 180.9312 519.9] /Subtype /Link /Type /Annot >> endobj 7063 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7064 0 obj << /Length 19 >> stream q /Iabc33768 Do Q endstream endobj 7065 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33769 20830 0 R /Gabc33770 20835 0 R >> /Font << /Fabc33771 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQ ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7067 0 obj << /Filter /FlateDecode /Length 1678 >> stream xڭXɎ7 +tPe 0hi9 qAs瑔TQ#Q)jkQ[m"Wuo:],5AꇧuO1h5s4Q?Lpcweh `vqWm0PT =/s$ڤ_Ows¿s8Ji7S->`ZiY{j+MNP_=JN'AsmOI'ogf̂1?Wi~.kD{t;(mPs+9%m=k+3Kr, FpZ3 ܔ\!&7'I~Zon `w ~̠J$hvbꧼns1um?on=67cVݺeqjtoZW'g>Td{[Y?}'Á`t#4Qc=fi/6G?\+ [)>:=eɶvb&Eys\] aZS B&g抃ꢭA MllMt:y31hhqND6*2W3|ߐW-3pW_s} y qvnzS%ACzEӋL7;#Dvj6Vaà2sq-xEEp7=$6@'K̏MnY2E neC467pQ WyXcB6Mf?of -Z[V?bnyrӕusl4-2Um3UL 4nͺapG[^IWq˶fNVx۬.s:3fѴ|lYq\M/:&|,OzDKuSG$[Ko_DS!䘩] pnӾ'ҥI .0բ,ȑKYPTlٟʷƌVy XF Y7ȏ-겎16z Gief0n0Z?5GpQh&=&e)"\yk-ҥ J\n6ŽЗI$DSqzр|ᳪoY_h+U ҩ^roXz]+ЀsC+fyK<0ܷwki~6O>C◢v _p8eӯɠP{wB#m`'j9#ˆ] }kӰ%kh K endstream endobj 7068 0 obj << /Annots 7070 0 R /BleedBox [0 0 612 792] /Contents [7076 0 R 7072 0 R 7073 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33787 7074 0 R >> >> /Type /Page >> endobj 7069 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7070 0 obj [7069 0 R 7071 0 R 7075 0 R] endobj 7071 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 304.725 147.728 315.725] /Subtype /Link /Type /Annot >> endobj 7072 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7073 0 obj << /Length 19 >> stream q /Iabc33787 Do Q endstream endobj 7074 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33788 20830 0 R /Gabc33789 20835 0 R >> /Font << /Fabc33790 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTΛ7acX-`~߇]&`j[i8 [n)jЎYzrq"TYȵ7$*p% 4Nb JnF(BBWʘJ9N2&4'*S9!^\y( ^rŸs@61 * XٷǞ5" 91J86PzbG /_;QOj384hwm[;0Rccb>tD&r;L$u$r!WɅբ\gy4ܚGp#?d_F<<%C9P2,|<30-s@,`K'o.Ŝ|B毗b*;j,'9 )SvP(y Ph'|۾{wZ endstream endobj 7075 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=585) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7076 0 obj << /Filter /FlateDecode /Length 4655 >> stream x\Ko8Wh݀]z9'9`VHwSHzdfӅc=LQ$?ERKMW#\wrX迟WIхhӣ«%_WGmJ|z-^ܺmi=f^ão|y?h87镫*?"jMjVVFA˿*if!%Y{Y?kXbS/2=)J%XWIR"'g/UtRhef8.f0}}HDX˄D'`%p ) Ȟ !gr"YPL:vJ\5,hYr=8VUaqPފmvG\MseDKՊa{.+݉:5!Xډ'Bh(HcE;^aԑ5tOMo CWRKuԁ͝*rUc9&Īd 8-h:{TUGE6_Y=I'b' 1hX؊eSh1j@7ƾ"{'/B ysP8|E$(f\a j{Cpb>cnS{qiQ "?WܾX^ ubȋΪ.wvD c‡8gm{k&)>$+;3@$4mYlSٸȲப-[]-+ӭ+v2JBVwnJfԪTThVqpQΡLju_7yyY>cPU#+'+$_^E5-֌CW|"DO8H[zf <݃DK4ewdN6ҸoP_uߓ9$u˻$wi"Zu8 4JՖD1eR59iO-6{ak_RGX0Z hlʣ&*W5/ђ`Ad*{ƚ)H! v#wԋ֢%׈4k%oח][40 bDX n[W. Q̅xk\2~-4aM(:[j-l=۪tDan*흋\ОS,ٷÄ"nc۷=_IP$3;Ty.mrRTbTzsAF9K%9dxCsFiLV"WbY EӢ3׽R:[l,wc "ԈTdm+E]>pK{*i[dTT0agco` VYpͲrXRe*2*m\P;TUGwiZX =pكi`!4@ ,,2K]#bjɜJXT4Xizv2=@qe塣Iپ;8=8NSt^Nf$<}(D'i8N+$8/xh~ DI [g$+$}~ n}]|'qڬN]1#gřթ%2 \'fI tCSO# pL } xo)V@j YB@exgcjnRˀ8$?7AK(`c㺝nUnΝS|^i?q60$g.'Ԟ G.`+zм@L}^qsؘd`/}Cr|!禱 P9lOK2@*Tʝ2/2踇rUʛD' 9x1U(8)n<AY%k=$QD0' -dFT DŽsXBբٺNm1ٟMBMLXD{%3v΍}wasކs{ho3ǚ1E0d+B;SXXY6 y^,U6;D-*Y )[SH1=5Q>.;Π6C&J5 웪؀OIwЁP UvΘF\qbkH0+ucÂ]0َKmgvĞ(N|!Z=AzdKR: i5@(1M.%a^Y}gWQ_,1Kn]K.Gr`/1\5s\[s2 3©.u&=%-8Hx)\Ħ+LS)%/z( ٟR3hݹmکn8hldbY"f0e),}Mth\w ^e5#{ ^0rƴ{$cwl6,g_n㶀=N{>W"C]DӮga&`lbcK:>_ W0}5D,WBܻ^~mfq[7 JS^7SR,%ԭ<-k.m[t>{j%vzUmгUM;q>4Z;h2޺U9Y9jrߥe\٣G$֟Z ~ϨTovjkfqa0Hb.ϼ75Kz2En8;P>R v.H&X]_4צ:l:wsoaNJmh\f.a1j#Omʈ>ǵ݆z= B*ZVv}h~tPGFGz7ϨЁ1Z'nՂ9S&h~ŦGk\s׷2LSAjV#:tCg|%cf MĔ4;=ޡ!2  Ҟdڹte>b˹xǼ`>R 8K (9ܧ<Z))x18 qP Tdʥ`ϳg!m'ψ6mTPvt4ZzD,lgHAWDn:C foxq.&s; @O(>uH#=ֈFc:= 5ښʱ}IM?`Rln`MO^1>q)eGU-p) F,e0+$;j5uMZ.\N|-qScZBGǔe.g|DSװr}[J-n)4d*Lܴ$LjZ#Nu/83޸&*4>KGwTmQ^| |VzVldqs٘JiKFoUkyє*gX2\ޖlEQ<d{x*Hq^ԩ&eKۨU.sփ>-36ֽV7j;|t\K\ڼ{=W'bk/h5|unG u )|qMX?_6ɫOt _I};_‘]HHNG{,[|}!]4ICFi&΁rߢ +nʋkEy?䃾ё?Q2&6|">eg!>E"ͦI_ syH{J7&yeP?#:2 3Qvu}n4 zj endstream endobj 7077 0 obj << /Annots [7078 0 R 7079 0 R 7080 0 R 7084 0 R] /BleedBox [0 0 612 792] /Contents [7085 0 R 7081 0 R 7082 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33806 7083 0 R >> >> /Type /Page >> endobj 7078 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062954-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7079 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062954-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7080 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7081 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7082 0 obj << /Length 19 >> stream q /Iabc33806 Do Q endstream endobj 7083 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33807 20830 0 R /Gabc33808 20835 0 R >> /Font << /Fabc33809 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7hPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM7 endstream endobj 7084 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=586) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7085 0 obj << /Filter /FlateDecode /Length 4756 >> stream xڽ<Ɏ$w~2̝A Q@eVd4S`@}[GD.Ւ%dWl||FFߤ ?៘,״N/)/EI嗟PjYCV~U㬔qJy"=W8^jEOV `6(tF 6E~_jHWh 4ΫL~,⩽zF}y" ˆpV)+>7境uŸNiY'&j5Y>a;YnP@Bk3EgćAqL&{ jQNI<.iʃq4'KMh$o#-0Q~Ez^)(6"@~`a/ysD&aƤqE:$1Hق+z坘 *E&%d,![ N4&|6crr1r|&޲m+-*wwgMңAE}E_µ5N6b H[Ow P}+ryb69ѫHK"s<ƮxqWmlM.GD*ʤ3utO= VĐRєSЬN qI&i$ 0@4k74t&{zȖ u$)&)jdC>&?F}*ΣveYf{;yMEUMS5ǣBa'?Rʎ!<@̰45s5 ` [hZ刓#C9xQ-/ޥ=YV9;# P@92hH-uIٍbcK8N0YbR|/K#Vuτ+hsUЀ &藍[V '#$SU%z1IW@ ^K}Q4e\8xnmA:{Bu{=v c˳ek$]N"oKTӁX.rS>,t+zD'z]/N@E2^sN\UP@-!p%R_{x”o ZUkr;{vd^QFN”>ekiC>(f%Ŵ9^r@;wQ,lE|3>㴞KW5!3)1%4( Q΃”DK墥a&ؔ{Im3֟(eQR߹CS9ʩgj)StyG/뢱fX`b}^'Qlqwl4C݂!ՐpO'5;jҐ9j.9[>ɫIأ Cto2Us_X y,"8Qj^,^YhnG,Ѻ7!r9yך( k1a5IsL#7bcnR@o<շm[^YvQsiMmqR օ/P‡'I&e\{}{5oc/V$iF$g+tXעCS@`b3 r,lDɮodnگ4/͕30*.r9T%$:'$^խzyAMi5Uyz`]dk/%Pqi}3oJ <Ab^ O-}ON}d&cj֜cZ F>W|,66l٩ M}X0aF]SHqd\gZ$ELwe`QqQ9ڨ9rҶ[㮑M8NbFM;fpd8\Slㄙ[jۤf>8y/gMnoQ&*pQ>eZ,&/i2NC;YkZ+PcuFf"6}MX88 $mVuqy]d.׻Vfod1vu 6ycĉ)B\]ΕԘ'>O~f߶TdX*D_|bUeߑC} 1Kgٜxާ7.'7.˚,i|rXJTbknvޮLoq Nqd&N=;{eK0:Ew c=*~S߰3Մ :$HR}Yy>6L+]V͹yPmRitE_>s7"#q$K;+){; 3yhvqӒ8γ?gnOY zG~{Wf,Mbxw~TC'%r(6ƍ&HT?{^AUOXtRQNFd~2-Ow%}POnϾ7bؽZӭg=k*jMN-(}z\Yr]?Qp.]̫|6tUwވi:Iq)o+)6TF6 *.S'zv`\Qum-돍%jjFkbLYLi\P+s{K-:9dAZZیsã(w}ąk0}^fTpm^d]kq٨װ˺o{9 6wK 8L[w;6= ؆ɯjG4Ⱦg"{MZhi7Gs3`Cʢz"~+Nk\lR ['~` _>]'.HXzuqX_///ኑYtYTT/hkɾ;|=YțU@ +9[+ˬx*q.cqh0rf&o tvd_gĩvG:pĖNH$*=?|nKDxlzW0ܦmt]i7-܇JT_=/9/g~pW:}Vt>y͗gthaR92 h]c!SGP\>{'/YJ~1&&>g:}sɿ{:(P,AeZU"M螫E].@Ns6U)CHYL UȳLL}X~. }AuT =Z,#`yfv<وI.3߳p?2;`ATih endstream endobj 7086 0 obj << /Annots 7088 0 R /BleedBox [0 0 612 792] /Contents [7094 0 R 7090 0 R 7091 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33825 7092 0 R >> >> /Type /Page >> endobj 7087 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7088 0 obj [7087 0 R 7089 0 R 7093 0 R] endobj 7089 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 77.3386 172.4722 88.3386] /Subtype /Link /Type /Annot >> endobj 7090 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7091 0 obj << /Length 19 >> stream q /Iabc33825 Do Q endstream endobj 7092 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33826 20830 0 R /Gabc33827 20835 0 R >> /Font << /Fabc33828 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n)ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%ok}48יq|mau3j#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM>V endstream endobj 7093 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=587) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7094 0 obj << /Filter /FlateDecode /Length 4064 >> stream x[Ko#bf X@n!i عOnY"3%b=f3k\9y1xINOn6f~uQi W :/a^=>'K[m~>-T}P6 x1iu/QEdf S%Sj0уQtZ9Ӄ-ޚl\Mxߓ|]7 Ycޙazb |x&-L7,L$|:B!SH5*1Ş2VXlU4q*'E~7A}}ҊHA>|{w1. O/oVoѧWz2/_aFӣVgjr)q|%'`P#~&Oسm5/2s@!5/EC{eO/H|>#7<^yD#J^-Q6dl&'XQhg7DžW8y 9UG`UC$ej?[AmdWdrEuD7xWX9цJwHk&69>neqpmrvÈރQ6v)sZrV/`2,aydN@eJry0X(:V[bB2}O}Y]U.X/bo*S9Bـ]pdҧHPzr .wdfÄigʺ"wL2"!FuvVswPCd!i^)QJ}X+5n^C\3NQz vrV ޿Wa;A,oT! n{>=.@qkFU80VMd@~BT9弰@$񻌒 *ߊ-=!'ҡy d\tJS8H&:0$ nNfZZj)s&;HIݥ(y%)m8M2wQe>(E5^ exi~t4^DnčSUnAG$l/lV+>oŁU#2jLe(PvNiwU|FdocۼMB6 M{nH"I9^g8/n'`1LU ;CwJdߪ[{z?Ql =A7t^2ہ?qsH13jpLK ';ަGN-oi'piM (eU0x[Rd>lo xŔ[U_BuR<dt%V}=qجveO^$Hgws0ǹ2d@szkzXYSTx ިPsݖ]r8~iEeR9+]5Fۃ1x]J')#SBxRs:Jknջ|vsA^]Pճ0 nS"PapYL/ƒfCGs^l̷3#&oGaU X{IX3C>15[j|ƎMj&;rvni7ęv[砐t)ڱCҳ֡flGz_A/lѦ7Ls!u0TǺ&T?3SӣY> /(Ek=0v_J]JDClnݕkl/]zt\Wnz^E]MܴnSq}7&>;F}9Õy{ (՗ V1NX,'GPK^{lq5< >ȥuȼPc=##Z./0 2)'/J#ϋŷcδfVU];:ox݅}x .[xnHeUuWnML\CIIzAgӺu#ZzC!{c[srt4Lo~$DcBlRxҽ-l|xCL'f\wcbiybi_bMϋτaq,^ M[XЎE}ԏTύCLʁh6UHH?Fud@]LMN U?pifbfz8 kid$AmRc,a]E ȉ92 z@0з%EFrdp 2kfUQ@IZn\~js~>m_X_г ?H6?`t.؅E_\%A=TomG l3lS|:-(@RU^gc:c},u2H]B`h*HAv]'n|f~|xޔ:sUJ v}x(DK>Cƙ_ifL~A2rԀne'M$B{njy"0+:K2 O =_>EF.|bFP(\bDa#N {%X 6= 2&L/$~+x +;2`t!-R'!xvy"e=t"2]5\ TV'AYC?rimLh&G|a,6^6VBmu[V(B wmRad#HprbH~)IQUmޕ㟤R*p[5J1a[ r<V}$H`Ŵ('|e~~9O{ϒ>@Jik$ 9-\`ڵvD+,Hz"OϘgx??+gˋt BasW?Q2#cAJ@R0婙{x/gޙ7';_7Or9 8 B62#īYy6 '~HD?fJ3Fy3(=d겏Gm.ܶM@5XkH wfO endstream endobj 7095 0 obj << /Annots 7097 0 R /BleedBox [0 0 612 792] /Contents [7109 0 R 7105 0 R 7106 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33844 7107 0 R >> >> /Type /Page >> endobj 7096 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7097 0 obj [7096 0 R 7098 0 R 7099 0 R 7100 0 R 7101 0 R 7102 0 R 7103 0 R 7104 0 R 7108 0 R] endobj 7098 0 obj << /A << /D (unique_396) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 171.5647 709.9] /Subtype /Link /Type /Annot >> endobj 7099 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 176.9712 693.7] /Subtype /Link /Type /Annot >> endobj 7100 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 188.3617 677.5] /Subtype /Link /Type /Annot >> endobj 7101 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 162.5502 661.3] /Subtype /Link /Type /Annot >> endobj 7102 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 161.6427 645.1] /Subtype /Link /Type /Annot >> endobj 7103 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 617.9 164.9372 628.9] /Subtype /Link /Type /Annot >> endobj 7104 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 601.7 180.9312 612.7] /Subtype /Link /Type /Annot >> endobj 7105 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7106 0 obj << /Length 19 >> stream q /Iabc33844 Do Q endstream endobj 7107 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33845 20830 0 R /Gabc33846 20835 0 R >> /Font << /Fabc33847 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v.s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7109 0 obj << /Filter /FlateDecode /Length 1293 >> stream xڭWn,'#545,4RQVN|hENUACg|bqv*_us:E8MsҗXt1%%}|.> Mhܼ@:dgmN;- {k:w*"`Qv+%Lv-_b@SnssV+(A'UbB<^#1r==%[>QsaYY9ʳA=9gR\V{ŊkdMc kY`9AzE2E4pEmf2g&obLQCiِ~]ը \"}rxƄ5OFѳ=CTl;HLd ES4=&)/i{.ef?2Uzn[28q)Yx'ѯ3ݜV0)]-`y)alAj$dlҧpz̀|3둵扇a7O< 髾 E9qqNwq1xۓ,OψH[VO "8*o=isp,1.c[Sexn{ލKMwrtS0I:v ,.vGyd Mw(AVS2+nfx{Ӛof1 s DϲՉ-x,[_]> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33863 7116 0 R >> >> /Type /Page >> endobj 7111 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7112 0 obj [7111 0 R 7113 0 R 7117 0 R] endobj 7113 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 329.125 147.728 340.125] /Subtype /Link /Type /Annot >> endobj 7114 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7115 0 obj << /Length 19 >> stream q /Iabc33863 Do Q endstream endobj 7116 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33864 20830 0 R /Gabc33865 20835 0 R >> /Font << /Fabc33866 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}KZ endstream endobj 7117 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=589) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7118 0 obj << /Filter /FlateDecode /Length 4430 >> stream x\Ko:rWp=ѐ`뉁,YN7^$}vV>HQbU}K^W[M./s~}6x~uSƹxwkORR{tk=w;*.{zinwRNi|)|k>>>Vl)\7^_@߬#7ۿfChVffGyrn^MT\t6u>ry?5\tY~5[7S!i_ԙi}'Ձ[[^+D|kL2's sAJtBAz?yZcxɱw~*!۟i2 r.<Ս\u @eo6矨!pzvO'hp{z?h@&*gCYh´.gF zćڎ0X!ցj0w፦}B'7nu:u_[(DPHPcPE-/WZ [,] "~*мWNX~/^uP3Fs 5f.RD,uk~t{%seGy5\X{N=<JgQL"5qVGcUJFuAMXMǑe5kBUUb>2=cmI=7雭¿,=8$+i|*f Čf*5\VH s=!ϊWPG: M4=??]ޕuZ qkPa{qQORoY8鱢(T$}7J #VwN P&KXInJ (ӂ \fcR (xJ5O:l:RB?8P j@`E?9c|Ao^pz@{`˜^~+Nfb&/\,x&8?x^¹@+x,E#);bDS +TB82=u ;Dqi ѽ$ʫ/3փ2-8%NTԸ8|\[3<̚1&PB23Tke:Γddq8 ','ڻ14l2ipyl>mZjEjV;ZnLQ S2)>6kJLq9q\œʅyQT2=4ye{ to-a'r}h:[ҕ*f.hʔ6g4g׍nd<E'.2M'v MWy/7dNr|.L$AΘ<`d&{&re\k 25Ŵ&?(>7f2bA3KPqƯH: i q/O*|dBn[ &aunc%44ߠ?e a|{{iθ_o;jw9iYÜe?MV >uGko#\:$ߩ vQom t w[:|x˵-m dh5n7V^jjܖ8?pKy}bK>uzXvBMag Vż*2a spܸEaYb^q& 'sX϶A\w?֏2>wޒV۟nE5ǹJCYVeYt^|2ELj 2Ѵtz.S^˰_7A+妛@dU~Po(*O041$r0ʸL5ȸ7h6FQG\b.)})%rech mxg}m sO2 z]{~+UZ7V wPGx[un>oM#s='<PҲ:~@uUw {$%$X|Zn>MM^=TZEBȰպ UX.mưviwx;*N2o[ ēصY>a?̙xv]{LՕ6կw/;7z5,rhHчuB[BOnKsb8I(:U)a畺IƩner,Z]lr>FkE{PK7QZ;yɯذ||)OJ`{PR[vDU+yu]X_~=tSBE "0:}F_6dz'A]U-HAD+(&`pi|AG~kЊ7F [3iՖVRXRV@rmr$.-aI2qd!pi᳊>t_4 jih͕HA{+~g[]Ct3:|vu͘y-3-F"28ƹ317Rզ:ݍ:<,hO079qwϓ\- TB³퇝R*5$a\'S&{9e 8IVx(YU:Ĵ'tgW}q( M<'-^i620nd NҮa/k|ǜ=j5ye~y.M3^v?rxL3&\QDy}i qYcpr&Sni(AJcy39NiLs ."Emw |;g>j|I{,U \:g:L;l5eS&]baN.  N EMM:"'H*Ur$֙&x.8 qٿ`[xe^$ 5\TsR)$՟^/\pu[R{VZ{P_$5Ci9ʹSKw\XI$^+JuZU$CֹSsI*ϥ) pKӛ4Lo*l'H.JMv L%g8]ٗcbo۸e<ep ؼD/eB/eWB{Uy<*a>?Зtxj~&.S^a< pDe?7{b9ƇPHS/\O>I{ !6:Ҹ`s~.Bn90 ~rv'WWwxZ7φA50 tϏAD6ETqj3噟mOD5@ӿ|j ܚeR9ʏԑe<9pk\Dk7"GR}H*`A/D endstream endobj 7119 0 obj << /Annots [7120 0 R 7121 0 R 7122 0 R 7126 0 R] /BleedBox [0 0 612 792] /Contents [7127 0 R 7123 0 R 7124 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33882 7125 0 R >> >> /Type /Page >> endobj 7120 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062954-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7121 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062954-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7122 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7123 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7124 0 obj << /Length 19 >> stream q /Iabc33882 Do Q endstream endobj 7125 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33883 20830 0 R /Gabc33884 20835 0 R >> /Font << /Fabc33885 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {v)%C;f٩c8I 6-$G7]|t'w J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7127 0 obj << /Filter /FlateDecode /Length 4855 >> stream xڵ<Ɏȕw~D @H %e[ @ſJRRfJxKP?1sgU-Em|f/֩6,JYe J/QjDZ \i̲gLx7J]sT+3]X^'Eq]edXai8 ^F}yM3YTx,jnEu,`\h/ϙ4໳|vU+]U =C@t;/qEJկ}ye4q*FDry:.x\#̋_v6j I%Xz"BI2upn\zF ^ N<6")&!$ "Y pUp/fE58itt&B''\ٳÚkZԪSgμa(ʓq&sM1K6pEn;#.Ҵ)3hzXߧ1M Wb)Mr4 T p^הeyArt(H }ndV[@nX}n< R0H$mE"_ϕL^FE[8&a}Vu@ f W;a*dhMRd4A4eA LV092Z Q(6@V7mE|Yn$[VTT[Cdl8M:(?>γZ8d|­M(ъHkJP<.Pp RiuQQC˞D,Ȅ;z`͐PޔC￵ЬN TҐJj Aa oYp gӽ1 wZW45cYaP%[[=ѐ^Js/]K^|qk1~uV$ ^T _}Rt 6:_NDi/qWH %`"2!-ZkŁVqcf(",V|gśGgt6KJa>oHG: i]&(`o{HKO [҈UY3 ܠ4M.mI;$(e\lN0i6aҋvMG5>[pkȸfof(ld5!#tby=$5t2:^:!5Uqe6V{܇[BlU6Ŗt ŒZQ6:. C*1qOVAvoYE@8MKu-*,}>/@Z'Nߧ;{L/4ZpfBm.:Y{"r#Os}$Tшc[$i<ÙɁQv)/);z?ݙk$beNţ{EtԃؘX1"ބTa T5"N·%oSb"9]UrCqHos\~` v:n16b*r'MU-BĒׅR:+57R-_iF܏U ɞJn-6_pFfER.ɃmtTwQ0@x ƺwp80(^$Z=0M'XE,=ޥŷvyKxl081Do$&a Ew6N܌Eϔ&pn^U{4=y,Z?ԳװUՒ"mZjUweO嶜՗3ݾvj5?V%~[ssw$MjM!:2IuW Axt5|gg,NzjeE齺'x3 VzUC>XM9r(l|zH0i]>%񸓎〮it t7o[&G9@vlj~[|xd"_TڮSL4r*Nß4ttF@].bieCfb9"i]ZNojfJܷż,9Af$SKUccaj#`%4+MF8pmjSmӭ(%-LwyV"`AK[;W8\nꈫo\Ʒ2=2mT^?3->>l\hYr2}j#aP c66ec(N۠ K頂=?_Ny硌X h%;$e(bdz .&:pQ7l6C"G)ɳ; 2w b4eJkUiǙFsh _4pə!3]S!V9դ^{g%UK0i96%dcovL嫝ƁnGX b펔`]&ؑe9 OxF=sHR}{h FSCf-c+}Yc4fZ'd?-뭭ӝG֐=N?Pߜ9jT#EJh-u_X񺯵HVHb9˺XIVx=|t27w G7,"'9&47N Ξ8ϭ8*S#س+\Lb@:R \$dǺ"M+oU y.bV}a|Թ{%Z6y(ʣNR itUl]-g]q5,6=Ϲݖ?lx鐻i@2ҝ`T,;צ czX17r}So_`Rs&+_WSg18ZzJUo_? SKk\rv,Υxb /6 ԃ<奁&?b\l/᎙Ӧ|kۓ܂T_Pn]\{ ȾxdN'Z)cB/-W<Dsrz;}. &crr‘ȵ jKٳ.4!$$»λ ; >+ KqjƖG, x|R̆PK~jHڞ#p~14WM=27a #^Wm@W۰Hɏ7;ܱ&3^q^3oNv.%7q2a>L>8J2=L6f-՘˶֫Eh h&l]R{ȀV5xž-!5EYJޫhlڋ)U v`l޸B*'>ku'? <9ٔIʗz-{y?xw*^|duII̚>yDVclR =I7BۣPź=l6H^wPi#^EUSU90~NYg0F8il-c鷞1-IC|xX{sd^֕OޚG?q<~>0uhJ~_Nm=pYF}x9j?u(svS|XUKsCr<W?<<]bӷ@[:܆ux+'ǡacjv ]B?dT;AΫV!zY ί+ r1؊%K2aƦ ?W"8- R<}Y4_渘Rmue2xf:?h#b ;_7k`!i[ӈ yjpR>)cݘiLdN ]I| E$:, <qF.A69&E&\0lޅer~7R4n7E*3&@"C>1pP50 HX^z_G6n355E C`մ~9݀>ü7?Wm%"5E?_Q\&^PWr̄Mݟ2 3\%ȅ"DZ Wܺ^8>@},_|z藩{tm̥JK~T0od[ Ug%hm./`xoܒvݞIz-ef%^r_~K@t]և,-y'h/K<3w!CUhFz> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33901 7143 0 R >> >> /Type /Page >> endobj 7129 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7130 0 obj [7129 0 R 7131 0 R 7132 0 R 7133 0 R 7134 0 R 7135 0 R 7136 0 R 7137 0 R 7138 0 R 7139 0 R 7140 0 R 7144 0 R] endobj 7131 0 obj << /A << /D (unique_391) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 285.1385 193.7847 296.1385] /Subtype /Link /Type /Annot >> endobj 7132 0 obj << /A << /D (unique_392) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_pin) /M (D:20211013063105-08'00') /Rect [104.1732 268.9385 192.8772 279.9385] /Subtype /Link /Type /Annot >> endobj 7133 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20211013063105-08'00') /Rect [104.1732 252.7385 198.2837 263.7385] /Subtype /Link /Type /Annot >> endobj 7134 0 obj << /A << /D (unique_411) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_nets) /M (D:20211013063105-08'00') /Rect [104.1732 236.5384 183.8627 247.5384] /Subtype /Link /Type /Annot >> endobj 7135 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 220.3384 182.9552 231.3384] /Subtype /Link /Type /Annot >> endobj 7136 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [104.1732 204.1384 162.5502 215.1384] /Subtype /Link /Type /Annot >> endobj 7137 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [104.1732 187.9384 161.6427 198.9384] /Subtype /Link /Type /Annot >> endobj 7138 0 obj << /A << /D (unique_416) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_ports) /M (D:20211013063105-08'00') /Rect [104.1732 171.7385 167.0492 182.7385] /Subtype /Link /Type /Annot >> endobj 7139 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 155.5385 164.9372 166.5385] /Subtype /Link /Type /Annot >> endobj 7140 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 139.3386 180.9312 150.3386] /Subtype /Link /Type /Annot >> endobj 7141 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7142 0 obj << /Length 19 >> stream q /Iabc33901 Do Q endstream endobj 7143 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33902 20830 0 R /Gabc33903 20835 0 R >> /Font << /Fabc33904 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 7144 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=591) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7145 0 obj << /Filter /FlateDecode /Length 3317 >> stream xɎίyU/ЀM@9u v[Jbr,m|;eEj1Y9-I㔢_'wZ>}{mXR+/>I)X9yo` P{Xgv|e,KV*;A AfxF*G>gqV.:XVu "b Jla)L9\OJK[T;QacV@G0j pLghRch݃H>OT  ~=y=mEk"usС8"]$$I:CDj@* ei#ȸGa/G{`!$J#4ayDAZ~ּTI)W),Į(і%0rcx~g쒳PuQ}*lzb,p!J.#}y[R}aQ ztkL`_3k wDɔuoIh\7ehE81s8wƮx:fGad:7g\>sۯs%a%͝Xs%(K0q%P4<pnh6.&Atol*N;#':ZmwK.U+ G+&ۿdϖP-@HZRӉ׈X]q3uZ*4D|[rwيr-oB5hc ;!4lc#9- "sizM;]0jM\¹.‘N/\S ^$u>2>$63Pu<,{grK$hP'C^'Eg9Z) xQ}(C𒜭6ŷ;j}"h, u*cZݒ{y{=׹ؤF5ǨaX#맸@?U'k0 CO9Toͨ+ᾥ#>!jHg}WQJ{qcRuH Kk'\-4L(;pjH>5r*~Mq5ԵT D7_ eY-Ǻ QN"vWRh! Fk޹B`ʫvÁBaj(;+9<\h oqS.4*E*]GP07NEc ڨEk7݆Ki7_ |>- gZ8K*mh- @Ȭ8 |XUf^Zؒ,Pqc=zw(N4jV 2*[G;mq*JġGx[9'ấE*[D3pjҗd\O DGp? ' 'Pz#qN/7T/4PxF03޿*| 3F~S4UT"9C]ء )80S D bKQEj*}rR#? ciJXiw;1STg{dȷR%fg tzJ!nhXlJpa U_y %V1K;%VEĝci4T() E촊^Q\"P>H7["t*9!~iqThߗx48|o Аx.K.t|;#vf}n~< 7פԟ_8Sd<^OMJJ[f=u̖YST1jꚷ4:uET;R%ῂ>z2Mj@KY!ˮiމH0ti@@lYq`wds;Kem# <>U<ؕW:]jDBGjJ 6=n:mH`3IJ7G *c⇯T;?3 ØbD x{TG\v!hq)Î *SޓL8qtb)Z!LLq%΃vn]:6z*[hpLnaB?Jd#ȍiH 7eM!Q=l3?ePo Mty11u/SΕ:~f\)?QnTH ^k(簝N ֞6&)^gҐ Ь EȜ1 W >D #|XffBa5}s,q= j<50(3s4A֛?W"?;}\"T]xA8>ƪV`;&7x>":qb;6c'Pz#fEZ@5XkH cp endstream endobj 7146 0 obj << /Annots 7148 0 R /BleedBox [0 0 612 792] /Contents [7154 0 R 7150 0 R 7151 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33920 7152 0 R >> >> /Type /Page >> endobj 7147 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7148 0 obj [7147 0 R 7149 0 R 7153 0 R] endobj 7149 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 251.925 147.728 262.925] /Subtype /Link /Type /Annot >> endobj 7150 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7151 0 obj << /Length 19 >> stream q /Iabc33920 Do Q endstream endobj 7152 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33921 20830 0 R /Gabc33922 20835 0 R >> /Font << /Fabc33923 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$b endstream endobj 7153 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=592) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7154 0 obj << /Filter /FlateDecode /Length 4826 >> stream xڵ\K8W<@x Gs0S, T]/)Re;"9%KE(ْ68]K{jB[~~~ѓo:o,_\M_fJ d.iV{m4ˢ2e˃Np r 9 S^žgx& k^CykPsf^SmNid?H雱5iYWu#$,z㧐WG,0=BW "O+:m}}E-Ot}3463hVzlw.1.~$=̾wi_䲙 >k|Ѫ^4UUn;=C5;0".=2? 2qv21N Y5aB8Dغ͛uPt&#ig+' jAJDO~*D$288x/ wwvT(UGJJ :luRƗ 9FVlB>c?᱌<&\`b?[$)M(OR=h!N{a϶(2['iMJvʢMŵ NmSpQ Shr;!bĮ]:,v}XbW7ŎDT_A24%F dhd p@t;#/P3CA=Qdmk.C' =xJr 'juA{"q n~u5Y` od84_ PXj#,Uoh }t5pY,`t9^2;ἅƒZ~%ʀPq@!g.Ϭ jƚvZG_0(I}j?X1[wZC9A5h-TDYIw=v<eU}U]R4T_FΗ~a! SyFy\4RQ%2?>|Jpт?2.3Kr^bYqi Uj[JE2Q9~bΐ-k#d -G~鮠b 筷6ꛡc52sI\R=Oy |1&3;P32T[%:gjQ;S ))D䥷媿-/Z7OZFӵSV8\'5N_'%5p:ΝpRO^NC"g7ՅIY)i” JSzoy'yN4+0S=8? 'VN{wIpbsk~&Ii]S N7^܋'EiHVfދ'Ad'x<9|Oj /_Id^7,iVuuOO>KG|cR&P g">7:2=k!I)udMHk!I:&qR5#RI܆oM6}k_TJ0mT.m[٬BX̜ DY\#sHAR6A@ _EKFR< 5>G\tRuɓ/`HݿmN S4P{(.~'"T͕dsZAp؃evhW|t|P3og! 7A~ԭۭmם;@2}n|2Qāf$I2e8>⣂UEj!^ $jKMi|+K6~:i|mc;Q{>vt~ ޛXd^\c_ [vIU,X,e=iAA&_>%6YA1BvŁ4eYp}Py&3t\JJ[ j%ZZ?5ED;nX#叙Wfޒ{B㧩ogo/ð"Bк*Or>(Q[XM Od mdb NW,%, $<~f@;`Nq`.e% ܞ5nfѺ[.=v:ޏw I6Ov'Wվgr}qDvS1 . * zl o VAzoXZj:4Кm _w`9=*]l^ɢ K+/"b;*}q~ֳhKA]Ikc`hFw+` ,*庍cnV–uS IM=?]h<]j/}m3Hf]h"-P}'KyZ{ZBr85v8q<@d9a8~0;1+8C@" K.g"2NI\փ#>8E*v8(iHu8tt#UY-$8B~>Z!0hِ0ߵ0OZ?a0fLI_X`_21YW0LgO'NVx(915-n 5&gѧ]2rLRas?ʼzr,mU!ԶҮaFί9W[a%yf^y*eԉeZ.;Jw{ WQ?NEuZA\T2ʔŔ[:MkS},A{'NEe,Qח]HzIo# r t1!t0'胺M!9 q~Y\@5E23Bq%4fӲ\x,LtcSj`ԃ][YƧozXe ~j\%??\,g]tsyksޟ* +]<=p2]nazU!6'fUi j'~4$Smʼn ֵkSQUvUJrI(OLlU g|KrnTm| |fqsڹl9?ZN-0I$^+!*ꜵdkq0\]|IϹ+ 8%ޚ޸sEqQve[/m7۩A1G,'~06b^L}\n9Jf.#6q6/@G9/~g^bv<-\Lq.@Zh]}߸z82"|3VWap#`m+F|, ̃tx?p~%l"{>F;܉*Ft  NڻQT_Q|Ǵgzַܶ+ǩP4f>B=pA0{GJ3׃ =D6:O* R_BZi z endstream endobj 7155 0 obj << /Annots [7156 0 R 7157 0 R 7158 0 R 7162 0 R] /BleedBox [0 0 612 792] /Contents [7163 0 R 7159 0 R 7160 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33939 7161 0 R >> >> /Type /Page >> endobj 7156 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062954-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7157 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062954-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7158 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7159 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7160 0 obj << /Length 19 >> stream q /Iabc33939 Do Q endstream endobj 7161 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33940 20830 0 R /Gabc33941 20835 0 R >> /Font << /Fabc33942 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 7162 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=593) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7163 0 obj << /Filter /FlateDecode /Length 4723 >> stream xˊ$_QgCf`zfẐƧ%cVEgUu̮%z^E-?័ץ\SKTxI_OW(| 5~,JcO.;K=-3GI8ƿ ~~I}g$ZO8KaUKy+U]OGZzaT8])S9h FLMJL_ V:mX:4!F9IL@ ogѫJ( Jq ѹ{g!Dg*膰Fy5ё . I \p`fDy%O~ٝX'`jAmj:15;:\'^2N!8'/wg^jpRvu+B?-tnar5^2Y6MV``͙YQդPu xI^kG]]a0hz5Xݦ1 /Ĵ K9hJ#1QII9TdqAT /H[$"Y,X%^H΋ y݀AR\Q$H,%kP3=G$_8ŢY-.Age Je '_މ/2He.~@h7RI䆗FJqzeݖWSDfIRAE}`E_B %bqm>Arԕ/V^š_ܘ$J !5vyк/wY&e%m YNZA}BpMIF &']E7s4F.ә,^jU6IQđ@slV#Hd]Bed3dz1q7'7Er_HST U<:; >^2=-̋ʖTjIwh6*VC1qOFBvo>fcn1+h&ﴖbZ6;x$7RMdBXSJsĭMxs~Ԛ;\MQp8QQQ禆,vLv$%U $ߣʪ]r-Kq).S_ۘzM_"0xvV;N!_:1ߓňU<DRpT |~}lpD58\ɨAq7d]+k48cjtT~b4Mq_+b=ڈ&dxfӖ^PLT@?&|E S>}|үIifs#A^mibUNW8VMlJVQ.7{ZN:BG l$@75*F~_?|뜈eI+)ƧGKJѢU "LgǘsZ_m~ +HNZfΕ%*p7wW72tjmrQ*U}wB(!f4Dp2GF {=mQ6+λJboj5}W(X}^fmF[7[^lJ#][kwn--a\ d))rScGM7]C4Al9sj{mnmnGܶ('ϵmu#?UH~ύ@e9ZTs}݊y s\)|f>ܨ٨o62'b睽?eoP oDsnF(bWُ`Ax\ ҟx=p,RKYE/䊞[mh5+0VXьo;+ ⇢;u O+qY~}EFI!OS(ww`[p8a>t]2C 76NnkY1\*sErz?\KکBv.6Zal1+Թzdw99յob"ZF<-w4ඞƷZ dcm; jNuߎCM[6sw*)7]J+y.>h^Q3;Hu Xhv)ٟ,c`f|A</{;âFyIJNRpUP{ԻgO8uRr鎟3q7w\8_M5?VRsݬr Sa+ :%kXrUш_/vśojM.7,hrg_KBKak.4) 巔?UI{zz5ٱ{F<{߱nݩ`&qw*8Ag"y5-I49wМUoo$6_ϸWD(-x .?i ߽_=2i'5hߏˏ^>}+z}gW4hGůRb'i|d/߇?s+ Hzלhx6\kt _mwEB_p rWI ov@0p$LB.yepNa%x'ccrԸ(򙆓)r6mQCC럖45tɦUFF2;@o]4y9|"ó 1Ět(45A/q Vec210738U [ rv _G1[̗1ȋdIm}Xk߁`ӺU(AF;qJ8̆6L!Q~ ՕчE|*&) \m8I7֬Qgy&򯼐Ni!.tP2{Xx^m0EJ72ߌ%#Ү5ArotEt9r^ !OJعL-?`Wꨏ }=o#|זTl'kKDV(B>(LG3?Sx$T`PJ@.pdy$! tRrZ>,;mz''3zf,NFF0UپZPp/|*4vPgDvG:pKH@u\g&0\)5W_&u;k: D(LhNRtA42?]>RƋ#9іE$M&d]p=uvbD1> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33958 7168 0 R >> >> /Type /Page >> endobj 7165 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7166 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7167 0 obj << /Length 19 >> stream q /Iabc33958 Do Q endstream endobj 7168 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33959 20830 0 R /Gabc33960 20835 0 R >> /Font << /Fabc33961 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1f endstream endobj 7169 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=594) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7170 0 obj << /Filter /FlateDecode /Length 4131 >> stream xn9@3|Sv60C$A0`O$ %XU7լ]gf ~ >/m[Y~nC]TZSۯ_hӺ5'}Z+᧭6A?-]@>(~~NZx]?;lTl!:]`dyJme Ι5jAMEA-mVwfR;]Ѐ+\ 3h+O0tضn$ >]Dxi+f㒂6KE;CB VE'OkD+JO#l^#l@: }{dӒp߃1emm*`}j￀֫|_ 5ʟ:T.KWi}$.%RW@ Z\fx &Cg^{㲧݇0p0ψ FhDȫ&"h)BW "/D2+P)$yyWgg ^#.  ih33vˠioWR"P`6v"X(uӉLLKunJ;e=/yo3zS{2E{QQ/H!ԝqb{ { r>iݼUۖg!aE΁X.­L3xZf,;Lm H_j=keMiãEK]UR>Xo;$I |$YC MuΥ ҸgF&hۜ޽Q{y_=ʻwXǡGkЈ~'OV[i\i,λzDʪ{ۼw*PdY'Zn = [.]GPtz6y@ ,|h<åqPI}O7z JBq|{tH 謞7vL5\L|H [S!~X+ +jeg2Ye+E q9A%ogY& p^Q'ۀ| 90Ḫ~Wo*կnrժQpwVFL)vpU`J^eXkmʁL;w[ޖ)as+zk drR`ku Y8J$,(„X•-rdOn/mOV?a}y V8Fi7+5%CrZT3-BEZ^Z}՛dM뇣Nr&TQ"pòV t[+}.ݕZ* 6澒S 75@Qi;U޴sRw( 1, W~`X &@ J)>X)ߥe>֣F/'5H"( Er 3MvzH z(&ꃅ*++Unʆ:WW}aL/PIek,X{L^ؽ[$_o;7Ҧ[os,qT@l5yg|h1Z"xq)U麬r9 Sy8% nĔ+ӹ.(%&ſh0 "q^(s"R!ʑnwu*˧C`K{&7}l+7ʝöNM`R2c%~QkS,CYjƯ SX XR۱f=LaIakPJ 0BXk22Á̏WR Zt9Js|<^\nċxM+qsˏrͅ.כ!`j#ɉUJdK2|ǸL~dAo#$iξ$Z͚@`ϣ]O*Z;GJd{oreSM)Nq]&Y N^E L[#"g]^zxݻ*;NYˁ S8fTLL] ,Mk O%ai;4y@klXv?rW_s\g!J_Qc<9WrC{X~dd6qY]xSfɾ]o hpS 5-am"Ϻ4mS|bͫrȽD1' 벥ymhr8K8UiWw sٰU .T1\%_WR1邮e;r޶8x_hTRM/-3=ipkgkbW `{oo>&8 ʧj2΍3+K[sx6I#cQGQM!u9ü,KMu.7Zni=ЧSaA:Bp-iH˨*_Ů˦gVK!N;^jTJMZ Sy[tAV?8C7Ze94(J;u[sGl&v8-`BoT,E ysٽ~v/ZaٴxvLi=*.sͧƦ ̽%ã_*hlqߺMnp0Geݺ1+lhe#gغm[{$+{3z֤9>|uw[g"b69xqFq)nGg!*fO͙?ڒrlFҥ-Ҷ#V+lvv|a; gs5 ՍSNM'OZd2]J^kpmp]v登w}xB-|aw{_98QtyЯeuy?tj&]}nƔxYE7BlruS?awxbG':cEX|j<;||rZ4xd iP[ϲ`W&pEᥲas" pW~B蘀¸k2m&ag>p+ob4t>TQ(UݵK? z1n SO9Bأ2~ ,gkk ~O~N# ^='?$,/HNݨ耝<ؖH4 as,XjoC E^Ieq{\$}iC}^|[US[o`!'ɍǂAT aNإuIJC/{׻1/3'^}y-D7aF{*]J#aa9e0Q#QQe_Cpb> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33977 7183 0 R >> >> /Type /Page >> endobj 7172 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7173 0 obj [7172 0 R 7174 0 R 7175 0 R 7176 0 R 7177 0 R 7178 0 R 7179 0 R 7180 0 R 7184 0 R] endobj 7174 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 606.1 203.2722 617.1] /Subtype /Link /Type /Annot >> endobj 7175 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 589.9 145.4837 600.9] /Subtype /Link /Type /Annot >> endobj 7176 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 573.7 154.2562 584.7] /Subtype /Link /Type /Annot >> endobj 7177 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 557.5 144.2737 568.5] /Subtype /Link /Type /Annot >> endobj 7178 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 541.3 149.6802 552.3] /Subtype /Link /Type /Annot >> endobj 7179 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 525.1 164.9372 536.1] /Subtype /Link /Type /Annot >> endobj 7180 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 508.9 180.9312 519.9] /Subtype /Link /Type /Annot >> endobj 7181 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7182 0 obj << /Length 19 >> stream q /Iabc33977 Do Q endstream endobj 7183 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33978 20830 0 R /Gabc33979 20835 0 R >> /Font << /Fabc33980 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nbЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?a$ endstream endobj 7184 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=595) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7185 0 obj << /Filter /FlateDecode /Length 1648 >> stream xڭXn7+xm ` 0d 7$GQϫ*=ٲ ⫕[Oj\~xҽte:{uO15s4Qoqa+xANۄtoB\ީRS:aҩM84=H1ɼN;V= h@rF'"I?)a0/ MA4-p)=2 ZoD5kQ3SՀ#G#E%~0^7Ԗ蔃⋝x4NI?W~>5Q\6u,X_Y}f!ZRk }&&~EkYZuvP~{>?d,Yw>c>C|?/D4'RpMŘ#fcC@G$oC[lSs2V=y;#1 GdBR)IR+@׈vQڒUps+9%msz∧͕5z+AyN}H(6BpvkQni.&xUē$հESu]Ymu-Ih+E웗HXSvf]zYyaKXux.–m.HMQ˪irQ9Y9ΏF9^?I9j"i6kt66a;3Gc7vtcK!/~jZ7bq|@5PIfu>[!E#ؚPcL b8'xcwepg5W_j_Q^]+@y2^m\_BPw qvnzS%AC| fԓs>IlVacp2sر^~.N/~֢hE`86p!Kݥlz-;Ũ /;K#昐E5av)˞!V]m]ڳu,K(Uځ߫Nx;^y\#Z1˫/F~_⁉B|{7n.IwM?$~)ʫ_(F &d~I .^M>ƷNb1> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33996 7192 0 R >> >> /Type /Page >> endobj 7187 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7188 0 obj [7187 0 R 7189 0 R 7193 0 R] endobj 7189 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 304.725 147.728 315.725] /Subtype /Link /Type /Annot >> endobj 7190 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7191 0 obj << /Length 19 >> stream q /Iabc33996 Do Q endstream endobj 7192 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33997 20830 0 R /Gabc33998 20835 0 R >> /Font << /Fabc33999 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwO3Rwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLOۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}x endstream endobj 7193 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=596) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7194 0 obj << /Filter /FlateDecode /Length 4602 >> stream x\IoWÀvK I\6nz\2-qQXU_H?gu ze/PSꗟG}rH7;cý>8wsY^ڥZ|K 5Vz^UA?/2zpUGڍk7dA)-3x3\]I9,4qc}ˢ2eNp{!Ot 9 S+K{e+RzŠc^ bA#x^%r-^R?;ei/?NnB),ƌ2ei_n 3bO_PW=:BNOƓ13?1uN7'4SxK䪴+ɂ`2,-T:䧐s(Z@nva o踗$-|}[cSUҮP]4mvhuԎE $}u<1i5c P;QkU(Vρ8e lHDF-|DznG:Ҹ~֋0A^s1«B.`]ad]h2@Y`Y0SA&yJa))r"yv7QOYi9%%hq>+2RB ?Tgq}h{ 'qD#ókb˿2juL:,z8lr,Qyj"iw 4o(vbP˜)l(v3f\k Gjw|Q_,F(()*CY%\ ָDbɗ;'!Ew`9 уH YX"hb_0ὣ0R8V׼\5"hY AKd\q/Ek²[QnIc`+,bܶYR9x\LJ(*KD@xetI[1_Ȯ5tWFuFMy_M6v3\T,/VHWt@JeQ\fT~U} MS4Wd?<=/"R= a D I#j -ca+D?ƘQ0w@7&x'UBysP8f7v'BCRǗvtSmx>Flʓaŧ!(݌;i˲!x*vn,:_C[1(-&P\ :A eq.vtqۊ;>$+FWż#Mut&u{U}_wNa6W_ @7{pJ`PaE+56uCp[ [?Xx#4rp #4c»1ߺ"S3Zߠ*4-27(!O7 ^&fj4So2R bWȴל%lms\M ٽi?,.aL?-6{l ~S8R--?`ND:R^vPaq$eX?욙6?Ƅ@C TBgPijOFԢƥ%LMhNg):ƺw -ԫҪZ(|-T}j+\6k#ʬQc@FOVHpGknUy)yD,.EK:Xk{CuqK+Xup1řx4;8uJfGPxS_ |NelW+X.p{p,yXHہEAv`D,Y=3X)-N +]@nv%l< ;8)w''T8Wp[ɭ᤮>~Nj4'pRI 4NaVSgआ+:}~ n}]N8)dAn3SOd '( \ipR4?PqN]8)iY5jԑكS5E }i/ppKi~&ӧк .NgNgI{_0:u7:]y'~oxz:0mSl2k ,hfNi*'3[\?\>uHa\7O`ח %s/-,?w#q+vǧS|]uɓ?!M_sy ?ekig0ӅuԽ@-\{/He;6G;rNA<^w^g:rox$ޫY6Lcn ؐ]Ӳ+2/2wh͕m嵼|h[9ԝJX؍pax̲9gqycˑyTњ)V0XUl:MZu{觘5`]+}PV=꒎bu$sip0IQcFYAYCiSڑ ؐeHfҦ&P2&U8M0x*cE=֎4ŕY=rU;qB=8J9%ڃ` a4cYh/ys=_\ָ,-;WL!S::@r0eK՚;ӡ5ib;<ꊽڐR y[Z#b#)E%3Am&66Q{!-{W ףX`aKUtG].//Jp脪 t4nzүl'^5#vFpcKz RS;Gε -1Pmx{J A\5wM6@ ]CFp'CS1XAX:BATNz!zݪF&YnJjS|mٍ̦uiގs/^٘ +IKs c䮄"R9YݢB8-{, u]?NLxnr 9EhJTnb*Z ģ|חmƬu0em/%#S1a`r Շ~bO$?BΨJrrLI .~/ykk?c0Ðw{Vt KAI`0XY;wx؀^K{7x<3`:ڳ:~sŅF#w磉ԉj[J0O]<&Oc&Qa}(]MJ<wu0q{70H]--`&uG2'\Cy"o^n=2mkGa^j˹Z3?<2ԙ[DvpO3DDH``149ɔk:X}5Tvč`D&]7\&ݳV6³8_?#UG40|#w9)d6~ .s4,˚K?]FZ^u} g:A VjY`L<7s1)~݊}4kg7>}{ux5jLGEF|GsM|s(yVķ{(4t.t<@C(ȇv=u |.Ƚ"bs-1ǖ&9qu<VW\ f8_/^%UnX$-S;IX/ C-? Y?|/Y-iFZJkNڞ1ay%xi2BT]qV)'F}TV.]qM@̬9Z&#Eպ&hSSh]eoEc]YO|l{17m<јFl~_yB8yK*(Cx#}a}zWoiyX>^Gd| Cʂ%}#kAq"=&Yܕ#^ԡ{̸ s<=YG}w?P?YFs_QbI_}LU`HLuC>9.^Nj1N|#6po#Cr{/W=2)cOP"XZ/ȁe_B?Ci;`A- endstream endobj 7195 0 obj << /Annots [7196 0 R 7197 0 R 7198 0 R 7202 0 R] /BleedBox [0 0 612 792] /Contents [7203 0 R 7199 0 R 7200 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34015 7201 0 R >> >> /Type /Page >> endobj 7196 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062954-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7197 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062954-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7198 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7199 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7200 0 obj << /Length 19 >> stream q /Iabc34015 Do Q endstream endobj 7201 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34016 20830 0 R /Gabc34017 20835 0 R >> /Font << /Fabc34018 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7L{ͱ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} J endstream endobj 7202 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=597) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7203 0 obj << /Filter /FlateDecode /Length 4674 >> stream xڽ<Ɏ丱w}RNHPUe ڞyx10};6rq7T c Y '&3uݼj_˗nzsjâZ:qJy2{8wp¡52}?V+ 0YVCtF o |x?tӅ%uR PUFih܌Ol4tЗD*w0yS.:h eظ20 F{yΤ1e$Gsg:(fVB x"~ztC@t8"6BdenW2{tgd}L.Q|/ =!$C:txn;\zF ^ N4"):!$<,8w*s8Y[| ::^_ta|5A-j)M3g0TYFYŦu o#.R)3hzXߦ1u Wb)Mr4 T p^הeqArt(H }ndV[@nX}n< R0H$mE"_ϕmL^FE[R;&aSFu8@ f W>Z0M&ET2 u _&+x( 7mE|Yn$[VTT[Cdl8M;:(?>2̳Z8d|[P&REsyH]a. ꠢ=2"Y yqvL=VÓ!l)ni͡Y!2A3!̋gYp g̃ӽ1 wZW5cYQ3%S[=ѐ^Js/]K|qk1~uV$ ^T _cRt 6:_NDi/qW9A0U|X[iѐzB+81`2g+>ݲMأ3Z%i%Q0 #.RU07ƽ]Kl'awiĪ wXlrn &6$ttjD|d2GY.6j'4 TΰxE&ECD#fd-F5|Hd]7gf& 9MH/ܝX^iO7} = N:{twHM}\"zI%BVarJL\U@-`!.p&Rʿ3;s.Nby&\1yNKKpku6DmåEŜ fĦ:rF6maGTWMrӾw)>1UjvVijE[ΨfQN6g q`YO؈-B HׂQFۋL*chH9Q<㌙"N~\M RL?NZMӱQf$PGҦ]K'S'#("-"=]e4nc97a/L;% 9#(\ ϗ(|XH/9vN[! 4$N|/|PO.ΦDk#XTs s0hXXnS(ݓ6u/1G9f0*-m\(14^zbD>d2r/+4E9dYA|q^Ikp9/~6-. ]noh)ʾFd[<{&nBU3ЮF3c)HPkj`d$섨"SCd~UڍZH.Y8(ōkanFZo:EitYhSe}:/k.:* JA5ys3)JiI9 kg`8A>莄"jZ3>JеņЅ[M\6n(E*֗mc4l*ec"QZnVQ(g"m%wΤu1`5+G ڝ\./.{.oK\ʌ$3Q7²pymkU3[fVk7|UO.Zvȩ7b@vaYS`V˜rqo'3GosȲ25 Ɓ7Ie^ݭwDtcqX;O#d;HʼnAL+׽}eg͟WMf{9ٸ펫G72[@%Ir#>n0i^fz˱C~<&f5ڷZfMxgncIq܊GnP o0GORϛJ:-E,F\S1E ฆS͵xpu4ѻufXc?zϯo'w* ;)S_q^|x2 VK8XQ{EG"§$dN0Kpcmͷ1v{SJ,;Q+v.}"b=&k7rS>M,xHT] e߽UGhZ7#TN*Nl^% ao]GݩsԷM!_{>M* JMS9+k 0Kk l0-UYP/ K$}uv3-,y{ح 1xsafe$${њ"~YOkϞ@w}Lh\*\W&N1Bv!z_{6egDT]hZbhXhEڞ}7!$$³γ}xNnuqXڡqŚC(]_Fh>`l{C͎?wyxiH]bLެԪh\Zj=Bf|(R#Siܵ%GdC=XEb 6uvK4O,/os\L÷gP]`#S\‚DR9W]hr|~x4"?ynܧTglڇ tO}/ƴ@'tO~l@/-rKĴQ/>?ro# P "NjqMsP]Vðym?HL0u/(Uaz,.8tRw܈% {Q* wTSL `h 0RONjڛH?`Wp}=7YS6^cZY̚Т+kj\'|OKOku5cQH+BD\s0R.xH8ɣySIFDDpr`~P3?re[a)HKr'V:<F7Y,CA2z9shfapGŷ71Ou, zj6*ۅL'jo?U4-`ZcsH_DŽtkL6Q[ᗯ,G+/y(> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34034 7208 0 R >> >> /Type /Page >> endobj 7205 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7206 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7207 0 obj << /Length 19 >> stream q /Iabc34034 Do Q endstream endobj 7208 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34035 20830 0 R /Gabc34036 20835 0 R >> /Font << /Fabc34037 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=/? ėPl)lRd!ϡdy<31΁s@9`sJ 7LUǪHBW!ۋڎE;!%]Nhw%Tsh8r-Fݛ endstream endobj 7209 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=598) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7210 0 obj << /Filter /FlateDecode /Length 4056 >> stream x[KW輀)Fm@n4C$ sٿzQ{zIf(b_+e;:{f~^ ?_]]TZ]/Gu|WᝓmhMO_?Q U z?'_<.@_U@l!:^`dyHm&KG3u$nf ˵o^50PuS6`L;v0X2G~gR_<=²>oW!L|}OԨzڀv\`_A 8H Z\fxy 0"X5g=}:5 p"aPMQfI|A%g3=,^L527 (p> OhWsj8ekHY WIhl]^U)QM=~aDr8%a1U' }pt}`qpo[+oYDEbecjY-ҠZc1 :H|<.: V[j$eӜ UFvzC嵑GaW Hܔ'a шvIrUԫPV\y( `($y8rNxEeR=\˕7wGW˪އ0n;λ}3*@qt4+U(\aVƽ(t 5upb\TjX龎,UY- Q . H,@$&-Brrq.pƍ[Xy3*,A]V)܆az^4al,.G0I$Kyud֣!r-xOgR{Ri3 OI娖_I ˜m\{ w쀯D:Z&6ջºbZ2WزiHj(6ܤ7s Y<6I6&򒋫8չ.bC+izuS)wGp5 e /5A .뺡[˔.:n3 u8hbVXUbb/bb>jZYGcIf.Nrx/zു8?kӣj9$8n^"`5.B'M^g?JRZGybŎC}޳[ڲFb`YPx#RJF"PBw)s3Wwp̸uClk3^HxA&x>׾&fؖ!հM֕Kn[ʝV.X۵XO.M{hE~x`8+t).2a5%f^tLm,Pr"\vzo(s9+x$MuE!jLm@gRM=v&9A4 '̒/Sz749Ǣh_ίwrsU?|_ۙAkq­61`=t껙فhT<7*Xj l-x,/a&8'zʶ(S:\{qSUs{=|@VsFH%XͰb״SbW-W.U7]++qw&&L0{21Oe1g^(2L72|g,Gc1ZH#eWي3}_.c|NlH,v:;Ng߃- >>GGUWӒfX (1ed[tqN8OKE ( WdXs~>Owoy 7> DG?q*BoCn`e'x0ՇKcj/팁w_lǵc\+괠ůWm6హ1 tgP] 0wĈ "g4D Jn7Iix&Ӗa%[Z>+u6|h*4HD'tr6LJtʭUBpfT]RJmiB1mr;h`&̅i,m'OYfDnAJ7s>@.8:W'&FOAX$SH ϤÝIzy3ysº5Mgu)JGXwo)Uo%2 ETSnZӫ_P8CSK !cGmFn%Tʗ뉈-VG.CrP-fRıSP`S炚[oiPTFTC^3A'X}>Zr]<ձ7z.{huZ e -|-zsje* W;_XxkV&G >UVN\Ftb|&{ V'z1 Ɨb?~0G/1 Ela&eܠh2xU[|gpTc>Wͅ +Dwz8,ՙ},ز8f}}kƇ>}(<&Gs)%J\3 !klGپg{֐L btŜ2 >>\[<  M0:F  *}ćDD rṭ}d:yq‰x%s)ʁ٭fgrlxZ23ijQҗez>q":q4ͩa 2}#{ JbX\+ +dAgP endstream endobj 7211 0 obj << /Annots 7213 0 R /BleedBox [0 0 612 792] /Contents [7226 0 R 7222 0 R 7223 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34053 7224 0 R >> >> /Type /Page >> endobj 7212 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7213 0 obj [7212 0 R 7214 0 R 7215 0 R 7216 0 R 7217 0 R 7218 0 R 7219 0 R 7220 0 R 7221 0 R 7225 0 R] endobj 7214 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 172.4722 686.7] /Subtype /Link /Type /Annot >> endobj 7215 0 obj << /A << /D (unique_396) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 171.5647 670.5] /Subtype /Link /Type /Annot >> endobj 7216 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 176.9712 654.3] /Subtype /Link /Type /Annot >> endobj 7217 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 182.9552 638.1] /Subtype /Link /Type /Annot >> endobj 7218 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 188.3617 621.9] /Subtype /Link /Type /Annot >> endobj 7219 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 162.5502 605.7] /Subtype /Link /Type /Annot >> endobj 7220 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 578.5 164.9372 589.5] /Subtype /Link /Type /Annot >> endobj 7221 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 562.3 180.9312 573.3] /Subtype /Link /Type /Annot >> endobj 7222 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7223 0 obj << /Length 19 >> stream q /Iabc34053 Do Q endstream endobj 7224 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34054 20830 0 R /Gabc34055 20835 0 R >> /Font << /Fabc34056 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}N endstream endobj 7225 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=599) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7226 0 obj << /Filter /FlateDecode /Length 1348 >> stream xڵXN,7+T~ D,xEQ ;UT?J@ƾ=wFj-NXӋ}N.Ko?񬿝vN!kv\BRiZ}6A}b(Zr7<~hw;;kK:hoQ8<(wXv`n#p<(y]`֠a,+iw ~bpE4O} N&T%LA/8~ںyI"I}zfPxhukf:Ek&=V708iW[WqHE{w gY3kCkSk8|;|нrn4@'zҒ4k% `Sl֐5);9D9O"yjB,BiE0ζUq⋎%0uǂbp^m"aCagGsv.ҳVWM[`1t\\Ȗko;(DxCS׷P=<fw nfh8bEh>n> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34072 7233 0 R >> >> /Type /Page >> endobj 7228 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7229 0 obj [7228 0 R 7230 0 R 7234 0 R] endobj 7230 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 329.125 147.728 340.125] /Subtype /Link /Type /Annot >> endobj 7231 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7232 0 obj << /Length 19 >> stream q /Iabc34072 Do Q endstream endobj 7233 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34073 20830 0 R /Gabc34074 20835 0 R >> /Font << /Fabc34075 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7235 0 obj << /Filter /FlateDecode /Length 4356 >> stream x\KW)au!i;f^S/$uOç=jRTXU_H[VwK^K1bW'ǠO0吲O/7Ƅ>8-fvg]o|ûvw->V7LLggMh_\\ _gmpL[쒬'B’ڎqZ?)Xanu?j|ukڽx,s#2j^MmEyxUOYMK/)vI[c/_*tR ‚x(erSWE/?i Fy>aG?}hFaICl:)EEO6tclrU\~dA2p7"?\bȢjݑXc7?]_Y5bnwe+O, &Lf|g7FzYMV_`u#ǹ{ZqNFZg'\ ԂY`'dށ8 1?|vA yoۺP #Nl=mt,4r}T D</\@s>eGFIpsJJl]W/"#eRJc2P "$hdxqsa[]qX{pG<]9g@O}3|æNV60{a Ů6D;Pܫ8]iW5^6T*YJkV8Z+^e).)~M0w>ـa #&pah$z0^v7/,N؉ %ݡcYyj܂Kg0J.4͗&hnEպvgzGWB;E)Y2[{X*v>TmiD6 ,Iё&uʪzx$6vZꌞ¾zzըݙWr %銥(2Ktq:l{Wk ?/-<Y 5>KF,d+ZkpC_*to_ ̽1|ӻr>V5Eӝ([׀ HrȜDi@I^WBz jy ] iX9&IZIp 9 OWH2͟չ[#W*~*47. rN0n) p1}<_9SJWd>0mNz4oq5|I3zV!e5ֽ9in|pO[q@Hp/]E~E y uCW{eYYFp^ }ak`05,^XfLB1(h/PHI9HGKkۑh2Va0kfBLjǂ@C TBgP镙jORBԢ%=՞ \\R!tusFݪڤ(|-R}j+\,&f)uRl>3"[2TPK4 3-l/"Zn%B*ֳMGUV~4H sgJ+Kgaƶ[)3irgK_ܩT>4M=\9EqR"<9c(Y|PlȕZs5vӬ؄"YU3=2ج(FA*U۾XQ j.V v:VL!, c 5ƭ5nE ,WWphr mз)SUL}-:] ]®`nua:X nٵ eVd`'5N'stk7I 'ufv pR.@@PmP6@zߚI@f SZI,;~@2g@RwM/m0,ԛԸ;qOCzUhB <Ðr9̵UNNGyq>INM^^ I(;t7LN^}뭞ou[n8.cޭ%a'{*L8A3MxV+50GnGvNBF x7M~DMcCdS1}>G%)og= OAb&cDgif/  i4~h8ʉgъPZq:c[vga Dс 4. A0Y6X-k-REK+hî[h ~붼2-gZ:jilqb}hp }>,Z+\%S狓{GIl껃<`` \WS7sYՖSQk{-vzaOeum<s[v܁{H3QX=6.jߦlxXɠzT` L{߷!ũr[[Eօx(Yi*"J .p R0'paTDs^m40|St$g*J~Now\*cm)NmV3?E+qrݺ 7쩕G#U&&z1OAIr$kkZ qYMay/%*2N'BΜ1J#rI)vz+j/&w`pO{v7R],XI5d䮌|JT-ccyZ}.Oc#qYD8SF13ʇt8A0`jkh\0nbh&WBoP ~?&mb8Tڢ&S|jfD7#+۲_jgXC$]IVS07AajcVsr0.m.tLB{̘}$+?xt q &Umc?0-nfd{i%PoOdu3=bBN',Qhy67m-j{jͼ~tRgni9Kѯ\pO3D|8ya倡_(Tdʵ` VrG@Mi'ELk!ڴ2flp#6tzJY@< |TdFCV1gb$"70|+.??pVt[5Ә-sOse⑼Y_8q,v. VAzWOڐآt==+j55e/RgI|!:@:F)x{#,uwA4t 37>"bsc 2$K.:  E쫻\ f8_p,~UTaX$=S+I1>^8;f!HYxh_䳈[΍ wdZSZJ Uo28R\Tml wj ͶTa̱ _^LE} f_/ټ!{Ozw~<Ž{!ޘ+ ~9;逝w6pc~_+0( -1,FG!:@wp%'"DrSG<,h3Ϯ`:'J "*\՗Q=(Ur?> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34091 7242 0 R >> >> /Type /Page >> endobj 7237 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062954-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7238 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062954-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7239 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7240 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7241 0 obj << /Length 19 >> stream q /Iabc34091 Do Q endstream endobj 7242 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34092 20830 0 R /Gabc34093 20835 0 R >> /Font << /Fabc34094 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM$R endstream endobj 7243 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=601) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7244 0 obj << /Filter /FlateDecode /Length 4827 >> stream xڵɎί9@))`&`sm\DIUmFMkJmO'/NYkVǠg 'u| Z6ԧ攽_~811g8ƿ~~ES+FLM0挹J0Ȑdgeh `,ki;lmP`&o'>gr83Έ!2SA7i^^M= 92Q\^Ԋ LyLi1 L"S6uLa~ޝ.i#.#/'~22)] BHCD@}܌jpaK QNzس5Lfˢg05Y8gY/H\#.0U4FZާ1 Lo4~F4ȑd a K ':[d[|1! ͞.f(ld6!\#pby=]$5 2تld&xc66{Yԫ,-JՒZQ66O)TC*1qO@vY0E@8MKu+*,}Cdupz'}θ$Ҹsoɚmr8D ch[<yrVḪ;Z8Cޛ/|y&t$}~PE#)og"xURX=SIw~[$obN#":Al\|G]no[ P: AÒ7KP1R 9}w>~_`ړpAR&Iy>[IyA+gWMR ʀZ1~Xe[&s&:3 M܋5 >lmzV?De H eG;u1$'s)Ǎ\Ҫ9}Y;?̓MJ7fVBdXMJRlo:%3Qm.ۺj W"͝ +6f{s6d](vN_q9fGgNl}GIʳN_.vkd/No ^~AdE:Zlf7FGs3@!$u[U_/-!ZBnQp!`;٤['n˟-mMݳ@X@59vw;]BnPu wko~p;F 3`_qM/,A3w mױNp׃cXx?BȄL@Nv`y8Wޅ 9yM0!xUU uOnuyX/dό 1:Ɍ\\Hmw-vm?ɥX1S+ ]Rh 6EUR5|5Ujyf֪A:;ZF|罥NOEV+<ݶ*c QA!KZ/NS]4T%?֝|]BmCmC9?gQlM_o T'8w޼ة>f;l:;o헣-Oֻ'j|vɣ]hB/ ynot}g:7_6axJ\9\NY͖gQ-fOmf"蕳U=5ŪIŐ04ivSWOXU_)^^ypkֈ~o -Q(jy " @ F/|b/ byOPIY},8cg@R[NT}xlC "u$´@Ɓ9!c5 :LaM[7Y>t\F?CtnIس  Z$7=Cs4=jD^y~tTgli9I= as=P ߰Ca̧D)cdgXnHHeS(M.b'3qPna*</jf4nX9' ֠>YV+R7)ݳKݲ Ү5AhxEu9 %#ol^_iǸ>S{ Jn\݀>^-'>@G-xO4B[BXLG_TY ?:F Er!.X1ϴYONrkfO"e,N#GZ/d4\|MxNn)*t(XX❶z,9Y-έaȺ[vkRƘ6nLFBTYì֩\ B9bs;Uuv_ YLq>V&#EՖ"hcSh]iXу1^?*Cp;1˚<6oXy8P8TD (Qw Ŝ<~΋Xol,j~yߠPUP37:}V Qp<ٷЫh!^c iW% qJ y3}2s0_~+;^w8E~y"*sƛ)$>uZÈ ѽP'F銝jd]PXL"Wl,bdWW3e4[}"yAB:O-4*0BdO=,!7!ktZR endstream endobj 7245 0 obj << /Annots 7247 0 R /BleedBox [0 0 612 792] /Contents [7261 0 R 7257 0 R 7258 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34110 7259 0 R >> >> /Type /Page >> endobj 7246 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062954-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7247 0 obj [7246 0 R 7248 0 R 7249 0 R 7250 0 R 7251 0 R 7252 0 R 7253 0 R 7254 0 R 7255 0 R 7256 0 R 7260 0 R] endobj 7248 0 obj << /A << /D (unique_395) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 349.7385 172.4722 360.7385] /Subtype /Link /Type /Annot >> endobj 7249 0 obj << /A << /D (unique_396) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_pin) /M (D:20211013063105-08'00') /Rect [104.1732 333.5384 171.5647 344.5384] /Subtype /Link /Type /Annot >> endobj 7250 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [104.1732 317.3384 176.9712 328.3384] /Subtype /Link /Type /Annot >> endobj 7251 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 301.1384 182.9552 312.1384] /Subtype /Link /Type /Annot >> endobj 7252 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20211013063105-08'00') /Rect [104.1732 284.9384 188.3617 295.9384] /Subtype /Link /Type /Annot >> endobj 7253 0 obj << /A << /D (unique_414) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_nets) /M (D:20211013063105-08'00') /Rect [104.1732 268.7384 162.5502 279.7384] /Subtype /Link /Type /Annot >> endobj 7254 0 obj << /A << /D (unique_415) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_pins) /M (D:20211013063105-08'00') /Rect [104.1732 252.5384 161.6427 263.5384] /Subtype /Link /Type /Annot >> endobj 7255 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 236.3384 164.9372 247.3384] /Subtype /Link /Type /Annot >> endobj 7256 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 220.1384 180.9312 231.1384] /Subtype /Link /Type /Annot >> endobj 7257 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7258 0 obj << /Length 19 >> stream q /Iabc34110 Do Q endstream endobj 7259 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34111 20830 0 R /Gabc34112 20835 0 R >> /Font << /Fabc34113 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoG endstream endobj 7260 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=602) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7261 0 obj << /Filter /FlateDecode /Length 3068 >> stream xj$_JǾ@!PU|#aS=ƨ ӗ}-,InIeFdYebէ/4rzVxgUk^QFÿsda|yPNquVwYeYYq W_t`N=xԥOT_ ~= {=%&5hb 9D"2HIt*iJcU. )IJ|bܣ0IУ#KP0rM"Ft/"vQt5"ཱ>)ESjC.5ԵZ?m!p?X*TS@(†'&d 2 ЫWw, ^2 [PaWnk ÂwM<# &OFMx'ᢽzs<=Cztt==zo$ӹ\=Þq6}~SDg+9WL4be(ר/C4Khx58l[m::8U;NfhrL;&U.T填 ]&ۿT>ΖemGC~+q[Dlr7uZ*9{^ oIkv yG %br}A.W\(vT~\=jņ3ׅn&[L+fZ7i Pzloǡc7weurƛq5G&xǼWduY LαD-$wx=Ǎc԰K٥gPsS9M@AhO' Eiks\nfZHL{+X ??]@!gWg@bGP0@P)V9 Xs4y2'e߽(bc_{dx( nŇ̂3,V* I"}o2F@&k J.rM!Jto"V D~5imxJמ57o]L &P@Dm?9v\J`ρ j, ^؜baOځ~ΐr5tv'4Bwgqoܚ@:@T*PQZz!- ?Vܑ.,bCN=j]n3 a=I C]fƼ*މ|-mކh2~;SRc؞sGR8!KՁp0aB+ϿQ'nwlL?@֔JVM.@,D#\#IK[<턅5o%b ~"Y Vu(E\EJ,^^zQi # .d((5 8H MFD]GQ}ʗ[ջ)O/[Uz}yUL-߻,f'P)܇jܖ/CA/`CG:Rd&rvC BHel6X/_Qn#E [8 p2Gk2a3LenFAJJ[f=̞.˨[jd0b5Q (CzedԀB|C3D0tieE Vtd,+6Hfge <>U<8ȫlP!"A`R^ x!Fǣa#ڶ3 >T{dLw?307 fDOۙC^фS=Z6TVޓa4 mn;1 ) &SXlx𧟤m UQ= &U[kSRtSC6 gB 6i?>@5TsN54|'y 6R ~x?Иxu,9H7)M6&Xv+X+"d΁!ק'"tP z+ɳe&e&!Vc0\{G j23@stm'v!ܑ?|8|;VyqDP>A(r cU( sXM o'$P406;fϘϠ(F+>E* T4w' endstream endobj 7262 0 obj << /Annots 7264 0 R /BleedBox [0 0 612 792] /Contents [7270 0 R 7266 0 R 7267 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34129 7268 0 R >> >> /Type /Page >> endobj 7263 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7264 0 obj [7263 0 R 7265 0 R 7269 0 R] endobj 7265 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 375.525 147.728 386.525] /Subtype /Link /Type /Annot >> endobj 7266 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7267 0 obj << /Length 19 >> stream q /Iabc34129 Do Q endstream endobj 7268 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34130 20830 0 R /Gabc34131 20835 0 R >> /Font << /Fabc34132 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7|:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭ#x#0$IԢxy /sYsshf^2 GsB&s.S0Erձ*x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7270 0 obj << /Filter /FlateDecode /Length 4122 >> stream xn$ί9@W/ @ݭ6$4R?oZU-#ɸUEvߥ ߞe;4V)7q*|qhױuKt!ZYN)p/F[o>6 ܾjP2S>ȯ?ǯ;ወ|^S߿Ij 9'(m9h_zK)gDP2聾g:'@,.H_~F~̐G&}9+/J=~1 \½ ~_YpeK@2'JC,M,Z 0X>Ɏ'F1"a@JV|*sNp E/*@F.|'u.-|lm\ix8SՑ/)Tͣy|"{ ^J%@2~!E~WQVQԾF3p ڃ6?ҏۛ>=Ԙ`ýX) $S⹝}m0 0 gcQREցJ# LɌ)pc3;2s }r/se䵘 kuLv(ʶBM_W;D+Mcadh1Ҁ4iVXBK|Uk"F Zk@dU5ٺuu,X0vy >= XZI-_ثQ;ÞuZxhD" xyFS_`i"Iӆ )e EOP鯺 Xg 3ON{4YDT8HcP+XšU}UHB9Ϟ`:^39#!u\ႁWR8[ꨌTq*UC@ED:Ʌ֗ n@l(^[(P&N#OЛԯKI~}~`r-_v޸#"c}(x%5"&ȝRwlK[0b>RA1ǂ zlOޙ6%j 2tLybM;IJ =lc;hO|p."`f,nm8J**TfP0u<(706r\mqp(),YP9mP%?3u*-RTLUυk>ؚRuex-^ڜ VΘWZZ-_!:nA0: Wk:w9Ɛ{f &:VA#@ C+mjSvD7# 1ad7Cbk5[Z|?X-][Jx ^\@s&zi+kv vc,|ô3pt8y];6/9vҿŅEkֶb #b49?v۰dK~@Q[*'}[bj\,'Hg\z{PblΣgL/W9.5v'UVzύiܕetIC3 Gh#/O6as8^xbq'#IIe)HJv8L}.q.H@*[u^zX5:d9j>!uXt&xEGKĘIj*9;CT0]*X|:,'JAS!D:dMaTLA#nJ9s~$b"K2;A7@g*hAîu}sy3# yF^ynŋTglA\rހbyX@سӠ ?@D1IaU>[O,6ǃ6YKgM[sP/A< |4&+3">/7@)S0HKƒx$Dz][ Ԥm8cdlԫ-2Oǹc\{JCܭGу*ᓯx)UEg-`ItFxo(.T)sj.=P* +\U: <=~]M]/ئ.Xj_bq$ <$Sb"5|e, F0l_p.|*J'*i_TF7T|V 'y>Ra)HO޽`TJVlCRQΘ},؋ ֿ&h-u7 Ooer8/TYd;@2lr]R ~ ߊnP{1e>k*Q~}[^ mNG );JcZogq8drp+bO7 BsRTTe.+Ҕۈ|t+[T1đSHQu=\ˠԮg ~ #~5pW,A}c9Xn"*Xe\eQ9 ?AW@瑿mxW;Ԓh- zAglk> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34148 7280 0 R >> >> /Type /Page >> endobj 7272 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7273 0 obj [7272 0 R 7274 0 R 7275 0 R 7276 0 R 7277 0 R 7281 0 R] endobj 7274 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20211013063105-08'00') /Rect [104.1732 295.8346 189.8302 306.8346] /Subtype /Link /Type /Annot >> endobj 7275 0 obj << /A << /D (unique_412) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_pins) /M (D:20211013063105-08'00') /Rect [104.1732 279.6346 182.9552 290.6346] /Subtype /Link /Type /Annot >> endobj 7276 0 obj << /A << /D (unique_413) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_intf_ports) /M (D:20211013063105-08'00') /Rect [104.1732 263.4346 188.3617 274.4346] /Subtype /Link /Type /Annot >> endobj 7277 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 247.2346 180.9312 258.2346] /Subtype /Link /Type /Annot >> endobj 7278 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7279 0 obj << /Length 19 >> stream q /Iabc34148 Do Q endstream endobj 7280 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34149 20830 0 R /Gabc34150 20835 0 R >> /Font << /Fabc34151 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛK endstream endobj 7281 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=604) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7282 0 obj << /Filter /FlateDecode /Length 2978 >> stream xj$_J jS=f ݗ}-LJVIU-"CVß\k1b~QG묭я_RgrY[FxIWx1O{OWbrf[.hlU!jV2P@ԓEͦM{N_[:`3$<\FekEA1WI~2Nu2')/`$}>gcc{N4vnrz!S3逈섀 S2b4Qb @.b,:lfBϠa_QU4v8I2FM`ܽ 8 e_Ľ!U 09ZV٠gaݹ>sPkD"|ZJ=$F"`pztQC4cQ:u.#v⊫VPc_HjLkϭ[k~Ԋp}yT_!5-_M4'po}$OW-xP[ 8`.9\ qsFf<H/Si B)\&/%d;ˇխDdk{-T?uܨ-예VO+ *ǷQQ` yuX+}t\G|4#ߓ+/-_L۾0 wVS?2t繲UM|8La=9;O) ޔsJS2Bj Łq s)'ϣ~k V}q**抢K1lq;oչ[0#SߙZ&Kuo{~L?/N&Olwc`{^; jyn3l(pL6@A޶$D~*9naA$S5ig w6N5ˣWOv1_>n8?vWml2IW}~ʹDGμl v#V0)ٳĤNX2ݛ+Ck X<3J'>0^~yrˮY^]&QU:42+6K2W ^QPP ,-Է,{gqc'C:]huBq[O ͹h) fj|&I6b)X6Sx! !qn 0"uzkLG,{Wd(j6TNIPN8|^4]]:1 M|87ބ]R\k=U)Ħ?)- ^qK]IN'ȍbH15K_\Ox#&s.E pS/>]zr_TndzkҼu2UyH[R> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34167 7291 0 R >> >> /Type /Page >> endobj 7284 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7285 0 obj [7284 0 R 7286 0 R 7287 0 R 7288 0 R 7292 0 R] endobj 7286 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 304.725 123.253 315.725] /Subtype /Link /Type /Annot >> endobj 7287 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 304.725 161.071 315.725] /Subtype /Link /Type /Annot >> endobj 7288 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 304.725 189.2365 315.725] /Subtype /Link /Type /Annot >> endobj 7289 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7290 0 obj << /Length 19 >> stream q /Iabc34167 Do Q endstream endobj 7291 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34168 20830 0 R /Gabc34169 20835 0 R >> /Font << /Fabc34170 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3jޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}- endstream endobj 7292 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=605) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7293 0 obj << /Filter /FlateDecode /Length 4337 >> stream x\o:ykM IL=,;odHe9Nv,b~ERby6¿~O_ߦJsSd8y78K^ަ6>OojWգn7[wP/\O/_7E>uJ6 '-ϿL Nvl [³5&7j"y]ӺLiMU~S4;hmr^>W""ЊF%WP/0=qc{MmᵥvfDt6'FE~"(O.c~w#2=:?ly𭏮Q*̊u9gy $ء{szҼ+ҿs yre²Le\^xd7YA6?dF?-䧗 4wC>.?e.?4Nހv >Ñ2~Aq.{-髐~vj=c XݓgIDBH%)˜u13Xav}q?;f3mGQq&39}U\j8ՙ•v&JϜF Zy^[EX-GўqTVO^9dƪL Kun3B?COM EK>][gq¹E\込| 4dztvryoH@fWAVxia{UTqMZ˸aŋR{;- ΔUջzƥrH.CQMH{[!z>DJSDU pEj:1gYYgplmEؕ8mfJ7Ӈ>}<}/XH=]G[)@x*Txr.N,CtX3Ax #o/)XԲҐ_rQE:{!1'=??e׳Ӏnv1(3U86e {线ziz]@[<5+4HeM vyD\H۶[H?ͽ0NWuuzol"Zt=,窶:0ZDVEV#elkl!=߂k׊?6{px˲S_W*&Q[H5]i§J[>[¢ܢ7nejPN W,j *.U#+pޠ߷> ?u-WeB8o źwFC;\aO6J.ɷ.hgPd _ԋ~_诽76=3aCtvW+A%OdzCeID#75>G#fX& X] pzRzՆ'S[ᤢ=ʨe5{? B2NV2uFj{NǼ <,wfL S?lJ# 'f|0;i e6;ԼjÕtKe@ ӏʜHǿP=_K_x_xO ΀Ms[39̒T>ч\H: i y6vc`;#Gfuic!4t_~AÐyCA-2Џ!i=ck~ .) (3^a(eY$tM%TK̗W>\}9{sNE1r0xˑ§JА  !p(cBuBa f,6FR?z~!(D5:];95ښ~vxf ;)]4/]?X1(ȹ_' R^JtX>):&rEJwJ*DEb?Tfh) x(Js(_uVa 2u%evuzW?{P+Rk|q[Q>߮ A癁,“ B*Yy06=ڒb 2+u$b͇@5s;Qj@*^6˱I(]o4Ty7D%ϮM֛ IG YCcTEo7y:JC#P2GjūdG Ex?QT@uiĠrpCUE>\N|Dhɤ咚s,k}:uU՝\TۃQ]r]OuUhݮUٍheJ-ݴiJ#" (&9f>,G9uxzX`A|lsLȡjhÌ'Wa*wQ%g5OQE&'iu'8xDGaUWHbI=R1[G(n_2 *( k1=JVcW/n`@z\ڦ˻q&yT_ę%Όc߬SN(Hu:|nj>Bw*] MX@LP"?:᳏6Ѯ[>ߦ0&Pwq]5=Xd''tLKL!<ײJvYu^/?7{ P)|6>Ê*@y.xͩ빶RS N㢪0Qm=ZA<|iLmb/ła &?0\y+r)?,0[[\Ӻ`ߖb\{ ܕ'f%Ni,v."Gw"ow3:_Rktӂq|EwqB&[SUW8T8PyRZi@S( |9sIE6liw'n %rkaiRwY‘ ҫxvNUK3Z C?R(n){?ܑ÷F_xmlXZ"2!&J]Vl]y!ȫ+u)^4~_⫘,Y}esMGK]3/2StjCSI^/|l'{k/x.7`}Ґ++0x)kX3<cBaO/,qHRt/'d1׷I=Iᦤ)3W YzSZlF*g0lTF ߧU#_i?!x:§~s:_{dH"m%nϦ kF"pXd]:D-kvV;a^ЋqM> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34186 7300 0 R >> >> /Type /Page >> endobj 7295 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062955-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7296 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062955-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7297 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7298 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7299 0 obj << /Length 19 >> stream q /Iabc34186 Do Q endstream endobj 7300 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34187 20830 0 R /Gabc34188 20835 0 R >> /Font << /Fabc34189 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7302 0 obj << /Filter /FlateDecode /Length 4644 >> stream xڵ<ɊHw} }' <"an5$aST CVCfKDeWٳ/XX~lo^[GrVׯ6lJU-hJ/k > ['',_JLcdc9+`(u;}k\_֯Sta :-JȰ [q⩽zY 3YEp,jޕFq]6n.<-^~gҘPGkg>*fI+ݠU|"^ =! |Mcbpe\!RA7-$їWFg'A7z& @֗2pW^rQ[* 2eYʴthrA/qx)8 ۈlwWZjpn9,NxY'skT9/3g14Y8FZYXuxE+#.Ҵ0hz5Xߧ1M o4#RRhR.2 $j$X>ID- `R$A{"9/LRIsEWs#a?QD4IX}E:܂ae J7;ad(eET2L  _+2Rl&E{`EhApRoDXתP-BɶٲqܛO/蠀GO{;/jP nB^DzDTZJ 'Mj о/Y%m<IT)L(λl5ޛri_I% `^DHZMFqOc&GzȚ -)ޒE|~U:G^f{{&kJx'?*7ld[|kRt 69_Di/qorH %`"2#-ZtↅVqcf(/",V|gŻGt6[J|`$& һ<2K]Pv4 'v->  ߥga $˹I+hµO.}I;d2D|l2GY.vj't TΰxM'ESD#dF7|HdCf?L6s^wy:ўf:N@S/l]td*xc66{<[BlU6Ŗt ՒZQ6:n UC*1qOVAvoYEf/3,v G@㚗/?5/}.S^>:yny- 4P v )jw ~k V"%in졷3(-lq9gG~[JUҜEmp;Sb\g KS$JK_8>:QCՙ9bLafޒǔ?QRx4]>k] lOQU9]x\ef}U~8. 낡>tJK*{}%*n%8$`%b=q|U}* qiʻ DK{ #g۹aIU+6a4ʀZUvKɗUć+28k&룚ޫԀK"Iu0J7'xc@o~ܣ%A!T!.!ank"JM#Nd(k@^;ygxnfCyD1 3G rHg&89BO"f&x\l 刃E ±u%sIbg)j!cr|#iysơ77y ?J]"융ʍ ϕ֝(>J=Tc;zV?ł s1VA<w`x-ƹ)1 fP*Tj_x@cf=K0kQrnW}N]߉B񇵛FjuXȕs4E|ME4yYE7@5I95&[ W˒M UޯZ g:-VdTlFGk(P_/EkED;uV1c0)\ux7G.Q/nl/nL/nFpwYԃs4*EX<UtcpW^j3Ÿ~^.Own7qhnctc0@vL/,rr9 ,ke}+kZVƃE@:olǁ@\y=Hny ֋qv/,Ր Sվr0d 0_N1FLRsZmH 7SiJ?)99pc[z6;#M&WGUk1i16lrC!U]f@ھTS@Өw3ϘG9]\xsx*;YH۩#}Agi\XR[9S1qydMǼ4n]62V8hK17>syľ>R5NDjK;SkUwڤ=".rCJNk9˺M2;h39qgRZ#ub~!:!E+H^MhlU].+6dٗx" qj"T:%։( JxK 8k7Mm:cǪy:SْZA;I* eQ0jw[=g]o)6ww?Q=s(G{ww6}]#)ª,M"”ZN9z0?ĭ5ր4Ћ9JXdmy_7Kz63|Yh _^$jD"4Dj֯?Yy " xu4h[Hsi2h7-V Bwv/j9!Sra;+$J\L}c3-O*a@9Ov}^;eQ75 ewg@GF,(\JR䁰ϟݽA;Txܲ`q}{gNC\-|49 ; FF3 tl. !A-'}xVln ݱl>V\ꁺis>tΔOޭ'~{Z?XthU#@`c&Ѩvwn#V ?8,rYalC LelL0F)"n;hfF!ԖB6,8 nuhův Ð/{ק1/3'Q}Ku6|BtOc{(̈́IqX ğaȷDއ OZol=Pfbd8!vR놃ts ]X&x#U3"pF xAi F/Ez0p<4H'g,H{xc?Y:GJ^8>i{?-GJDnBE^!o-qDc-`.VMhѿ7-4/N噖𯉸ScdQH+\56`녀 䥑NzKI~J̳@ĵ_ % };BvJ2|#J_S Ge@tKlr˽1#, i72{2"=p~f.e<;RkVLA4 $f>RoWq~i[emdK۱5ٮ`r@}[8&Kp1/K?KDD?':f7N1LA0џRꝋA҆YfAN<*S 3< ?#c vot_ׇ7GcyorXBuBJ@3け J ybr}BrŖJ |+|\ޤ5 D۠8 8@ y-r"pA> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34205 7312 0 R >> >> /Type /Page >> endobj 7304 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7305 0 obj [7304 0 R 7306 0 R 7307 0 R 7308 0 R 7309 0 R 7313 0 R] endobj 7306 0 obj << /A << /D (unique_43) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20211013063105-08'00') /Rect [104.1732 231.4308 143.8942 242.4308] /Subtype /Link /Type /Annot >> endobj 7307 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 215.2308 146.4572 226.2308] /Subtype /Link /Type /Annot >> endobj 7308 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 199.0308 164.9372 210.0308] /Subtype /Link /Type /Annot >> endobj 7309 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 182.8308 180.9312 193.8308] /Subtype /Link /Type /Annot >> endobj 7310 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7311 0 obj << /Length 19 >> stream q /Iabc34205 Do Q endstream endobj 7312 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34206 20830 0 R /Gabc34207 20835 0 R >> /Font << /Fabc34208 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd>3hf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 7313 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=607) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7314 0 obj << /Filter /FlateDecode /Length 3446 >> stream xڽɊ$_gAc_(KLBGt4kVVwWϠj2#3۷ȑH-%f#LKdHoӳ5I׾x*+Aj7 7 nA&PCF->핶Y)e0Ö}<%d",[ P 0$RҸQ =W\jsF:VL0#L {å!WUP04z$E0֡PEmjdpcU!%\E-xl(ǂvKfhr~1taHP\=bAB]hABr8\ 1WB(0H/,¹1JjDǗ?'1([\&}<#|wweY*.ʑAp϶CRa ʸʬBXxN~O/:Nelv֌(#q:+ $į6ufՏq;xV[]YiGN ;"QX55b~xU8]MS*]b9UF$JυઐP'b({8XUiXqKXqjfdo&K8{hh\'PD K7kGM\\Skߣ\5$"zv_=h @7ȯGAWlZQ"L|Ѹ^z(FOc/FOzvbud >gZ!kx7>Ԣ(@G5~fV0#+9BDvwƉ 6G%!CD h$[U̢I%Z&dScT: {yi Z<%xNY|+ԏ4~ mDlC]ox5R*$ GX)*~}\V svBb sz?9Kk'1;r )~7In5tkJSlΫd Iǘ@$GA9B5bjz8kg"4I"S|wDW[DNF]^J2Gt\)2,VL'ɴm)5jqb[bu*!25xUnjt PFC5\nyQ[?\T@pT{Uu =%A"Ύ릖vLk4 X:VJr.φ*+?J8G%r|Dh݃?B@2ȶJfŋi<$`ebxv"Q L-sjg/n(u@txL_eog沙JJDFC_j/5ZX ڙ}ļopŷJuXM幾kw5q)i1>ocgyUq,o/36ǐ{eٻCTf01c#(Tklq/.ojsq냏*2*Cp]S;sWlԌZp/I߿%BCi6LW;[Q݅8|'S3\X,4;\o㩴oI3˯?_z 'kw4(D:JoO:Xѝ? ?AP0.è2L(Ն~1 8%Y p-+B]9/P7DgWɨ>/{;ٖqs0{.aޜ[K3-@vuNغ~^?@|ًtS~Lț9BMadxr>Y{@X\ca\yRr:ҟr]5}vK]?ѰisT} @-v|*Z,~D8r\y٘g`3rtPc\QPz7VgPf!n&?v\m*?:m݃k<;g0̽ŚӦ!_ϓ7Pi~Ki÷jP t5R@?ҷ$5 `; =1~|̧2m4#[mj˄bBfW&H"#~=S^Wp#" G/+:\E[1Oȋ5,5.:c ]XGtwmVx^2΃#-tHOC]mD`0 ^vIa39"#f"po7_. 13)bLH6bBzuhigj-Gc< (^D~5ڢLenFx,=))ɖYST1jꚶ4ؒ",ɒ_A_PtyLj@SY!ͮ>Ӽ5XUaЙ* (Eg[v,Ffx}x+)u jo a+-xRFaꈖ¥3 d{d~D7;=3Ř⍴_OK_eu"=p~um+SL8qtd)ZALLS_> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34224 7323 0 R >> >> /Type /Page >> endobj 7316 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7317 0 obj [7316 0 R 7318 0 R 7319 0 R 7320 0 R 7324 0 R] endobj 7318 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 255.125 123.253 266.125] /Subtype /Link /Type /Annot >> endobj 7319 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 255.125 161.071 266.125] /Subtype /Link /Type /Annot >> endobj 7320 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 255.125 189.2365 266.125] /Subtype /Link /Type /Annot >> endobj 7321 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7322 0 obj << /Length 19 >> stream q /Iabc34224 Do Q endstream endobj 7323 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34225 20830 0 R /Gabc34226 20835 0 R >> /Font << /Fabc34227 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP7 /P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3W!׋ڎ>E;!%]vhw%Tsh8r-ZݛP endstream endobj 7324 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=608) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7325 0 obj << /Filter /FlateDecode /Length 5029 >> stream xڽY_4)vz6[oH o0Fe_`Ǵʎ6׻%?)0  yap C ôNe7쟇uߘ 9wl-p#,ЅwypjKL*B>ɻ2ZJul߳ K_z܇d5*3ʓsXf82O q^qBa> ˘(bP@zθO/`e(#azb{eIŏqQ2t߿6Ot\!}_2_alc;Ǒx qcT'뒯DrGpԜ1AyoGLw?E,߀!/l74{~lEwS&sMo {A&Dss?f 57 Iؒt@BPc$!b$V``C G`l@  YFלKD}eԀs4Q/ ˅eN&:ދh=dPNn #48"ZLyMv>fQұd9\%`[BUfw,Fr#xiA„􄿓X$3*S2E #NC Q1{Aۿ x N?B]Ɓ\gZVYBxuJ0 DUYjinzq$L߸T&8oު'No׻xqt0xBs2>/ɯ32ٝ2g5880(C;p2t4ġIl"AS|ۑԘk\EW>wȢ=`gDL|/kkrjC3#)LBd!K 삫 z DZRG:hFdk gi4Bn*wG1#t>fUU"VY8XlBGWAiJ)@ ptnt/ MC80ԉU t B D 5w&,GN__"1˞_/1)7Kpd쫾f̳7ƕ\ 3^jU%RS%u\?xii_ x>V:H[tZq|_˿N~=˄E3VvW*V)D5_=E܍EUǍvbƬ1 ]6m=>էQ2V^$v_}FzKD%Ʈ1}Dg3DeIUEnUAOZET9غRmkw%˫뎝]ȽSs6LFm7CRYC ËpǶCcO~o9p|IƒO_wvhyuP22BP_{? ٺD\C@$wn\\884JKPmƳƝpeƭ|,Web;hg\ZH8Q$Um"H /BLϝvOFW)o+jRcxvҮ/^Q SЊ$,%%01ѥ)ľrfȎ%,̻rIOUS43-,/BZn%]mT紪SQk}iLbg%J+IkZd5l߶e`;,jie=E^nTl[5mu9NT1I$1$ӗCycDfiU"VfU?YuFkg{7βvjԚY{lfصhGT5A%ŪRi:=ģ |pAsGif%TU4`0gkc@]@)nMga堸RԡVMTκC4 Z-Uj14'e`3~$V U\?Ykumi2X](J5rQmZJ5mw]ĕ R'#fV\l2]ݺ )tҤH jNʉ꤬few٪NWS{ߩ,2N"2~ ᘏ2~4P''dVKI %>įlUޭN ?L|U';-UuRJtW5w*9㟆G9㟆O'埆'O zPnOfi}?)"d??;θnR)s꣆O(s5{G>̉G>ʜ Qs>ʜ>ʬ}>huסy2㾇uܶG̹QN[Q7딹=S憸.2}蔹)Cmq' JeZL]R*bRSW7/R*WPh2_C| *f>jBm}_Cnp\R=AV|hMZ 9͜JTa I{UFO?M둹O.2m萹{հISU`\B =_7ItM6\o4E.gwwܔ I(CkK:>=Qu:-AMI0ū{bS=v4S `fx7\-C΀HW%:?4 gt?뭘%_Ih0@aަ :E(lWWs4N~L|IGi^%@Hb͘FlXSP߃|z e"\2袘ty&7P5' GW6POMMqPْU B`j95!UKRu2|hȧ@,Dca2ء1#xTGPUblD1L~?% b0KsPBclHR90dA%atq]xXWIJdS).-pNQ罐 AhBAtXͱHQW8bM(TSW^H%Xy=QXEL\US@ߣ o*Rjh&ZDQEVfaD?>ZF-%9%GQxerMe->hx]~ }(΂.0&LسWYբX'K'ANkF ]4B wT)F!i’2q5W ;bp [mk(Y2˒z[y7%5a@j0kN3DJU65w`]@QZ[@mҁV  ]:޳n^n祮jѱFI]T$gd ʙs!,O*j&XԀBw{yws}3},Ɯ zS#..C$s4^K][a)%U,g1'3MS3~GDž}ȣ|[wkۀ!,K'І@Oc]J灻\>ܜb>܌!o ϭ\:cYX Gtwm^`Ojd j" \,((MdǘY|MJ9O)0K伀Yiuwq2k+V2iOS_2[lJ]DZVEܧ_+g6bh,3]ěZ.8I52î9'+g+0t.pt 8j8IKۅh+&n_:.cѴNy :>Rosc޺WJ8ێ*(8 onIJ+~WtgTy;+\nKV":.xB@ݪ_p£:6g:xE?r0~#A MYL]*nIV0g9DTXpW(BJPOX^AjrZNz~Hgr;:Ϯ+LjVԝt<{I1q=,('4:QɝKYHjiXbzӥ~kNRl endstream endobj 7326 0 obj << /Annots [7327 0 R 7328 0 R 7329 0 R 7333 0 R] /BleedBox [0 0 612 792] /Contents [7334 0 R 7330 0 R 7331 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34243 7332 0 R >> >> /Type /Page >> endobj 7327 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062955-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7328 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062955-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7329 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7330 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7331 0 obj << /Length 19 >> stream q /Iabc34243 Do Q endstream endobj 7332 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34244 20830 0 R /Gabc34245 20835 0 R >> /Font << /Fabc34246 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 7333 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=609) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7334 0 obj << /Filter /FlateDecode /Length 4967 >> stream xڵ<Ɋ$Ivw ?  (A̩n!]}VX2͞={b^?1okW֤\U/m RvjK!Z~mϳR)ؽqc^ j%p1[10jj?/VFEq^e -Se5 ,"gR ?Լ+<+uڸOuiBXrIcL@ 't T x%踿<1=_3"+3tcܒO}ye4qttgd},.Q|/2L!SL:OLn6Nd?da"v*k8Y7P Nm> /k:5{X>bMPJ:y;b&<Hs27:4.^`o0; e}f?z}*Σt /yR3~Ž{&kJx'?*7ld[|kRt 69_Di/qorH %`"DGZ)>N/K#Vuj+HsVЀk\hwmd(Te\N0>aқvOG-->T[poȸfo-f(ld6!\#pby=]$5t2:غ!5Ullx Yԫ,-%=ltUb8nQua3Lv G@㚗/j^N\| 56&tT>Zd&if$>\1\'So*Z5EJPQ ݌CogvQ[ rΎ3xޮد0q%;+NF -,K~sIے?/isf!B['_Zɔ=ffB?A;8<\zB՚'`KxQ?A?ESxY0ɖ/e~*T("L  ٹ,UQfHsQLAs5x/QO*-}0K΂DUgAj3yK>S*GIsrq PvήMgw3=)F Vt =sVUDۻlr( ƾNdB)/ؒw@h59q% 7:fiʳĽK{"ڹZQIS+4a4I>s^&UْvŸgK:" -(C5BT8'!֩WG-1FH|tdE0( 4U뀌UsKOcU Y+LJNu8VPXR$fo֒HV*_7ԝ2<{&&4EC'膴 칎.wH嫭HzsJhkb QghQ7fRSc[\x 7:ZÿI̴g󟶠:v=w{ul/hŨw 3ϘGݦ]\xMu*ۀj/۩|Ai\X-9gr\GVAOtFIf zeZ vHOVF.ͅ: "rV/mKih%sGCJNk'1˺M2n;hఔ3m pgRZ#ub~E+'H^MhU].+6dїxs! qj"TZ:%։( JxK۶ 8kIKm:OTZyݗv߳%!ɃסuaMQӽ9"X~KU쥊:縻]dC٧ÿIEvZXo̸icCVyejڮp !dP?ĭ96tË9JXm?Wfvk:?{/͟-{+mիeKiƤocoY+fAg3/~$*Zmiris`dNYc ބ^Kү&2GlR#n=:]ÍUa}!39ٰHq х4p&$\_xea%x;&ccL`su'iZ3r!w-v7|Iİ;M:7a ɥ/O^"m%o,%25Xk21s%IioMP~Ž]l~,_ "GA|Ya4Ts.;m}ҠylɓAib]=LɅwd.+-Rrm&3!h.͐fmD \Rϩ/2N;eQ75 eW:vfv5qw$OYZ^Ip m U^ҡ"~eW֮n/|m8%`J]I{Ek"s)kJqOv%{{nFe[#Kkތ8a :WO'^d zٽנ?uw' "&W!G + J2BtهSڦׯ7r0RzV>}WDC`?Vaׂ!mB$5ז! "@t>l9׉xco_j{},ns*aWB6 ѕ>G ]ޠ:1ޓ]z˕ZU|mUB`4oS{+h6w\5%V0P=z5n`bu#`Gە?HP|&GHN5 c':B|AccL_ bFzj8逝 sPzȜ"6|}-rZ&'K}xx!}c&;/w5 QK|mR@m|Xf"JH!C y-1b^?/ #71_Oc_f/ O.ۼ困01LaJ#a5~9evu6LԒ(ߓ֛/ϳ2)&1\8I7B˰yc^HLܴu.tP2X :0JF1)p%џ!H;Tx Ƥ|e8sd|]R"&OJl9ZƝ4HpS w(zjo} |g-xO4bՄK|GqrxM2׿\25W*0t,p 8<iC\>2srT@i'<%VbO"e,N#Gj/d4\|Cx n*t((_ؖLQO[=(scFX'cď6Fet;Ee2ҝ5i"xg6ӥNRLAS"o8b_RYd@2vlrM+/OnyK1V<6\1c 8lMx y 3/4,s]bNӲK'BL 6i4<ϣ9<<{:?{`nOh>y}kMCH> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34262 7343 0 R >> >> /Type /Page >> endobj 7336 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7337 0 obj [7336 0 R 7338 0 R 7339 0 R 7340 0 R 7344 0 R] endobj 7338 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 309.4385 146.4572 320.4385] /Subtype /Link /Type /Annot >> endobj 7339 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 293.2385 164.9372 304.2385] /Subtype /Link /Type /Annot >> endobj 7340 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 277.0385 180.9312 288.0385] /Subtype /Link /Type /Annot >> endobj 7341 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7342 0 obj << /Length 19 >> stream q /Iabc34262 Do Q endstream endobj 7343 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34263 20830 0 R /Gabc34264 20835 0 R >> /Font << /Fabc34265 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$T endstream endobj 7344 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=610) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7345 0 obj << /Filter /FlateDecode /Length 3010 >> stream xj$_JǾ@!PU|#aS=H[֢0̈x-)4U_^U3*x NirxV;xg׾Uk^uMZJ>X%Ea?]EYVdUW6Ã*pO!u)OZ3\'q>yXl߇S}Zc04x2`u~& #@?¤t: | N',\=aE\X=4c8<,Dt1 =x͝ȘȗuKyD1#+7Sw3Q+iu6_wƯD+gKkDt%'T P  \ARyiO#g$@AA΅I<+WbM};y I)Wr9֤-o `n57ި]횷\zkW=hAI6W`QphFέjMd.]Pn9ʛ5R*p1K]1QdPٯ)ѫ9/;j@,ysxdk@3Rpؤ,Tsdș &)57ٔ1yM0lPUCoW0)(+ZݻJ{ /}4y)N;I88>^=SJ_`1 VOe6iPࡔDgUBveʾq"ݷY֤ 6Ĩ$wZ0ń[w7+T+C CԲ5mM<c.hJ-vY(\^=n4G,mZZ3(4*-pyY(s$ў:/K ~>αHCRױ~swQ;xC\sW}Ξ;S(QA},\;N\vjNl>wV\I'RYuz pŬʵ f;qq3ۛڜ f~\\]\Uűd Ǔ?1 ^-t\BM /[I4jsei+&_wt[5(bt.k]8U56eZ^Wwgao39l_r@vr)e]o$d =h^ʩP>km8k^$I}6Ϲ"F;%sA,(Y('m>ltƬqՠsz3VKH˴>)Wo=[l &>#\T'/jmgWXSṞ2! Y&'p1H2;/ҔJ$rf*V)m,}ft0t'5zTR2gi2k34u5uK[ W\&,eȒB_PLRV/sw_U5wj@%# H_,3<>U<ɫlpW!Ym5B~G/2 \Gm.4BRnV0ӫcPV!e4pnΰ4d0Y^}a48 'FY8riںvdR4ALL||aM%KUJhqBkw "+HJJ˴h UQ= ,VsQA+{d^3cBiG&BRZ΁'6x vR}~L\1>:ODqiJ40 [l9cw2j3H|뉐?[~JlHg$  8nQAfeEaf(3qj}pB&a/Fղ#(=xꊏ{aY7YsdA#?{ endstream endobj 7346 0 obj << /Annots 7348 0 R /BleedBox [0 0 612 792] /Contents [7355 0 R 7351 0 R 7352 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34281 7353 0 R >> >> /Type /Page >> endobj 7347 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7348 0 obj [7347 0 R 7349 0 R 7350 0 R 7354 0 R] endobj 7349 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 271.125 122.505 282.125] /Subtype /Link /Type /Annot >> endobj 7350 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 271.125 156.5005 282.125] /Subtype /Link /Type /Annot >> endobj 7351 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7352 0 obj << /Length 19 >> stream q /Iabc34281 Do Q endstream endobj 7353 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34282 20830 0 R /Gabc34283 20835 0 R >> /Font << /Fabc34284 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dr2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪzkEŐL$E d_ )2̼d9 M \09 `FcU$!oU櫶`ѼΡDHI]@ U8Ρ\j|=B/}* endstream endobj 7354 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=611) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7355 0 obj << /Filter /FlateDecode /Length 4900 >> stream x\o:yÒm h 07{7E@/IvM,٦(?L۟[gZfKi.G9vm8Әh|pn|fO\$GO>Գ雑owڷou __wf=jہ~n ࢏do OYh,.Ѧe\*t/lxfG/CtsѹgA`w7 K! L!b4=[Z9aD(l9 ^8T.djYYOٳBπ@a)m0XB X>OY+X&DOʇ.7{Lx1=X$- ;Ea3uv]ݸ=oWq ˹v{%muz2)*[ #9o5!MϴZgҌ;u0o(zaPAD3Rխ'䈧uJęfuy_]-~s`(ܥ{\>`S'ϬMG]%^!i|'bI=n^?oG x?t ߈'JNǁoG]r2_0wLdgPI8J Ϸ2#oEՆWF=PE) nAM7/Hx? ;zg(E@ޅ"Ukapˆ)zwm:\(JC('rHқRk_;~RXR b Dh0NOiO?MIjaP$MI!&'7=9}:?-Uk`qLSWqmaa١kc]\ӤtQqފܞrV?E͝Ÿ.6JxA/N 6Ըb)LLmߏA6fgV9č5$XƋWyaRX`.b+N *9f /V:_{ ZK].$E%NKX@PtSqGweDŽwC/uyd*0yEj]ȯkZG[rTŻĵ0;}Գߵ0>ԝ$ӣ/VnZ+w'i`Yᾋ 5]RRGUl,1ik4Ͽ..JNGc0-ey4ۍ91'Vc3E eKjR"MD\gD{'pze\yQRkb2wN'_n}@Q򌘣b??y .g?āF< g@3-$̉H{jd:HwC 8\tr4btvsE搨ǧa%MC.>Iӷr ŧ ։\5Wf&4jjctjljd;Y1n D*٭nVHpGUy)y૴2UM/BX:k ώM'YѮwvphr mTpהNEjj<Ygа@,  ,,`4,, kӍ,];LlÊP6]+e'#nZp p k85;d? '~"84|jup2 LNFkt;dD\NًN_'N{pzo^@qXfn罀RDրScj 8^Ju i@as 9@)2{R#Pj(zLP{wJрKiY~'JShRp7 Pv aʼ3HwP RcA\B}"H%'p27PÔ`2WP Rfo ulw:=%{.q̑|.qTsà2ǟKPK*ssAe{. 00/7a>Pl ]7fpπܜs:Y &>$[~'1&![YoKi?΋ȽD(LT<-۹^W4: TGS#`8]ݚ.8UZQ^huSTKmL{k4уի$QopTBW˘l)ckSyg[Zc@rbD*%\\p*uu2R ;rUov~-Vj*a0V0`XoU;*qKe_@R+=c=hJRɯx~EŅTX,/R*Li;3d/?=MCvU|B3=t1SE(P> {db>&:h_jy8F*xٛKLUvZ- *<^N,cUaWyRҥ )YV44:@ɣX;; )ʊQ9[=s3H'rqq1v M8+8>45qj}vEciqKR3oZjRXtvB3(!|IsRrUo}vN Ey\ׅ%D褩Oe_UM*˸;?fV?dҫ `Gf<8Bl z{h ]n,J8+YPN|'rqWQc\TM8g_UL qè=oqayGGؿ+$T()jok+nbh81K bX-ĽI030SKOv>_eO+ Z*n2΍rfN*yгǵF}3MܚûC. ㆱ^{!I0vn1 .4w\'{V3/{?^?"ifk':Xst {  yLs0x_lsu4HwQ5쫅Uc7o^. NjZ]Z׉m}͖s{sMcެ`rwsS-,;w{*+\9-8 >3vxɔk;C*c'c۶DR Huo׮6"8_x"DPT3A cs;MO(F\u9`LHk( ^Ժ\x"o{)-7~a݊}4Io|3_Rh.'*ÊH%^14/ݤkN˝4O ]'7P }"63nxKm8ɩu EKe.N3Gz/8޶*WiD 5g5휊ddj I3.[ OY| eW8$v=-y”Sxm6ݚH Fc28:Tلtrn0n:X;/S M@=u|YNxzɑiSemeè46ۮCу ӔԹ!l{e{9~7m>fؼy֟ 0wvؗ bGNշ qi%`R,_ k[uU+5TT稾C{KO;E8K0敖}%; zl" V|y){ Qʥ8HxP_# j,]';xRORFj; e|Pu.g>>P7Oic1U/P87 |9~DGq{⛒*_BLFmd~i\ t`A/P. endstream endobj 7356 0 obj << /Annots [7357 0 R 7358 0 R 7359 0 R 7363 0 R] /BleedBox [0 0 612 792] /Contents [7364 0 R 7360 0 R 7361 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34300 7362 0 R >> >> /Type /Page >> endobj 7357 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062955-08'00') /Rect [218.8375 549.4577 381.3955 560.4577] /Subtype /Link /Type /Annot >> endobj 7358 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062955-08'00') /Rect [178.99 496.7885 396.03 506.7885] /Subtype /Link /Type /Annot >> endobj 7359 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7360 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7361 0 obj << /Length 19 >> stream q /Iabc34300 Do Q endstream endobj 7362 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34301 20830 0 R /Gabc34302 20835 0 R >> /Font << /Fabc34303 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ATC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ  endstream endobj 7363 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=612) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7364 0 obj << /Filter /FlateDecode /Length 4765 >> stream xɮί9:/''9 I xSUڞhD6ڗ_WJ{5*﷟ӗ*~=jҬJ 'G)ex8ǜdJee/0TDr'B|E=o_/1Fhoc No:8N)SR󅳔Bs \@g Wa ʋ@2epD 'Sk e T&'QX[`tZl8XMpy=N%qBTg_ĥ8OOk/;qwpզUAdRX?MT`d&٪),.^Ǜ-(i8+:(B!d@LElYeb"U$<^h`c@ypb`J~%$x)E4,`@넟|_حɴ^x&ʾx$'ِfҨ;O㨗`Z7NqgBXrj]g9v-\T0op+fK.jIK:U fFt&JKL0 OԨft`ׂ8mZ&tq("/wx(zN!8#V;]9, 36d {vXcBFY7heU.`g >~b ƨW#mq:7Yrp1~\ld5] /H[$"YԶJ].勜Ah$L9R6}0v+Nc+jq C!D3 =;xbmmݔ\OUV=d2Rcosf\sv|l9f,Ȉ2|M>ZM *fTsouJ7G \M"2`f,DZHD2t<2wF<4tE-^䪬afiEȖ.];JF@!Al5<` s&r7X;x]O F9MO-Unm?+8zXs%j`K7ֶSH}4̾)_Uuz!7}-c7,#µcFri}Hn87H.&:G@qac|֞ɔ(r?Qo}B}ʾwXY}F۾7x.+a)KwK)I{<i{%V,XnY/lmmTWshq[&[xs?"9 G-Eg /$NTq qɄu=R 4{7BހMɝBuDvcfArפ`u7Ad9+NVAﭟi\)m4@;=뫡ֽNc_ ihf"+1kV"iZ{[&!bJX?cC%H@]p\#)ߍT~ZZdA]voxOVjԞq<.Q{$]o ZzC9>w))ވ%%LK;qfkk?&עItdZޅJUeC663JHe)D\_H*;6V룧zk؆hu蹭mխʉoZb08#u9mAB:n6k/mioNRUF};ۧoWq+lښ~wU*wk]y:k*9Mxon(-Y_OC7<6< FMR'zNhЋ^+uEA{8x~H<%/7ȏdsmX1IH-{{,E( DzB7#;Zy:>A\{耛їMo`a—H;EWS}Fi4/SWaأ wlo_d7k;&-z2zg#ȨJLXg4ߥs;,G<#u6JOb iK-5o_}.蕄 7UPs imliAﵻ;s?m+xZwկ$ێijʅB{e3޶᝖^-Ym6Iu B *fN,$&5^V nt/TʝN+!9p%>} WZF]MJSm^A|*hS޲Uc?+Jvyŝc<_'{1-Pt<)[TQwb@R6S {5tW37/m}{#m*snaUMJZ=MCaڠ HӉ\ioG396gd>}1'S1޿,B֖Smh~߸[+)~ӟMrO2osk  bwgê/`DL{٭*P6Fʙ@2Yo4:MKU殬g×X{#rYFdiYý 1'BtB>X g6$k:`z L$.z A]{uᖫ/[ׇ1/3'drm*,tO{(ôNhOCFpL2LdQ^+4S(̈&ݳFDMiR5 nZO:(Mk<䠅 $|! f,3-6x5yd8s$ I~gا80vn<[O+ؕ:{G˻- _[R h,9XŪ2ZWÙVW:81O:dc:.pdy̤C@qJ= ,+q&zДtrKx<8Ww80\T}$ᢳ>.4vPg'_3#ka`z} Ln>z%i"VGk)w|gdnL[Y=SiȄ Ϻ̄vu^.hfalMGG/.3-m$ `6tlM+/F\w|eyǥhKɘ=6EnUHX9oC2)yWo H~ 9 by k%(LpȔsܟDoXMSy5ae 1}s˝j> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34319 7375 0 R >> >> /Type /Page >> endobj 7366 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7367 0 obj [7366 0 R 7368 0 R 7369 0 R 7370 0 R 7371 0 R 7372 0 R 7376 0 R] endobj 7368 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 255.1385 196.6447 266.1385] /Subtype /Link /Type /Annot >> endobj 7369 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [104.1732 238.9385 185.0562 249.9385] /Subtype /Link /Type /Annot >> endobj 7370 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 222.7385 229.5017 233.7385] /Subtype /Link /Type /Annot >> endobj 7371 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 206.5385 181.7727 217.5385] /Subtype /Link /Type /Annot >> endobj 7372 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 190.3385 157.1437 201.3385] /Subtype /Link /Type /Annot >> endobj 7373 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7374 0 obj << /Length 19 >> stream q /Iabc34319 Do Q endstream endobj 7375 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34320 20830 0 R /Gabc34321 20835 0 R >> /Font << /Fabc34322 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١)Ρ\j|=B/}M endstream endobj 7376 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=613) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7377 0 obj << /Filter /FlateDecode /Length 3498 >> stream xڭnίypg699mܪ[#jVoXߵ_j񑡿?Pꗯ}O1,DM`Iz{r9Gcpgl4R/O٘ g@%#m1+loiܣIzޥBڌ7 z%ؖ%ZNTo*pzR> \8:[u}@ @TW!h޶aZi>/p3"VilvKiCQ'N5zr⋰0F8ƅnrEA(GUxk-RQ R_/7Vj?3ku]>.>'0odi5H"j1@]&2rY!>10< bcæ6YQfI4}pq.f=  %O+SuI*B`SD4|iS5uW%UN.7K6ϬfnaĘc/s0ZQd$3X6.H^۞Ԟժ<_ z"fan$7FDf!=㚶R2q)6EM6,+OV Xkt- hiQq^>_U[gDM}'j昉.61_bW4^͆ :\Kož%[i7n/3j>|8ܚᖰ؃fI@rbkc)uY\5\uZYzآd.{tlnFU'sm՝{8FUe4!J3zjPߪ[x ^{~09fNJSOe9x\O9M7u6In>:#k;G3Mt&juoߣƨ7ufB˵pQO㽷l]AhUm:mwz TՂTk)SUwlZ [g`! i ۲5=9 f_XKjXر6\ 1Z> o>|4vMT՚vCk,^m{NPȋKh|c흓Z}::WWEX <˛Z4l؞Y1XJJy:ArѠ~ߦUó?RP7K$iS_W[Fb>ץg PX ͜\,5Zk xlK Ih63'xN- -vslp76/kH͚"W'vp;O&nUq[yQLG71ݛ5 ('1ƾoJ8B]=\|f|un~ @s~|`tOԾ@_(e-_pJ̃!c^}d%t-2Pm4#L-ŕro鰔.YKZ,x8S^W,A%a_[3Z$D]G20&snGj ypWwؖ܄_}Cp&h2oC_ ΃3=t%c.EC jC-a2t1l&3__LQ4n" p@W5KH@;@YS2! n&'pbR[IF4DLuB ) Uج̏@x$NG%`*t'5 zTRZ2ii5UQS`qƞ a hK*cR 3+_U@7 ,Ȋ'8$/lgfx}xpW:C{Ո#GZJ@ 6=0 :eJ$; qJ7[#xL·=32o>s3,Y7l׾eu"=qṵKö('BG>OCW"E4 9΃&7!FUe;@r+b n sޥ.d#ȝiH e%7dYD5_mU65"S%xʐ^# =f!Q=ǵt$3f!SsqvOsm;! ~2&H7.M6j0ݖϿcYȔ2@o\τ}#\Wߎ@Y(pr6=PJQfOB"af xx;Jl҃0";]h5hۃu endstream endobj 7378 0 obj << /Annots 7380 0 R /BleedBox [0 0 612 792] /Contents [7387 0 R 7383 0 R 7384 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34338 7385 0 R >> >> /Type /Page >> endobj 7379 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7380 0 obj [7379 0 R 7381 0 R 7382 0 R 7386 0 R] endobj 7381 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 271.125 122.505 282.125] /Subtype /Link /Type /Annot >> endobj 7382 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 271.125 156.5005 282.125] /Subtype /Link /Type /Annot >> endobj 7383 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7384 0 obj << /Length 19 >> stream q /Iabc34338 Do Q endstream endobj 7385 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34339 20830 0 R /Gabc34340 20835 0 R >> /Font << /Fabc34341 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 7386 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=614) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7387 0 obj << /Filter /FlateDecode /Length 4866 >> stream x\K8rW@|`onO5*3Rf*'3)`0"`V?wiVY'$COu2kgG<-s\dM"W/֕ᛒ6'B?6bӮ?PSC't0s;ï/Wm&e&_5Z_ _'m''l :bBVMGoz"_ ޣD։oZdoP^@KvśKbm+[6KM:< (EzD:?q^Uhkh,_+h ƥ7 5"Ӵ (Z7 dE]?RO 8Co/Ƣ>A _С/@R1~hЫA~M6:q:ed.7Ӽ(6/b[ \-Z3{~:33(b]WU__IT Gn` @=f}1MDϩP4D) 6MQ Ov_F=6ɮ2"Ch7cH[e9Pia,H!FQ)t+5BeVUiwe}p9C^Z>f˳!<#jVC'XL"FpTÏzo>}TPbҽCѫ dΗE[hZmJg ~潇qr0~ }h4hjTѢ kA!Nlx{9[)DlxRcZ-?irchs+Մ6٥5,-22%Z1Z VV+?8ӈ/Bňۺ2>bzl'/`26W^$zU\z,@Pj:2+tpLn%e% ݴ z,#S}&z_/p<0f{::{Q614uA]#:~(,)|%ʦ|3-Mц2-b[36,ICGCqwR@ݘt3!Sz&7-#HaLuSk$_WQwGMc%(FFoC9#!,M_G%QP!.׹NJtFϲ$h+w fI}qzt-~F7 "&]-)-\A&;Tŕ[x-5Sx!i 6k6@,5+M*;\ DK }Dx~J)uk AJ]B}fR{ScAY8*ul]P#J\8 *ut]0uRKzl]>cA[;>:fP2  |cA7c{$ Τ; AS=};L¹>i 0 &(v[JC<·GzD(T%6ܛ-U[Rsή<,0#f4jF r pлUTkOxtecC!Y^#~g|2 [+tPF/Ӊ߼1{b^ר3/]=s ;X: "WW|x[̠ ,_mda%./vvއ|;)I!/ZX+{]X9;'<ͪ B.hFs)?'BWoB7- L}nD]$ f!5U?Kl@/[Lj'ІV/VdA5o5^oEӼ,'2<|Џ*0v`^!Y @p"}g1So.K'`?9CaS!S78DڑcHcj \0@uZGgWB:+ҷ! I%@IbEeqnHRHlgki[iXqIDe5kblb30`$jc3uEC d熶N+!I0`jɿYc?oNw:~.Ƕ_uߵe .QЮ`֓ Ӂh{dg!y^]*U0|]6#@'6%;4*7 O3FU;a΋ ^EάJXKיd~_r\e FZ]:K ī➲< S>-&:  -\ʥaJ+Sȷ}vRRMQHYO;!ogudC솎+|p` ݡ82-qU̸82 7jA*nplO[j,mX]yhR!%~a >ތsǝOq>.oF5-H15cjt-"栚RKSNgZTz_Ⲙ|'k on92\P첕!z3շbXBs/~ 6^NŚO[D#6oZKvYiܺgu}+ߟƾW)oSLx9og $ݷ^\>쫜s}W7iLx9yx̀!}o By5$!qcK:_2^;9횏{XYrO>f_2*\?8#MK x̮'Kc{bA=G 4QsmYνˑzԩ_$ ^R`AR#9 endstream endobj 7388 0 obj << /Annots [7389 0 R 7390 0 R 7391 0 R 7395 0 R] /BleedBox [0 0 612 792] /Contents [7396 0 R 7392 0 R 7393 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34357 7394 0 R >> >> /Type /Page >> endobj 7389 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062955-08'00') /Rect [218.8375 549.4577 381.3955 560.4577] /Subtype /Link /Type /Annot >> endobj 7390 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062955-08'00') /Rect [178.99 496.7885 396.03 506.7885] /Subtype /Link /Type /Annot >> endobj 7391 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7392 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7393 0 obj << /Length 19 >> stream q /Iabc34357 Do Q endstream endobj 7394 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34358 20830 0 R /Gabc34359 20835 0 R >> /Font << /Fabc34360 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}3 endstream endobj 7395 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=615) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7396 0 obj << /Filter /FlateDecode /Length 4645 >> stream xڵ<ɮȑw~} <݃zſXr &Y{%L/ɚb6:gqHM/oazkԆE);kx/8*A{V9T[zd!W 00Vmk=66ocgo0UZi9_(o<3y^Zos~ND>%8ETݑqImx¿\3EGt_:L7T ۜpR{DB"$um52їt΋t<V2 ߦX2#:#6b@D`1 Rϸ>*0՘ߝbJBXbwe]wR32Tg^ƼK$~\Q {,2SgWtK u}QCE:6J2K1DRLsϕ5 .,Av{h\;}b"<kA6fEǝ a5KU7 Q~;Y|Hu H"Ex4߄Ȗ*1.ɧ}>u$IgЁ.ѵ~w'6j Yez"B\2}jk[Dv u5_IYHcarWŢH.m~1L `d:K[×_fuz33+mM]l $W@ʹ#R -ҥfTiVsJ]_"WJ~.E[$"YuEF `*XU廒ͱHk3uvvw>+;ZE:\ae 8S#{$@Oҹ.6anmVW4.ҤV%yH[hU5+6!M med%y|C -@b>(c5*2UuqtMZ A}ME 2KZI6CGI"Bo <4V5BDAEV"k8$xIfO:@HcCڥ~fO'YjMM!ܑ(TI`ʈ;Y' !p>: $ Nqpy)"P%Q;q.xʴ¡xbϧljVVWt6K֪G0w҂lX +YɈt~dDxt /⚴WðiVt>vrZ(+"7I(;薄aHȦ%yQL|X] 2@BHKAM3K|=5|s5|lDaCWf+/c]~XiOĆ'gNuxllyxڟˢNeQlKle#@\3<`UXĩ61\a&ƽ ,OMiӐ顆!OM &k]ыI;W#z uJ0-!Ϗ`Ud +abu!&QJqn7[Jy_`d av)dTBj)o-K~qɕL?ٹsf!*/[Τ_șf6-'e籱̳vܕŤ^[z6|,u* _ FzN Tr,\:0 rLwC٭h 3FK{ >Y͹7G-v?"G-'w´&>fj@lh|& ] %n}WMzJp&,Սhc@d:՜Wbu"*~]f*oomw8Ǩnm^̃R]AlB#{\c|8BC۝2zC^|l|L|n6;[MdZ{Xf%&lZ+*o;75O.MyŘ_ۋ1mwϊZC牻!piKٴNakv^;yk^;t@.S<ڎKPor?v&[z}]zYdP`^Y{: aՏcig.jԤJL-tG1?]4CN-/ 1Ym{&FG6wdZdd{Ŭ-L`#ݩTD]dT@9V/F1vMTx-*p:T[<nÖGj#_' 2n9z'kqzfEǼm~vnIzmx(OeI^N>O|tz{/JF'z{Q\FjzRZ:JwU_!f<5ɸ@_Vv<æ0!LJ߃6I5mVX{zm@OBFY87[2xw;q}[gդPi&!JA(NRtnyϩEg7Vرi?ݷ%!ɓW"/{e߸.Vt ;lj3Tj9Խwy;M [$Z7TUٹJnkcCA+LyImr؀+w@$LV$)߮'fvc4qoNٕ(]jN *z2Y[v^Wp |SZM.8_4/.Do~8PMПl+_f3#fN{Eپ>oSt >;6y1<{zPuiCQ<9{ Q3;1,i^K\ل%HZq х&B©P3ŸVp >;bXIΌ 8ѩx+X9f.&2B?h7Z`bEuy']>Y^M9ͫ{;b~M^i"XE ab~~1h6 z LdX638 D=i~}n|~s |4"?yK ܧTglBWt}/H$1pP F,_H>y82 oCq=1~}6-W+u!JGѣ]Mj5Y X"rYZ?Ea5\:˓𿉎l* <i! tЯP,O,+q&~|r(LeDMct5敤$ g\ ^ U(h&^}ߓg\N'~R?ZCK5`N}f3_@葌@S]8 jTHK jGv endstream endobj 7397 0 obj << /Annots 7399 0 R /BleedBox [0 0 612 792] /Contents [7408 0 R 7404 0 R 7405 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34376 7406 0 R >> >> /Type /Page >> endobj 7398 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7399 0 obj [7398 0 R 7400 0 R 7401 0 R 7402 0 R 7403 0 R 7407 0 R] endobj 7400 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 255.1385 196.6447 266.1385] /Subtype /Link /Type /Annot >> endobj 7401 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 238.9385 229.5017 249.9385] /Subtype /Link /Type /Annot >> endobj 7402 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 222.7385 181.7727 233.7385] /Subtype /Link /Type /Annot >> endobj 7403 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 206.5385 157.1437 217.5385] /Subtype /Link /Type /Annot >> endobj 7404 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7405 0 obj << /Length 19 >> stream q /Iabc34376 Do Q endstream endobj 7406 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34377 20830 0 R /Gabc34378 20835 0 R >> /Font << /Fabc34379 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7408 0 obj << /Filter /FlateDecode /Length 3485 >> stream xڭnίyRS *M` `N2qK.; C.Q"߾Qrq˛ϬA:\V|K}Zf&7cLz+ ]!s4Ɲa qF~/"~'~<?.-)l%&YaxK-LЛ.85G팠\f,`[hm{xSр_'ս3hLXGM7g$OBȼ A JyXՐ !Jce[MG;ɉ/%*QGUxi-?@G K,H >_ѿRVj sxy%K1G@Q#G@P0@Lς0 U ו@ǸaP 6Ɋ7K:郋Kv6 hxvz+xsRGj[du8O <1!2/lsf+ 1}~9.& isijah/`t2_JG|Dܘt q$"&_XU Iw厸gR,`F4AЄ9`)ad[⠰ g[5 *\QI%v]H.(mhN)0A Bu1!nnD+f5VIh6.X\NݸG/#Cg|u,FwQbTѣJW@ Z 7zi֗ StwQmZuл+M)p6w!?.ZH]VJʒy9ΡLs,P>Od 97[P0k…ѯ!eL[Q7nZV75rEnA{֫ NJ/鉏V=v D|#"tsL!*%iOoz:T\<5 0Ao ;.~J.>8lmW ϾkKACH,psjL[F{پ,z+չr~ a5;q뵥yN.v쒣"HHP1Y&/*T[z.eL6 %[=dp{nͩӬ; "0̤;>m%f$ޘsM6-мBN ֊EEahciqW?F݃ME!BBd i9?>0:g\isįx/8C%SnY>dQ>sWlj7s;bq9R\ A L^i8MD uL|<Я:i Ly_/B1 (rdhE#fQWu>pc1wc> f ϳ̀uƶ,]X5'=T a V+=>L<1ГNq]%>kNuS}(P a< ^9xR ۥHI|6#Pf5]6Hۨ pk LH2 ҔgCx'Ta}#W՟t:bF{M( QIiVOdOSVST5jL[ gI@dI_T/+I h*+9gWU@7 ,Ȋ[l/{33<>Y<8ȫ FBĽjDA#L-{%t^etk2%\i8O xL@=32|8?maȺae~^7}f q1 VN qud)i$GM%kUJl¨Bkw "3Hr'm3h}V \BvAT_sn62uz"WyźKOҺ]3ݐj($9]:I%m5;! ~2H7.mR9j0Dx: r@=Hr뙐?^?ZW}+˽c"c" dV#0 \{G|9Skڅxp$;p߅&"a~=,#<XVKsOF/!=/"uZF?=@ endstream endobj 7409 0 obj << /Annots 7411 0 R /BleedBox [0 0 612 792] /Contents [7418 0 R 7414 0 R 7415 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34395 7416 0 R >> >> /Type /Page >> endobj 7410 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7411 0 obj [7410 0 R 7412 0 R 7413 0 R 7417 0 R] endobj 7412 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 271.125 122.505 282.125] /Subtype /Link /Type /Annot >> endobj 7413 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 271.125 156.5005 282.125] /Subtype /Link /Type /Annot >> endobj 7414 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7415 0 obj << /Length 19 >> stream q /Iabc34395 Do Q endstream endobj 7416 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34396 20830 0 R /Gabc34397 20835 0 R >> /Font << /Fabc34398 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7418 0 obj << /Filter /FlateDecode /Length 5052 >> stream x\K8rW@j{u>>aTwʪ)RT0_0H)j wŸyqǻ.uVǠ*C/uo>LsH<3xUɺz7~SrՅDu{ϦڮESr;\KYlNm4N8Pwb>9 o_C_@ߴҒᖙ~_gdQN!4 ӿ|e6YeM,*4/t_=Ou|{Ts0&9Mz,?K=3cH`؆zR΍7?;=YzxB $g&ڎ>u 4ZzPFa('|cIcu|ǃH1mo ;57G∅oڢ@ec,.x]yLES&X2gf<0Q -~a@[h'/a@uXvIO_hxAJsR,r tS 4ٿ;8=x0g)}n_>s3ԿʵDi>'Bӧɻb؍h=]lDV$Mf>1f] IHۓfɖ1oogZ|L%+i C'BQ{׊Y+/j73PI<Ӷ.4 \o͒ :ca*ONcgq gG7cL|"p~NX zߝ9Rsd?u̙3\x .س  G(%NJӦ¬NV61N{abWY8 Ž hs]u? CM0\}.ˇ'$z2cgdmo8a{ៗ-VĽy9hVnY+%:pU)_ PXj#,U4.|teq(e YpyAq= ?\xGux\ 9zsy"Ϣ2i4V3.0:5Q徚nmUErHNeD29)Z׮: ,ML1wn4qtǴ0&B/J = >=DIrzj_4k` ) /^T s eɋdN?-ڃUu`V# .zSc jQn2TdǑP^fJۈXNP% I\s>Jl!pmM YOWֵ7~:K߇EAR׽' El2s7Lg;}aͻ`F76\@kq .d]a1[2TPok4 3-l/"Zn%B*ֳMGUVZ?_ޥX3%`-Y0cǶ4fd49/V\TFlȜ"8R"yJ' r2>P4#Y|PlȕZs5vӬ؄"YT3=2ج(FA*U۾XQ j.V]&Nw+Y]EӋ u㦱7s׫ +8496ivp۔ʎũ`خ`aW@7\``:X˰p,f Z׉2Ylz2Rz(V3UdV  UJ\x(wpR"`NvNn ''p '[I('i8N+:8/zh~ TIH_'I WIu8.N8 Ӈ.NڛNj=;?+{´t;# \|U(UP]5@I=(,U>? +ڦP{w#J,fNB뚂7TW:%ԝ$,>6IKOLRj IJ]ZB}xRWPÔ$..>3I%ԱŗAٗ8*ul_P#Jܗ8 *ut_0}RKo_6}Aۗ^}`w e VOl D h΀)PtR&*> 0 &(2ux|ocrȽ$QVqhz4>AH] 0+ahhj7AzWja(q}'}96}I/'X?u_]ا9%qR9JgrjSKA|],}9#bt[ɢ"Dؾ˚Kt~RuOLIꫩX~5uqҝY W9U&{D~;Rܷ^.K3H<]INTI .Yϻ)Ȓ!$aZvg(Ruo-p.&7nR yhcrq/P|Q.mgZJ;Sv<~u&LK: 8q[_8vAC_ߏ@۸vsb^HB`LhR韂)}@ws3ܚ %yv3\`s®b᧒% nesNY+ w8&QM7s6Nx5˷ԽD.>#%h6Q{;Z,8$IVn~:<9:ZʤLy|`i/zzTYۙ1:Ц RƚܹQvIpi3O߄Gc6Oy^j(Fe\0vIVóB̞wRjmc ?3-S,ÓMfθYaZ L3gnF[s;a;gׅ"ґ>+K2q|ϹrsWkV]]:s +ڋ 4CwQ 0IGuZCܗ6x:ɔk9MS,}ݷTvRWDt غYOv!mgqTdFCV1b` "'&p=Oy4 4;k\Hk ԢS5`V#yqXR{Z]@ݭG rƧ56-h.gJìOid>4+դkjZRgI|y5O ]#<=r_Nn'CVD,;f?R %Nr+zB2'a#N- +7)@s7g* I;&G fFx:(GJ~qKٹ,&ZSZgLXnE$^:UWjbI4x_;.Y/CqM@ĹV&#EՆ&hSSh]eoE {iCD_7va8d]\Wj! gPpD "Pw9eudz Gฒ;!vp#`߻#=]ԡGi V/Gm$e㵓sםN$Jz "K9o8q_o(A?ҹkyI?vB}AK/?iGܩ *vc~9@Gq 4\a u=CK ܰ;=%_N@%+)mO`A/ endstream endobj 7419 0 obj << /Annots [7420 0 R 7421 0 R 7422 0 R 7426 0 R] /BleedBox [0 0 612 792] /Contents [7427 0 R 7423 0 R 7424 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34414 7425 0 R >> >> /Type /Page >> endobj 7420 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062955-08'00') /Rect [218.8375 549.4577 381.3955 560.4577] /Subtype /Link /Type /Annot >> endobj 7421 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062955-08'00') /Rect [178.99 496.7885 396.03 506.7885] /Subtype /Link /Type /Annot >> endobj 7422 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7423 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7424 0 obj << /Length 19 >> stream q /Iabc34414 Do Q endstream endobj 7425 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34415 20830 0 R /Gabc34416 20835 0 R >> /Font << /Fabc34417 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 7426 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=618) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7427 0 obj << /Filter /FlateDecode /Length 4635 >> stream xڽ<Ɏ6w~ LR\DAsfF<ߟpyX]Ȑ( 4?WvTݔ i7u2LL_~.aF쵟>ֽN\;kocEh Wzc}o_pkN Qem9_[":y8vK t]laD-We5c<ǥ3J7Ot3.6^x e0>u-D2P@ UyFׂy U:-ק䏑p Pd|MáFgי]0[ R؂8~uMɕɉIo uDYYIQWU]6Ί ɚI۱ĴtQFl`|svop:6FWi!m<Hsoc;?F0s&f}683E719՜Y"Я;soe%sF[r@qr4Ca*J '`vFM[:8'W*ƈIT9s>VTA䂅-yݛ9dS+"ee*ۧFuZM<.i /hMj TzB1U$VqZ?ۄM$@G: 酭I~ aN9.Ǚ3mS`)$$,cT3,\VIP=ud!R)hHcsc6Π+U@%甚 ?.H.Ud HVEY " ]+T9$}c$H;K#v֝3^ڿNhVK ?a7Հ+eL5R‹˫Z &GF7^:!v"j9؅ZbO =0`Uq%JYLu` 1R5paE n(T !yH]Q4;R9iWtMLldDx\!]HFso:|yP2ZGM"Zoęxx i*l4fh*MbI\0@xcqS-XJU ?yR5Kjm6Tu1ki lҐNX2O@$pRǀ3D~CSSC〥E8q`uq@5pL^Ex/fs,1B^Az C?K"@ T{A(D [mҫ3klBH97&ABBDfz*m^MsˎLD:%-3njAX# t)tuBYfccZsMMm\MMqdRyXiO뭟t>:تLud쐚:qa6vc eQoeQlFUhftׄ0qhݚx+qN^ *wN=+Šg#6f<[w5{>Kwz1 CQAze 8lIg J2s5l0Nx$U\eV4=:f,8ǐ;K1W3Xe_aKk1s8. . _'65v&j jM恼nf e䮫b\B+2=PS; ňO,'UdUd?CN$%T Ts,\ :VUA"(?Pd!l5°zҵ) VV KA2 1fA%<1=<ٔqX_™Xm9lqMZd­jJokuYy#ǾBqoHjjwT*@_%틌!RڄgC=&H56n`E12 ɳoRmdBk,EMȟ5hvr&A0H pQ"\QQˢc fOޘ-/%9ݜ+큣[P\{/ǯ=)pR왢hfoA1YI>ضqӎpGa[ұI% R%Ch9qrndž!vhQ',@@z/FTS}$tzIeᘀZ.MK#ժJ+}om:9;_7p8g1h6 z LdXYm"fpjhE=i~1p bOc.W#'维]ݤ:c| ]*0@Ixh^2N ! E>]Hy#Vof_//ޅi[NA6KM&eNa*&^a!.(]A=dgYV+R(f p0bI7N ҮpuAn都4(1#g!]^s{>S{ JbVr7Gۦg/xwtb2?_Q\L&^ӭ|pc< m՟* +\] 8y$ҖC@q/+NJvNxJ>9Ky&*DK\e,N F05l_p-(h[9*:MbW*+Q_Ѿ=VbESz2jm<%rskװ4Od(m#o'.OS^'8똵6-D([9/ϖOU_/KM,|eɷƷ8bW}, ~6.ۍ+G/E7n'&E;`N\F'171cqy*ҦY8 % ʕaʸm tw'X-ǿEH=? :_spx:_pW{/=wjh%δ rF@;c _tD_ 9\Nȟ? X-/r+ s DT/ C3/vL[ y+ 5טWgms ;x5*VF3T =T=#OP!GpX|G2MK!1\+$ Dk endstream endobj 7428 0 obj << /Annots 7430 0 R /BleedBox [0 0 612 792] /Contents [7440 0 R 7436 0 R 7437 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34433 7438 0 R >> >> /Type /Page >> endobj 7429 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062955-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7430 0 obj [7429 0 R 7431 0 R 7432 0 R 7433 0 R 7434 0 R 7435 0 R 7439 0 R] endobj 7431 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 209.9462 196.6447 220.9462] /Subtype /Link /Type /Annot >> endobj 7432 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [104.1732 193.7462 185.0562 204.7462] /Subtype /Link /Type /Annot >> endobj 7433 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 177.5463 229.5017 188.5463] /Subtype /Link /Type /Annot >> endobj 7434 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 161.3463 181.7727 172.3463] /Subtype /Link /Type /Annot >> endobj 7435 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 145.1464 157.1437 156.1464] /Subtype /Link /Type /Annot >> endobj 7436 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7437 0 obj << /Length 19 >> stream q /Iabc34433 Do Q endstream endobj 7438 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34434 20830 0 R /Gabc34435 20835 0 R >> /Font << /Fabc34436 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuDU"|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYMޚGFx?1$_0Ԣxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}$V endstream endobj 7439 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=619) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7440 0 obj << /Filter /FlateDecode /Length 3480 >> stream xɎ^_dj_AM@<A;}[jv26dWoߊNjwKHze*sjrv $8NIs[j"%I7~R/;G)Z*'T պE{_Շ  C@[_VNXNRF*hR꽠>/4rS-~2\|%]#2iqJ58QȂ\_R;~ gbQ,/~oxN@ AB2aT"k C!!bfxW4Gw< cc\{(* "޻|LEkIߗWuKNЮ~]\BJp .9}iOZ@hq  (RCHF1sD:@1_4K~t~6!6<63zTyZl6-A[mL'= RrLgE@嫎,Oe6jJ =ۃ"ykR0C/,WPTڕ_)ޔ6:FMދЭg3O<\aްV(X3y FꗊC$o *` K1E1ڮdE Ջ\:?0$Xo݄d1uzI:PEY&Yyus r*vNv7GZwq5PWs%Hᳳ6>bs8yo2e/;9ߟFO &ߜW!?h+2),FG$jpKx]l|ScljP2f3PR\ GéYRa`ai #4f1:3+HK:gfL +E^+R\9.i: m- \,(<34K,)UA.If"TWF*GwT]2>Er8tlMEJ,e11,xV5N{Hp{?KoޓZgǝ}d]YZfh/ٟkc9Aszm)(׊%ErweӉ9͠\و C2kTM [s]$AFSvbU~Pj}^/θVomB^%2.X7ߎ]h.aWJYAhAUù-~[Rge \t;RFPnəQ. G[N3YsY}B+ TgXωfNd6ʃxŪf -oT؝Oy.;[ {<" F~sxX>{YG`Bn`#!sӀBML}W8J۷<]UjZ|qQKlnd$*[z4T-Į[ꚈiNvMx N .Ŷ"r0A.jR| .1ڧg_XKJL^DaaĠeve^=(M?׊3Mz&o6,b[9Aiux-`iqxHdB\;8=Xg`_'o0?v [))f/,_.]FZy<! ~F}yo쁲HQΑujbZd ؾ0IJ#bbN4e vco7o=}+>PNW;KoTB?_\2k{bk/-M}J,z\]@0bd;2 ѷt|-y^*àkL"3o*#rVdQi-U N:uuRMLܮ>XU=^:GŴ;T&݂L 6 3j:o`F߅^%2_˞!~c*8v==Cw cQBDD!=w=&nx{}(a Uۡsfgu7zR0ؼþ;&@7Ď}*R) 1;@Kȏx_~*YGrAc g {%"7(VQfϭ'(l3oJ@4*u@n*ȉ 6J-\Vrٳ\*>}|;Է 6_\m(R>ś~c\u V:4yE @6,8"p<ܑrObM` -Qp.qќ`S^ h!Fǣ:lC1 7 4PX9Ζw>#&Y Nik k endstream endobj 7441 0 obj << /Annots 7443 0 R /BleedBox [0 0 612 792] /Contents [7450 0 R 7446 0 R 7447 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34452 7448 0 R >> >> /Type /Page >> endobj 7442 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7443 0 obj [7442 0 R 7444 0 R 7445 0 R 7449 0 R] endobj 7444 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 271.125 122.505 282.125] /Subtype /Link /Type /Annot >> endobj 7445 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 271.125 156.5005 282.125] /Subtype /Link /Type /Annot >> endobj 7446 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7447 0 obj << /Length 19 >> stream q /Iabc34452 Do Q endstream endobj 7448 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34453 20830 0 R /Gabc34454 20835 0 R >> /Font << /Fabc34455 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 7449 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=620) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7450 0 obj << /Filter /FlateDecode /Length 4905 >> stream x\K8rW@j4`onOmF}Nj/I*lTHI`D|AJ!'gu :[2T?~oaC͘]\,Gճ񻒳/;bۻ7j_4o)GÕƟ-RXEq_Gx7odB;9 o_C_CߴҒᔙ~_gdQN)4 ѿ..|%,^eM, 1u_=q9j9sxM|Wg_ÃP nLH J/#\6}ƾ+&b@˔`j@49/QŴh'O퓶NƶKпER; }39{n XFI?PO 9ä//ƢWv/*_"r/|. fm/Z2ƭNhS,4Mssenn^U7u?J1eN=6kcS}}>ΓE>١F}M&eY dw!` \Qf,`3I,nqarW$VCIC`p-jkGG>lϷ5$QV*WCaօӭ 4ɗ^U:<6o'S"k$o ҹ)9%4>6pW|x 8Pz &ߡ '龓"|b8/ 8s %,8v6=|>ծjBrҺ)o(v6י{HSݥ]w?!CM28W\ ?޿qFك 8@pylގf{ǀK&ǎ|G]r2[ؑffP\YX򭴉VTmhwedL}t%^2X@btVbNzqȍY.gQPwHcU;i#{+ܲt=W](Q ߉U I/H־va`iJ%d1sK89rika?MܕG_ͳ-mg!t}|:ȧ0_;PX&8w)h9WFs`?YՃGhcZ%HJ,޷O6 G=CcJ [bƵrw=谔8bV5 iғviJ,JzSD{l"B5:r&op̲dWE\la1[2TPo[4 3-l/"n%F*ֳMGUVZ?_ޥY3%`=Y?_c3@|@eY7+.w:U#MSxo7WdNzlfq)]<9co(~,>M(NJiVlBYT3=2ج(FA D*m߬(N5`6@R.FNi"C]aƝ0fy P9ɁICM] U0t=,Pv   B_`4;XXd׾N o`\JyXTumXF= njW@}7-p{prk89AOuW85NΖGF8OIp_'I@KvNjD:8]}NjN_'kte᤮DI]NڋN_'N{pQ@0-fn磀ꈬ(&j1uTy P2pO k@վ#nOf^ÀKiY~'ӧкa 3 n(8S @GMw㩙)u`ʭs?-`fK))Ӏw@yHREjx#ϦGK\o+pQ : F|wuT kEy]N>S-QuQ)x,Hzq>{ɤ[?{<Uբ%]r-Z*lB[C&A` 0NFa{ƣ]oHuS*jAlsGr\`9aU^(YxvRͩQ/Q_/'볔_83){&PݤBVX([EeVIWM;RTk|C;r6ur`$[*-O>)DC]*nv=+AyЪ(n4C.e0G2>CukOnŎ <đ24'8^0VrnM8L%$$ct 24oz3nj^ 욟lGLH2]y" <ɼ;l0ς'2ܕ]'H-|lI_[&=gT<+,FCv/)B$¡bg[Ĝeǟ!*AX7+9`ɯn3K7Vy]l?kzfD+UCh9I$KSx2[^-uC%z,Q m&!ಸ'EԄ14ydr zS\xH8^ֹ  K8$S5hg_U-Zk,~hgx*U@{\'=yΛqaݤ [dv"o,}Ynj]`+WSCũ]\ɏ5b:ı&[I0d21BiPv >ˠĺ֢VIpq)sN($N.wᤒ=[\ZЫ(\n4uk*bXz}J aelmfTw6i-q~o֦mv E;Կk?]FYOB I!fϛ P__5 l3TpA@ob| QCiX\ZP0 pFr!t7 ҺN'9W[a{jͼ|xW72*->MXr;墙8yiqih'SK"Xb9#mLr&>5% W*@]0YxOHEf4Dn5s.6:*  rr9 qyJY\@5$<G0„=o{T1cZ+qcKMgkuw vbM/qwO=j|mJ[Z Z8>+wsg*4\B(Up|8 LϮKv^FSCiw pp3`H߻ #>X\ԡgi V|yW)1ա II5> J? ,EWV }ࠬZtץXZ!|}vIiG]y *vc9{`|܂g/4_ɥFPBd bbOmd y_B&oVt # endstream endobj 7451 0 obj << /Annots [7452 0 R 7453 0 R 7454 0 R 7458 0 R] /BleedBox [0 0 612 792] /Contents [7459 0 R 7455 0 R 7456 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34471 7457 0 R >> >> /Type /Page >> endobj 7452 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062956-08'00') /Rect [218.8375 549.4577 381.3955 560.4577] /Subtype /Link /Type /Annot >> endobj 7453 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062956-08'00') /Rect [178.99 496.7885 396.03 506.7885] /Subtype /Link /Type /Annot >> endobj 7454 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7455 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7456 0 obj << /Length 19 >> stream q /Iabc34471 Do Q endstream endobj 7457 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34472 20830 0 R /Gabc34473 20835 0 R >> /Font << /Fabc34474 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1Z endstream endobj 7458 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=621) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7459 0 obj << /Filter /FlateDecode /Length 4656 >> stream x<َ6 =(2y>,wŠ=ſqQR6Y)Qd0r}ֳ'_k&E:]_PU-^o2e?EA|kn JES߸Ox{" ]$XyqXWlL( Zq)ęlϕGuɒSF.23Q@=P`q&>V}-|2HRpu #++W/S] _I}_]t}`ͷVp!2Oc[%r Rc|ƒIuS1싩y}W^ DCPg .yom;˿޻&Ep!EKCݪK4$j2? dH#ymfHܸ60!FIcLE[43M9vfI+QUQ!͝vpKF_^M2DB .ѵ%߭ZBV)MNʳhtMֿΐHMMezݘvuMN$т rLNxәD>wfǚږt̙71u=Yͦ4.^`W `}E6U #m7 Y=fTi)*Ï KEMCAsD$] "\\PfmqFm E"߮)VD|[NhVK0 -} ^ P̟ꁑJ~~ɞWmk'ĎPt[_M]Iyy,ЪR.5i)BŲFq ϷV_Uӿu| s)u];&|C#'(v B+|&SE42n3k /S~UEyrDڂqy j6,#0q&U3sjC^"LpIĒ/I|CJIOԬړ|&kMUki l!6N@ =ep#< }8ͼ {i@@\B(mCjm1e"lX' h.y&۴ڝV,rP W΍AU,nPw- ݐMJbxJFlvYGo!&Pw"Ll31!qw \ 0QЕ Kq;6a4tI},RSŲ\"BKYkY)4[kG(& k[p*,_&c7X;x }ƽ @EӟsZmЇQ{cq]M &ȀzRKXٹ9kh')gK:m#X_Vj<ig6RK Pr3ҮU0p%څ'x a 6ʒ_\r%a؟9!qT/[̤3=XYM6bfiIcf {O֟WEWx0̔sjc- 103p*Nnh͍M 6=\3Mb$ K76nH#)5eOR }֥()J {E)j8SQQ~m99v2g2 S]EG.UpLRU8 ΊReFaYkV3.lmUl|b'1oo#͒07֍gv=DDۊFRKVs)XDn5Wvr:mrjEU@Ͻjb & B_("1%C:dqU3o Cfw.O5K,oWeuu<=w4ў8iӫޣfXk]1S-$EzBiྭ(ܖVC^w7QAн 26e]r(ݤ[&Ӻ"pBQN=wQ𜇎;A!?7|!.yi6xCCEmÙZI$RX|!GW倞P]*kXSJ*R-9!+VrAbhƃ3DJNn#mw^5e JLVYwGڿ6Rc1V]DYZv:T"K eC$R֋CʎjG5yCl 4T$u1j~2Dyl`_\IO>XU$yHˍMKVB')ģG apNA/&|d^tpy[9KYk;NBreCW-Lr^SqFJ3y:6+{UU3UƵlm9xEX򶎷W"+]ӹVr)}Jؕy1,q7}¯Z+?u|/j H..ϥlZqVY^vޖ.//r_# :h;b_IΌg؃ѩoO^xX٠fǯ .&2B h7znJ"纼f'˫)7SKWl2MeC ab~ g|3b":_@/v!F nu=hn+zw BÇ-ØK+s/%pnRK>[*0_@i$ O~`Do-r |^|}l=hSnA6헊;ʼn&b]aؼ 6//iWnX:7JWkr2,R(f 0bIwB,;ƖIp ة7OuKݴ~^9(n@E6?/|ᛞj5,9XŬ -KL|qmϓkt*ю͞}3fa7N1L2N;p>e~×0++oSm X]{M*24x>Oτ8G?R@t,­|18;5g^ģ 1h# dodNB^y7\>y'/T}O~O,׆i /~;ňR>{ <*uƇ{,A׊mă""be6zJPWAg\ ^ U(L"Cc_.@gQ(jYkWz$#f΅(Y ,5t- endstream endobj 7460 0 obj << /Annots 7462 0 R /BleedBox [0 0 612 792] /Contents [7472 0 R 7468 0 R 7469 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34490 7470 0 R >> >> /Type /Page >> endobj 7461 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7462 0 obj [7461 0 R 7463 0 R 7464 0 R 7465 0 R 7466 0 R 7467 0 R 7471 0 R] endobj 7463 0 obj << /A << /D (unique_80) /S /GoTo >> /Border [0 0 0] /Contents (current_board) /M (D:20211013063105-08'00') /Rect [104.1732 294.5462 172.0157 305.5462] /Subtype /Link /Type /Annot >> endobj 7464 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [104.1732 278.3462 185.0562 289.3462] /Subtype /Link /Type /Annot >> endobj 7465 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 262.1462 229.5017 273.1462] /Subtype /Link /Type /Annot >> endobj 7466 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 245.9462 181.7727 256.9462] /Subtype /Link /Type /Annot >> endobj 7467 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 229.7462 157.1437 240.7462] /Subtype /Link /Type /Annot >> endobj 7468 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7469 0 obj << /Length 19 >> stream q /Iabc34490 Do Q endstream endobj 7470 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34491 20830 0 R /Gabc34492 20835 0 R >> /Font << /Fabc34493 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7472 0 obj << /Filter /FlateDecode /Length 3079 >> stream xZKܸW𼀴|Scz CoؗR='і(ŪWO6oK.N3cЋG}]^W/_Rfcਖ਼t_朌q8cyR/g"~;>fc.@_.)l%&Y`xK-LЛ.85'팰\f,p[hm{xSـ+ȓ^/pL*ZlI 32BҼml}gjGJXɦ'cӝj? KUܣOxi3-^Rwva%GpA3kT@t88^b 8GD1W`]37,d"A1 H!y] 4< rcDæ6YyDMO.LP'=%9.,5 4W8cDP|ӆc5uWhyfap bXF ̐9{XF/{5oU2KYt`f"MރF}0AMSN9lEP5B7UU\< lF N&@_*0xx2j N˳p֐c%ZGߴ\2&li7QD_WG:16&3'Q8x[4P-``O%-ջsDI]!44=Bl0MF?P RҌCUhIFUM3Z'@38*%ͽtm}Z M(n\_G>GKj֮~.&(XZ6DMF) 9.{,f̈Fm럪Z>б)Q!t´]C{Lȼ?˸I{m>KsP9]kc)CN闢-euv\ܚ_{2֠Qr↘=:1ZB*d&\&|6Ov~ ߉c3mD_ksfbt&aojKfj2!XwߏChjiqd N~Pa}법7.l. Mo3]w ruYf; #RZ 3CS5v2; n%Q vFKE$br1!tC~7jMMHcNP{Z>.zV7rj/k ~@M }_ݿ`]u7xk?\[hj0B{ OV能jjWs;cq.`!~2>$q0YwA2Vׯ ]pX+*36ҊH9&*:OBd)w9Wws>_10ϳ֙2N}n$Z .+z*+yc'L1T;f؃>RPHuS}(P q8A6L{yqLWOsO"U p@"PP`ό5]VL.mԊbe A:#1Q}>Du3z8am+'IQN8|^ ]]:3&laˇk;7^+d;Ar+) X/8K*r.k#˸m.@/haUWЌV?_Y,Y4\Xᮚ8bO)$*ڸMbF2,d*x6R~]gcụg))DiiZo3i+m#~F ],90|sL̟.p=^rXHXB$q= ʈW+^(3 k|p,; P+"9vE(9*nGډ]Y{ͿGċDuǎBD+jww@jA~p[45ho7 endstream endobj 7473 0 obj << /Annots 7475 0 R /BleedBox [0 0 612 792] /Contents [7482 0 R 7478 0 R 7479 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34509 7480 0 R >> >> /Type /Page >> endobj 7474 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7475 0 obj [7474 0 R 7476 0 R 7477 0 R 7481 0 R] endobj 7476 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 286.325 122.505 297.325] /Subtype /Link /Type /Annot >> endobj 7477 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 286.325 156.5005 297.325] /Subtype /Link /Type /Annot >> endobj 7478 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7479 0 obj << /Length 19 >> stream q /Iabc34509 Do Q endstream endobj 7480 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34510 20830 0 R /Gabc34511 20835 0 R >> /Font << /Fabc34512 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 7481 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=623) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7482 0 obj << /Filter /FlateDecode /Length 4830 >> stream x\K$9nW@~**۬aS۳Qe2ߤH""_յxН!H~!T!kɤJC9;ixuKt!ZCI),FYg>Iv? \÷{CkjgvK%4Qft WM(CQ|^~TK .EYm#A˿"iV*% Xg ذ(@>/Q,Y:8:gV.:x ۄ8fJVa%B9vhlTa:C @[E% %{ZP#߬%;\Z%J, O_(? 8XYkAZ%woH#ړGFz~Ueܾ?C'3x㵓,`J?S3!|Jb"0e̢{7,azyE^x<ڼ^t{9@ǃcF9g3XJm&FXG g HdAp:8 C`Y]Mo.A%N%LƑ!pq4(+E*vRpPgeg oUtPmF)#|uZADz@G[u@ciTۢR=)ǣgfI6PD]?+'?6~Y_q:!*u/egkp>uUbZMNK6T'z^B`uJ8T,yCq2m(}0jZ+Gblw|L`i_,N)_I CUʋTP7Ci ,&G p3x $,$zPsg6HJmo&Br@} Ū+N5/7ͫHEJi N2)zp"t-(FXz+޵3F֛ZJ,W\i];_HmT|bkP(#kH秮y ֑^k>h15]tӦo*SdoXQSQ^Rsnu4` wd1zN֫w-ǣT-L2AC:8BF2}}lktl1ps*Kkѧ{荩 xi%JxF$+vU,̨NQXX$^csG>C+{2 3GLjkvµPQ* 4B/ LDD<D9>bq7c/@1ĽH?$ m.颺N]0g]|vxD4J)X6~%wḇcd#cl+UնeX^57=5Y}#b޻gkv'ꝶjwMi}1\Mt.M1nstgݖyrFi Q@^8n +CNL.]q0WS#G0tiǦRUFT [8hr5DKs@Aו'+%㓐(F˾.^yLāuQv8L̗{/vPhEuQYFp((*u.V@ND&/}Herb?(dt nR%[*^tF=:˾аuĽB?*ԱLX0J:Jt ^NU7h2,2.w*E'MUy77d.hDv)\R\BI7sD`$OckG P,mFtֺwsv>6['BQ0b'NXl(J1`DRAQ;w+ICE  q s *'8t90SUL}-: ^B`n aaX f٭n uVd.`=;]ONb/I?gӍG]O<tI㣀 vZ1{B|;h[}~,,K5*0թxQH 1^gHPLӾ9ܔJ  SQ 4>ϨWk|U* QW :~5Vua(#Ư4{AW뻩{9G 朗Efj%ME s vW5:/g/L00;+ϛU0;9Yݔ)%--%ޘ7^-@V@YyJtr X!t-.jz'(2>Zg9LY -,` &[fI8Jp Ӕr]w R>2D 胭@&##`݂DP?%e,̂`Jnńq;U'p% iI#U?^/1PD1%7ʀX C- HUUB-q̔{]gxp6+=r&YဿOZnhcԋ mT{)+o"BsNrK0-H8A <8%Uᙊ35PP ؿÎ6. 4&FD9LrA,)c*VnЛ%z?eԙnהt,j &f|:V1AUcF5чӖm HSjQS%_p"Ȉ"0]0L-|t owF\'6V+Iltqv+?l`V94&WZ6Od:qҌ$X rQR|4Zz7du vӵSǚy͕na))f};3/=M \yo2O-`C^5N2r|lhr^/uPUd^ cZ)_ZO^X {5zti|[NҔ 5Ʈޅ}|z _XpG#>.1foRi5mM\$L9`4 di 5vH0Sȋ.kJp *&/dZ1>W2Ė|֌[mߡk˕(}yRie`Ds\H|b^`ZwqUm^EZ`Gv}7Rf_␱C|l2th)rX"ze{8Ի B8CE L{mG4 K|Ei[ D]Js1 ,8?P,foUuw#FfuݹƠz+ś5cX?`p1݊}4=_z _R[t$a֋-iXw4Eץ)i;j;j* +]7)xd{T9:]!Y^´ہK*ni Hg#C} WAN5 =w.@E)aW)qϥH_Q^B+] dJ#mD:pF7i)H( .o5{G^D⵳RJVl]oK6h<Sd)vw8ޛxpEq^veS;unKՃ( }õ_쏋b_ůsR _XQ p6N1<<@>Cd;gz_{{%${iӚdW3>r^|˻5Nj-])ζܡB*9!/}%@3uS4['q.9N_sùfXӝˍ~"*Xl&B]`a4 RkFAy:_v|9<|ȱy- =}& g=M~HsOh&=3s> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34528 7489 0 R >> >> /Type /Page >> endobj 7484 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062956-08'00') /Rect [218.8375 588.0576 381.3955 599.0576] /Subtype /Link /Type /Annot >> endobj 7485 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062956-08'00') /Rect [178.99 535.3884 396.03 545.3884] /Subtype /Link /Type /Annot >> endobj 7486 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7487 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7488 0 obj << /Length 19 >> stream q /Iabc34528 Do Q endstream endobj 7489 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34529 20830 0 R /Gabc34530 20835 0 R >> /Font << /Fabc34531 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ b endstream endobj 7490 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=624) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7491 0 obj << /Filter /FlateDecode /Length 4713 >> stream xڵ<Ɋ$Gw ? \sSS0aN5# MAulüTI"W{yzU1kW֤\x_P6ڼzPʸ5ѿ)R1( i3 g#bdcRbk,=μ3G#8k|hJ޵po5 9H޽2 0x=DĦ+ڗ> !a?iNUbn?/ z8wOp:i%Bu@6U!{H9SHKTЍqK> @#S'O"oz}\.x\32*{8Q[*U-Dʔe*ӦFn6Nڴi I4TqA]MaY ^bLBun9,Nx\t#6^ngκc(i:KfUGc7c+,&yXA?u-)hLc>4;Yc4"GD%甪 .H ZNI,H[$"Y[D@) ER"H3,CEWXK(h JObѬ`X-~"R.7 PR73vnts#A!w2qĆ<юP! RPeڿ. ީ_[QN /n%h fSjr0*aΑD"Ȅ2:zn`Őh>F$Ls8E#Sm8<x)8S9+zCQ"LpEC V^ꖤxIb *X%uK)^f{l|&kJlyV(M)aS HZn\7rlkp,'QAb: a$-*qˏR. *quCM\ :{Z">(bXwMf2-Ө V Z:٤AQJ{J(+]N=R@hϺYBCx\Vq8k;YxVrcuZ(Dܟ"{fpU'Ce,x|F\{a*j!ҳwP=W (ˊO2k&x--ۼ ΥдT֏J*{e6bs> wMaЛ7sBDe2!;ϑ72C]խvp3 ttEH(xM?7s?"gXf?kbutk}mj[$)`fR˙-P] &&f_rLVqߕO2ܝ0y^^1<)>"v1d94s۠Z}jKznp쯘Շ#|3[e=,Qvjmp K#Zóa4e[`cRb}Z-[]AbiQp9-{*'ל"&:w8%M_/ N Z։LțC H(-h3甎TYZMSP,|VԒ$e"!F +UM*EoQ[w$cܽ_p2WBc[V=j.mi65MRyڙu=*r{8~ Qbչ+Wn?ڷC^gOE2?.O=mOnsG=W6@ 8`N5#cD齅v7-'um7?=2y-w8yl6|/'$cm7绋aI[F>n{j>+ r3d @r! 5rRRnSAb~ia1A--vdU9:!yqHg}NܻwܫJ-rV{Q&m;m+1^eي"%'6e Cš ~z}2LJkJsòNRoO]hS{z4^:ӕrkwĩFh{2kh(-Nu5JтRқZRn#jPkAc%Ϲ- P> (5ݻ.u ^v|[Lge;R&k$ZQEv;U&;69D*+h/vviCb_ HәRokiM7+dg@1'S ^,@֖x.7^dҊtXO/=}ֶ#֏2%TӃ2 A~֏ϫY|;fW{kUϨΟmK3G@;$9M+  x.s&,Pkǽk}0,|!dš& 'P\[U5.d>FÄP++ 1bXť5:xwVL )Dka$SwR8PvR~=4 [p*ڼVE*֚ۗLrI&!î r!۸7g r=09}WAjЂޒu.NԂa D&n3'dJlǼc$sQ\if":ba ivJG*zN.V`Mi5,ֱJp7hA9}nŠwQPPjLJ9z!7la:o7uwoT˻B/Ej(d0ҵa,DNڰg q;~4|~nh :\1mho}ŘIpVzpXZ>O\,݆(}eqi8ɧ㋿nǦbDt_!: XB.Wq{;r|2#~y~Ku/R[>t/c(AqoXL0QKK\ ߾<eK(Mz\:I7̀aؼ /jWnZ:ְ<,|a )e3?$ӗ8i'* uԓ,`/[J>8i{?^-G JCMg - _[Rh,9XŪ -6^eKe$kM@Wr!#VN8ťk,8' K dv8<%_\Qb/"=e,.#Gj/d4\|Mx ]n*t(՞(_ ,/n=\(kcFX'cw;omjvdtj:D(#llK={2[,痶TLF;\J{& ㋯4wo]sics4KnjW)u̱fHB2&f!/\NX6nA,n_2pῼC}_qwf3]9?sp+x:/8FG{sxgB9"RFB^y 3^ 6%2+'E97Hkx'L@/p+GpQA:61*DE%oOxP劈X=syRօz٦? ,0XfL4Ur< !( SS HF= bS+ ' endstream endobj 7492 0 obj << /Annots 7494 0 R /BleedBox [0 0 612 792] /Contents [7505 0 R 7501 0 R 7502 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34547 7503 0 R >> >> /Type /Page >> endobj 7493 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7494 0 obj [7493 0 R 7495 0 R 7496 0 R 7497 0 R 7498 0 R 7499 0 R 7500 0 R 7504 0 R] endobj 7495 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 344.6308 196.6447 355.6308] /Subtype /Link /Type /Annot >> endobj 7496 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [104.1732 328.4308 185.0562 339.4308] /Subtype /Link /Type /Annot >> endobj 7497 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20211013063105-08'00') /Rect [104.1732 312.2307 216.9837 323.2307] /Subtype /Link /Type /Annot >> endobj 7498 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 296.0307 229.5017 307.0307] /Subtype /Link /Type /Annot >> endobj 7499 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 279.8307 181.7727 290.8307] /Subtype /Link /Type /Annot >> endobj 7500 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 263.6307 157.1437 274.6307] /Subtype /Link /Type /Annot >> endobj 7501 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7502 0 obj << /Length 19 >> stream q /Iabc34547 Do Q endstream endobj 7503 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34548 20830 0 R /Gabc34549 20835 0 R >> /Font << /Fabc34550 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7}:  endstream endobj 7504 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=625) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7505 0 obj << /Filter /FlateDecode /Length 3074 >> stream xڽɊ$y HrMÜ1}۴Ddf-PdEH!=}_vx/Y. }CF?}[}[FIpc&Ɵwwbrf|(gA;Ca qqtaHP\6 |pXwbwm۵TB3mƸƬBxxVNג~K/9(YN"iGe$V`eqD/֖n0[|@*-YiGN]q1]Ŭy[_q^ku"%ǃbWʃ◜k-ųճґ6 e'[*Bra)9UaZ?[;KneI6%0 Haa` ^K f{ pn?DAz·홂uhtŗehaE{QB->1&X_6_A]͋=lMp78D;oԫiEI:.1 W\fNvgϬde'&MpȝXA:в$[MWE^;+/ |3y<20Ik_^X"pSKA}ΨKc;F1[?ͯ1W#ejB 99|ϺGeo 4x0NH24aΈ's ƎEs A}#YɍYwΏqK_)8}Ǣ&sS5{9f"f2Pօ1?<1ܰfJȩ[T?96 ׹*W)r3Zj;]k[J%W=Nl#uON"S׊ᦶAKn,T)Q}wL.+|[gN7%ږe^4b챮jyTO_uK[.gLn*IKX2C%2G]<ݱOGU 4S(/jOݒ;'ڭ:ƥS?Ǒٺҧ '9]hbh,L2gÍ0l.Mj]%J{j: - ![a$P GПfkU/.,GI~8C=8q&۲u[' Shd#v6[i*Vc}:d0ज=vW >DhTu5v. |x0b`p>m 2RLJQԬ630\ s^g't*GqO%jQsl^#T}t$\~8TFb;;lSpCG(=CyĠtpxf3Zs;קln= Hӄ'K y\~bRuVMۿ_'|?RwyT ,[K v|zYǯo_1y.5'zdKgn_I~?_Q_PiDPp >)^M-YDm uk#,3{a. ,)lس¯R&B<4q[r@rYw$:ck+г(jݵpXEЎ5NlZ1|rs8qQ+\%}#ج9x|SzQΩu͡'޽GG OTwب`3}.A  c'M.b/q TtV]M|q3[dځX\2}TW_k0F\iEbg~igM ƚψ mtJ9y1.sng+y*3^:c[zQmu6+C?=RHKm7>`0Z#L^~)a39ECnR_$^|[BfMQ};e4k3Ó^Ń<*N0w "՟6# aj+-x,4,mD۔pINgOL_u詔 zfdh/>OskXnΨnje"vM8fاa?sbx!#WC׶"E4 sK禲 E'1YBɋ9NRCW~FJihߒ*WmW_bYsW &X.1Kc.+~PM!7>K}|'cH\~R)?#@2g)Q|x._3D1>:Oڳs"ݹJ4T0 t[F|a`!S^ 9=@ȟ\z_11H2I~; ʈ?UIi/Ywf? ]x⊈F˿ *`jXMvz<<:؇>&a~,4F'A鑍S_C %k fkM endstream endobj 7506 0 obj << /Annots 7508 0 R /BleedBox [0 0 612 792] /Contents [7515 0 R 7511 0 R 7512 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34566 7513 0 R >> >> /Type /Page >> endobj 7507 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7508 0 obj [7507 0 R 7509 0 R 7510 0 R 7514 0 R] endobj 7509 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 271.125 122.505 282.125] /Subtype /Link /Type /Annot >> endobj 7510 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 271.125 156.5005 282.125] /Subtype /Link /Type /Annot >> endobj 7511 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7512 0 obj << /Length 19 >> stream q /Iabc34566 Do Q endstream endobj 7513 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34567 20830 0 R /Gabc34568 20835 0 R >> /Font << /Fabc34569 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7515 0 obj << /Filter /FlateDecode /Length 4971 >> stream x\K8rW@|`onO5*3ɠTVubc:E #7X?CsCӜ roG4S}-^rgzqލ_muS}"ֺgSmW뢭WaK9܆?:|f~'IN~xڅ ~-o:1-nfnIn/ B__YapdCq~2$ /C< Sy'k֧&]W o4\2e$xAD Iz`Z .ؖ:f0Ehk,#>_Ɣ|K~"t?|/ݸLO?g8Xi?2R;P ? r)\P5ٿ2O <À/֡ۯ_gg鋁>YCtpލ6/˂AS.*X˘"䉆Ր8DD>d,?.科)ܥ H.QM?0x6{M(z!-K^b lDfR DïuhH94EL#8Bdd|L * #ByrG+N^N]@uǘZlM-غN|ڢSQSySVUsu1oŻ  M1عE0_BƉ=攊常G4݉W []$±$v3dN$࠽D&%*NsiZemKZם*e6Lq{P# ΨZOӕz30URj-z 0)`8 0)`?U<9VI* %8`}G7aQM)唹G il noyoUg~Wn!0 ᵧ}*N隕v M\eAxgeP 1dA1_iuɴBUKxԸ4x;PF*\arKI1׶tM% v=wFݪڤKpT'BIvIYJ`4.0a1;2T0o-{BS@-V[!Vc*+w)VsA{V Fݳ+7}lU`;HwL'8Xtr4bдpEq%c*>IӗrӄbD̚cMbFԽZg}lN]@c@QE@JvRi:ѺhU^p%*m(VLUEӋ s׍kp˜UMdM MTԮZuz [­`n+X a,߁EAvSbPŶJsGQmXQ-;@~@~ڕQVp2"`NnN~ '/p '_(Lp2='4 8iAp2)ҏgd#d>  ̕a8+3p2{ɬm= (?TW,٭|PPpkSU(PcM k@պJӀR]2.@U> (EDJ,f(OuK]+@.<)sw `2wP RcAܜB}"H)'6p27PÔ5`2WP Rfo ul{:#AeK<*sd]PAeK9.qTu#2Kyl]>cV'^`_@Ļn0mqC!ۜRW:nO|ߍII(OcLB YoKx(SW@yqHREi[|G!s;&\V`^eQhjĝ  aW NհV8{plL{a} f09Cz?=T\P'R `Q}E}#?urNzau|.}Swtp 556Xey.׳K.=xPIiO<[io)NbPZķEBNёE/GxlݳڌcrƳupQ\QР,`Hqz@73!9ͫh6@)W.)jQ(#Ur9TXʩk:ן秧A.w+'y*=nseb^?$W/>@ۻ3y+AX:e1r.li4% 2n X/-z]hHb׳]ba!2vmgN2 ]j{Yn6m g<-K!0%R$Zp)X/U q=TQ}0H}C|%ȧg9Bh5˖핣iiX3NLbH*(ς'~M?iE>z~ gS8+F,FYF͐N@T$®b _Ĝqck!Ɠf LJ.l:8,o,kc%~W`u#lhCcʻ-PIU{4d>=QE[WC%Cbx/b;Š= !9 [c*}'?~ )+9%uB]hsÙ)쫺*˹^X_Y(n*. `ׇ5qΛ~Bv >&o8O;$˷""]F@5^%{Y Æg%~ұg[\ yډGؿ1 ji^+E+v2FF*5l-^}c8d q9I3fi^SKPv >_eP)Z*N2ύO '<ԁ^Erk6o[CtsHbW^_In?l GAoTh1!DUWkOrfӂ@\ 棸1r;DZKi/E`&R, T5g y6'"3Z"B Js1f3El돚yBKm,;}?/ֽ)i> LKrZ>ogtwh|N@sVgRu :s`=@=53-̞//UNc;r,G˹uQrB K] NF|(So<5. 3kۼJo!rVG&QO>x]\N{⇢:opC=zoȷPaғ"¾lV`l5L_. endstream endobj 7516 0 obj << /Annots [7517 0 R 7518 0 R 7519 0 R 7523 0 R] /BleedBox [0 0 612 792] /Contents [7524 0 R 7520 0 R 7521 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34585 7522 0 R >> >> /Type /Page >> endobj 7517 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062956-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7518 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062956-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7519 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7520 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7521 0 obj << /Length 19 >> stream q /Iabc34585 Do Q endstream endobj 7522 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34586 20830 0 R /Gabc34587 20835 0 R >> /Font << /Fabc34588 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7524 0 obj << /Filter /FlateDecode /Length 4803 >> stream xڵ*fI+ݠU|"=! |Mcbpe\!RA7-$Fg'A7z& @2pW^zQ[* 2eYʴthrA/qx)8 ۈlwZjpn9,Nx^N|^Sck֏XԦyy99*O 6Қͪn6" W+,XA?u-)@cԫ>ibx%rД"GD%r%QTǂM"EmE " y`"H?$2Cf?L6s^wy:ўf:N@S/l]td*xe66{<[BlE6Ŗt ՒZQ6:n UC"1q/VAvoYEfowƣL q˗>)/B \~%*NH$ԍwCa^%1ƩE @c䓗/׽`YK|}%B)ٝ59>VÌjg֊Lh%[Kw9ξ3ԖR$w3Sur*-zG_4uuю>D$3T@ }|t&W׬Vc!뢑k=pqRP _yo9Z_-L m#^u>%@HBZ c1@Z}xN?pS}plxPw,PYyA}L?ӛ7ޣ+LiU/Q|Psg( ܁Tz]u5̝AW-MiS ÐʧADr/"kڔR}OXgQb0ǚ$=!է2Ru޺IVIf'9/FigMo}/1GLv64QN|+-='ңe:%z \Ў$K`MYabfE+CQ0j.1"Ar5CAtԖa[1e , DlfjB|V5ƾ>7?"sG=c0DfyL/e'rn\r\^{HH7 >EFwP;w=ó()$A;m&j2ӖѻծՅ}VV~Z:`*e~ԆdЏs%/3KybMs`w2&G;2DݥgGUk1i16lss(v ۛrݺ3Qwro^d z^~wzΈ=uTX,\߷=GOr.<<^K~Z*o:>7:>{^};,"f9sV Ojϑ@@&K'n@]0qmӞL8OO.?WT)NG)YP[^tg-mMg<V\cKBhaRR<ٚ}BŜ@N%zY[v^bǯWXtXվv޶ۗ!,qjPo~m5?YyЊ[kUC]Ktis7 `dN] [_4Me plrx23;~NtaX +:\W wָl~IB 8N.BB 忰|ߓ;&cc]L빸+㹅9Xg`$3WН"PN?*fBmvjТ;.s2h +!']Q +1X!\WZLDgB\ 0+!n{ 񁋁|NjU,cMCa-тr51zt D:d24UצWßur{VΡW踾x?4o)kXZu{-P*oP_|!Jf ے ]?k-Wx+b_x^wg=P&h=h<`8c&Ѩvk"x> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34604 7535 0 R >> >> /Type /Page >> endobj 7526 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7527 0 obj [7526 0 R 7528 0 R 7529 0 R 7530 0 R 7531 0 R 7532 0 R 7536 0 R] endobj 7528 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 384.0385 196.6447 395.0385] /Subtype /Link /Type /Annot >> endobj 7529 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [104.1732 367.8385 185.0562 378.8385] /Subtype /Link /Type /Annot >> endobj 7530 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 351.6385 229.5017 362.6385] /Subtype /Link /Type /Annot >> endobj 7531 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 335.4384 181.7727 346.4384] /Subtype /Link /Type /Annot >> endobj 7532 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 319.2384 157.1437 330.2384] /Subtype /Link /Type /Annot >> endobj 7533 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7534 0 obj << /Length 19 >> stream q /Iabc34604 Do Q endstream endobj 7535 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34605 20830 0 R /Gabc34606 20835 0 R >> /Font << /Fabc34607 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7537 0 obj << /Filter /FlateDecode /Length 2705 >> stream xZIk$9Wܐ1H igj0̡gnߟiȥp[HaE[mw\~yӭteovcO1^[DT)st~|Ͽ/|]ja36.Ò K^( A; AWg'cGhB0>_ߧUC^Rv2phL9ex/ Sp`>ϣaV>>˜Þꇶ Wɇ#=dN"SE*x<|brѸU~X;Yj^ˠם Kɦ_1"1$J+4 qQ3@ @c#B)iĺt3k$G{֠0 B'UtGfhbMLc;P~N){}C%˜

''M+;R 7R';';S_ɝ3'Z&7ǥ*E$.-(V|Fe$cS3[N[wKbbtͤKܥ5 ʔQK#dѮEpeP ͚?f!t&$GײF,f5fz`R͛w\܊rtK6b O'Ca'+}vw^ڹ pruJNJfJE)٣[1?vXle Ro|h2OY˅VEte-q4L7*/Hm(]>bIp7C[,^cٲB5W@}^fHRbC7n"l3)t?b7ܬ5}OfQ8TaknSwȡ~L9nk"TC'] z@"Fl;M.bOvr5BV.TpV<)ц8B,# R]SzԂdrA7`zHXnW |WU`H,4m't-cԍvk&Cnr.9|&b^m<2֙ۺԛGi87m~7=U\\8X1s{;Ac%>RHmS)Q q%L_~)i8ECJߤH\DNV+r j;gF*+_K2j\-Qqp وFA)}jjJ)g*,V]m&)3qӺ& UQAʥ aTF+fnekkV7%-yUM0 ~ص>3ˤFHgF&PfcyFu%$ 홈i}f'=<;yUp4E\mN69rTY*,EӔhIV iJ7[F$O劸C4#S+|yܜ[2uSeۣ^3٣bLFMK9yOrB/Ĺt7Q4a\A kn".^dA +Of 3EB!ŋ9N0RcG~ JIi5d)!H_Lnm@zW7p74Y"놚Xܔz9pkCYpk(1$vured؜L;>\'~;h=GcORυtҪVҰV*0+v Yr` x>Dx[~peyv,w, Fsknwz2mDW߾Pe< I}{< Ɠ(ƉG~nDD5r:wU(T1KflJځVbq"s8<'U(aX;z8|УAI`-@QN_œ endstream endobj 7538 0 obj << /Annots 7540 0 R /BleedBox [0 0 612 792] /Contents [7547 0 R 7543 0 R 7544 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34623 7545 0 R >> >> /Type /Page >> endobj 7539 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7540 0 obj [7539 0 R 7541 0 R 7542 0 R 7546 0 R] endobj 7541 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 286.325 122.505 297.325] /Subtype /Link /Type /Annot >> endobj 7542 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 286.325 156.5005 297.325] /Subtype /Link /Type /Annot >> endobj 7543 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7544 0 obj << /Length 19 >> stream q /Iabc34623 Do Q endstream endobj 7545 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34624 20830 0 R /Gabc34625 20835 0 R >> /Font << /Fabc34626 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_jM Q?wG?{`Eb2j[&!#(H!xs)NF8%*S)!^\y"/)b6M CZNNٷǞ5" 91J,:6(~S׭x飈fgѯOMɧ5UG)zr8kb/mTߖjڞӡ'4yCodE#25J\e8>϶f55ۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"KvPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7547 0 obj << /Filter /FlateDecode /Length 4986 >> stream x\K8rW@| {u>,|aTwH)eVeufS`0"`Pbh1'Y7?}J2eUmeny]oo]xU\z7#ֺۍm?ƒNVNsLόEӷN )ڥ]8]ҿ鿡Ӗᖝmpa_䦿b,4uu&")njcQ}w} ޣ.&I/ÓT1&ֈmmlw]L`]˔mpDאCpT-\(cޖ'-k(*gݼNXi?2RZ;?~ AeӷQr t6> +[1;uXgыe7/Բ.&[8J=ͱ8j\7uj!)i;U.ۜwUemw*6/;M1yX\ۤvRlJ?U(y+=.^\>'ѐ{g+_(jUerߍnKK>퓱X(+^*_܅-hHɳ4;/u X&4))9rlݹ 6]c mKGWݽ g];=w>H5;>a n3ǺdK>~֣R`rA 5׷a+H S-ẖgWb2&[膠(T-!2_mŔ [}/ }0ߊ'/:s"RLLZen^Co7|%r "eejѿ o AzāF \!Os!7o2RP_{L=ɐ=<dқ[Bi-NTj?`NDSY<ۑh2V ;VZCg=hk!F*2S^IވZ`A&.ԾTXcxUiHj#nRmRj N[k/50E.a޶%f))Y2WfXR¼** yMAf^DfKXmTg_՛/MioRLi Fg?am)#v,0PfOpbAiĎi sEy%s*>IӗC diBU"WfXӬ؄",uYFƦFfq:# 4d!hTnu@*MC>pKv+YEKX{Gu@)kV+;8496i*86RQT5Gw5,Pn`n+XLa,?EAvSbP}&s+eb5S$۰Zv=@W+qe㡣dDbM'/p '?ɏp25wcpbV8]>:]ON(ɌޟXO<k[L`fW'MSl0=LJ"Y9>W椀$Z14_ A1w͡Sad7.$`nE;l4|֫A(YAFfǕF|Tx]jXe>]@ m(I.)};o2GTz=8HK*o_q$C,ٖ)BDTM+pjM QaXc7۽:g& ib%Wg'0ۜTrI 3Ml4ܵd ~$ZKĽ "πci9])yoo͕H (eP >E]$/5X? Jf(† .3&1m܅moW$^36Ì4Jf {L?+ /W 7*@΁J5 B+i2= ֏ g0f ̙[S-YUbU2$0v,2*<;ēm;/B{[!)`pU ȇqX4F1^y ͼI窼`#[l-fxKkhn+~zlOWrF?CZ+Äu5EHWH#X2CAHLu6 RudqK.TakRv/.5ʮwjD0o➵v VJQ YDG~<#ȑWϸ}&{7 |!7xWٞLP ŝ9E4f\7Xe# }qa3\l] Lc<25+l\WgÀG(צtaeYX 0nQ[xUQÌ6{r0K?m;؃ʓJ]k$Hm{.>`GӰv}xf}+A[ pb"D3鮹BqC\8>Wy-.)rj-Wx6 ϻYd7/ka[Բ5P03L`!1rBի7!Yx]wS\-8X,au'&}?LǢzv;S wqH#i\Ok]lh#pvUCvvq(g we/ H>ΐ*Jh;6 YmY;Ced[0OGB4S%<źhZ\{8waqmˍ8d2u7N~ݽy>OIc@d%B͗6In \3LEMb~chmUأ c_?-[wO3L#Fs1QcMNB.221jrBLc*3u䜲1JᮭX%gw9s쓸JI>#T̵XRn̏m3m/"8|'a4ytI_va8/h8¹4N.>⧟8)EUWϫ1ɘtuP 9p}Zb W 66+~dm;FB\&v Ay0yV4*L $FQ(.8G/ f.yrTs|0xǼ>ӆ0aLlm*\$&f9OB `zy:'O"3Z"7L^E9 DN76#.ouyi7,%cFZ]2ڞ5Fi ذQse⑼iؗyVqGgwhzPe>a|;gn56h.WTt@u!w4'դkjZR'4_qBHbɗ3RE J1 v 'YgPY2'a#N /W)D vEJ22k+>4pr)H)"n){ӲM[;E<~ rmd|e%݉_H؏u/, L?^9) endstream endobj 7548 0 obj << /Annots [7549 0 R 7550 0 R 7551 0 R 7555 0 R] /BleedBox [0 0 612 792] /Contents [7556 0 R 7552 0 R 7553 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34642 7554 0 R >> >> /Type /Page >> endobj 7549 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062956-08'00') /Rect [218.8375 520.8577 381.3955 531.8577] /Subtype /Link /Type /Annot >> endobj 7550 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062956-08'00') /Rect [178.99 468.1885 396.03 478.1885] /Subtype /Link /Type /Annot >> endobj 7551 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7552 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7553 0 obj << /Length 19 >> stream q /Iabc34642 Do Q endstream endobj 7554 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34643 20830 0 R /Gabc34644 20835 0 R >> /Font << /Fabc34645 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1\ endstream endobj 7555 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=630) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7556 0 obj << /Filter /FlateDecode /Length 4646 >> stream xڵɎ9 خr&h Nf'%qrtfPm߾P~d毿yLQ㐢2]/JZr_翝e_RFpS+_+2c e2  z?e^ 8|k³霁0`"`S|z / NF :FKMI`+/_`0-y8ΨFϤ ^> <4ݣ91Dâ:&ãEoke[)W1_ !/bA['c~zO|d3eYՀeLMư!xeTp {|ϓY}6}j= <ȎVD<8,^b̅ xtEHcDlS/ڦPĖ40"2pR`7d`} A"XojB\ P6"!e8^ #}bUp5Ŵf]!hQEçZ@tY NZMZش))l11{A}1(Pۥ%{Jkē[xbjXV Z^8ش{]pb  w@Q ,ejrBe)IK00ՑIQq)*jWPЂqY6,,;,{ѮƔu%3fqQv}{ uv[wBq7z*6$B_9\ظ Y>[}UO p"RۢL]խ[nKXr~c8-Nean]Y :E8+DZ+xsqƃ;:y΀V6:zaeE= YP, YA9wMŎ^Iz.eAg(B4 T pM D(g4&1He@ 5`xfAmb1/VWH@o&[\XU\,bQ7QǑ'#vUE"_;6g&+cyH](Gy܃EEQ>V(wH5!֥r/Y4b*S$礚5Afp3Vx i,k90݃MXpxْ-͞B7]!5Yqg9~$ xEqH( 6@V17Ā>:4X8$(&_˕Hq+!*O4EϽnj%'' 7T`3Z%iU#mm٦}xT /B(v2? :*g/SSc,B\Y;~fsҙYKDy0'Sr\L?d4]7gdž(lr.#+e:Ҟɉ 3-gN:uxHMāܘ"*ȦؖN];F@E0b.<*!5'x |Oݣ65l釦cٶIϋio*3: S˷'f9(s9raVcϽX`j umb1lv'Vٹ֮0pFH+Jca[l לOlsvnYXI<ʷE2U9Sr&'H5ͳvqfb՘kaSeQ@]pQ^'@>,g""Q5nQD{ꎮϜxFcP#@[ +faW,?W RƆbBU 7(-|2E\_Zz5A(1¢k2ê*Iq nc|͔~"~|2_x8r9ϡGϽya_J&̐%ᛶcd][5J&rR%WkO[t5]-@ڲURhး~;Zt{NlN@*v}uO5}g'_Ešo"x=67c"%0bFm_ 6tء*'Q:ۍ;eΚѭ,cK*vȩN;jW%Z )#$7.-ֺp+C:ڡ {WJU>%ja'j0LFqa噂Xl;Pٵ>^plpLpslk3'ƃ!w@*h`QslHWpM<=\ۏg>^`-m-<>TPc\nhL;Gg&%E5 ./(l p ?.,Rw&c*|@yCwjZ"I̴yd󟶠ldxMVWii?UUN<xt)cH>mM؟hhͶ!lN V}~-YP?qv!.v8—Ez갼i ,.BӊoS_ÈӐ}kLUPYlcDA]c΄޳<, 4|6JgEd%4G "&,hrr āD]M ǎ~,$ʛΛpW8'O8ໂUfa<M~qΛƘˡ} ơD@%LɂL&Z^{ʛ<=[sKdY4 @lom9,&`mb26DRv9W!sմ~a#}=:qWm'*kKDv1kBh&^ӣǴ̄Mݟ2 2\%ȅ"DZ Wܺ ̳}"`)S/'g-Sk5w % _}+DٶwJ2]|%J;ʕ)`r 'O'c?j Bn6x!mFkO|sT]XfKbV.RFPFY^^Nez_b2Y#/qn[edԯk&[et5W͟ۉ~'`9wcC²9xcID2L[=-(* Sm,D/ +{W<`G,HIg {7.}g Np <֓[MJ<3w!CZhF:+iw" +J >?/M7Aa :s{:EIHpAv?ă"bf1g $DPlSBvnYvxCsIN'$D0uP+3:̥ly=h&B06 }`A[ endstream endobj 7557 0 obj << /Annots 7559 0 R /BleedBox [0 0 612 792] /Contents [7569 0 R 7565 0 R 7566 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34661 7567 0 R >> >> /Type /Page >> endobj 7558 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7559 0 obj [7558 0 R 7560 0 R 7561 0 R 7562 0 R 7563 0 R 7564 0 R 7568 0 R] endobj 7560 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 284.5462 196.6447 295.5462] /Subtype /Link /Type /Annot >> endobj 7561 0 obj << /A << /D (unique_84) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 268.3462 264.7127 279.3462] /Subtype /Link /Type /Annot >> endobj 7562 0 obj << /A << /D (unique_86) /S /GoTo >> /Border [0 0 0] /Contents (get_board_component_pins) /M (D:20211013063105-08'00') /Rect [104.1732 252.1462 236.9762 263.1462] /Subtype /Link /Type /Annot >> endobj 7563 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20211013063105-08'00') /Rect [104.1732 235.9462 216.9837 246.9462] /Subtype /Link /Type /Annot >> endobj 7564 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 219.7462 157.1437 230.7462] /Subtype /Link /Type /Annot >> endobj 7565 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7566 0 obj << /Length 19 >> stream q /Iabc34661 Do Q endstream endobj 7567 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34662 20830 0 R /Gabc34663 20835 0 R >> /Font << /Fabc34664 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7569 0 obj << /Filter /FlateDecode /Length 3195 >> stream xɊy@1\*|kSS{6t_ؔS"^}f-e;Dxm+f㒂K"C!!bfOOsD쎕wS'E+cc\hg(* "yF&^|;k˂e*`}j֫|?2`FӣVgjr)q|%'@P#'@jQ]3 @L0  벧Ca8gĆ #MM4Ւڼؠֳ hO@Ag32U("$99 &kN,O(:WDT9'\-Y?cDkPhA5^a2@{$UoF4~pt#Mΰ$5Eevwn iڤmqrd/DlSqzު5w,&fWVr1 d5PI"C=7*Ʃ{SួϽYg{{?ʬ+Ri}<6%t۠h0a 8 f&_6'yQ6f2ek ` I`ZO" Y{7^%}Ԃ1D*馃&AH+\z_/1+[BX_6A;7KNJl%q.VV.6u(Jf]PaR"¥lL5*W4t>O7dE: ܎#ut5*QU&mkT!5"þv%?8z]%/-'0yrI KltDlSDmnO=XЫ6)~} U0IQ\}elۍ|qBޘd/ͱg*_}_~?ܷAnJ84 [o㚼eљ]%l'"i?ZbWz!1]k4ln׈IP\rpρ=>8ބkWRA%n%i%Ef L-)Y1+b=wg$;Ax⵵m'nu39o{kJmP˙Cm Y45i\wiZ.-_%WԊxBmR)He,d|2riJFzB鐼YhZΚ,ē (t4JtgWw]qT%:iH?ʳo2>6W7IQP; J[|{9@`ۢ{hъ[UN.YBoNw#Qߠ2ԶPvliD"0榏 =Hm7(l󎭾.Q,&c C#_بӣ.Hי>ozנg>"=s4oY뗐#Nkgu샵]Y=6;N``z|m#jX P\k_^myyR<V+Vm}ꌬ*=irW!}E2ߨMz驕&[To 烪es -iM#kD@Nc;U duUHYfifU׃_~`]G=cz1 xzJccv5 JN'vڿ6Y7v@ ߐ.{t"rOD01y,7ș z %p8BfdKKG/x!af1 \Lod"Y[;~.մ8q½r;[ } (b>E~~ 3FJ]*Iov ;ה]>j5_9Y#uGsJ8+ v7LGQ:Z'+yvRg}A]1:OskԼriH4h xF)dQCO3\τ \~+ɳe"e" dV%0\{G>Ǯ|;\]-X/ă[gaޙNWIOD5p8UY dSb,je#.M4=OHX?eʹ + cgPzd#ex[j֠!/ endstream endobj 7570 0 obj << /Annots 7572 0 R /BleedBox [0 0 612 792] /Contents [7579 0 R 7575 0 R 7576 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34680 7577 0 R >> >> /Type /Page >> endobj 7571 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7572 0 obj [7571 0 R 7573 0 R 7574 0 R 7578 0 R] endobj 7573 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 286.325 122.505 297.325] /Subtype /Link /Type /Annot >> endobj 7574 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 286.325 156.5005 297.325] /Subtype /Link /Type /Annot >> endobj 7575 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7576 0 obj << /Length 19 >> stream q /Iabc34680 Do Q endstream endobj 7577 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34681 20830 0 R /Gabc34682 20835 0 R >> /Font << /Fabc34683 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=` endstream endobj 7578 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=632) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7579 0 obj << /Filter /FlateDecode /Length 4813 >> stream x\K8rW@| Tcͺ>wvaTw2ggS``PRhE'I]-,/`~:[/,~/u~M>&|{[Eku9YG$Gֳ᫒+B;sЧvT*/~;`\0/vg e_oi UuoF~=j?tuk2ҫv%ff/.Bߗ_n1\X7_¶&%¯;]Go89j䵎9_*ct+tfhYH8P c>g _)E=1Z_H . (AC_`U?ѧ?͚l۸巿,RaS GocWeTz~Yw4pzA'mP3p/_~^w~@b0!a.Ƈ!0Pr"]MU'[}*g+?,otTe,Shy)Tb(-#v6SO&'ǺvquDe҄A D]5dH" пOn^ ~,Iأ<{AdbT%#Ejr p03Dջk W{aS$SoSv:քF[ E0`5,m9,((o5 v.XĄ} ).xdL|$^`{o[}"mK+@VBƎӮuܧڨYBQּuցpxԙ(vM}a1#5|ާEObYZlu]-Ai* >-BBh x̿}2Y=q2t?hl6I8CFg#\ -elO-׎; lͦLqwn4`W|3NOqvVH3`:A6x}E::}}P3p{߸}L||+k>/GdDb^F<:$F ;q䊰ds"X8ofƃ=%-#X,輥Z .P۔w~I"MNz5 Ip^yvGYL jj/֐Y$2HT/a>nYJuEPW.mI:=rҺI"nѹ;~گF>*ܦF+I{zw-g Yl{ʒ1; pY0X\ NV$mK/r(ȠK DG1";ս#TSm-jCBI]-(EKhD04msVrވa|8Bɗd-JݼkENny]Č{ [n`("@Hot;ǣ@௹824E^l YFCh: /#-A{`gLvy3tR:P@4\u&q_LvقUҩΞ2+35덨kcFck^z80@H9[TR˸U+Dhn[2QYZWcgfX$C 굣3)Ȍhֈ{]J?]M]П;W<9#oIc }![<72MxzEüw Eu/:nBOUPCoǝlΌx}2cM<{rq9xwT 'P5o7@'I;RQH} )o~y`_T,ꅥVP*.!2ݸ$~]Do|Cf.*ylr}s^j2Ub~OwTГy\nuB֛-7 }ZI(!ٱ8 U1W=hWELr*W iEߏH5ԁH FR&rI/TŊ2 W lJd3k|3T4J0DCeNSX}])h>;*lҴBFv3qdUSG#9nKKl{[#J6z9-c$#O萕2Pr_ղ\#oy_!oa]; Ɩ.$-?Uv%3b:ı%w=$cˌNO'~1˞|̂V^Rd((A.wI%v6s5`W'qQr܆RDxuw%03&dZ7S-M=oQN|Pd=Q.doCKQloZ$nx⺋Mp`yX3wݥ7|Z0F{ƠF[Pr}-r wǺ-Wym?vC/QXܚlQCKw&ȗ >dwalc ïJMqFV%xne`|Lz= woҊH_he1jr3=y53|y;ջZgnuH (pxLӇڞ(٠NNO47rmǕ6f t34m0Mv>pIwHp_,HEfDnD_JsDN@\e(si7Po:6C{N[0fb0džZ+qcK zfMyη\q>u5J78LTE\.F4Nȕ0BHBW\Ň+>!ͪ:/NJzF.N/ '9f=Ssug "KUZ,fĎhIfwT;C0 $y6]KGZ.mHKDB[I{)#^5M,W&/Qk5jNeC+koYn:Y;/& K޾ƹZ&‘j62ڡoWݛABw.7 6ϣWX L+6? Mڀ9mn랇器KUq k6OQ:nv[U_Yl }r xlv,}ÏBFO!{X,UZR}s>t^kɴۺx1˷'V{ ,R ;/ 36|K}8m>~ak z( endstream endobj 7580 0 obj << /Annots [7581 0 R 7582 0 R 7583 0 R 7587 0 R] /BleedBox [0 0 612 792] /Contents [7588 0 R 7584 0 R 7585 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34699 7586 0 R >> >> /Type /Page >> endobj 7581 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062956-08'00') /Rect [218.8375 573.7577 381.3955 584.7577] /Subtype /Link /Type /Annot >> endobj 7582 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062956-08'00') /Rect [178.99 521.0884 396.03 531.0884] /Subtype /Link /Type /Annot >> endobj 7583 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7584 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7585 0 obj << /Length 19 >> stream q /Iabc34699 Do Q endstream endobj 7586 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34700 20830 0 R /Gabc34701 20835 0 R >> /Font << /Fabc34702 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo d endstream endobj 7587 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=633) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7588 0 obj << /Filter /FlateDecode /Length 4792 >> stream xڵ6_`=# Jo o]p}DȻ@!{ "]`0b !! (O e^\+&iq[:G зzČՌevufK-0p; W|ok kXWҽtYs[3s )N&- lYqʄ7!qA3{ 1& $*J DXU'|9)sX]B0.C޳D78NC"f"ɉ:睾YFta 83#,hZ$I{%Ѡ<Ex @Bh+u:4!G{1uca?|J О 51ڮy";j9IVo&>:gǁ쌨L Fm! S/DȔe*ӦFn6N:)wjƩhުYl b@mU#N$> kza_{X~ƚYw4MVg_#ܬ if&m<.0; e =F3Hc}lhJh84aAr kD$r5,(EMV(r^% iRQ$?&  9bѬ`X#D].?2gQu doyW-/6;P 7,ȓ>T i\&hUhj9F F(phJ]zoE9{'#!Z5͛8jBa'UUc\7 RP&WGl5ާr(ain5q"-q1Vp gW51ݧkEo(A ^тUdAݒ/I,D>wji#a v<y?xnE6fY/Ub &4UZ[4-m{' 낑Ɓr립Db^ J._$+#|:cza<\8/",|VluAWke]`qI٤..T{G{>xipKaqZzq_r'Srrcq9H~sZ}cpx$쪛n!Tb`ė9 ˚.%̆C?)A;;\ƢBc- ĈO(_Ȣ H2#$[ߪ-w!!)lt~G&d 爮5Ør/W8/Si*?Yh .Whڲ_+E)ʂ'N=k]bU>E{jb&n㲪ínʘRVИ׉P_*}*n%#86`]gυO-g& >:r ʥpѳWka*j!ҳwP06~DߨdMZvu[y_&t;Hu!WC}=]ɸNv} G[]s'3#M@%dyw:7O;-}n0jDŔ KQQ话"!x\{3X7=8][s5hX]S|څ=[g9noQ4 R,Ps<]%}:5q-޹ÓQ,r⵪ַLS]$)/1]%Ia ?`U}\Uѭ6[{4|@Zv*P3<8|g J悦;M|˾ 4Dp4GgEu֑fPt]h7ĆR}qrvFjL$ .7t6tZ)b=: *+E ZI0T2cJhKѬ7fVb.[J0#)ʅA"^^/8;VKݱcXWJ&=Dp ~ZGcG|~5I{a]xryߛ8*KVur 7;z(,sbu%rR?ٱ4w;C+4O]>L݋0XE^* 3S[f.ivM3o-7f4zo{d3qDml}$Gw ɄIƢlOWKv;Wij^1~}`oE2W Pf9Iv?9) ɠwcMb~xemBv}b3oyԽ=@K[ݬ5옴Ljק2Q:Y"/%̝low+ 3ϘGݎ]dx}*۔b vjsPhZLW8VkǖaW3igVAϙOt6NfK zݥY2ܶo0O-) gwی(5~Q6>Z1nwڤ=F"0DRKNl'gu7`dܻ2/RϴӝIid|vXIͲQ@@Ojϑ@@&K'иR|ߘ8T< >Ǜ;"-&B!JSbxR4Ԧ[~ZkPqؽtۖJ()ȣKUFtE]x:f/O3~oH̡#{$wD֐";VFnPS6^~7@3YF]Kh֜}@ZŜ@N%Y[v^Wp} |ꍇ5֟|o /vo}5 až1fMibTj;Oʥ+ ;}t1<{zwJ"(A$mݓ,;N=LXdC#kK >[lLGH1!"$̫.guOu1Aόd%ʅz޵0Jة[ H]h{7a NhZ ׃'aO7GKuo[k21s%Ij/vP~Վ]l~,_ "ς h7^]w Q, M ډ<`NȔَy I梸"%f":ba/l4eI%#sH=w}X:F/bik\D 9MQ\= V8>MN֞;^gx?O o_-ƨ_LhTvuj+?j6߂/9em >G0aR*4b L/iKojM)wLuc ju. $5tNT`IJ6O,u0!JɸrӴ |*Wc>ݦdDJ?_!\; b^aM̗=Ø (ܥ:c|'= abPdz$$^?3M,OS^& /Yì֩\ B|fc;]d,4Ak)'?*dq86d2ڡlW09^hOn*ٛSm옺)L^S2ij(&7\0X6L=BA^wUJmm/8EG#c u:}Vt^p'h .Yx!^43=ן]#!{)orlx"gL@/p+WpQAr*YE%oxPAXsyRk؉z٦? ,0Xf&zey`yF!!U(*m)?Edfv<4م!U{iN YjP{C[ endstream endobj 7589 0 obj << /Annots 7591 0 R /BleedBox [0 0 612 792] /Contents [7601 0 R 7597 0 R 7598 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34718 7599 0 R >> >> /Type /Page >> endobj 7590 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7591 0 obj [7590 0 R 7592 0 R 7593 0 R 7594 0 R 7595 0 R 7596 0 R 7600 0 R] endobj 7592 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 388.3385 196.6447 399.3385] /Subtype /Link /Type /Annot >> endobj 7593 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [104.1732 372.1385 185.0562 383.1385] /Subtype /Link /Type /Annot >> endobj 7594 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 355.9384 229.5017 366.9384] /Subtype /Link /Type /Annot >> endobj 7595 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 339.7384 181.7727 350.7384] /Subtype /Link /Type /Annot >> endobj 7596 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 323.5384 157.1437 334.5384] /Subtype /Link /Type /Annot >> endobj 7597 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7598 0 obj << /Length 19 >> stream q /Iabc34718 Do Q endstream endobj 7599 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34719 20830 0 R /Gabc34720 20835 0 R >> /Font << /Fabc34721 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7fжYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLZ[/? D?l˜!E_@1,s#9p!g9`Ni)QXI*d~{QUh^@wP".*x Pڢ_Ћm߽? endstream endobj 7600 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=634) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7601 0 obj << /Filter /FlateDecode /Length 2722 >> stream xZIoW< `-~ցsrvFs 6dYj%eE[mw[N?gu X2uzZxg>ק`l*Hk99h_ӿ5ye?0xaI9[q HG󠝅 +3LHS1 h\L~jsօ" S@CKg>ko{X|ą2'0p :3ȵ ÑG2'" Oμl]xPbhXvc\wG ˸2l[}]O÷F v3J efSCxK!?u R`~SϿOxRޜ_E˴~z]ۯ駯*VQԳ| +?Ý<,. X@a1E}lF |^Bg?n^H PsT:pKԟ6\ۙUD~lh$>;8-qZJG<;3W}x䩗$3/(LKL罝$I Ju`(D  !C0s%'.`MGפ;VC7x3ǁ$D, 4Xg4㩲eN0x+^eI+Qg }_P?F5rpi3h7g1H5BV 3lDR3,PX3}Px;d% _ukQ^u~yv_gPA5a4BZبֺO[9W[w0ϣzu.-v>MH΍DMl+`qb'^idkMmS)Q q% _~.i8q}Rk!rWoӟՊ\Ba̖ʊiג?Z1"WR]=#1Ѧ_(B%c Z lG }/t9bܴ$WN< xgVN<(O ڎFNn UҰY%YH+J =OBmʇNjX!}+FאU{ݢiՠ 8 endstream endobj 7602 0 obj << /Annots 7604 0 R /BleedBox [0 0 612 792] /Contents [7611 0 R 7607 0 R 7608 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34737 7609 0 R >> >> /Type /Page >> endobj 7603 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7604 0 obj [7603 0 R 7605 0 R 7606 0 R 7610 0 R] endobj 7605 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 295.525 122.505 306.525] /Subtype /Link /Type /Annot >> endobj 7606 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 295.525 156.5005 306.525] /Subtype /Link /Type /Annot >> endobj 7607 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7608 0 obj << /Length 19 >> stream q /Iabc34737 Do Q endstream endobj 7609 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34738 20830 0 R /Gabc34739 20835 0 R >> /Font << /Fabc34740 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ@ endstream endobj 7610 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=635) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7611 0 obj << /Filter /FlateDecode /Length 4724 >> stream x\Ko$9rWyJM& 4RIm `aHf./>3KU֜ܘQWǏ\~_̢.ޖRglJS.k]_-_ߖ?~YNVU.W!ՓnnGuG}/]C+W(wTz!~Yc抓 ԙQdUcWeo,v*o8=t0闋6(G݀|zhfp~>BY,us(rhI-՘vsO.C >;&kăALT\ 8UpvzQ^`'0K$݈]\y2iB:@ɮg4!1Ȗ^d(.DZc#rb&N^!k2ۖv m>v [ zi* 2@.vLBn"FPR\Yy:x(S+XbaR`L8tV=lH$^}Uq=VK,կz(?ұO-+8Ok 5-0Vv5ܧ:ZV8ם*Ů6a;Gv]-~G̫I@\S/G+w45UtRjk!5ۯoPsB'=ws&Gl0<'^[tJzNxԙVꠉ܂wc`q3xYU1TNXf/622.:'JI"W 'i8ʍ?04"X阥Q86дE ̬=5c5]͎tӦU:UErHVE>)Z׮: lML1wn4`cy7NnxΏik80t-0vcYwa"c>v>W 8{ \\~w%\tXL7iX~BEQraGVe">,gM$Wя0ʠ* Koix}ORН2$H"/G$|wv}ٍ-_!:"*^ǵu+-ts5p6mWGAE6}|'ZܻdźY9 }I~ *"wGYr ^mhVFwoj˩kߕg F? IO0 ^V}BGꢳ_B[]D4is&ߏa^_b,+SvI M}- Г«`9?oNO~M48蘚uū@}\h"/A_,#UQ4 W kDa&jZN{ gL>s38(dNǕ/THD#55tDÕ V=8IY`W-huh!J*2S^IވZX ] j_*x퉒jOHj#nRmRjZ/[ڍk/n\ܺmDf)u_M Ώa K,\׎{4 3nl/"Zn%B,ֳMGUV/MioRܙdɡ7!t~';۾H%8\bAjĎ sEƄ5K OePݚ,>M(JJ\4+6HmztWU4fug"Q%RцhU^p޸kCa%˴hzR0Q10 .NfeZrCofM]]`=,Pf`nvXv@,, k]'b\JyXTumXZ#@jW@}7-p2Gp3麂+ ';I8^8NIpZ>NIpRoOj$8iypR8au 8N{~NpRWV:Z>Nj^~n=  n<0(`^*>Po=ņ>؇5E2TsC'oHc ɿ-8bNΡSoBw@2̛q@D@;lzt||?zz*^RT`lUө-0-CD!{'Stysi-7z^ݳm/b(us+繾r^^2'笸xa!9G!d:ec艵jz$Y>VT׎_'-ڻLsL$Sg"RW7 fVw˒ԮjE7SR=FnB˝fHF70FN2܅ ]VjKI@ِe@{J,5'h~\`=N"F?HC`uK]0ЃK}m l4ĉz> ctXƬ[Jr<&X L՗6|qL(!PzLdb19pJmfNȖˀtc#Kul&I·# :ߢ,"⡀ YvM!2q}D'"DONg~ Hi*B\A1FxAT.?"էԏZ&+z1 qmPqbՒj ȯֳ9g,QA_9&=A{inVrQ09''Q3K7Vi\?fE+JU". I*@a\gx9]b))mz Ɗ_j^ldH:Ԋ82&Ta;7}N P, \R¦>;*дBYT\e,_r<6NR 1)U٢hӬaC<e"xmۺA^7+n3a#l/->UvY3b:ı&w; cˌNľ7>-&_ .z21 Jeևv{ʜ=76rRɃ nD /J,[3ϾޛA!qXϼϤZֿ B请XE9 #Qȕmzg[lln8t`{i]ݚ|#dڽmwM7mPf2yDa|3iޏUQS ؆]X#Ɂ>1Ҹjv~9>ZRиU1>4#qmX;%*g)ל(椚y:)t!Sq}D)O۠ƺ}+~R/ P:f 4mfj5S?e9"t~|ć0Q 66L +".jNO$93씠1>`TX^Qή(qC^.t<\huⰆ[ǜ=ݜs+ޕ:sUZ`G 4}Q 6up@|06 Ȕk9tPcPn@бRW69R)L^B< |]'R4&BG9,끸<]P,n PC$;.!kT1cF ̕f}M8&38: v7Z7_R[40Q | H5隚ֿũOoThTj@K(x|c8Y5rXw&K-\8ɭ: E\ f85_p.l^UTݴc(R$PNlP͇GJ.lHK@QRVkJGw+"2URPV*I}Oչ`L6Y?%΅ת7).6U6F\Ft|*{=(jR7f{ }~P$iga!<9mnQ131^ 2ݧnCB/+ծ黏c2Ap2s Q~> 08\dX|!\s~9_}iGnoxn%,}BDۆׇxKN({UҖ?k xə?=dxn[/*cV}`|4g/Kt~ĞS#dF<|GKQ~kV?` endstream endobj 7612 0 obj << /Annots [7613 0 R 7614 0 R 7615 0 R 7619 0 R] /BleedBox [0 0 612 792] /Contents [7620 0 R 7616 0 R 7617 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34756 7618 0 R >> >> /Type /Page >> endobj 7613 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062956-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7614 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062956-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7615 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7616 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7617 0 obj << /Length 19 >> stream q /Iabc34756 Do Q endstream endobj 7618 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34757 20830 0 R /Gabc34758 20835 0 R >> /Font << /Fabc34759 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7620 0 obj << /Filter /FlateDecode /Length 4819 >> stream x<Ɋ$Gw ?7 4aSHMSP]6[="2U -ٳgo_}cֳO'f3}.ݜ4^Rߦ˗چڰ(eg>+eR޿+')8ƿ~~e?V`$CYnF 62' 2҅%4)(*#y,/ѸBiXW У Ό3CTЍqI>jIЍ2 p3O~ٝب%daLem`uKiw @! ˈ8,w5!)k~1 sЙ23|g5#sgμa(iʃq4'sͱHpI#.Ұ0hzOc4~F44PI+9\dyArIt:$X>HD- 7`R$A{"9/LRIsEWs#a?QD-~fu@ f 'w T. PRd4A4'Z*|`rdrRl&D{`Eڂ"ވ>ȯUZ me6Y蠀GW{+/jP o+܄4 zOCj u_-M*Jx(z&QA22L:'jx1$7o94 )$ >JRIm88x4'8`p&{zȚ uKR$Փ Vp^K'5kޯ|qo1~u/Vd ^To$Gel/~% )Ek=@XgppyDZ(^ŗ':Ң5NVXh%G<,b"bŧ{V {tAWkd$;%@bJBzGf x&^Į%v҇'awiĪNwXmrn pKmN:% 1!*Q &]է3,^z.IQpHEj` Y͜&k]N,o來ST[']<:;>f"zE%BVarJL\U@-`!qnq/}z\iˇPC`cB'OUz3IAv`BfJ⃕+1C9uJ0MZEFUH *auۙMzCnt?#-*k%k8 v rΊ<(e/.9['6%-w,:DwKS+~"SlLxg>ډpWc6P"'c=DԅO'ϫ)~,IfdӧS2Խ ]9X71+q *}Eb7\V*Ţs0, k]he o[Mj)DÛɱ)u Ī|*4L\U]NoTJ:q ȔUJZo_ 偟kdL>7P+h8 o5\arC~V.Kػ_.9έвMLBZ kZRLIiЛv*Є^`UF-ΧVAE{zҠtsv{`&Ћ׫cU/hIfQzUi5~Y{ zc@:!kxWT,Q5!uƈ5+{6 m-|cx\l؂ʳEXr$&sTH,Z_+oFϋs/7!ɎZz%!kQ-N$RӼz>7$w5G*dh"P8cRx"F>`.=fK#F+_&Pm֦EacӞQ{BK O 7<ߓZ0ݮ O v9ab7rD= z1 +Fp\lI5X}MtwdGsGM'[!T :AW>-L>"PW)ikJM* M!Z/FȰoeJut(41X:5J j:P+s]ܩRJ05gJcE&f7 XF{]]3]QH6^jϺgkwvh<vH F 8%JW9\&ɾsޞ1TqqŻ>w;}U+xźnINP0qlsr9^nۦtMw+&:/M?S'mޣLd")k_{Y wQ-Ɛ!+t`}v?4))sɠwb~.i䎊?(j6mtd#M&W{ۮYk1i26lt>s.Yg [sm_)k|1y(ą'ܱj޵zԸ e-?GVAIOXt.LWSﵻlqPն_t O-GV.Pj6Z[ IQqMcC)uyF6HViD2`Ywk$޻ փ)^LJksNRoF]h i=u{+.S6dїx8t(-Nu5JRnzDZcW{k}ִcKBhՓ]*KbP/}¦(5ݻ.t ^f3 (o}c5-;;\[X84ٱ!RI_@+&i# {sc z#]K؟hhξ bN V-;Op%dL V/v} at,~Wܢ{rwe2 { n8G"גp|h Ћ.5ÄP _/}2pdl b=~fN0FP' -e7P Fv>ZM[ȶ|v[WE&2M"=۵y 4;1SK[l)JfW^kZf%-mWfLj[Wy.`lA͎?+_X#qQkK*6^%"5E?_Q\\&^ӣ|p*״M՟  \%ȅ"DZ9D?O,;j6.zSIn%YT \]$h0rfkAIFg:i|L'_RuX6HCy#g6 ܘ5,i}_ķ6Fet;Ee2ԝ5i"xg6өNRLA4 c+f>ROUq~jKemdC۱5ٮ`r<у>^?*Kp;1/S1V<62X1)fAے '/}L߸^I).-3YwwS:;j{RM)ߔrM)yWw:?{`nOh>yvG qUHT>Tgfc L\tQ>wͨP>fFdfv<Ɉm.7aU;-dA endstream endobj 7621 0 obj << /Annots 7623 0 R /BleedBox [0 0 612 792] /Contents [7633 0 R 7629 0 R 7630 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34775 7631 0 R >> >> /Type /Page >> endobj 7622 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062956-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7623 0 obj [7622 0 R 7624 0 R 7625 0 R 7626 0 R 7627 0 R 7628 0 R 7632 0 R] endobj 7624 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 451.5346 196.6447 462.5346] /Subtype /Link /Type /Annot >> endobj 7625 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [104.1732 435.3346 185.0562 446.3346] /Subtype /Link /Type /Annot >> endobj 7626 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 419.1346 229.5017 430.1346] /Subtype /Link /Type /Annot >> endobj 7627 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 402.9345 181.7727 413.9345] /Subtype /Link /Type /Annot >> endobj 7628 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 386.7345 157.1437 397.7345] /Subtype /Link /Type /Annot >> endobj 7629 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7630 0 obj << /Length 19 >> stream q /Iabc34775 Do Q endstream endobj 7631 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34776 20830 0 R /Gabc34777 20835 0 R >> /Font << /Fabc34778 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;7o77Z fڿc}Lhj[ߕ9p: 橷൫ QUӡ~1OEҤYȵ7-$*p% J( Jn\f ƤJs4dLdiNU$y$sBDQ@< ոs@61 Ej92;Po=kDN{r=C){cTؠM]wĘ7P>Jhx!(߄|j.P3Yu'\YI wRuf[l{L̇h]}ɖDVrk|\e8>϶gznC2k,`O6OaePDt / CSi ܟBjdԜO\0s2*WLj$x2X%tweBԡ1 g^B9Ăbwo^ endstream endobj 7632 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=637) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7633 0 obj << /Filter /FlateDecode /Length 2490 >> stream xڭYI$W˫[FxIb%şwbrf{ow/ /|"}% s0('\DCA;C|iҼsa͕k8<7$m{ܰQosfyָ7; `pl-B, `$ 6?<9_\/(bkLFu.ш/2H{SӀchVPI]PtM4bvÑ0q,eT{k6ahS#,0J65wi'DO?AC݌L.xO5RĚlR-nv3=ŶDCe_j6Bf'z1VuXlߴ~IMuv&^bs!:hĄZ[ ,W*ho;%,Dl6\RJEF]R+>gKxu]Vď\S [Q qp:;_` 6+4>.9ߴyn{O9j&dX؈-Vy~3l6C-%;t\̜ `N]bxӚԾfwœBG rx%A FVOIi@hR ]̩;s퀘KPD};J`eٚQ_)԰T1=_ r#'h+ @m:QBtXMly tnrTyMm͡!!9m~6swi^`C9%^wj)|: GyjIGIv"<8z@5ض{VMcGǞUړzvI69OϣyNFc?Hֆ"b1wdCX@PTTimjTCO: j`Vmœ c=hJoOt.Gyj 'NjT,NtpTmWПD ~hr:yh` ry[aE NTn35^,$C}YJXҨzN0myԟ#eȻzBVP F=*ͣ>VH3]\"|u9e:?O;O6G ]Ĥϟ!{hjtV]>B!$+7X!Ixfs3eP]EW9c'LqZ2^,%>AnPhC0-x8xUECnjqxV*#vfF%Z "WJ {GbMSÿ*J`L^fu=fRUG-M Tn2B` Rڲign!U [`q-і" Wee`@K_e,]&S5@:44N V0K6W^P@މXg6xcxOʼnDQD^k ‘BƼǣvӰmSb%Ld)ݿN5EIpׇhFVd!x8euvF]31DM8dxEw2z!#WC׶!"bأ6VbwflBs& 2%՗ Б >1U_q;nfN"%Wm+؋K'(Mc  u|5 ʂmv{tC~{;BhB~%Y?׽r(2fGdms!ݭ*4t0t[FSÄ9 |sz>?=k ~y_wJzJBh`:'N *3ǏS+E4 )dzΉ'zQ|*a76X%v$N=r)7k x.fB k90365 ޷h F  endstream endobj 7634 0 obj << /Annots 7636 0 R /BleedBox [0 0 612 792] /Contents [7643 0 R 7639 0 R 7640 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34794 7641 0 R >> >> /Type /Page >> endobj 7635 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7636 0 obj [7635 0 R 7637 0 R 7638 0 R 7642 0 R] endobj 7637 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 286.325 122.505 297.325] /Subtype /Link /Type /Annot >> endobj 7638 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 286.325 156.5005 297.325] /Subtype /Link /Type /Annot >> endobj 7639 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7640 0 obj << /Length 19 >> stream q /Iabc34794 Do Q endstream endobj 7641 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34795 20830 0 R /Gabc34796 20835 0 R >> /Font << /Fabc34797 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶeZ endstream endobj 7642 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=638) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7643 0 obj << /Filter /FlateDecode /Length 4897 >> stream x\Ko츱Wh>D n=@v spf3?"Yԣ}M3>-RR^_H4|`̋ q.Ks22 _~ѷi4k-rg9zvFζkν1\c^-^GqO4*8mvjN~'_041-NfnInO B/Ϭ0^!2 qgz7 ~gK8^y6y>ty4% /,v!g)$Bѷӳq<B4qpGCE7baO# )^?Hn(C{Ebqß4g8i_0jR3;0ueA,8)˨v}W4pzAˋu'] ?rs'1y opލ @.y (~tW"$ 48$-"8Dl ,ic//,'ginc#m?s$o"k^ Vù1Ig@~-oGڞMfLT$4!QT0ʅ aʋY{m_,+R8[i;?5@0m=zT.@t) R"}"FFI/T1Dv.^fY<O/1ip&w'%Mo'!9於v(}}8mzsiWz!iw뜖1o(zaP8m(&cr׹‘ї>"x'kQ,-6)-yjyRWrhY?p1 S/PrbrB'`Áܲ҄&@EqNs£yнzH5ks 0ARNZ2e`dLC%EA2Zm tV ҹp.G}4X <D(2Y,V/36rk Sg\mLǦ*ʊ^e*"e{-׎fSB8;7T'O~o/kEI\1`Bq&I1.'YbkuRt:Łf32C e:uDBl9hIdR9-Gu3G K 2I Gy|mP!2~.d7y=fw"`䵟>dJ.-rW,-$ҋjO[J|~?X~j8J k+zݶ ޶mo5_ *;*?H? A:tحΫܖ`ӥ:}ߐF%xY=ڰɇzbh[*6T<9ZxVsjhf})}6_9`jh*!Z X>)Јt쬛.KK|`0IR5 .wNS bY) oГyG'-;\ 6q !O s1dVыJ7~-Ӯ8R=P9QiO-<H4Bu0(p4OlfVN#t QF$]D-u#x^jL1pKQ;7uw;YEK9`@Ci:9ŝy65SQ;kw5,Pn   `1+X M ڧ`uL.M+ebuS4۰{= ZWCGYɈſ;8=85N~ '`{dz8 ? 'i 8d;'ӰIp2%bGd3d=?Np'EN] g@`vDpSS'ƠdVmmk8+p22Y5jߏIكᤆ],pN(X͊; NʞB뚁7TWpL^8${=;f'}d3;~x⽀rzX0y¼k @"n75ETosJ]}4t|ލII} ix_ A3wpS?ނ0WP:̋ G("Mm̽R,j}},FEVЀQ9~'G=0ۖ1TkE1~SHI]^]ݫZ5/˘@"ob~#\_?CmQ}Ȋsxb)/&"dԁH*;EQPJ0B|c*_׊/nIKnC #*uzwlZ%0pN\im8 D>."SFDJ"y'.M+n}]xaL|S`J-HC>cH_r<ĉ9P}4bERZ𓼤pDIiJ8 22«0<i ocKZA-dEta#(eZ5_yRLw|uq, UfH/t؉X&s]~B,ECqr`A4M>^"rMa7<`2O ̬%9),- @yH&8^!FSgG`bOvB V+e|j#Ui]|v *y_kJۓ"qg=&ޕ0-Բ5"|Wz/6D\qU߲d:mD:O J(Ci;,3"YZKI%ev9;'rJs? d?kjn*<1⫾9*P)n#|zg]bj.w6@^5/vYy;#CIg N.=E 5"'iL%k@@ ]PB!xY*ML7䩄.kaݔL\"Y1qUj#98Gl{[#JV9-}$#f.3e_AB,ku߸QOz6/lq< y WD7 G]#4&CH\*-_E&9I1:LhgLҧ)\O89aLJLv,wɟe$e5T`eTk=vđD1DxwgH%0+W2[/#~1#;/RNBK;Z] >ܺ<,믛dv)Y/1-\y='T}!Jjq#M+*F ݡIseUPΛ=IuQWP"HӚq]5iI!Gn3\ZT$'iKe%ܼ4\ U8|y[,_'sEdա˾URXɨLC[i8宔z|XO$4>○oz^tUXbt%JrA/*0avE/=5gi\`2MmaY5sV/`҆HGzSh1qϹr>̹&1o<_e֙e\i;=iNq^Y4@O@37rmq5c9"mtr&H)NZ.̀` i6 R-[ bbCQi`N êݡ;cLeEw1OY\'Ncga5M#7( ^Ժ]xh{1-'x?Kuu~b]oo|gu&Rh.g*@e!Wt'dkNɝxFO ]+7boyڸ(gRu +U;R`TȒg~Ξ/*%֎>s>Ii6{X'^ XJە~]2*X2-A} ea|PYw-3/g>׾P߅ hS:D[>w4}__#_`C >R7O|ST%yUNO6Pa҃(BoV5k" endstream endobj 7644 0 obj << /Annots [7645 0 R 7646 0 R 7647 0 R 7651 0 R] /BleedBox [0 0 612 792] /Contents [7652 0 R 7648 0 R 7649 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34813 7650 0 R >> >> /Type /Page >> endobj 7645 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062957-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7646 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062957-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7647 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7648 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7649 0 obj << /Length 19 >> stream q /Iabc34813 Do Q endstream endobj 7650 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34814 20830 0 R /Gabc34815 20835 0 R >> /Font << /Fabc34816 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7652 0 obj << /Filter /FlateDecode /Length 4681 >> stream xڵɎ9 !ȩ 0}m\%ٮ ܖd Uo¯9?ӳw2]Yu RvjYCvR)ڽ ^_ `Әe1?Jp3J]F0LI4@@i\WdXZq3BibxH9hJiV, [EMCAҏsD$r(w+X$Y@ is.(2x$l'f5(6 7OhU[0 @i yO+BvyH!JADq_Vd#`4a&6-{ x#? VjkȔǽGG8|Rv>yVKOxpJ" $T=a.37CTZ-@bH'!#ew<@ q/pb`Iaq}]* }[@&ڄFDnYML](F&j/hڤh(8q$\#L1{hl,fNV3.O'WCr_L)h倭RSEqWfc}%Ȧ^eSlIP,e#@\1dd6q XDK&;~p`[@mb|y9s!/ؘSVvk`Ц9s9f^WX&`UQ0 M=vfq!U[@ّ_`ReڵUrƊ<(e/nudOlsZYt3%DDlL({g6ډp;1KXO(ZDlj"'. _ F! 7(uob=uGgm<"&C?t9x^HmY,:<#ͲbׅjF U-IՂ6#hxS;9B`RJ ˦=f 1~EUaӛ",ʼF\Z.2%ź`@1ƗLy'/OV۵ΡEAMuIޛT 6.H*-UjPu$XM5h" ^wxVUGU#!u(@`}PP z}ɞJ(Dv u wUbtDg afj`֥CEI5ҍu\݌݈dRgu˪,ٸO" Y38! Uut}_@cNmVePFt1k}S,>Hp7 `|Zb6۴;IvՎHkiP},nJG6u+yqbmXy:;G!kEK<, ށuUf[q%1`X0po5L2ܡ)UC 1}B Q۴Ru ۱J [4%}1{V;ܔ,6-W P1H yq~6K5X1mj!#$VЗTFdئA&wY v7rMuUa@Ŏ4 go0 L02Tvܶ"h a6:Җ.̝Enx1@wk.ZP`X}Ccu}8}}8}8"8mksTvc#@ZFcAbŢwÅn;7"9krޅ`mv=u>|,܍Q&}s)t7mm:}mi#t N dqh=4bv"r;= I҄InJqg]ҚBжQjS> r3$~@̴s ~6hRRoS A?b>͐ג.Ru%mqlSFG6;2D$n{翚UK0i26ltsQd{9#lRNY 7eg̣h&.igBmaSZ\3Ԥk0lޅer~)6M*s=LE>1p<7 HXm2nGp H8>ROInH?`WXA'w(z Džo~ |Gn)x#*kKDv1kBhyL|qϴ̄Mݟ2 3\%ȅ"DZD\Ol-@N)7$ߜ䧕y61Dt8:L,rzTT1!zFuD}3`DJA; ?ݕ;t^p<֓q4Zˀ%ęx!&/4#=HԮw_̀|S]nWG|__&MF2ȧ/^BDFjkx"蜈A"O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34832 7663 0 R >> >> /Type /Page >> endobj 7654 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7655 0 obj [7654 0 R 7656 0 R 7657 0 R 7658 0 R 7659 0 R 7660 0 R 7664 0 R] endobj 7656 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 330.3308 196.6447 341.3308] /Subtype /Link /Type /Annot >> endobj 7657 0 obj << /A << /D (unique_83) /S /GoTo >> /Border [0 0 0] /Contents (get_board_buses) /M (D:20211013063105-08'00') /Rect [104.1732 314.1308 185.0562 325.1308] /Subtype /Link /Type /Annot >> endobj 7658 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 297.9308 229.5017 308.9308] /Subtype /Link /Type /Annot >> endobj 7659 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 281.7307 181.7727 292.7307] /Subtype /Link /Type /Annot >> endobj 7660 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 265.5307 157.1437 276.5307] /Subtype /Link /Type /Annot >> endobj 7661 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7662 0 obj << /Length 19 >> stream q /Iabc34832 Do Q endstream endobj 7663 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34833 20830 0 R /Gabc34834 20835 0 R >> /Font << /Fabc34835 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w7 endstream endobj 7664 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=640) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7665 0 obj << /Filter /FlateDecode /Length 2997 >> stream xڭZɊ$9+tnp d4̭94}ʙal-#22(%L&gR 1&kɤKѿ%I>虍|~y/p/T^q/*fvJ۬2{a:i2_-t%l|%RONIi 4.ahs1G:W 0# ;ù1W4XU6QA34z[aCjpmUCs"-"M'<8[q/Uk  ϕDӕ5J3Ti 8 uB{˖É̌Hi|C""^$'Ü`dpsI v˄.3ߐŜ!L;3nZq fl;A+A輸Z·t{j/43˄sYD=p(3qZ jD7`FͯQw|q;`f .[](ne~V]ň<"VM_.DuU"%`WAes9*dtT6\i: cMVQ ;*]*8N\ߙ7 K40Ѹn^/D KWkGM\Skߣ@R5{R=o wZ4g @Q?`Ŧe]Aq {Q![|`N<>= U?=)6x}}\hE.M6lpQ$3"~J,fVyQ!";wA#VwE XEfQ϶$Z&dScTGa^€K-=,Xs9@/9cu[ [–?Uկ0qD(Hi8Uޑ3}^Vס -C+Xݯc05XPmwHzd9dޮ+O1Vɘ31SH1rjp )}"4I"SpDW[DIF]^ o#: WJ奃ZՊ߈w2햶ؚ\8-:$p?DXA n4TQ}8&wo _BG3ګXK4D=U-o팙*i X:=VJ|*}C֍T%#Wwrs9~Dh݃?@!L醕x4Ά\3eJ0%s9vf}?Y*9iY}]0 4ɚV ~ͬL,g/&gy gqIz8?b=6rma̦ކhӁnz2i#5uq \MR3 ڂAg\YzLZT^ 1Ҝmab73HWxTÕAزQj9+`6$ns3udjZ0};te0T=i=qx]`Z}$ JWFy *(Fr9 0@idZYq&_.Zkh]|#Oyb~/},e!]:__b!8\vk< M q~p|x֡{)v\~@^iT rh(_k0 <=}b L9c Yp -\k-r>RrtaUS7Σh{xQeŤl!6/b ~ϭ[rM~ďsO6 1>ϔ}[5?Zp%~^ Oɉ;ec%:`SG/`R<IxhԢ1\+*UgFo OR ^9Mv蘴KY I7Ce<`*!gܐ2- y_+nR5߃"j3hFZivms0#bͼGDu1p~lu𨗚m)SLزq4uZaLLY KMoezɭ;ɍ.;,|÷ЕTl_zH,F)ssvYug>`TO1[(02@jc9d| 9XGRgR!ƃ TqYG\9Qe(\RΩ~e}, mcy^GcOoym"^gP @ Ь /q\!1 :^N{"<<¯>&MPXm9n 3S;8S9 zC!|v*;rR׊z? wj eĻURe~O<TEXը zp+;^G1LmvaW~>u`Ԡ ' endstream endobj 7666 0 obj << /Annots 7668 0 R /BleedBox [0 0 612 792] /Contents [7675 0 R 7671 0 R 7672 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34851 7673 0 R >> >> /Type /Page >> endobj 7667 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7668 0 obj [7667 0 R 7669 0 R 7670 0 R 7674 0 R] endobj 7669 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 286.325 122.505 297.325] /Subtype /Link /Type /Annot >> endobj 7670 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 286.325 156.5005 297.325] /Subtype /Link /Type /Annot >> endobj 7671 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7672 0 obj << /Length 19 >> stream q /Iabc34851 Do Q endstream endobj 7673 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34852 20830 0 R /Gabc34853 20835 0 R >> /Font << /Fabc34854 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo>b endstream endobj 7674 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=641) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7675 0 obj << /Filter /FlateDecode /Length 4826 >> stream x\KݺWp(|K v_ 10< ɢh\ا%RRXU_HdYo;a^fk9cnΟ_ ~M?42G׃sўóYΞ}hW󣑫.'r;x6kO@kfsw\iN{+T*h~|#١N~٥~/Tź \r>p ~-B+"Z?" yf:ܧG[Ϗ6q~_Q9ɹ:^{("{y3{ y ^+]t?;mp|ŠP/t*V <$e:<ߋ .vHBuT"bZP؟AdrrROeYօf֣AXCy 1s%MY:bdf"0Q-`rZSx(iiX~OW4*hY߃!i]˚0f/6Ѥ{@OAyO'v_zug |"uD/(ͅYb} $$[} SW2?zR#@'o_%9M t@^}E`H9oavJ3Zi"O (u]GFbAp~_'NLL9-SUh:F*=lX`E8/b107FQxռc8TSx⤊0_=qdK&`4hd}O[wK8{$bFMMCpS" ֢)My8j<8u+ۍqm2Ӳ*ۍ%OnGrY*{gW>)Q};ŏb"S*)GUuBIޅ }BL>dE8Gɍؠzs )[ ha_0(y 'nuM!i !*y޲\ ( E՛-gӁC(JE,[v+tbf:R O:-yKl7ypYS-X8o5t7FuAMXMc5ݴ۪(ʉ\e("-Z[]?VXR b DiDn(_LXY&h 0WWk,'%sIA^eߋΑ>ѧc3Tvxj$Yj(K{viШ鹳Ez^;j +K,Z$[}I= &{:ƶu,ew7 {,C.WDtۤYݧWi. w|o?8Ȕ((9rШcum\/jه{W]m*A{z O75n.vlb QQ0j5za!eAQcW IXgfc%d7۞qj4^cͬbPQ#r$ЁDa/P¹*4. =$R #B7n̜j/<-x۶ixL+ڿ܊ r+ā7F'F2=G婉ņMT@8n+Tz.vD"LwlL4xsvPȸ9+.~ǩU}s"ґః/gk{Ln"W&gB&pTgLuz'z#j_`A,ڳTH1U!h iphyl^mZjývԞ G5"4LKaEYK`ndX0,fOR*櫢r̀ƖdE$ʽ^(zUƊnnKWڛ=+SXr#nvCyζ[c*]l<414]?ܐ9eyťdvITOe|iFiBW"Wfذٰ EG}51:5652lEƀ D *٭.6HppGonxy֎Ba%TUta0mn10.1q N8fErCoV*jVu o`n""(X˰ HS"")18]žJ@QXQw #@W+qeadDb]NAtY Na Vp2#OɌp'd?Nm!p2;'"}!~Nf>NFct~;dBV5: Ŋ 7F'Md '82E')_DIU^5 ',n~NT? '*bQᤈh8еmu))xh{GuӅ`Gp{0:motxznm#P`\^N+fvw@7MeXEMb,5M!z g$z14/d+ B ^0WP2\V/$_~0Eh(>~>Z7TdQW :~q:nCͰ6_=bOQy]Ӣ뛐~[òQFTK{{@IQgao腩F\_MgW33 uT.C*|Tmi 8O_߈+W|-Q-[zΗ)-)^9_Iʂ bjRVT?I2a #}sWzKzDfES>$m2ξ6\3+"R3JCGr]tbI(>@ټ`j[k@Hh~jT{KG$цnNt0$A:;%&oP梦Cuh/{ҝ>Ed;5L>6JvEVcyYjXQhE{0-dJ)Ezh. .23oadU e̾9%gixT`m~uj/v&Ckcgv3S0 _XsD,~2vw`&L E,0QNa0gBv^_Y*Uu<7#8_O0͹26ȉghAGP>_bд<* =ߘXQ;4U9GXYO-A9x ?m;VF %1Ԥy9/.6+?_EO+ UC;h'%;P-j8iA>ѷJxRW+-ok]qts(6OZ_wFVDvB92BM7Rx5_L~m9dH ik(%z̐8a8P|k byE <WL1tbN0\wӴB|7~1 FkgoٽE[gҽU8v0*w#[+:urZC;>z z|R<6'`MD=O=<'DT0Yb\ť:?YA)-KZOoGJ @1շV8Y䙷,5P'~(e?Y޲*K*34<_Bޥi z ^@ endstream endobj 7676 0 obj << /Annots [7677 0 R 7678 0 R 7679 0 R 7683 0 R] /BleedBox [0 0 612 792] /Contents [7684 0 R 7680 0 R 7681 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34870 7682 0 R >> >> /Type /Page >> endobj 7677 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062957-08'00') /Rect [218.8375 423.5769 381.3955 434.5769] /Subtype /Link /Type /Annot >> endobj 7678 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062957-08'00') /Rect [178.99 370.9077 396.03 380.9077] /Subtype /Link /Type /Annot >> endobj 7679 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7680 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7681 0 obj << /Length 19 >> stream q /Iabc34870 Do Q endstream endobj 7682 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34871 20830 0 R /Gabc34872 20835 0 R >> /Font << /Fabc34873 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoD endstream endobj 7683 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=642) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7684 0 obj << /Filter /FlateDecode /Length 4569 >> stream xɎ+ί1@`$ `^b~~Xܻ[ň4k_H/.r_>ץ<5KH~aOWH܆jDž[aߎB(DWxwA[]eA{zUys{G # FQo-+p*ǵu1H91Eѷ,H120vU%vRQ-!Fts^ę^aoH<7zvmϮ6(#>5B8>3uL&TpOXy;ZO'Jb?-Ts5h ΀ eY(3rP+.-#Բ(3Ty%^}eBl09Zeҷ:Pz06,ʄh[ XO$iluvqfi 3ӊ Ez {~$;(g1Ig Ņ+fN*~&$tafTk3k H tʮ%L*nV!l8VW̡q0@Mqc5ƙ\:d%IZI]\z?2k}uЙ`#%wO3ѰlII`-n)L@e0FGL Mf"A%t#˛-Ǿ0^ U7iד|A>#܊6<.HwX i-;$9aCo*۩fMt`MܓZH EҦf ~A VMJsI 9ŀC/;5rȽ2M[%֫sd^Oin.3;#zLb̃}ԦT](yċp(nr[*%MQIlJu{lA<6 eӤkJ,6VF6oy)ՖFӆ{6s+}T'":$u'[ǵ Ak1kn,Rٺߝ WYƁ #{8ˊ8 b6,Ko_YNd8&tnװL 9>Hd{W$36@Es "؈79H}F[%6؜c>koD*qTXE{ﴇHI"Ӆ\$d ,s͹1l(S#$JIAI g."vI\hڣohF:|Rw}M{i|gE%]ll e/}7͂JtܪM)H! %*g2U]S|RA<)עB dLpLӳ"9AӼ[R !+T]S!O$oOF @R -deXgr(N]̆ڔM75))f2Sh( DžDE Rnʭs`)!d.1:}%88MP'8a RP9k}Aϵc%*$S2KC5_k1+XޫirHb84Jsbӥ)Y+>Ғn MCHͼd &›MHť2j%jDM3 բ;|ct 1Ѝ[R@BA>k=Ex-QVN~O)i8e+F0*UaT VX@+X5Fc︌a8Y"sozcw0DgpWtf($,6к,iZa98Q"dOlwiF|wjK^rWN4#nU5R%VRNepb:lK7;?uJr089sbR%f_A1V?wnн^6<^pPA㝀~_~\ OXk*\|F&CZ8WRٸI4: eUphAv+.>W Nbh:A2=IyUѝ->YԎrXr6-5۹XM)5>7p(陒ërcJjG [2&&Wblw T&yGC.zQG~(JZmBx0mYȘ藙Q#>սMvMb\3ʢ>QlZ˹S(ܾsGoU/*C@\Sud7]tF7*Yc|=wqu3尛VLc'3gOgT>ܩw# ~ #nYu2blΣ[gUI)ppToFx# ЅY=i1bcfs7E9Tw%0t罻t@,J[ e*?bk}*^C`"A[ >ؠ) V;}`<1qP>EuVgT\RcX<& \?@=\~4ie! _%jʵےZtە*7hND 麃tc5]hB/HL+tFμfi`I b09A( F@L7tDg@ڳF;L'S{$)Mڬ vl 6#&Ry[0{ږأD`L`d'ZD/P<*?9`j_y@2!cDŽurB}#)Cj! D~C  _p/ɨ[Q۶Ky0[xt%OQY-3s[q.A IehcD[8nM,˺V6R*?HRy>cb}ފ*`d 7ƩQ7gY*l0Swd2+an|ɲSp>O{4%EHv._H1Rk|x+W+ {t)uz 0bvjӚ'c_Ɠa*b:ǀgEw=*CLGomΩ]ׇΤlNc3ƥr.Yx!T3-&D"i(t2q?]1.}O~ϿmR+D\ <)v xTH.B5N]"dZ?Bw/hrYfdU3L ˫h٥/1{hPF9EU. 국lh30=pqnj+|[B endstream endobj 7685 0 obj << /Annots 7687 0 R /BleedBox [0 0 612 792] /Contents [7697 0 R 7693 0 R 7694 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34889 7695 0 R >> >> /Type /Page >> endobj 7686 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7687 0 obj [7686 0 R 7688 0 R 7689 0 R 7690 0 R 7691 0 R 7692 0 R 7696 0 R] endobj 7688 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20211013063105-08'00') /Rect [104.1732 147.8541 198.2837 158.8541] /Subtype /Link /Type /Annot >> endobj 7689 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20211013063105-08'00') /Rect [104.1732 131.6541 181.9322 142.6541] /Subtype /Link /Type /Annot >> endobj 7690 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 115.4542 196.6447 126.4542] /Subtype /Link /Type /Annot >> endobj 7691 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 99.2542 178.0272 110.2542] /Subtype /Link /Type /Annot >> endobj 7692 0 obj << /A << /D (unique_95) /S /GoTo >> /Border [0 0 0] /Contents (get_boards) /M (D:20211013063105-08'00') /Rect [104.1732 83.0543 157.1437 94.0543] /Subtype /Link /Type /Annot >> endobj 7693 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7694 0 obj << /Length 19 >> stream q /Iabc34889 Do Q endstream endobj 7695 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34890 20830 0 R /Gabc34891 20835 0 R >> /Font << /Fabc34892 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!W+E0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪ l?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛKf endstream endobj 7696 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=643) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7697 0 obj << /Filter /FlateDecode /Length 4051 >> stream xڭ[Ɏ+x6 P( |k 1jӗ}URfe %JT0E%Z*]gZz'G8_^Z}ڰ(O╗o?Rg܄W~gnhR~Kһ6z3{|+p*&-!eۿ{[Tt?㹘2q"=Ҵi>K[~uuڪT -1XX9Ӈ:0]p[S'htJ g>yѕF,mHY=h,׎=;|Kpb44,>Ey>+ UA7@˺+}qpqm_~}bZ5@=:= K4θ[.kҀ *;aa"/-8jۄ Rjt$ ݷm^3\ɯ~<{CF75H3ĸ6.چgqpRb 0y:.1Wʐۨd(1d[_B~;6H5zVya+&>Hs$0Tq@jl`aZ'c3Z\\cKCϪۮ тqV[`HU=/2b>Ǯ$6z0CtloH32J F!oVXYQiJFScyAt#:_u^bDvNVJ|vSJ9@b! &c7,&C458EwxEPʏgs%i{Wz zD -A Fw|!U"@$@pP~vYzUuB+ Fq`<zFpPͭrl>zΐ.}qy3./0b@t(>a&O\FF2:)gto~2[; l'W>P;D`&+觧ճ}Yg әVJ(QLKLD aoŵ0==.Y" JIB ]8nsE5ҝ@ Vafzk`Q\z)>diIRȭψ'ؔ->ڮFюKxKDx.X\:Oz zK,ߖ2{{[bl;&"n4.wM3vKM{LeIcS8Ǯ^< zx!Fr sM dZ;6^db!7}(j7z!jB&qkQkg+!&wO\M?Bhus!#P$㻒u5 vBlo~-ҷVF6EꏂFEj7Z+)yQ:Uc;0v}g[QKDzG:%^q ӢSYzls7`Y5LLT^|+pv]Vҋ&3=":IvAH'Rbjh*EX5.M˦™+F*mQ{;%wjaUX̥C1v16` O3ԭylm? 4`b{.& kFK{qe5 <ʶmMLܭ \0ۍ3 !वGH47GPp'LIgJHmqΦ`&F%"l[a!2h`]%Tbt0l ' YxBbqwk)<Ϣьn+X+(L'V 9 v&Xy3`1)s Ð(st\{ 8۹^;ã\n.}iO| hI^d9=ʜ3MGY@ 9mp'CZE=ph"@Ifx'G7zMkIC"]d@Ak'^j]ܔ:s 0*-<>MԍO4U̚*QSsAcUW%LGj$WWT/]PWV|s4H4 *PfΒ-0(@D{"J Fxq()uJjo q+\Hq뽴C3}&Jj#6'YM_l$cfZfh]?._&V lCe =ǖSMgF60Gs㽭[.-\kWɭ4vX&7va!֦ޅH FHes$%[vY8:i1E)f9că,,az/</~y5ur:$ (ㄎRQeqKWƇsBh;#G~& Z4$hXDy9*z 1z_ ~z_,'i O'jΩv s2s4I ?sޙǟkW DDs87msrlxZ2ڑF(|{-I> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34908 7706 0 R >> >> /Type /Page >> endobj 7699 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7700 0 obj [7699 0 R 7701 0 R 7702 0 R 7703 0 R 7707 0 R] endobj 7701 0 obj << /A << /D (unique_87) /S /GoTo >> /Border [0 0 0] /Contents (get_board_components) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 216.9837 709.9] /Subtype /Link /Type /Annot >> endobj 7702 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 201.7652 693.7] /Subtype /Link /Type /Annot >> endobj 7703 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 181.7727 677.5] /Subtype /Link /Type /Annot >> endobj 7704 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7705 0 obj << /Length 19 >> stream q /Iabc34908 Do Q endstream endobj 7706 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34909 20830 0 R /Gabc34910 20835 0 R >> /Font << /Fabc34911 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMj endstream endobj 7707 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=644) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7708 0 obj << /Filter /FlateDecode /Length 1222 >> stream xڭWM7W9в>[-XvƞCnBNC !nxdzJ^}[W)5 1O9ysЇs$-u.R5g<8>O|~^ ]ǃh k>Bvg%(8V*m>|Bh};r{`]~]pp0yOOɼtb[ \r7톙 {̶(} Y4C88JZ):ھd _/yhK9,)U떘Mqy6,e ZOڙוco][ _ m5+1n]Ac4|MP-6h:"Zg& %'L6T6iĥK̴(5"wTwQ|f{e-탿`g D/*wf)j./h9qfp#lZ,v߄Nb̳|fT1?bǣ<7I>u. p> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34927 7716 0 R >> >> /Type /Page >> endobj 7710 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7711 0 obj [7710 0 R 7712 0 R 7713 0 R 7717 0 R] endobj 7712 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 286.325 122.505 297.325] /Subtype /Link /Type /Annot >> endobj 7713 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [127.818 286.325 156.5005 297.325] /Subtype /Link /Type /Annot >> endobj 7714 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7715 0 obj << /Length 19 >> stream q /Iabc34927 Do Q endstream endobj 7716 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34928 20830 0 R /Gabc34929 20835 0 R >> /Font << /Fabc34930 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lMG( endstream endobj 7717 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=645) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7718 0 obj << /Filter /FlateDecode /Length 4648 >> stream x\Ko$9rWyJL!@%۬aS۳!wH3n/t*dLFM'7Yw¯eӷֹ)ũ8_.qn~4yy ׷_[**-r|u=Z)zz37xju]ߧ^4~OS_8medeg\Xۻ5o?!4+~eyɍ i^eSZŦ*T0e) Q9.>6`C\,V%!,EFuH5?{p(Xxp(u0~}B* 0- ט~1<9e²9WtlՁ(unWϱ8Pٿ2悔 =H/֡v/P/^WbHh\}G&nͺxBuٓ<`ε&/DP2qw d[wq9\#"X0t> Xdؙ9o:">h<3ɝuŝ:9KB#!|s3{˴K`l`QJ=ƈٚ.B-Y-:X8mcLGdx IZE7 -pn{ѿs&)ѿ3Q?O-D/h&>]M\H]waU2dq:w䛃LZ߆:(=g0fWc%|11'5mq!hQR >O NfD<8C 0;}g>'㼪i(VV^2;i"[889(8Mxm 'UyNF:4+S98)2GpR=4TWNG"$BbJEҧк n({?<;]ON(l?gӝwZO<;ŏ e̮;MSm}bqSX$K<'COݜP<fl˰o"aY]"~|A[|Gqh4_RT` F}\*Qjĭj ۺe 5PF>h{ y:<67 DfA:P$Yz]OW~ K vGyAQҎڟAj 9<;g[?u<ʣS2>ɩ\v>,sq|xoYwM~H^8$) NA@9iru=kLmK`8kgmz:D9q.laUnP#\1f̋@LS|*ʉw䅐uKHcJn^Jy81%&o2 `nf>[7Zg:ت^X4'N`$.Rd|qqsmT;ϗC1n`,u-f@ YUZ|"re 6w`$L+r U9,0ى$dxЈz]_*7)yoF(=Skh͝ ֐d31JukJ =B1g# yqVQi7,'2,7 ɴIOe_Q-=Fk:g]̬vwVeTq= q.~M.hK:U)WO=u[[IJ!C@5^ű7ƦY Cgg#e.`~|7ՀA3̑|w in*{7cqbmq {Sʕ)9eaT×>c_IsNgqpEM&QC>aZrl<(G"$⤚tMMYTKkBGGcwin\kCJcPwɅ*3MT)b_.sq69ԱkZzPaT$=SI߱=^W xV!RXDR~e#l;{Ψ"&JVl]ydV% i2_dQ"f9qMGKM$/RSdC ӒԽ|\&rb_ͯ8-4c띴u4@g㲬aUow'w:Y0_…K-c׳S{5w T gBm J0ᛷ@yv``ϗ'H8_ߏo^)Jj5?,]n!61ܦTF ؒ'kz^OH> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34946 7725 0 R >> >> /Type /Page >> endobj 7720 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062957-08'00') /Rect [218.8375 442.7692 381.3955 453.7692] /Subtype /Link /Type /Annot >> endobj 7721 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062957-08'00') /Rect [178.99 390.1 396.03 400.1] /Subtype /Link /Type /Annot >> endobj 7722 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7723 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7724 0 obj << /Length 19 >> stream q /Iabc34946 Do Q endstream endobj 7725 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34947 20830 0 R /Gabc34948 20835 0 R >> /Font << /Fabc34949 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ|O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ^ endstream endobj 7726 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=646) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7727 0 obj << /Filter /FlateDecode /Length 4433 >> stream xڵɎ^_1/@m 7 43<~R;Ic{dzE-+$|kjqv /I_,J-￶ƯRU:oߞyIY/c}2E&͠ \5xPh3a1@L]sV?RƧMb1;T@3W;Ni({ݚ"i*uv>Z-uO&բ^T 3|W&:B{ƌW>2YHz3ѣ f1dzY3M*4=in/na5(,cEeBEVjZDƣ "_ݖcwOD1"O Gqlf2劊$/Ur@sFmCF}5/?1^$g3Ϧͦզ4F>n:vWAWx!4o_L%TYV|AQ7yj\OB+ŮrAic<EP{Gi)$ DP"Q"lLXʎoTOzbLg# >[=d&M|Zi23.ā{B8vY0X`#W{tMJfC^΀& MzLkGwHu|}^|VH9mT,-ku)V=mIZ(WPR ĩ ,W] ovØ`Vюg@[KkJoKy^DS.Kn!>3>h$!{Aj pQYs*Ǣ.;Hoq`5(cAӹn֕,Ӎ"89~7Ҷ֮>i..553׭ D =36m i^xp٘8u\7H:M$59)9+F;oSbUM%44U(/A*kPh?$E2]%z {lXM6 n@ERsH49\٬WUAܐJ"j̻Qb'OEPIם.1Z9.%XGI!R-z3fv &.7ywPM9]-uJZd ئĢmNMsr)߰f5-3g0Sbo6pE:,]]i3ށ64]&Jn*65sdcVSq(HcA&ɢ"UG+4`bJQII@FQm*ZnxgUb9J!*%_&7דN 9Wcl(&,Tj4&T q}ڱ+0d:3oUWUP<^Ge"pۄ8,]-W+ ^3EKFXK,H[UytOZ"+xkhD >ʀhB [ @V#p͚M0JGMfIT%@@'i#xXg '(PP\9\ǥ,VQ96Q`Li){eTN7C, {p<:6;VӮX>گڙF_pC?cܚtꩫL:O1lţ-۾Xțe~GVYUliۮju8 hrz >TNGV[rnKP=Z1`\b=S8G% 5z$1(!7%>E7RV}،%Mѕ[ߓSKwi$>4Z>4Xdl{(U 7L6m{U*+wۮL/L)C{ܭSf݄NzӥovJAڐv!i?ߵO 7bڜĕqSʸ[O}:.r>-1 PZBc~cDxOŏ5TM?͐^9W&qxb8qs{&ιnvLz2ҺeN9d aTϘG9B[^=Ay`b/򛳿W0$6A;L_HBr:Sàv [^r!E9_lC bqzwM:YRf1q-9WJky|]ª+d!uKݠAD`*hAxn)8vCn{bF~p7ئ5tO}֭Z@i&L2ډSK :kxmt/u@1l2';vts5]&߼Ni#.:(M=A<WXpA)ca@Xp DfNkw[+㘢ObO^T4Gbo ] }=>.|'b>X X"rEZ|AqQ2erMWKŔ @W B,Du[XJ<xI< D\X2aؾ^PpkF cm 4BjwS <~RC9#2X䅔vKRȶp݆,FݨaVu)/tg]?2 E][M,}0y-oD*lH&4lJ{ z/Y7in'&EOms)2|= 7(TH#7!J qŷ W &_Jex { ^M&:uhoFQߎvT~bk{q]=Kuh={y,CH> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34965 7732 0 R >> >> /Type /Page >> endobj 7729 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7730 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7731 0 obj << /Length 19 >> stream q /Iabc34965 Do Q endstream endobj 7732 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34966 20830 0 R /Gabc34967 20835 0 R >> /Font << /Fabc34968 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ Ѳ§C;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl yVkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7734 0 obj << /Filter /FlateDecode /Length 4214 >> stream xڵ[K#9rWyM&P($m|XY10}xdTu7T3dD0_Y4?W^3KK6xIT7|uq5|Ek}}]u pwυu=g^VGgUx/5wǿRh2΀!c^la<«/b+I_e۱+Z*&`Oy3-wJ|P[Sv&.Z u;15uBYmHY4VLxUiϼAOo2E'9†%7ğ`zÃ>Ĵ:~__% ="n[iIiݲN Q|\ 3PxjoWVw\+\E2z GC(ϫdՀh; ^@IlDX{v͚>Ft\<}:]Xx?xtjX+#YYuk9ArSBv )gfm6:'v,d):)13gtrBdÚyȖKr>wp^WAa'VC]7`maۂin]p Š+|$9l_]j53G.ʌDT385=0Qd:;@;@j\6qyQ8^M9v{GϠ[aƤ5mѤɑ\6:[%B+f/!?rf<$=ܺ}02) UG*y7[:9WdlFE/z4-3WvUHت [&@x1>x*JkC`x@ڒ VUj(ߴKk vceE.IGV]Ũ+ $f -'aR{N<5mZ,?q  {^[/eJcN! ba5S*lةJrky 5nzTCkL{NƮi|3jSS޸c?m#v`|I>D K0i3EGIаb:\ϵ! Rs5&Gޠ!9IM@ldU ?i"&%K;GPdO=N\SSbC<%jnrhK3YlP$|H\YZD-i,}^8hpaX2֥8;P{ { H^/cO8@C?q_'<|Hc- vc&^q(UwרVeR",Www8f&Hkeb6L6s+#d"x3:yA/ߝ/|$੹dje_HRcC v x%єr@чX Zo' 0ónv )+DGNo_I}_ 5X/Jl}pd3 ࢬ hh06DHC=ӔLs/-hWB;Jx AIx٠NN\0Q#Un&|ijj|BmiB5vҫLijn͖f.H̠i}g\lQ1GA}a]}bu~+2?Mf u^3?ހ_ү8 AWX1cN+ț+Ɩ:b98:֤My_WS_UR{*8b1D?P]LLkM]yտSfʓNpSNu\]4}$іguҋv/  1Փh8[Lx#\.Sq*d0qDa&bF5`;*235#&e@gC\*.mkDZ4Od,UikWj'.O&^' >(ꔵfʖ $([A\M& + E_bn9Z:}@rn7`巢!q/7GL=G/1љ"60}6%@4isGfw}z`z,AF)h~[T]1X\B6?{s[q >?G~_kx g !k֢"d΁!>DE oIe&e&!Vc0q#mW$+9P(@A:p)»3mJS28f۶[a+2Ve7YrymOPF",eBj2X=|G1LK >W{j.8: endstream endobj 7735 0 obj << /Annots 7737 0 R /BleedBox [0 0 612 792] /Contents [7748 0 R 7744 0 R 7745 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34984 7746 0 R >> >> /Type /Page >> endobj 7736 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7737 0 obj [7736 0 R 7738 0 R 7739 0 R 7740 0 R 7741 0 R 7742 0 R 7743 0 R 7747 0 R] endobj 7738 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20211013063105-08'00') /Rect [104.1732 387.2 198.2837 398.2] /Subtype /Link /Type /Annot >> endobj 7739 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20211013063105-08'00') /Rect [104.1732 371 181.9322 382] /Subtype /Link /Type /Annot >> endobj 7740 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 354.8 196.6447 365.8] /Subtype /Link /Type /Annot >> endobj 7741 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 338.6 178.0272 349.6] /Subtype /Link /Type /Annot >> endobj 7742 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 322.3999 229.5017 333.3999] /Subtype /Link /Type /Annot >> endobj 7743 0 obj << /A << /D (unique_94) /S /GoTo >> /Border [0 0 0] /Contents (get_board_parts) /M (D:20211013063105-08'00') /Rect [104.1732 306.1999 181.7727 317.1999] /Subtype /Link /Type /Annot >> endobj 7744 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7745 0 obj << /Length 19 >> stream q /Iabc34984 Do Q endstream endobj 7746 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34985 20830 0 R /Gabc34986 20835 0 R >> /Font << /Fabc34987 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶk endstream endobj 7747 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=648) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7748 0 obj << /Filter /FlateDecode /Length 2719 >> stream xZK(+8d7FJϑ֫0SF#u_o<`UYݳ[ݢl0" Wi>RmF/GMM߮N#>.*U|"im^fx+|;jhy/Mx>y*#ޡS<àspK? mu<xK~OAQ$h3vbT7 h-i*R%H^` 6਽U>@k_ZBC(QJR^R>@Tv,5^I92,RKS@ruō Jua:6޳ HzuB"R`"@=ܵA9>#6y+4 qdR"zp.+%nn+MLJQpCDw F_?Cը X wmh64Ǟ]弅Y lPz+zkwZ ӝ;z33¾n7A-.z\ATS hr-JjT=a7ǢeS23 Yg)~D [Ķ"08avǗ uzE>!12#8T]QXcp=seh 25E @ 8z"aWI~/9>|Hɯop)9zŗm<~0̶6(SQwwEr[5tF5 (=0B#) 籠s1,Ycg?U -X"c.ѢaLp`BIỡ$$K"Iw>R~ʹ*P4cW?\8|\59}(2&GJ?{Z 'f2@08< AȚ0! ʅ?^3>PRy*`M BXJ_a R)ДPdx.0~pC V#jo~2^9cyQ P U,ĉG.c1ޑ?O<@ !d]Rb 8{|GS}PhSn:$/@ endstream endobj 7749 0 obj << /Annots 7751 0 R /BleedBox [0 0 612 792] /Contents [7760 0 R 7756 0 R 7757 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35003 7758 0 R >> >> /Type /Page >> endobj 7750 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7751 0 obj [7750 0 R 7752 0 R 7753 0 R 7754 0 R 7755 0 R 7759 0 R] endobj 7752 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 270.325 122.505 281.325] /Subtype /Link /Type /Annot >> endobj 7753 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 270.325 161.9785 281.325] /Subtype /Link /Type /Annot >> endobj 7754 0 obj << /A << /D (unique_77_Connect_42_xps_commands) /S /GoTo >> /Border [0 0 0] /Contents (XPS) /M (D:20211013063105-08'00') /Rect [167.2915 270.325 187.0035 281.325] /Subtype /Link /Type /Annot >> endobj 7755 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [192.3165 270.325 220.999 281.325] /Subtype /Link /Type /Annot >> endobj 7756 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7757 0 obj << /Length 19 >> stream q /Iabc35003 Do Q endstream endobj 7758 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35004 20830 0 R /Gabc35005 20835 0 R >> /Font << /Fabc35006 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}C endstream endobj 7759 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=649) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7760 0 obj << /Filter /FlateDecode /Length 4708 >> stream x\Ko8W<@j 8mgsS ՗/$eZYktR Q`D|6 ooЬAg$C/u| YR9o<(rd]=mPsm [~ӼpB'_u4X k߿I/͔ d3:$rYxMzڎzia2&eןt hs0&!=>ŋ 3h.xr66 3`#Px 4|zÈ!#!%>-v#ZNM,L)9.ۢѺ{~P_G,8-!ZIan Ӭ  4wNlhfNe^P<7 jF 8ì/Ƣ&Av/@|a˥Ѡ$ѿC 1)C???A6Dnܗ= wSY{$lB̞.cE,ˢ}3O1t_UQ㝄gQyjj?)rh'}<24$6ʣFy'>Gv*=kco!{U7ϳykF[+ZxݟCB8 C)b@{u2f-i%'>EK?Cu29,2ˑ2oșde%M)) ;ECQ|PedF8q?ryo@\dTZ}cpda5o_~=Ɍ;\^&YB?q( zIax+`G]rB σt;QT )JPYj,UU]o|tvS2,jY0?/t&Wp?41 tg1pWb:-F80wt=37ӻ>8|'2WYH{ٜ/ES)@΍܄ { >>( DXAEIe;^:Dr.5 9}Uib~5m~0M9}MЇE\ZYCV{ᤈ~,V~x$uf˧ц񱋹҂-2xg?L*I [@vؚ$n1k+_Wt&|d ]xoCfzܾFBK-Z@3=!sekϣl>֮ ڰVk-~\b;F!g\/W7n6}e)C@'9_ȶ+H\zmk`\ygIos+òB*TxcjM1.fRr>_bGDM'\AJWED#m+R5$.tOء;7 7N^j'))GF?Ϡ^t]vҀ9h_@ {zځG_{uei]b~hҿ*c1)D Oc޴G!OvZqT28}[8Vv,?NT:rYWӑx2F~31h;B 7C!*B5~M&!m>QF6qU% #ܫJK([zV9 Cx\ lkV0!ɦH ْ"zrq-4ia v-a5R-itUEk@|iF{fuΕV{F%>P`HL#8\fQ5f4EvqEb4KH)$xA9 di±Q*jY Mӣ^UFg}lAYǀ,D+m߬(p+GnUe)yFoDiGhvBPWkco10>Ucߺ8jbrަ}B]] kzX v n yvp;(ȮN obK\JyXTumXzr#@7+yeaIſ8=85麁+Nn 'Nj8N'հApR[I GIu8}{N8+I]Y~Njou8 pzooPzoPzgOxxzo^@sYS=,?So= ))a HDTgrJ *ܒR$aԈ@4a[[bWC/QEk0$yδrVɲNڠӻ+k_Wrh:V[dS.U߸__vQ2.K^A &9qn(-v]ztUl: .j; by c/$^FKx)p>_"~Z1PC!W#A$A,4 z7c@}5=QTOEO5c3lB[ئL ,JE5,.Y\Ql͔N~r!;PSٖr\@6,^fGάֺwJ:s[o$) -QmcUJҥ.R_u:#=7{ZbK""6΋Yz'=٦&Re;zh#,Ir$mO(\]nCو>,LXt>;j}&ZƆfWnJ$?3(8R{P9oƹ` +3 fϜ+}$#VA!Cc*Wj|s+ jЯ={օ-ݮGL=!S]2:4%g$`wquolǶIE&̘o]O+铿>q'k;s`翴5vx_)sN8$!.wIeVxn^ru i*T ^ROh .&JH͒M_)U_(%|&g>rHWYvJr3`H߻#n.T3 /RmS,{㱓2x'z$\KWƐQRmI|PC(wX^.(ՈRs{uv,񏏼f U( |~`Eqb*f2{l#SE~g8ߥ`AWL endstream endobj 7761 0 obj << /Annots [7762 0 R 7763 0 R 7764 0 R 7768 0 R] /BleedBox [0 0 612 792] /Contents [7769 0 R 7765 0 R 7766 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35022 7767 0 R >> >> /Type /Page >> endobj 7762 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062957-08'00') /Rect [218.8375 505.6692 381.3955 516.6692] /Subtype /Link /Type /Annot >> endobj 7763 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062957-08'00') /Rect [178.99 453 396.03 463.0001] /Subtype /Link /Type /Annot >> endobj 7764 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7765 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7766 0 obj << /Length 19 >> stream q /Iabc35022 Do Q endstream endobj 7767 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35023 20830 0 R /Gabc35024 20835 0 R >> /Font << /Fabc35025 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7769 0 obj << /Filter /FlateDecode /Length 4716 >> stream x\I#W/P@I:6@>`tG03S%UxfP-Fc"Mn?ᇏj}+f$._?M?ڣq!Vg!y"|wvp> &W]9)y' _BEOP/o_ tBr\[#Po48ipn/?50voD>s^xXVN$zp}˫PiZkfUZ KKi<7xL e'+#IJK͂GJfIFCWu#BÅ>@BUȢ#87.#e*e 2@NeKQ4iOzyGo@5ߝh͵۠% 'Vt%If~$s ALl1) ;\@7;><*[*VpiúUV>z'7aIaltdAH ݈8+,4aQ@/l$RFbGWհ/daG<^!O~0RUq:6xĴ< RZgbϤ@uEtVɮ :-.I[~?Qu wHL!p-;}ñP@vf`z-'ۢJ3ST\tW$g) 9yB'aL>q]âɑd#4 ]A`oEmnrrYI}n6']Sl5V7UDsJfOh0O?h]1y~ x٤>ۿҧD3y{qP vh\^Xk$HfA`뒀 Q̺i=wF&t a5`C:S )lR` MwW L$utN=xFyym~$t5N^.S` gBGNwQ vdi*զF^܎ [2-y^Xl(`'-WAd %r*RIϵp~h FPcaHh817(l $7 XwulbK>+)!E ]u+ L١"ɏsD"qQ;lk6}]N.0$ftHe00} R)24#Pnbl'@!|tud5wRҘ5lm[$I;k>TTz'Cֈ¹顂\k :quxfNA~QBϘ=3`Q.rCˋGXΤP;96T)*(+LU}Toi%OAH nP o H\JcI( NWzP[Uf*>)/^òt|[q|菘BestKxy}:,t V&K\O)| ׼R=2U})s՗Qrs,EJ]+ DžXk%Wָ>Q_fS((7լ΂Jsm3ƄDu89୼zRkmyİ]=.6_Jy0s,Fh$ldOBl%M0sOKny|m j%gLfr5'7?N΄srX x&beZ0֧Kɓ̅(VyeadY)f.z):8'x7x/^Gq[ef/!H'Nª7m/Wu}zc#Y;2wjٍSn/w~?a}qJȍ̩6``]j).0Q3W蹠#ϱ!al Fvb(˭7ȿxŸN,[Ӹ@PEczَ z7btQ8}ӗX$A ~$ow&ʐ"ěm_*{.me/l*}[|.ԋ\qdJFXQvNmO+&-m&(UWRvoR)J)7HuQ0,$bHtIXD/J^?BҮ :4aK|1Ti"=#Ąk\qknf=3mvAd V@U?R^MQ NQ˦ťJk,YFolAdvɂq7CTnOvdO6;N (q?ni-s J\9FM6VD^@~}:>Tdus13~#oO]"^hx08Yekz< [&JZB80o.2%[,Xy?j3utIiX,{4 }^sUs.Ou#RC8.J+e~Bk}{p i[)xo>jY H 'o>@&]o0\ɭ_W8J2uGnifXGdۣΡ.w6ټ6o7.>fX5D3] ^}ʯ}'>Q?!6C?+R+/i}*n@U >#4:c|ý0JxT[=|C4>`c#};ٷM*.`V-f߶l =:T$ )CYfih (nert<8X-aL .rp,PO{*i,W4= ie) y:IR"-MʇP4vr1"vttjMoۿh!2HM  uf̐[ 'ō'u3G$F`v}T&j4v83vJ^sF[h?`WR'Hwz{y>P>%/&ZUl2M|Eutu9S ;1`h_BƬ+_q钧8M`^\S>RhJ:8Kdx*#\U  5}GPClg kT"l}Ǯ<`Z3dt|}fw>VjKDL[{F/ƲQVT*HRy> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35041 7778 0 R >> >> /Type /Page >> endobj 7771 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7772 0 obj [7771 0 R 7773 0 R 7774 0 R 7775 0 R 7779 0 R] endobj 7773 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 112.7425 196.6447 123.7425] /Subtype /Link /Type /Annot >> endobj 7774 0 obj << /A << /D (unique_92) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_interfaces) /M (D:20211013063105-08'00') /Rect [104.1732 96.5425 229.5017 107.5425] /Subtype /Link /Type /Annot >> endobj 7775 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20211013063105-08'00') /Rect [104.1732 80.3426 201.7652 91.3426] /Subtype /Link /Type /Annot >> endobj 7776 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7777 0 obj << /Length 19 >> stream q /Iabc35041 Do Q endstream endobj 7778 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35042 20830 0 R /Gabc35043 20835 0 R >> /Font << /Fabc35044 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} V endstream endobj 7779 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=651) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7780 0 obj << /Filter /FlateDecode /Length 4111 >> stream xj$="BV/mOc1;<ivVWVeFFD7/dw2i_M咤Vk_jY|&R{PpO?_b(¨g'mVJg_a>heoAX< / gQ0O*X@o O4oR@O4gu@2j^Gc} /Vgse mM (lZ2.3#)^dIGaNz}hS'V2~?dkg~?%#&Sn viJ8 8Av '^Kw*֬jGJB$؁8>~"&(1"ci @ߌ}S"P,Xb xXzSAծ3IrYZr:Ǐ*4N#]ю놆XZ^Y)ken"2+cl|;k{-Ɓ;Cu3 @5Ge>@U:W` ;m=*l|UP:|Vc7+JU$T}rUcwa#L<]K.ZgLD5h BueMjWEʊđ@N <(+ZBCۉATIZJk5˺+v1Q4ŧ GўؾlXM )C@q쉳tq8K8\0sQ$>mY+q_IQ¡gQ=uyĐ:1JԳ9nĩEg ,Is <mßW8ensT{vT.! 15yS:ٔHƢnoZX5^$n;uqmOS0>'ؐ@UM!Mv^WpR6P,M& [~Iy_r>6I_x%HZRR1b7rS߁G8_,r9g Jz޲N3 ֽ ods )E\&E:-zVgGOϽu%tJH_Z!R8/+,J(>1a:305DLSxXEH].Nf ,L sPSM^WǟsX!`ͺ&:۰H=[Y0ћ-cMVfoksnq۸A#Z'B5h6 r+vՈa ^\ YEx59)u,FUJ.J V-ÞijG+7m:|BBu!*3d?I8DH7@ Kz^@IN)~SU)MnnVY6.橗v5uHlOpwUB[ulpU$LSyCNW_q\ZR>54չF@3m޵f2&X)i=3lii60ݞʺCТa}KV^o8ʽ2~I%<`x.v+%6>|،Iv SLOlZ@c&^]5@^1@s!aFdo X^~%K3%/)љP`}FT#t۰$QsgjlPom:(\S ^jfG^8pf؄]{`9?yM25VtF6^/Faշu,4ZHM c+d<.?>Ln@7"0R5ޛRSGߦ?1s]/.&斵|\AJ&^]/1nI#P'(|T^bi4,L+4˩lFym5±ͥ6f|)8qT Ym]3? uUkOPy {>Ƹ]+jx xFU[e6 @YQ5y17nxUؼFCF WNq?eI-嵢 &az`|%mmŜ7>9VJvF56&kfhJ+3[/}"5jʣC`PU?2kE{{@cˣ=hu*"FL$M:0@Żf<3m4+]\H b#f0 "/+:\E-aGbh*cH9 Wч6(" jqtЗa-Xnð|}3E^]䷒l7_. 1v42! 8@Cy 8r )Lfsg8U,Ϸ@'.N%*t'1b$dS7ٓT1K*FI]V={K Gj$+ .I h* 8{W_U@7 UY@-2K<. 6ɉ@LdHuc,x2Ҝ|!&?g~Si+ O3PNS~죱Eĩ?ex>F|1:گ̣@qi ב4ph X\u2倌yQӫWBx<>>\&& Bf5}s,q= RCC &}˞ N|8\;1׼zv禝.r9U(NL=b,U~DxQaXX.4XM_Ύw>#6T~CEf˵dhԠ>C endstream endobj 7781 0 obj << /Annots 7783 0 R /BleedBox [0 0 612 792] /Contents [7791 0 R 7787 0 R 7788 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35060 7789 0 R >> >> /Type /Page >> endobj 7782 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7783 0 obj [7782 0 R 7784 0 R 7785 0 R 7786 0 R 7790 0 R] endobj 7784 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 164.9372 709.9] /Subtype /Link /Type /Annot >> endobj 7785 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 180.9312 693.7] /Subtype /Link /Type /Annot >> endobj 7786 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 165.4707 677.5] /Subtype /Link /Type /Annot >> endobj 7787 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7788 0 obj << /Length 19 >> stream q /Iabc35060 Do Q endstream endobj 7789 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35061 20830 0 R /Gabc35062 20835 0 R >> /Font << /Fabc35063 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O]ѐ>6W^vqW/Ɛ ?WG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىYP|}yԬ9*{408 ?SqbGfgя'~'DS=RwlV4C+pצb/mk: S[${JLh*$S#2yk))sm.\gy4~)/? D?cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%2s(0ڢ_Ћm߽? endstream endobj 7790 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=652) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7791 0 obj << /Filter /FlateDecode /Length 1201 >> stream xڭWMk$7W9Z}Z`Mф$M!m! GI]Kl ^_~w»v6js8gElS^En݈e[l 5AaKfWJ b."Ѕ aF.> _ Cg;#KobܼfN#o.7p7?~ u4Lن0[<Sm/r"b^b/G.Deupr6 q_.Aŝ(qПfQv w#jmM`8jvpss#hSHaޭH.f̎"J& wLTlfך!0V*Զg~]̦J MW+eX|d_iܪTT?*Ռz[2V;&O xēLrC1]7Ndf9:L[ uZcϴ KI6#6[jDr[ pmHA\njFI-đuŭ*e:Â! !챜a5沝qa= cEʣ1sc`*:*(^ʣN x.4+ oMĭҥȯ&iJcm K-IKe@hvGnL}e-nRؾKr+:Մ&4eO|؂=m~0x?¦ g7Myru~T5v{ WXX̭LY99t}8W]k}I'B[;m!A&;4xzOp4WG<}StĉP `V>Bg_=X~Cqg"*As5߯OQؓYkiM'EĨY>aUo$fvϖfeѳO`SOrHCjZhD f9} #bk4'N*'JC]}Y endstream endobj 7792 0 obj << /Annots 7794 0 R /BleedBox [0 0 612 792] /Contents [7802 0 R 7798 0 R 7799 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35079 7800 0 R >> >> /Type /Page >> endobj 7793 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7794 0 obj [7793 0 R 7795 0 R 7796 0 R 7797 0 R 7801 0 R] endobj 7795 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 295.525 122.505 306.525] /Subtype /Link /Type /Annot >> endobj 7796 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 295.525 161.9785 306.525] /Subtype /Link /Type /Annot >> endobj 7797 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [167.2915 295.525 195.974 306.525] /Subtype /Link /Type /Annot >> endobj 7798 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7799 0 obj << /Length 19 >> stream q /Iabc35079 Do Q endstream endobj 7800 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35080 20830 0 R /Gabc35081 20835 0 R >> /Font << /Fabc35082 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7BЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Z endstream endobj 7801 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=653) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7802 0 obj << /Filter /FlateDecode /Length 4763 >> stream x\Ko8W<@j~l`n=[{ٞŗtUR`0"`bhE'I.__ZglJS,q5˗G_-_^ixUHrhlu=Z]ju~_/q_,.z%-jg\_kJ4~QvChVʣvG⪵.y eM:ԡ%¯;/~#Y O^{e >Nc%\B94Lx#),D!NtIŧCvtԃHo:E|I5F@@ీ j {_`''s1ڬiW8tcLЀg*d|o,v;{8=$0˓6(-7zYC`X^!PVh|ՀD 5g+AHS#k¢(=8AU\C}2W~Xfڳ^:j0I X8gJ)"Ϯ f<Up JB-9GaO.^8!el2g7Ձ1jk3D$u{y~&%` %4 J#'Jke99Cp&a72q*kv)F%Fb¡]t4נ`rDѸf]~}Ⱦ?δ+4zC*NbNV5Y:nNGyGql cXQeCCиUKEF@\S/Go(o=Yc8qmW T~ycQsB'=w\VS$ax c1xmPq½nya`-<1kR4,C NXf/޵3.:'JI"%݇Hp/ax_ vsRe I[yՑj}t=:VM(QފU#^Kiuq@:%d1s w-0LЮ."HX j=^~Hk,Ő(7gn>,7O⧢88/raK#ēטPyxԂSRՋkd^ϗ߈,W7oLP+핞J~TWk̐l(EqƏ؏SѴ4TAV9r68?^ U^Pޫ,2Q5>߻zIv㤪deO ]d1ͦ݋vE6yqiQ^Z]mR׻c%801L٣OHCg:%H" ,ֹ#݈1¹p>KkeMX+u,}zy[^&1-WiC}^Vj!mq F30 %iW_se+TO{ TW_%W)id5;dV$AsW걤zS%ի+xԸ݅Tx; WG+[a /&_ł]uթT*gLuz'z%jam6t+,\hR koTDz7wZZxzn.:š7QYKWsefX$C+e=)ȌE$}^zU]KWګ=0e?۷w}&F Ya>2,c ;2@5&I\J`X} g.ڭ ӄbDԖf&͈ZZftٞ8fD- F DЩݎņTM/U8\3 e:TtaPW}n10y'MN8ge3Aq.2.cZ9P:UuԷӎ@ ,+,,:, 9" bcNԞ'L َ ;v%[~'~x2vY!xz)v>0N;I,ZcEOzfP< ي#(iM@w D$(nA͈GϨWkCU* MW :|5fۺe5PF}"!ϣsNa8ٮGm?e/%\_Iݟ oq C80|\2:zfy0ANP~(_Q%d sR ŘjLYe8 FDT#7O}֊Sr dS\]&>u>Kh"gDgYYaZe"aXDF IE./S9mJYLuR+rɘnÈR FSxH8\/উ Obs= lw}5WGq# IՓU7l&G:5:δ$[Ԇ.j0[qVRyNxl,21:^ Te2/nCx^q9>/q~|woxpcA3ԑnlNWl[2'ہM2ޯ:a7>-&_ {!z2f0 *Y5U9slb-\~&՚Xمn.{NFɬᇚwW]7B_җus0S6?.32zQFfaQҧ7\^wFƔ5[+a] ƖP}(+ޭZ vhe;Б+y5336u87ѢiGm^2y޽iC{ +qgB7E=qD,˴ .vf.ĕMs8n8jgik-Ӻh;?Dp҃<& jۜ|ߘlЩo[S*fj5MQ\^e9#>d;xRPԶ3+O+L p?Qۀu )h/,TX^kUΜ(jWo=WҊHk:qD1jnGjs+=ޔ:s[rQ1M@3slPKEGqM6k]XRwD(LgB< |YҐ+t0P`0"' KE6z".o90'tJrI&auy_AОose⁼X9a.6A[n!UoEW-p(!fq_\T)k+_j'lџ* *]-<=r_nVMyVD,;g ? %Nr+FJ2'a#N  )@0g*|%I;:G '< #i9k֕ yR/Y/CM@jn^dpPd;Ar jݶZaHa _eQLuN0gt?M*@g㜊+糼vsn00kDߴ63DtK=qf3:~"=wc\L!6̐`@y6az<߳$64r xm%kYr* #7'LEK$L?ҫ[rwM?Uv^N %^Qx'RA[ ur endstream endobj 7803 0 obj << /Annots [7804 0 R 7805 0 R 7806 0 R 7810 0 R] /BleedBox [0 0 612 792] /Contents [7811 0 R 7807 0 R 7808 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35098 7809 0 R >> >> /Type /Page >> endobj 7804 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062957-08'00') /Rect [218.8375 445.6885 381.3955 456.6885] /Subtype /Link /Type /Annot >> endobj 7805 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062957-08'00') /Rect [178.99 393.0193 396.03 403.0193] /Subtype /Link /Type /Annot >> endobj 7806 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7807 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7808 0 obj << /Length 19 >> stream q /Iabc35098 Do Q endstream endobj 7809 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35099 20830 0 R /Gabc35100 20835 0 R >> /Font << /Fabc35101 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7811 0 obj << /Filter /FlateDecode /Length 4933 >> stream xڵ\I#;rȳq3  (I%< `160}5S*Uj)`d_ͪ Rkg-MrVcoiM]TZ]뷟뿽h_Sۋ: uo@(٬@`S";Ewh~:\kҿ*ؚi M/ R/8g; 50L)mB!G=8 Ei^_a 78-F~)앇O "o r" uw{Q. SʆzF933Wv˹oy'C"¡|qXF0Bvc3֫-ʣttS!mAlԐpzQAr|Ӝ^f㲝&ޓ#"mibM,"֦}.lb৯+U/m1,496hݚ`ˠ!2ur S&!| LM+th ўmh>lj>,QaUE&@ZXhl b]1hq<:*(kL&-I *ԖJPڣIcq'VBT6-boi~]ɶO'e7Ni-)6v(jLGVà+\7?R*,oVm\LW!J;p*>=x|M"RʇRq{( [ pqݼ"tQn5aZ7񵘔),&?]OT_exm31 &ˬ9>ShUrɆElMHtd$ ]?IXNiPCpa-<4 |ۂ<,eh8Bd4Ror4 f 6@@ M؁/"wMM H KeАdwJA O6@Exs{ w`Oվ<`C?@`eЧ2n_@bcM O_w+z1K^Р~GɎpNaeB-0+Fy\[3eL.jAX3D=fr09̖s*7 nWq@>7~s{fۜ3XJ9]/ҜVoF/l\<gEL 'zp/`y{ro1e Xk}­&bryӑ9Ϥ[CA.?"3EKDWi0:k^ t$0/>h\aĚ]ob Fg&g4mZ q[Id VX&WTN[(WU:᤻whOf`vUnq|DbКJ%&tutPվV=\~hb*VNz=]nNSdO7{M-=\(E]:;'fG%6Рؐ.C#$ѾU+&ฆ' UQC땳o;_J0$ @_xKqW 着$!P8 0oO|SJ*s^%7]\M7 f;_;ϤRmJc9P z[CD_acAخl۰YXXƸsOlKU&b }y"hmms98A}F #j4DFl9XpMx0bxE[&1̊Ȗ/g3rA9a/=< ϘAkp7B^a0٪ /k᳙R0PvdˡUki$)]r(K=؜ڢ.tAXu렃dlR.;U5"}5e֩T[2đq ;c|޷Ğ2#8lc.b(=x4Ս{8-9=ooQ1^GXTccٛbe+Žd=:ʊVԘ>6)fjFÂK~pj ʈrsbͰmRG/lr?9í`Ya9 c]μC9,~o 8.{'"LwsoKmoK1 1Ry7EL.}S5f7OVhm;ʆhݍK`iwnos[uD[@Cu{n7oji)}&HYӴ&ʔ1kCޔCʷnu?R$<$PNBRFmvG?\T˟k7$YT0=M7N3o㧺$J;ˉ9o;Be*SfB] &wӅu}/:da}o-?V<*1!juazވ-__67Mz?ѳI=vIWB1T~CN!I+q\sz5.>ޗk擵Cv8vAssUg炼C)qjҕSҕٗ;u,]K >HB{>#j-Ogb~'SSt9vGW@_s%τ=}/ƅ˜^}Yxz`9IKJ+!7hn~,Y櫹/O[(7+#:v}&;ٙDEeG^+ 7Hsa +sg'{35e5nO,yO|`~_<;ޗRgnwia vOc>(<׈Q4̊塑ؑDt:*:'gT(]=ﱴrdKI+߽ˤk< ̠4}.^tTb0DNV0/L,fn.$Ӯ*to;K7cX^tҲOΣ;ƫhh¤ (:a^Vh%BI.$6PľI`S򓎾r]rCLIR X| 'Sᩍ^ %W.ڑ掌 {SֱnHtF7|XY]YABχʥvs-l*Y|8_& K*l#H&t4U@L~/bH{/l' 0K<6G6)cxKe>\`t.,HhNtVMN~#4o:LG[>oFg<9tL`/t]-'ѻ=.YH<#`,_|!|wb|à$> >I~[<:gsO]齂DWnB',B,`=uhnQ m,LUި'}#ԖR_>OHDsaG"&=طֳ/(F>o4uZj?>8l endstream endobj 7812 0 obj << /Annots 7814 0 R /BleedBox [0 0 612 792] /Contents [7823 0 R 7819 0 R 7820 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35117 7821 0 R >> >> /Type /Page >> endobj 7813 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7814 0 obj [7813 0 R 7815 0 R 7816 0 R 7817 0 R 7818 0 R 7822 0 R] endobj 7815 0 obj << /A << /D (unique_81) /S /GoTo >> /Border [0 0 0] /Contents (current_board_part) /M (D:20211013063105-08'00') /Rect [104.1732 126.4502 196.6447 137.4502] /Subtype /Link /Type /Annot >> endobj 7816 0 obj << /A << /D (unique_88) /S /GoTo >> /Border [0 0 0] /Contents (get_board_interface_ports) /M (D:20211013063105-08'00') /Rect [104.1732 110.2502 230.2717 121.2502] /Subtype /Link /Type /Annot >> endobj 7817 0 obj << /A << /D (unique_93) /S /GoTo >> /Border [0 0 0] /Contents (get_board_part_pins) /M (D:20211013063105-08'00') /Rect [104.1732 94.0503 201.7652 105.0503] /Subtype /Link /Type /Annot >> endobj 7818 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 77.8503 164.9372 88.8503] /Subtype /Link /Type /Annot >> endobj 7819 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7820 0 obj << /Length 19 >> stream q /Iabc35117 Do Q endstream endobj 7821 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35118 20830 0 R /Gabc35119 20835 0 R >> /Font << /Fabc35120 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n%2thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XL[//d"Xl˒sKhf>eV%p!g%`NS0Q8F$!īiWm`;!xڡ0 '^B90I NЋm߽? endstream endobj 7822 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=655) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7823 0 obj << /Filter /FlateDecode /Length 4128 >> stream xڭɎί@@WU4C8 j@-Q"{|;Ylo;4R&X|ڇڰ(o╗o?RgmY#q_ʺ5l(Gг *?(N?WB_SN 4*fIڏGӷ5D1=5N=qlH]hKUM3yWa঻1kW]䋥Na+b]ueq0݀,Vb-*h[lx0X8~ecD>N¼)lwŌEۻ^)`ka+ה;(t7#nʐ ڜԧ`N16z}Hd5ނw2Hlo'+Kq4jҒsJQƸb(a_oፑY^:gx JZ" YU` R '1;J'{a5%R(2 7)h[y]3 {pe"͌5.b)swOt)G&mM__a03@``"K4θfk.)ȥx]i a!sXMo-8&h檣۸ \j4 $ ݶm^sxD]YGX8p&U F @>,DEېl(oGq0hR|eWC7m|Іi+CvremT2K-j-!;rd+$ي=\}ڊ = 8lU d1ot@9ɱQu<XPrTsE!ZPjb0` 2Uϋ1/]$EIPumhX-r mHH((_7-KfŠBV4E ')jRǒPO .jJ:b6Vf*]!YF Ϋm @7&K֖%k!Ā΃*A c n,*XʭOInwB嵼/r}>q@e,{2!!n"X#b|>)/=`,W3!dP+eT+ #* rVg ȜUmhJjn;& ?3ų4Tp: 2-!ʱӧ!HhU-hiMz8fNQRAgCٛvo}kYe殭c'59v ̬ )iyS)Wp3q }MaB23̏Dj_I*@BVk3KU9O_PB aSyP[&mnr{h%`8o%TI*Eq2'͵(rzapnH<,t `{&2n. XEInnqeiU24C,3q`ԼF՟_@ suprIVk i$DE2ڴ8Va9 =q>A2ALn-窖b/&A@72;)rQԺAwZ*0y| !pq T}k '; ոxmr˦4nWbw vQlDEbr'&{}1hJاznd~GYnԃ~qΡ9EYp2}M"ukŜg{6J^ +Xlt3ׇq8jqpn70I?rHVҪ>Ҹx#.TQy7\ll\L̟G. ̆_br-i ]'ͭ'Wvu7)^Jh:UPmVܗs-;kzK\v6ϦRLeպ?xΜM#)%L#)AՐ*oۍm)m{E,懄eʇ=QkxEu.jo3vFE[Ccxռ;kª2HJNQXtY:z sxıKSlf0Q5eǸѕ,F9ۨL~; F1$#:mu?eܰ۾䅸DF-mѝQy}gɦFx3!<Xл:݃^v-, y t1- fsu:mڒ~7g3ZNgAU g ͛9.7{>؃Xa{Oe=+bK./PbuX!L |sSeWAmW|ĨhTc c.{-g@m6m^{ }`5蹫+t]QW|.jDz~T!IC\U\`0P]@|q6 +S9ű[Vy3/`lR b;7KC|3|}8qR3t[rت{ ֪s1;KCLh㈫ttquevMa Cg@e%R ?0v|_/U4?KٛDJփ`EȠO;@σ2kJCSߎ8ȒMƚ䢩XJG:Ix' .܀]~۵ ˾` @̠hAvm]I.W1[Ø'y 乗p7Br>L%S0"վV%:49TUZHq뽴C3 Kefj|!NE5pwJCZ⛍f KD /7⽏Q}27x^8?:foL6)߉Qs~l85Mn:2 *9΍n\Zz"YmiLnBaB_d%;ȝשH7d_uR*m dZ\/?~v=|씯a~l1w)ά(*OUѸGǩd_e~>gf|18@qi ב4h ǁ,d W WBx?W˳a"a" dV#8r Df ? Ef΂"Z^/B<:}*;#smJ^"ٝ@e9^*VB318K*ccOq#ă 'W²D ]մx=xj OZTzuPGT} endstream endobj 7824 0 obj << /Annots 7826 0 R /BleedBox [0 0 612 792] /Contents [7833 0 R 7829 0 R 7830 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35136 7831 0 R >> >> /Type /Page >> endobj 7825 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062957-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7826 0 obj [7825 0 R 7827 0 R 7828 0 R 7832 0 R] endobj 7827 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 180.9312 709.9] /Subtype /Link /Type /Annot >> endobj 7828 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 165.4707 693.7] /Subtype /Link /Type /Annot >> endobj 7829 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7830 0 obj << /Length 19 >> stream q /Iabc35136 Do Q endstream endobj 7831 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35137 20830 0 R /Gabc35138 20835 0 R >> /Font << /Fabc35139 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 7832 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=656) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7833 0 obj << /Filter /FlateDecode /Length 1181 >> stream xڭWMk$7Whm3= 90R'%TҫWW-ojC>خ6'"{fgm#=ܐO{Sa޾YH6&!ͧs F-ǃ͞^8}Jl4zgΦgjB&ՐG$}hml~d26Rj [lJsS!g#攗(iQ;ۍXvj .m,-q&b.E[\@$ٳ}\#EKcѯІݥ}qOf>ț+̺  }4ݩ3 a yi#<_pc1rO0N޻ܗ#dlb3ȥe[‰׋#pW#M gnn\*)fw/;8KN7fGzWTkk?i5Ug&YGPU8{z[3.flqN P#mؤeߧi\TTt;}lŵD8Ij OZ{yUs4ēLS1]7 ڳc->gEVX$aҥ%( p׺Ѥ43VB,'Ei.6YR,Owx@sx[j{> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35155 7841 0 R >> >> /Type /Page >> endobj 7835 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7836 0 obj [7835 0 R 7837 0 R 7838 0 R 7842 0 R] endobj 7837 0 obj << /A << /D (unique_77_Connect_42_cdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (CDC) /M (D:20211013063105-08'00') /Rect [90 286.325 113.0615 297.325] /Subtype /Link /Type /Annot >> endobj 7838 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [118.3745 286.325 150.8795 297.325] /Subtype /Link /Type /Annot >> endobj 7839 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7840 0 obj << /Length 19 >> stream q /Iabc35155 Do Q endstream endobj 7841 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35156 20830 0 R /Gabc35157 20835 0 R >> /Font << /Fabc35158 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جRwm-־0ZOt⩔L2:)WIkp:3ϳ-nY=~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM1P endstream endobj 7842 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=657) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7843 0 obj << /Filter /FlateDecode /Length 4965 >> stream x\K8W<@x~2"+[jg1\Iz6’m"-jE I/ޗҧgK~S.=EoƮ`?/AK<>:)]gG\R={}ʹn4.T=cW={m-e"W"4*yߙfo˯$EQƯR ]x5o8A_ VJ9~ n"8WzC+PJ p[Dӟ>җܖz_MmMd5{Ge| 2z)s[|rςgm2r I jN  ~A0g25Y~(ְ`[-e x:) 9@0Cj#,zml8=´0T{4p~|WU:k[Ir"8k^ |3#DT1"0\2:OP& T0:OLE :} `"P.i*0_@-]-@FMGM׮;;Q碽< `*Ġ[Snmm*Itdnơi *#Uw di.Wk"AAbd\ 6RAUSDTQ $qÈHNc'P%/F/Sn/:zB ^G?d;v(/)EրEe^fU[Se<9YB9ڒSZp^tƎæGjY/}Nָu [^gLP:dJD1)m~ϒb%EkW:!sWɪl@I,UYLϿ?rF"r@9`ÁT,uLXPObjd85c3j11Bάܴ",К)ŁW jj#eI-JHm%ԆF8]sRz8 9 !z}{#<:u׍e}N' yL󫜷=nw*I` Q;x`EPgjʀPTg|_d#L*=,G+?AY9,H2,{C0gWm>?%IF6}8,V<UkǧɆLhY=u3`y:8񉆟PWT͂Iܕl,˳ cxG)#֢/xG|}a'v~XI aT ٩\55V *)7qIƓ>UΆ.{1R|Z}>l{xaa6|ԹH?A>qݺ,X)%KM5sR|;)HJ*ov &JҤ^O=+GVؕIPxN_ZaC)ㄏg J Z&,0,ẋEĥUw:/wq0G<%(,أ=חWw_@HuwV'^> (0.`3@bև)=?,fK2z?U;Ϋw@bBsH=ޟ A3ᦘ B\A0&e.(KjA<[fç*|+JEVPW`3NRAOF|Uaw]jXeŪC +I1(%V]V؀>sM $l5m:Ap݃6+)k -h:H hy^]^?PRWS]_hXzi~53;%\FW0-'\j~.vS+*k͚ڹ es&"5[sژڵic-gDFJsS\VDu"Z^ڡIS YOnڊҪ,yaZ^t&Oj;Voe.l'yU<\ߦYvIr2Lk &N!EK8<.6m4ΞA$v&ܖ͞p\hǦR4Xf^-wYa+8UCLKeP#rEVvDKsqWl{zxj5 °7*7nLn e!تrֈ_ _MM}0U:[Ʃ_jY,L7ziĞ9GB+N ;ކ]L!ik\1".8Q.Gy`@5k.]QC=n% L34l<<}א$8Sn9MýQgFd[Y/\I(z5&Vxbgiu.>C.7@Hwno"ELrqo$ٮZ"zZl|yڑ]&zM&6#J2I &6՛pM%-כLA4i3Lz4kko#Gۄ>d6r-{yIʫ%xX&kʑ ΢u'6DWeSj5Nt7/UZ *e6>>NԄ;c3.+EɉAr~R%n8.*> Wˏ&:]jg%W_wvnvl?Q7jf'ɽJ ,nۑ RU#zls=<*' jә;{GJyUt8f3ꒅ#"%uϟ{QM~gt1ݗ*tge{j1[*'7ns|9lu] ;twx/$'YʁY&-d9NY y"/Qvsddž&Q~E>5%(;VwDаEBgCJ¡0=H)T pb1_5462b=!@*a[ 冨6p*@&,VAM.nXxUQcy[$T[C7 iI.U(*9[Η9̋U]\:q IEZ`Gv}֭ZDɭF;qH8 ~x 4ٔkۯ }irݚ1 Jj&ݽ&mA4 C|[&Rd&7MD^J3<:78P,&ENSg-ei}MwviLS\ެi;7iV v7]Ʒ0>)Z2B=28:梸;:_JZFҧN)8@:o!xd{E:\SeȍY>XgjBo >Ig=CcugAeN }?/7nDEnn)ȹ-K^B*|JP ,nnk=Ғ4V{o[ruk5jWCHoКTtaSՏaHxze9ѦJ&fM&l^E"_7fh*~t9bw|7+BBcwm3?囱3}R5ZMHm>r qӗRLJi|nw;]CvvE*Yx΀A+pEP&By{@s_}r<\ByyA}ܰ+]{%=2*H>%9 IG,c?#εM"ꒋn␩:~;P`,Xcxk}T > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35174 7850 0 R >> >> /Type /Page >> endobj 7845 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062958-08'00') /Rect [218.8375 578.1653 381.3955 589.1653] /Subtype /Link /Type /Annot >> endobj 7846 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062958-08'00') /Rect [178.99 525.4961 396.03 535.4961] /Subtype /Link /Type /Annot >> endobj 7847 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7848 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7849 0 obj << /Length 19 >> stream q /Iabc35174 Do Q endstream endobj 7850 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35175 20830 0 R /Gabc35176 20835 0 R >> /Font << /Fabc35177 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RNЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 7851 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=658) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7852 0 obj << /Filter /FlateDecode /Length 4939 >> stream xڵ)ܬ_2 [o<Y@( yx09) rXjCXŀU @ؼ]T |jI/=3:6)F sbTH'i59y !Vdttt@vAαλ~_'o=>+T[BE,HwdsR\cٔ^}nV>\<uF-*as"U1/ˠ~HPD2['Qh9٠7rHbk`[W^CNvbqwVCwfb̈́S]w )aRƴ {:[5v ⓖ|f?+tO[]1|峾2$]@RpR[`gDk3P6 e6˥ۢMÅfmT v)5+k|o&+5[<:'$tdkT?<ϜupF(d 7Q L Nwsżhj8mӂRQ kD$rZP3ȷ$LsC9sE"-Nk4pM3!3Fu8@ FvvSfԸ0LP4<ķu{k'D8MX݊n+⫭".G UFMȯUZ }u82?Aϴf^šnnRDpf*u0wqȳ6Ѽ/. EI;^$TQF&A5[ OƆWp7iMDL18h A% 0@$7\ cNPt#hRъUd͆ĔOE|~U:G*A̓YZȺ|&kXqHRTi lRI u2uO3Р@`ٴ/.@@NK#Vm8f-ȕs^C*/M -rif9rSHdB7LN:{l[i&aiʸ Z 0QЕ 9.?N,ﴧ'' .txHM2EBKkR,t[zhG)& T?TMY@2s9}%)wiKIXKi~u>5WnEƚ%.+,of>QinY|";g-K,}x1՜d299A<.5 jN%<8Y{³$(*="IlLܬ*2E$ p)RA"#ÌN͈K/-!KDHuU=ұ&r +)9:țfi nE.Eˈ]hEߨ^" V+3&B)M6,XǕ{aEk2ج0ܨl/v&T @'i C-LJozX4°d4 <$"'Oi6H zQ1lv 9ԙ|13j j{")`wR!D I~_`-X…\$FqYc Oq9~W܍Ő2+@C_7av--㲄m.3&_-_5aU*5wS* +%C *z"mɡӲA0NE>(rSlQފFgBݜg:ucT|T~T8#8ɮ/Ca[b$vf6sŠwÍnh߸hߟk3syS*T+h>bd<,v"?yLףxezUTL:ζܩcm U 5F eθ9cYrl> Hi0~^[lU]̬U7LnA~UA3t27٠}N ɠw5`yܗ-G덚9>XPf{fGwd+Fm`b&3m-AbvG#XPC`PVZY)ȹviT{g#Ņ'\&x,kz0u0,۩\A hzKbl[.!W ԈEZ/T6;mKё?^7(=XrZsw{ole"rosaUd.H%}0eU8->9Ɋ5n&[K!*zY[v^Wp |ݬŵ0xNmwAlEC/=h[$2%Ti h]jo?YqX2/zDG] p[.mN.\/xY1<{ڢ_q(A E]+Ws(6 { ȕ<2Dtp 2i]H# BB/<: 8o dYz..rdAVQߍǕ5.ja$԰So&Rf4 [p׀)ڼ^ THE|g pZCq޳n{{VDmЏAQ<D +\gU/=m}ҠyHau.AX];uE.Q!rak%PwC! 13V %}'30^łMljT,<}yfK}N e, Z*huLdps n'Z5/{3gW)fbbwZuXoˎ-:p+J gז`KK \w䠶~X$[ؐlZ~\w췔ZykfRq|5G޲7m]`8qz-*]ww\xakU[u&YDk+ M4u'47ŻMaG~iz"q:(ήUю 0(Pqݝ]1s%ō5gFX$Tjcw)xhMD_ln1]  .C\]ڮ?tcJ/_JGVɣAve^j5%bDsۇ2m1ZawcVC,]FSǪ=A$XKN[N $#ulHB}%Mjur?v|(Yÿm?9#Dw  ,xSľ./=8,g`i7v Tldi3HՁ[nqi>Xq3u1d \ַ z!2CV>p\?|c>> ȯ_@R![¼*0jb$Pz/&^"Zv6>izzz3IOrŰɞ(B6'n5-ͳLDfzEউ;p@@ /9,A)S(f 虱$;D "\یEp xS?>"`㙎r4~HM9\y[?קTm;:kKDfjBҟ(.&^ӭT$5–TaX#D'N]xΕ K,i<$ʥ<O"%2#Gj/8d4\|D:LbW*;Q_Q=V8b=`|6_BrlK@x\ۙzǏ! q1km(SNL<.m~LT4A0`b#G[1/}, 6.ۍ^F{Est2*ʮ :f\8l3UuzWCHY/]Kg*fQX_IG浏x+=hj Bwb*07_Ԡ?E endstream endobj 7853 0 obj << /Annots 7855 0 R /BleedBox [0 0 612 792] /Contents [7863 0 R 7859 0 R 7860 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35193 7861 0 R >> >> /Type /Page >> endobj 7854 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7855 0 obj [7854 0 R 7856 0 R 7857 0 R 7858 0 R 7862 0 R] endobj 7856 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 338.3346 164.9372 349.3346] /Subtype /Link /Type /Annot >> endobj 7857 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [104.1732 322.1346 155.7467 333.1346] /Subtype /Link /Type /Annot >> endobj 7858 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 305.9346 180.9312 316.9346] /Subtype /Link /Type /Annot >> endobj 7859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7860 0 obj << /Length 19 >> stream q /Iabc35193 Do Q endstream endobj 7861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35194 20830 0 R /Gabc35195 20835 0 R >> /Font << /Fabc35196 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo>T endstream endobj 7862 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=659) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7863 0 obj << /Filter /FlateDecode /Length 2858 >> stream xj$_JǾ@QPj6Om#/~[,UVʌȈj ӟ_u:],N:=?]-b,D76c]0䌹u yeUqM/\/]}#LkPFc$$AB~ϋK.}%!! I,XB],GFx Kɦ&w&V?Qu;6HdHtAq&ar`U:,q1yXkش}$kYl ؀:s"϶M_SãLά)!"}ÿo x캜eNN~NADZ’ޡ~=DvłI90 0OGXPA:1G$lwDDG|ԝ]XlQ^6*[ Bb1+!Yb?$PyŠE lͨbYJ6]RJ-C {;Ch3b!֭1ڣCk'I,RD\۰ReVͭIл<8Pm"ȷ-M5}O6&B2hH $3勭kBE}9 .%cFd1Kj m|%49C5fꬮz}2pq"D"T\`q[6÷=/;6s= js3&XՐNMPkUPT6MS30͔PYmt*!ݸܚ\ [P 7g^Xn7EnQ -4 ’YeEqsS亘>klDUBLe㴱)U :vK Z#ա1c _K`т*U*VVιM`lF#Qn^֞ks.*)NNDbUם){ !BH!k@8 Л:[>h@J@ĜV4 b(,}sGaC#['XFH E.zs7Tፙޘzs zN.[#`w;`Զ#9erP5%o=u;;8KQzTSO#6r[b'9l5m.l#/5-K`|HڛX/_šˎa?!|LcK!ж1]P0v} !7BYt'ݞ)GQ,q9?"A>iWncq`ڹkt!.T +?*`t%ʽPx46\66];M{oM mP=rM]t >C Rܰ-Ѩ+pPG)@Q! i}N B 3ELZdu=#5f1nF8Wpy2g#-k; =KUkKR`q=і< +zeèA|]Lj0tihde Vld,@< z!x="#Է,ǀW`']D;!#J-G%l^dh) f|&ItpeLTJ?327' ͹5,ÈƟ3e1CސDhPf0E7r^еnЄLp1X(qt >zi&;RX]5~RSm[8`. %5"x%;駑 TV+G*@$Տ崹D%W_Fa#Ўt$ɀ uX `cbC!$j&Bpl<>K!1KiUZo+ia- &!BqG9]p=+~Yp?G<;f;fBau95;*̠xһ̂EЭ~!M,;r߅> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35212 7872 0 R >> >> /Type /Page >> endobj 7865 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7866 0 obj [7865 0 R 7867 0 R 7868 0 R 7869 0 R 7873 0 R] endobj 7867 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 215.525 111.681 226.525] /Subtype /Link /Type /Annot >> endobj 7868 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 215.525 139.8465 226.525] /Subtype /Link /Type /Annot >> endobj 7869 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [145.1595 215.525 177.6645 226.525] /Subtype /Link /Type /Annot >> endobj 7870 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7871 0 obj << /Length 19 >> stream q /Iabc35212 Do Q endstream endobj 7872 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35213 20830 0 R /Gabc35214 20835 0 R >> /Font << /Fabc35215 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72EthǬ_{9;u S,!.p' J<jer1"-) F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4 EŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7874 0 obj << /Filter /FlateDecode /Length 4718 >> stream x]͏:yxm(P<`n=[{7Eݗ/)R_I\_t,Y(?R,!T_lǗLuZz''U*Oq|vrh{uh'˳<WS#_jglN+oG :)rBoJ5y[jPVML4]~Z۱Z?̳'aT> X}{YZ_oۍN1{,Ƈ,H$>bcp;$O?Ԅ=~Dxcō"AFmhQ4 yX+$1}k&0a JVz%(V 2/ P'8g4'*Ua`GMiD@pot2r0XwR+`IASҀv]_9ۊêF4T'JRBu^,)VaZQUNV2c[AʸyƩ~ܹzQH,}-C[+pJN틕+;/Vڗ~kD㟪x|EҪmRVke;LTv{z,F77A}v7PpyfFqF 4;\cX^c5.Utt 5'뒗+sb%6+2r9X$"ыc^tg+JMZSbÏ1.f\F!N$-8X'<ZBD3aa8L[3Ǔ0cp+/rj^cޫZ(`A| ^kt;C?5/hgp8#oW^*g;tb&we _e"E~BE@/Ċ/"=zt4~K8$Rs,Җ # F\*K[tRq3ɀV\)Κ+1U+R(,ψ>*H*gcUUs~W`sCmZMRQ+Iߩ][OSu4Dןt`mRe0.Ao][9,F%$.gE= 3 K h#VK!$YW#2+uwR?0}q1 seJ K0k|wpʶ4EP $TD8XbeRb}h{y8#sFa)Ӕ4!Ik;sS,ȕXrv\؄blS3}\56kd'̝DAvDjۺQA]C+ηFn%ɴ(za\!0V^Q]bPf>ʅEx4+8mReE*N`Ap%$NN8m}q'q\yӭ<#pq;Dp[ $ez ]㉋% pqM3 K8Tn8U]]ԫ H '0+IN>%7}.tՂp:$zX{?tm_{volE7(qa?6%.GD?%G %.G{\Gl{{]IY2Rr a+AfL`"V ss"dLIU髇y3oLeWV :c4d+OJ|j@hB24ģzt#Ĉ?A?|LUSC2qڄ}m9y.,󓥘 "7n0fDlfw8aB'H0[% 8tS0ǵB2sWiI#hy1}ŚsxüX2/o`ZwqQ-,e; ;=$4LMNYA ÌOmh)00mX&=m@v- h9zHFaIi ^Er3tPQ)`Fo"v9@594 q!@9w8kS9K.\H+s.u4}بTqgp}[VKaO^1>ʐEQ-p9x#yǿ>\4WG]Ǧ8:OЈ?$@zLt?]H&r~bkM,DuIߚHg5Chxfj_p,J\Xa=GT|~fat"3S<:J_\#ƴu ?rMjYQc~x,V8/R,sW+xQuɎ(rg>$6YR[ĩƃ LSF\,{=$=ЏfDW$TSشy8!8۹:mI zb:nZT9qQE긜)Oҗ3m&ӇI)]lU,!9Q++8 G6!2ju}!@[3ȧ_ksu> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35231 7881 0 R >> >> /Type /Page >> endobj 7876 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062958-08'00') /Rect [218.8375 291.977 381.3955 302.977] /Subtype /Link /Type /Annot >> endobj 7877 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062958-08'00') /Rect [178.99 239.3078 396.03 249.3078] /Subtype /Link /Type /Annot >> endobj 7878 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7879 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7880 0 obj << /Length 19 >> stream q /Iabc35231 Do Q endstream endobj 7881 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35232 20830 0 R /Gabc35233 20835 0 R >> /Font << /Fabc35234 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 7882 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=661) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7883 0 obj << /Filter /FlateDecode /Length 5360 >> stream xڵ<ɪ$Irw8 /"[k t:hZ Unȗj|nM ~l߷zNomI)EMܾlyۗGmJY%ۗ\2iM?/Z:8/;o1J*O='>~ yOۗ , 7TV? 8?cR1}x.rk)Ob " V>ug!k xX:FɩC";q031ہ߈!/ 3=(6+tעՕ!j$_Uŀ{bM[?кhcт*ƪNLJG3=/E^:k۬};j rFsR:󉏪B;tNM-Nި܎**fЮ&j`N2c*=*&tv0'mE!S'"8LU$F/,m.5Z:H+x>;ΌyV)Z,t`]%~byʫu);y)\|~HZ?J :ggpWxlύ)#NGV>  ljez,e4+{܅ iZPXQ N?Sj @|q;ZW]X(3hv]G7fHDm0Vz`|&nK|$'v8i*s=ثML0MuQ՟q3އKXTS5s u Gr/R(^cl1Nubͱ'@^Jg-fhEC7:.c"訵O)ÌRcqHcF1$VH>XIs .<$ŏSI`ը``֜4YZ'I=U:{xrذUsbYt~?ħ%WJL~D'c^6w[n5 YΤ牧L5Қa7hUQ-bhl#4jGrgZZр:xJCrU!/qF勛{!PԀblmlZ=HIyq$-:쳖R-{n m_nYֶ` y+qp/x/X<m]Uzϫ EdbnߞWf'֠3xֻ2'BD 8Bݫ$lsW Vf/-ۅS'QgkxT~[1UVU0QX ;:S۰)]ǹd[Gev8ܥ@h;Xm}*Di}>/VR.Z,c!/KxLe:/-erZeZ=8H^_^P6hlR{Vw36b2X]R<6|w|Ϩ>qyu2::dMe ")9?E_/ &HVyW8|kV,$a\F{t~]G>ѯbpt!#Ylnk+)b [T9p$}[Qu^-a=I^1 %U!3&z8zAfBm~EU8/0F~+ѽ/JmrW"F@c8PNB>65 2έ|6@ $um^@Cb㱯nC[!b]MkGߊ^ճn/7r06Bfb삞r?THr%a)wh`egv< 0=hj B95kPz endstream endobj 7884 0 obj << /Annots [7885 0 R 7889 0 R] /BleedBox [0 0 612 792] /Contents [7890 0 R 7886 0 R 7887 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35250 7888 0 R >> >> /Type /Page >> endobj 7885 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7886 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7887 0 obj << /Length 19 >> stream q /Iabc35250 Do Q endstream endobj 7888 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35251 20830 0 R /Gabc35252 20835 0 R >> /Font << /Fabc35253 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7890 0 obj << /Filter /FlateDecode /Length 4541 >> stream x\Y#~_>ihI-4i1#RK3A-UUq|W' I/rO gETxKҿoX7#?ƯR]:///Rj*|<;K**>/Z3\[U|y +bQz̪iZKmI%Z$YtEx*W[(TPxٸLrwJuO';ƒɫ`wcr;'ɂJQ|R) sadXXr\BQra ^Saar? fĚnm)7348555b97,**cmNf ] G_>AK D/N䗧~}y&ެe͠?eW8(xg;ұ,G=#QDM҃FuO6ixyAg޽UVU_@Gdg1& pΏC"rc/-QbYYN5!fV5^r Ȃ u}b9^&i!%6"se(wLx˖ѡDth-7;k\ۨszw&(.rlUnEkϧ6Ehqsݭf Q2J*jbynDaK y|ZϬ]ꅴ&Dz(ߟOu(^3d`kQփU€'Yqgr݇-s,[F7Ym-(HI'ܝIiRzWj6b<„)BD;EiҀ& ($3흔5AR&`{l[VE'Q9;AyKA^L4/@rXUX*Œ Cx2i c{[Y]>2:рo}XK4ʎ=O{hjunvLG &z|XMR' }JNMv  PzáK5:Қ?L gҎǘң#cUExu`y{DloVqi9H'SB@NDB[f䮺2 8+4ٮlYh]E}RiTI+Qt.aygDSʴz&G?!= UK#;{Z F7˜p딖߹IΘuƢr/~1&q.wwvB^˘l +$z8+]pIᨐKn]&LJAfA;#wݲ8&:ǮJƆUt^yQ^'MZA I]ϙFeJ| ax32t!uJMk.*dzxe{nʦ),ô"X![ʖQxXRD9:QoYu8}~M94RV6252oElgNvg}dUACr^%RRe/Y|n̯kz6 z){4wģ[t\{}}"*P/g2x,j5{]AE0V~pNIaSK?uh$c)￞'~{%V_o(vX0?N{U5(%NjnM,)`PEÕJb0zywM:!x_Vr` To vMiҪB|aՔ+*~? CF^2Tu腆vN*Rl)S>ċ [Ɏ.יZ£'= PJ=n9%+ۀaD^{P/48_!|gv HUʃ;fFsղ'uGn"Eby%EZUEd_E۴Ԕw 8{*:Oe :qe@.hH?W6ijhbBDg.S~yOeD{T2LQؾZPp| ]2vp)L_St Xစy@ZOwz|eBfwzKDJ;GsvuKw(u*otϺʄv]͒\R9_ƹ-E H&46&ە0XPC\_|ɺSp;觥ok=R~ O+GoC2_Xj6ŒUzk-tM,'cd QmasBu~x,E:4Gv^x0G xFVꙁ'D*W`oAv;a&> >!ּHy dV]󧿮㽂DQ[jZE+qot[IJ 3[7/_.8Ѩ})oϳLz%.#yNu0t`yf<<5[K jZJ endstream endobj 7891 0 obj << /Annots [7892 0 R 7896 0 R] /BleedBox [0 0 612 792] /Contents [7897 0 R 7893 0 R 7894 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35269 7895 0 R >> >> /Type /Page >> endobj 7892 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7893 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7894 0 obj << /Length 19 >> stream q /Iabc35269 Do Q endstream endobj 7895 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35270 20830 0 R /Gabc35271 20835 0 R >> /Font << /Fabc35272 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nLЎYzrq"eYHUC.$.p' JdAb2 ALF f78ECIB%9Wu%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxoBD>.PkP=(%NW!ӘV tw%BJC (aNs(?`|۾{w endstream endobj 7896 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=663) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7897 0 obj << /Filter /FlateDecode /Length 4146 >> stream x[K# u#id 7䴉U~I]/ޅF.dY㯣?Wfy,ݘ4^R/m-Zo?6LJQ+?}>*}~Q*U mTv p| ļ@_Gv/-10^FzZq*W.:xZoaSpāN@xJ?`iGNac`ꄁo ?AĠIP_ 4v,B,1SJ)&0ס#~GCeM0qe|ӈ2hE).sj ?nH2˨ɛDqp L(hepdTRnEԏv*A&3= r#(Z#4 >\[KfiefU0(@%ҦLߪآ202mLH߰#+h1snR` ՑT4-qDpYϲٱC5Q̵@c<)[tD7ub'#:|+Vh8~P.G~1%sq,]&yș4^1FTD1!"W/K."ת5V@6g.Es)\fWGnQ;P<=q-XQ&eކ `._g;PܱfXё%Yl"қPC? U;lk*j4lu:NtO,΄{Y3]]q0j~Ah t&ѭX{`Uɺ5D[T{lЉ }X_n.>ҁ.,u=;OZ7 ɵam,<wL&f凕wyd/aT}侮9`xm9B[*v.vpQ7+ K|-/KSk=yB~1%$ng)`,]%4%6ӼU ۷ij~%mu@$9[rRΞs)VLIzyg/qFOzX V6~t7re&r&HêUd迵g(֣M鳒`Z`œAS[\̋񼑩ß71/Xi;W7ps HKpFZر ]o y7"R"5·z-yN'U FrX|D*Zήj\fd־*jVc(]֧l;EZBq2~uQ:||"gHƃGV8y3vdy LArRھLZuc;QѪͷksJbF<3nZ۩*|6[z5:~Wä"a0v1{Zjd#zfr | 1ԇg6 ql26iz5YLMMT8N7 &4 а`f4u%=iH}Avi||aS}+u6Oy{6M'cz:YC m(rӒ)vm(46REat}4YXH]^D]ltTb0Dfra{F|`(Sfl^rIw &DSZӝh2t12z ̕{fƱְ5~9݂}4=ն_Rh.9Ũ-K>hLGYǡ\?@:J:PI8u]zgR<$7r+zB2a#N5 Z2:.nK':P3uHIF'T|%=ܟ4Oo<IFR<iWﭏjKDe0еgY2re}`L6ae)ƆXxzɑЦ&h?m&lwv㓬͟ZD? 0Gc.El.e}X 0m3+izˋp_VFȺ-"`5LF^S2V;yOh_]LJ|+yj'ѳ 3HDT=>/к&B9 /ꢴ:.y%7)/$IPXu^ӷ+@@TaeY4`Ho~.{*O*NR=U>,1),ٰYF(Is=q'ERϡb2&ed#_Q S]8oS+0 \*6k endstream endobj 7898 0 obj << /Annots 7900 0 R /BleedBox [0 0 612 792] /Contents [7914 0 R 7910 0 R 7911 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35288 7912 0 R >> >> /Type /Page >> endobj 7899 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7900 0 obj [7899 0 R 7901 0 R 7902 0 R 7903 0 R 7904 0 R 7905 0 R 7906 0 R 7907 0 R 7908 0 R 7909 0 R 7913 0 R] endobj 7901 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 595.8038 184.2532 606.8038] /Subtype /Link /Type /Annot >> endobj 7902 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 579.6038 191.3372 590.6038] /Subtype /Link /Type /Annot >> endobj 7903 0 obj << /A << /D (unique_474) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20211013063105-08'00') /Rect [104.1732 563.4038 161.9287 574.4038] /Subtype /Link /Type /Annot >> endobj 7904 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 547.2039 145.1812 558.2039] /Subtype /Link /Type /Annot >> endobj 7905 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 531.0038 144.2737 542.0038] /Subtype /Link /Type /Annot >> endobj 7906 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 514.8038 164.9372 525.8038] /Subtype /Link /Type /Annot >> endobj 7907 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 498.6038 184.2752 509.6038] /Subtype /Link /Type /Annot >> endobj 7908 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 482.4038 154.3497 493.4038] /Subtype /Link /Type /Annot >> endobj 7909 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 466.2038 180.9312 477.2038] /Subtype /Link /Type /Annot >> endobj 7910 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7911 0 obj << /Length 19 >> stream q /Iabc35288 Do Q endstream endobj 7912 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35289 20830 0 R /Gabc35290 20835 0 R >> /Font << /Fabc35291 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?$` endstream endobj 7913 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=664) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7914 0 obj << /Filter /FlateDecode /Length 1822 >> stream xڭXn6+x w^rL`|U)Q'ĆZ*|j"E;m?ѭTtos:E=;j:\suhZZlҗ'Gk}{:N|DS3gt' :"e\- ﳌ+G;v)C0+1uy?M F3g'FKsw{\iCvS}+ew,NF(q]ɭRiolbF@ʓv59ε Ѥ]vfUY˽ӡi<~66d~ԇs!٤1Ir|\;>\mM-DzޟG~0 &owՀ+C}Pm̦ j\r T$^_>ߓ{)TQ{2q^=;(nғM3-懓`/lgOYs~5i2p0z#T9!M;n 8&"BXD?cK))3(TgFV7=aTE%h̷ Vdw3xZgNqY*UIta\FkeYIk uiS%WP?h,"EΦNцH^zZņʵp&Q/`f/bN^jkQ w"M}$06p/h7HkِFjԆKbS Lj{Lآhz`3 M~*ًd9k105CFya g9F,d.Ao9i\æCsb6~ElƨIsĿ6!H{ZmϚz2ez|R'\kw!Nt,c5}hj'7§9ܮ#RC{҄ endstream endobj 7915 0 obj << /Annots 7917 0 R /BleedBox [0 0 612 792] /Contents [7924 0 R 7920 0 R 7921 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35307 7922 0 R >> >> /Type /Page >> endobj 7916 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7917 0 obj [7916 0 R 7918 0 R 7919 0 R 7923 0 R] endobj 7918 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 7919 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 7920 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7921 0 obj << /Length 19 >> stream q /Iabc35307 Do Q endstream endobj 7922 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35308 20830 0 R /Gabc35309 20835 0 R >> /Font << /Fabc35310 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w endstream endobj 7923 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=665) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7924 0 obj << /Filter /FlateDecode /Length 4814 >> stream x<Ɏ丱w~R. (PYU9om7N=o2}wl$r>,I14|`//CsCҿoᯧ8x7|M?8<kpr&{vG#wK&nPZ :Mq߆+vېll7N/o2CmpawKv1~C`>1z<┧!-dS!}}2\qp/tS6Cyʭ-swqH8c.BySb  2 =Q'"t*mHSI?J!o*c2^ `BePց%2ܯ@&D!Qq%ǩ]u4`êr;`99 HDg2S?_?|2aG :$ gy6R+;q{}$7珪Òy&"(ھGjl 71NDT]9)eQ_k3sb3==vt%37AylA+bnޟ9K0 [aTyLe[DYys\JLNJk3e2ż/X:v-!@a9s_!܅jBeID2me`#[ J=W65̢:z";Uv}Z|د1d\Ctֹe7@'%%* 6JL@I;vg!f$F16zFә<؞sWGB9G̦'b${LƄG]rEJtq[s 30 B؋HaLnK6ߌrH!#&!Eg)BB*6D?NCDv  { K#zc͗Rݨ+A5热#,!{ab!x*s?+i_'IEޱ&O;<ϸ+= ,ƁaËӤ  6dؒ,"Tt 7){A1BS,"*XSX(.yrK"OKc<݊.b h j)T`HI;eГ,Cm{($ ⤥V0-=̿_)0U{bTL VVLe V15qvI{e'R`tKݳՈFANh`;}G-G\>r{nUNb*Ux9".P]TD J πO[t^׿rqcQnMk켢? ;t (Ux}[ڻ#iw;;r4<:-;PG<T:k%LLJ{8DVgDfqi=Tjo/ '$q'h9Pf*\ȦcįUޭN ?L|U';=UuRJt;;t'(9>Pf`BB?Vk?BT;ʻS~tֽ j7bXD|.y@8̜j'nw"m;Sw"n'"w{> a.hc^\ lA{5Ha+۳6 ?T__7dG6 b#nW{@cӕ㚇t;\\EɄ!,st(2弞oݺ(+`{H{.(dw'r^O`\y=-G+lu<=1-#fwL' mZO}{p' ' Z{|J^AM:gZ""}Nؾй$|w>!@4yfଖ~dTfW!eaAAᡍgU=-|bkb2d(OYTM u[p-fYƮuAsbD=t6ұ4$U:5dtiaZv2Hư@YL+YPN>-q/+$sb̙lNU׈NnEptHb +}SaV UcbUtx&.7#c2n&?'M;JWD:8ʘXzV^](յ!rK lUJf߮c`EœY7>;,FkTXh/j^h W|w$ C;P~hk4.u{[";tzP!X<0'jtv)Ґh):ް+]guT2_tu-:妠dh%nu #u`rM.]Xʕdc.yn,:^Y͒uwQv~)&Sט c5R⭶b4?Х+5ڈcB4O*od7` xt#! Ɣ@햟W`]%PW7BD"IF²E 3*ЬGh=&..-ٵ qwEM9v+n KwKTYX6RcmN^괷JYm fuIa@oa89w=s_0NӒLޔ}f'_ò1́d`j箯:f7NqK'gQ\%T%T qaxpGsW~w%;A*`>x^@U]J"P8cn7cwț5w Ͻ\:cYX;= aƄ]P΍Jc_zYl&.ZIB4D< 61 )v;z6[ͳLηx"U3%p3p4eF_ercX8в$cMHk؅oUc` JzlU <55 ٛH?`Wh^ }=2!|3 _R{4$bRD j5ueCs$p@Oȅ"ȷ#CCE7l-v  GjAfx(q,X F0ul_p.޽ޤ5Tմs*T(Zlhi> /|8/R [,DZSzglXnE^*5jBNQXy\Ԋe* 3)΅71.6ULF Mv  uLSRmyEtߋ>O}Odg??_xG9Tgv[ y79ұ9!>H| ȟOؾ4I Wf([r~Ȧ_8C#`Xɫ4SD4FBLg S9~ʟ{ϓ ^{5$p ? }Fu"*X 4|?ă>RS־P)AM}>*žϒ/ߎOg K4-Gk\ {j#NRaA~vi'IjP{ |t endstream endobj 7925 0 obj << /Annots [7926 0 R 7927 0 R 7928 0 R 7932 0 R] /BleedBox [0 0 612 792] /Contents [7933 0 R 7929 0 R 7930 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35326 7931 0 R >> >> /Type /Page >> endobj 7926 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062958-08'00') /Rect [218.8375 438.4692 381.3955 449.4692] /Subtype /Link /Type /Annot >> endobj 7927 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062958-08'00') /Rect [178.99 385.8 396.03 395.8] /Subtype /Link /Type /Annot >> endobj 7928 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7929 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7930 0 obj << /Length 19 >> stream q /Iabc35326 Do Q endstream endobj 7931 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35327 20830 0 R /Gabc35328 20835 0 R >> /Font << /Fabc35329 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n.ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%eC7 ufg[X̳Oۏ"bH&|%l)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ1R endstream endobj 7932 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=666) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7933 0 obj << /Filter /FlateDecode /Length 4459 >> stream xڵ<Ɏȕ }R ؃A[b'( /^} ۢ .^~kjqv /Iׇ_Z=j*Y\t_R|'&?gC7N0<ۢ^LR8`<qК{C=Mhu'b` >4V?b0 yS x H#0M$^-_qi2X"~[ԌynaDR=CВƲ?!>qB- qI"ub}Efn#2H(uk,TCmji -'TZ +/NYkT^%߇%l,_M1AT9c\iIyѨmMi 7rR67tkw߈h&~v42׉2M, u)JL-}/q%< et'b̪b<_ -.J٨V_}.i׸g1YӞytF}g59bc\$6lFrl\Vʰcz[ݨS邞OS)uC'vXajȬ 0q4عJ PE]ʣk#o>KjRMfbP)098QeT&&A͆uAX}oلte f)7 j9ef4_RDh$ c6>;: 8pg^k_2Aߘ$=p^? ]]V+[Y·l{5~u>h5.A"F gɶǃ]Dv[8aGyx*yUnt ]|j]Ǒ< 1'KM6e [`14R?B)`7P ȋ"={(JIt|M| ~%l nk&QXOj!FR79đy55]-AՒ7>:;g̭e5)׍E16? oׇaM&@af ZF ߘ]6{A-\YzaʾvKĴm+#ꖝsФ"* +l6Vlw;[5 87HJlR1IFSBX+nOޛNmR'V _XH*kmnwQ'먳e4,XjUv(Do*S*hOMRL0Eĕfj&S̏@xHlzr:jy9PŧCjʐǝyltv{[Q*ݵ*$vΌ9HJSCZ>M*,{RYԣ*Ő*!yr| 6wT>ʅ 9K4•u{MT#ͶUZwf!z#IbXO-O#ɭrK a!_Op+5 #n7uLfV(k, v.~ }g9}ޚ{}Ͻ%з)n-N[gW5q8KŗV?v'r8?Rqc9LǶcS dK wϐ =וznjEC%(>:Ro68|_݋քh94V'Ch`(9!:Q-dW3^(j6o-H6k.H v#2|ey'Cר—$$6Ac=nA) 1);G%ɔljP"Q]W,"q&?i7aE(mgbM\^W> $*A#?nAhlm}\ڛ as0:CU[8?!iz?@{e~7\%&ʸV3+jQJ'هc\眊PG+h9U33uD!}3%(6;#1;j}PȡbPo[olA b&}!@?)Wqr1Z|mgY{-9ՖAN6sۨz~dts| D@`p琪]pF ƍ=8'zʄ_5Ψ|iޗņ~P%tOSۊ`B¶%l(٢d-s'ɱe7,dN2vK>k@Rpn(Qj^3Svo[%LKc# ^2T2:-}m/Qr3Y }*e:`| }6v⦚UrE2(1 Gn~6j sS&z6;ؙ&%xT[Fώ"ڊH4=jc,ܓ2ܨs;4#oEyq_ow֦ oD_Upg,/~[Br:Ҭa@N*qYc߶вD>-pFc-`.Eh/i*sk*? 뀻:2?@.pH?W|VͿ\MZ>R$.Vd/"e,. F0Ul_p/(hx3x۶wJye J;G <~0R~h<2!;aI^ޠy^)3~gd[8?݆,FݨaVu)/tg]?2 E][M,:,yw.Yx!3H(m$Gz]2y{󍐿=0/I}O~Oȿ5oh ?{d3iL>VK[DD,LPtN+=H;y2l*$ի#+ HFI_3IK jɪ<$ endstream endobj 7934 0 obj << /Annots 7936 0 R /BleedBox [0 0 612 792] /Contents [7942 0 R 7938 0 R 7939 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35345 7940 0 R >> >> /Type /Page >> endobj 7935 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7936 0 obj [7935 0 R 7937 0 R 7941 0 R] endobj 7937 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 82.6078 197.7282 93.6078] /Subtype /Link /Type /Annot >> endobj 7938 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7939 0 obj << /Length 19 >> stream q /Iabc35345 Do Q endstream endobj 7940 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35346 20830 0 R /Gabc35347 20835 0 R >> /Font << /Fabc35348 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 7941 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=667) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7942 0 obj << /Filter /FlateDecode /Length 4672 >> stream x<ˎ#w~E ( (IU6`xat/0_)UUwk$f`5Y4s¯TR%%|oRO˟_b鷾Ukxנx?) >WGǛyREGŵKx.8~Z|0Cט˧ew˳a˧A&1-VȐ)<|Omd>-N6yLJk#%& Omg%wL|JZFi;?3̚ C0B &s#ń'W{ f"v1:9 \i yʲL5&`9ֵ"NFg:hx2"7lldmXMySR@ 5HQ]e5qi u|۟_1X#/ AB^K9-)%0J_|Op^ |@ FRzE(ϫ(dUH  @(p{N :K<( 87k;y^<}9=2,|ÅX 3~eğ:%Ys87x@ra+ 0o {iGvq,03BxaM[߬\d%y6w2^`WZ`F86z@ȋ-nO4s\.=Nnە`[`.>2dW.E֜=Ж(#l4d1N6 IP Զ fXGU\/aMdvEX=|La 矖n@L\נ)u!zǂ*Y)dZ kBB+ a| N#͏L6.g-' 30& RU0A=G}Aצ4`.b177pm]f.؂aCX |]ih``DBv0F`@$%`@0r;\6E2F Aa' m{ALW2?zѧz'+15 iB _I2@-c83/5G\$I3+x`h$ekɊ*5[&z ! ȥFdtg5i6_~%I5z=L4[UqW4;a')f*^}=IǢ`^s Ÿ-F#s%;oPF3nhR {QWZqs{pxՔ'baVz29fX捂촆F2I0D maP,n2)[Me\s5kc)H7!vWl/`舡+y4ƪL`&˛XovCPatv s9e<)7]DNbjGԐͅZ٭6hMܥs(U5ow!CiSJ"A(Ae(RU,k(\gf-&9:Pwj~*3p]W rp}(Aȣ8 x 6_ǰ~ 30!N=$(C}@LΤʸ㤊JTl3Tg솲V{8z:F Q۟ǒ2<\bC6[,/qxeJPh U %L%޽8!lj;RM[c0p!D>zQ2SreʍũpbS Vp WzHbw2X3^KKxbO SiAjK;m3,BVJ+qDdEd`l]u٭Fv/?M6WMC.9i0z2+{^j O>E9޺mc .'`ࣚtv+4t, hk&M6]7v(r,]j,C` H\*/v@[m?V\jUR}*lgެnOnWy&g޻\nJN@\uu:=j@,ٝBL.B*v\.ɀ]"x' 磖 ~e-YȲɹ-;G090Ry-,C#@'"s;#N>DH3GƵnI0Kj 16dhl罜la&X8Gsdfؙ<-?-t2c>e{sPIG+ݦfS3! ]Ynғ%d$@獔JbVCZAVӲ5zSm]=3&Zk{ ]G޷Ur'7J7ě~n|v8?(kݍ ğ[͊~T3HުLB2WSdj4< F %="S/ݨ4唧&8xbRw~=d)`-IAj 6Ӭi0ik:}NxiЮ&n$+`A[25{ǜ_d`ܹ[mQ/OQZtXa}&Q]ݘ';Yz]=:c[roS&h5hj]}e^AbHr˥J{f ±6(1w/ZR{!fr_j(hwHUr8 pojvcXR2! Q\03'/)T?_q~!a>N6+#m}QI#PCRʓy= )-ԏO$U͒ IUniH5a ,4 gj$438gWm"VU:4Բ< +2K<+6<_,#{C>VrS'}._yW>pw.N5;@(rL c(=NL=em)G /nrA#j.w0mhԠ/c endstream endobj 7943 0 obj << /Annots 7945 0 R /BleedBox [0 0 612 792] /Contents [7958 0 R 7954 0 R 7955 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35364 7956 0 R >> >> /Type /Page >> endobj 7944 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7945 0 obj [7944 0 R 7946 0 R 7947 0 R 7948 0 R 7949 0 R 7950 0 R 7951 0 R 7952 0 R 7953 0 R 7957 0 R] endobj 7946 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 195.1267 709.9] /Subtype /Link /Type /Annot >> endobj 7947 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 197.7557 693.7] /Subtype /Link /Type /Annot >> endobj 7948 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 180.2547 677.5] /Subtype /Link /Type /Annot >> endobj 7949 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 148.9102 661.3] /Subtype /Link /Type /Annot >> endobj 7950 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 166.3397 645.1] /Subtype /Link /Type /Annot >> endobj 7951 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 617.9 208.0022 628.9] /Subtype /Link /Type /Annot >> endobj 7952 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 601.7 165.4707 612.7] /Subtype /Link /Type /Annot >> endobj 7953 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 585.5 172.9067 596.5] /Subtype /Link /Type /Annot >> endobj 7954 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7955 0 obj << /Length 19 >> stream q /Iabc35364 Do Q endstream endobj 7956 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35365 20830 0 R /Gabc35366 20835 0 R >> /Font << /Fabc35367 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7JJЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( /b6M CZNNj~ۣfiW!g3ɅQQMwĘ d?R4 <~UW<7!fZ5Y}V endstream endobj 7957 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=668) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7958 0 obj << /Filter /FlateDecode /Length 1340 >> stream xڭXn6+. ^>E0 v..S E̐عIz( 3g@\~|Mtzr]N1hiFcmŔyc]?vѸqtS`g4Z=[-)w֎h=@֦VS9dRN mF:Ч_UFa#؂9 ``6[N]<7FHRiy78Fp0qR?dajN͹2ў`G+ Ëيe+R J%_. ef͘bn^>Xp!ư>>~IMf.36;> L/I{oO//_ɹ$?Ё ;I5~i *yjB,;)D(ehDq:bo&v8YgEI?\G֕o5dbЊݤ.!7+꒼ye;ݨ [|nbO1{жh?3VqE \ӳ<LjlbcZf8XX/`&/NjQ w#Mu$0~R+ MR)k7++XS"+R& G XYujo4ItYVFw|@S/}2 CSE8yrfJvm%ͩ ]b_ 6r%IE| V##j[T?(V]]D].@K(|gą.<%E\2 U8~; 'C1|шye<~Bp418=˳}K_d,xT9f8 =Giu> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35383 7966 0 R >> >> /Type /Page >> endobj 7960 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7961 0 obj [7960 0 R 7962 0 R 7963 0 R 7967 0 R] endobj 7962 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 295.525 123.253 306.525] /Subtype /Link /Type /Annot >> endobj 7963 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 295.525 161.071 306.525] /Subtype /Link /Type /Annot >> endobj 7964 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7965 0 obj << /Length 19 >> stream q /Iabc35383 Do Q endstream endobj 7966 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35384 20830 0 R /Gabc35385 20835 0 R >> /Font << /Fabc35386 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7JQthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛD endstream endobj 7967 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=669) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7968 0 obj << /Filter /FlateDecode /Length 4520 >> stream xڵn#ί9:|0{ۍf ;e?⫻eI3bdk ;W^ ldߏ9hg>L9ǃ1>8WsYNջ鋒s-W_ƸƵ ^E[?NsI~фva;fJ d]տCpӿ0V#|Kq&0pn{kBƤ3]4KELc|;%I~m~9^̉X<;|fr-2}yH,_B2WϿa?)"s"i W }70R[c/_/i iQ , ` ,BIvYGX# 3?EgP}?ZR3M7{5£j?\euen!`駐 H|[1=?<pdYm8ƒq:׌?pB691Q "(> ]y"~^&L8,vW=M@) %j}'fB >qR al8f lh2? \أe2x( U+Bx5)WLcĂZ!Xf qOTr*^NWj+T][]Imda|͂Ci9ž:C0\,܇fO9c_}WZ#.IpWp^ kק&Y2OQ wl8@ w<[y.OȂCdpXA0Qp1 #٥jX i%gRaH !S{&b`S<7z~:麳VW8s,FG͝Ve2ʓWb9~I[4Q39=Kd5=5b . gх5;A\DŽ*|% 4[FCn5ᷳu2/ޙָ #5$V1,'a:Gnc$B>tޓ <L#v14{k-N4)Ub"NK c-qPVwn|ps'ރY8wH:fgba2d}ӭβKJU-AҪ@3' S&ǂwa+n~ѠRrf)]eK;ig~ ]q9^iw^zZEUF!fFY$#Vw}"b_Y1=f#[l8d4}[8T [? NX:bY~NPH8|qB2< 7فTTgKPjMz%lQ>ꅬYjyUjrHvёvJv)ų6KU2Dp&$,NaB Mч8YdKʒ #oMS,3-/n%[mTg UGUR|4J sJ+Mk~۷m$V&G,s.w!7MShoW˜"Al.)O$k?e d&RkƁ{6I}z,Ua2YQfm H аjTцpUZ s^%v,~<M.Xam ֵ ijL c׫\JS0)PhC6 z6vfi\Yt]Ɓ{,6 kag%XlA==[F0V5Ugt=򶾙tƀޔ䕍sgNJI>"OUMKU+9+ۋS(4/E=5sR9m`N*XdJDp]q{Q`NG8(vɜTs\=ncNjDќ$9=!IuNv/: ɳF'wŜV9nNR~o|R@|R{I<>u|ҟ6ħw IħΠ>)>N{7(|Qjc(91J|QR>6F(Q3bc]J.۔z3{MۖQؔצ]xM[Q7۔z;{MlJݒcSꦼR}R\Lu1&q{IЌlO32$WހmјEBl}Cbג XGyn+R]djԊՆv-RWNy0B<ʓ/ؑ}t@'Ճ+T?ԣ8 m--w=}{;Gb=7~w /?E$}6J%<}ѳj)W:n+^H/^ c6zt? \,*bkrI/b{/.؁5 a[h$R0S8 _pb d_rT/\(7,hnϿ):"FC=5ftPF~H3v kԥj7F2傸J1B<9!DPDƳ톰UsH"b?sS{ƥ,Rej:َAٝx&28ɄOp>); SC@}}aU+ЪEshVu|6|]h+T(PW/SAVH'Cu-Id) ^,ځG1nr maɸk*l4;L-%[Dt*Nl'eZ3}AkA(6Fp\?VۭICxQcLc+Ltg%ZOvtvTe&>sokm*IcpZ w\oZ4H.g!;*wty>yK"⢉k3饴I8] tI Z˨zE;Hb|TǨC<-a|-OceFnu)ٛH8~o!8uf.Cͽ awjR>be^>akLM,OUd>&1i$-9OBJ$H# Pr m=<U.[\V+Xb\ TS_4rY paRu \loL0X3pAx>P<SLOһu(]AFN2AauY`|*׮>Za})C/:5r̮> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35402 7975 0 R >> >> /Type /Page >> endobj 7970 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062958-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 7971 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062958-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 7972 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7973 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7974 0 obj << /Length 19 >> stream q /Iabc35402 Do Q endstream endobj 7975 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35403 20830 0 R /Gabc35404 20835 0 R >> /Font << /Fabc35405 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7977 0 obj << /Filter /FlateDecode /Length 4813 >> stream xڵn9@))  L6Oj3jK* Uo ?s}f#Ce:x TclͲoOƸ`Ls+| \_ <}gk-)_dl g?o:~yzҒ:'Ò +KvaQyv&3l.2HOwc>{3!mmټ FIJ@3| %6h+Bѵ~>5ϓÕqEJݜ5{~a4q*D|~6\GDe?.̒)D\,m`KrIDxmd\STdK Й 6>)>"Vmϸf+ HVVЄN:$l D|2GY.vj'J3,^vh(8q$Gf`ֆLkj,fNV3.O'wCr_)hj倭Rdqn,zM%RV͋a HLܢ7D',`!nu. (:=nyCr4C^h!qIS0-:d.4Pl\5<'VcK3Xhj)AE3LCt٥Gk^r*ݖ'cvXTճjVcB8+%`,%Aߞ+!Ŧ n͞bsj5SdJ4F˄$*Aqx5&xQ?A||ڄ]m dH2'$>P8W(aU`8XH&f|Zq[tO:pu۸!1讳5꩒ѤE. }I#xP,qQmq73G`h5dhx4>s] lϚ}q HG|*"q]6K9SgS:%=]{!- `Z ZEy#G#\[~~jT)ܢ*W.y`hՀBVIRJyjo7 %}ۭ) ܬ r`מډm.%Toz 0Y )KnsptM5shӚu(]d5[ލMRnffxC0nXIP.H [8ܯiDsdBݪX\3UGYoR^Dp"DI\ 1A }M59S^o5 6|Me=6h6"N\06.Sq+at߾`?nv`ٶT`d;o,1{T*Ne*J&&T!l9_ A~ ;N8]-pW,>qQo壹)Uk}RTJtDRL$Zs]3q)@n4զW[xJ̆F'754f6;U~,O; wbK;G R#%"202O=NΟrs~|yք2>ذ]pGyLݐ*~yq͛>{}M+zú%> ncFy LOM7LJ4®fMJm6,E mY𜝽J%wAayr@h¤`Mx֥BK`nZ7JwB}-T =trD7Ѡ`wےt yi!PzRmҎmtduGhUrvtK"@Ť fݲ[Ѓ ڨhE͝l/hVo1F1ԉ]\x&ٙ{T; x.\m+=`Ǥ'-6}sOk UAc ́u:rA>[5{SS)F,dD-I,99^H,:4Xyɯt Ih|vXE-rIlV jϑ@Z]I 4"guiFhkd|D% qRu5* | QZNJ{%'V2~/~4Ϧ}-[R/i|quB/:enKs6dB]K%3z}G|c֓%Uv^{XO\5ٹ!RɞA+kD g1Ɋu7n&r*a7J￀ojWWf|fךeS?y*PPLמܿiv=hbὥj?.~8º}(?y'Y1goB\}dpZ`tJ;eQW5 ew\.GY_ܮ&=]IG>uVΡ>..Yz1r҄S8cF %RZ &kmi՚McYRi)#[ԃ':>& ʿwAzΦ%/]"Dmj#@! $TR I'˺7k,;xC#2{o;nU|gP*IB=a K!9؎񂥸,Cld289,%V<}XyqoϐBU, Cm'!s]w2`U̧=Øk(pnR-K ó{i4&ySa-2zv,%֯}_Hu[(Mb'5D6394͌ 1W⍂ҕ9+d\( %6bIbZDλ@I7<`##l>Ǹ6;8:<}=z7׷Tm%"-E?_Q\<&^PW8gVf¿.O BGG"\"p[}yA'o3 )톗䛓|24Sko % };DvJ2|%JoLlS glf @VG G|r˽s[X.bs￶'uķ>evEu1z:f- vje+ 3)7dsĸ8vd2Wl ]\O40樾K7_E7 &i#`A\֬䱹L*7^sJt9@ 0ӯ:Ec@ Q~2˩b<.P3ZbT_ Q2Jm} px(8fG8K-ę7!CFF<1T@C'!|ӯƚ>=_&Mh9(p 38@ +A tMK Tx%"V&XzF߅"O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35421 7986 0 R >> >> /Type /Page >> endobj 7979 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7980 0 obj [7979 0 R 7981 0 R 7982 0 R 7983 0 R 7987 0 R] endobj 7981 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 166.8309 146.4572 177.8309] /Subtype /Link /Type /Annot >> endobj 7982 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 150.631 164.9372 161.631] /Subtype /Link /Type /Annot >> endobj 7983 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 134.431 180.9312 145.431] /Subtype /Link /Type /Annot >> endobj 7984 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7985 0 obj << /Length 19 >> stream q /Iabc35421 Do Q endstream endobj 7986 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35422 20830 0 R /Gabc35423 20835 0 R >> /Font << /Fabc35424 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo^ endstream endobj 7987 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=671) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7988 0 obj << /Filter /FlateDecode /Length 3641 >> stream xɊd7ِo/TfvaƧiCߟXˬ4IO bJ&T?1囬}Zz'.E*NߞJ~ڧ=ɟJ / ^⹼ӃE~;@Q E p>먴J) ?Fزڸ?!,[ P 0$>9Ngq?F.0d?A),{c ;pT9v4*Tj&*hF >"``P?!hm5 ܿ-(a.{yK@WE `gK;>BrVAcA;Ab3s@4^9 Xt0[%.>-l gNhAp\ 1P`dq QÖA;`x6nQ#l_?,ObbLiFBw"a1goE} .,3W\#=mv c**V-9\Z 4 f: ؙ&hZ3ĕ 2:N0p3n~ԭVv+7vB4zZ{po*%UUc,ꗋ8R]cAieAe[9JdYl !!,ao>4֤UbZeܖ+ZEqK8K}lٛI{-4 [4W"+MDr`ף&x.n(lzc{b8={o/-38 Q?ȊMe],[5ҽDQBx }Z U߱= 7#[mpv;zjwiSZd%)Ȩ㮨̔ljEI" һKWN ,b5- `Q @ KUeq,*}m} #Ñd^;lza/O S4n9 1@+1c5Ѷ#-PbWrCE=FTDNr0 5Sa0ߏ^eq45G{Vӽ9\]c`<ّiLL|`d']W%N"Ht^13Ye}c USuШ6n&yNY=DSDJFM^B"G4(\).<,VLtu)5j~b-:I\~LM*^BbqLN Uo4dQ}O&NG3K ,8mzK8;Z\ #U号tz-a\,3?J8F,wr[9)Efoݝ?D@0fuJէ`yf R ˣ ˓_Ŏz5v˖-$S)CԊ@14HKkw &)SO9F!( {L|D<m:VL%Ԡ0^m[lʢDh !-Y6Ȅi#w]Ĭ0m.طVH2Ԅ!oS-Z!봅UHEOKnIn\J]Z 44Q*pʩ!#1LLB(pƭfzH0qDe796cj\NokDB1`-:`K9;?+)v7UK#[v!-^IR,@.ua3vvuU er=z}ߓ^PJgOl&a|cZZ Zh4H[}˕#XN*J̨eµZ]զUH$_l֗B:X ӝaXܮ`l IǬF-f6njSXOK+D4B' S ׏x},b`;T )ua%qE֐2֬~=? EK}faX@&av_wѤEg*o޺}Lfau~6srL 0!c d5AWAP7P%_8'SqVQ#2jL}L!`xvkn T=x=,r(y`pt,|kVK,*깱 u} ,K";jmӃwM ƎJ:w K`Y4?BI4jj ͨd=D%⊠Wb|Lm4#]ġi76bBbom@ RMsC>ˈW`ȳ mrh)AS7Ђ@wJTصu8rsq|5# y"/߁> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35440 7997 0 R >> >> /Type /Page >> endobj 7990 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 7991 0 obj [7990 0 R 7992 0 R 7993 0 R 7994 0 R 7998 0 R] endobj 7992 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 255.125 111.681 266.125] /Subtype /Link /Type /Annot >> endobj 7993 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 255.125 139.8465 266.125] /Subtype /Link /Type /Annot >> endobj 7994 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [145.1595 255.125 177.6645 266.125] /Subtype /Link /Type /Annot >> endobj 7995 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 7996 0 obj << /Length 19 >> stream q /Iabc35440 Do Q endstream endobj 7997 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35441 20830 0 R /Gabc35442 20835 0 R >> /Font << /Fabc35443 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 7998 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=672) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 7999 0 obj << /Filter /FlateDecode /Length 4917 >> stream x\Ko$9Wy[ai;[`O5ӽXt]IQ|uBU:C EHJ` f;|J&U}]߆:7c1cǃq8XWS wSm'W2xgx .އ}0[o8OpKۚ9o(;4 Qva8CǤCV#|;=C/:B9v/ѹ'qPcp;$ͱ>'$Hdx3<IpRGH06$ZTqd\1Fz'mP52>>.F1 X e ĘPe>9{vboQßv^AI=$_Wz>!+&?D=8n ž VBѧrd3G̙O =~ć//mh3-wM\1 d3fw[rWUsȲ>η蜔xYVfꇡ2ƎPD!Y l!\cXϼ;yL]~6@HqWg%l3ߧ,YcYr3֌`KOƐ<  2%繄Z -ߧ۰fl.su9 qmL8d7"pΌÔ;qCmv;) c'bB˄͈4\{jACuAԠG+`rjFI8 X}D>c+:Z;lUB`+6L~6,y>lWOmP}\qbtXJZhުaj=-i_OOǎEP&E) %mGpn3xK} ia=fei*,#U^t* ջ5ȦHTpcɃgB M~R˒j-Tjo0"Q5P@4\q()˕~0Ԏ;ɂV\Κkfk%5 -3@ P7osZO>)uqM.H$RIAJmI|RjoMnHmsRkH ZBj H) J]T꺅[@V&ՙ@]T|T8u5qP Rũ~=YpZAI}bM#^b7Ug@7S jI{(1K)J^U&$;~|G8˥1D`jܲ 9^czfR!(A>;s"܅詶Ag9>>Ci.oO7olhz"ozuO}żؚ1NeCDKfc&ǺM*m孔0MSy=Juc.F|-i>2 [Q3 <Pp.c%7KE+ϥo76mdu{jdqӈmS ˉ7z4Sӹfasb%<y|% 1YN Mk]\lvo=~TY$.M1A';G&3E]č*p@=}z!5m1'0=JÝl9hq% !_UI}gQ'?O`!督+/1,[&ڍ.CAnHMxK8qNSܓ(~#E<"aMxp7,~}tv b>Oy~x#d7k]8+jUzg0 fQnaQN鸍S!#9l?I]﹞*H3)@Mz((P4I(PT((* Lʓ&>j"9~a3C~R1.S)VXH[2 r0lpu,˨cUϬfEPDx*!҅K992̊Q8W!rKWW*2Jz_(ʚw_LhB/&k!jgXW-vQ YU&hL7Z*_0H~6_ hK$?vLC9z0PpDG5SGz^Z+R.^1&U{;{HFJ[JH naycV+QRy(w${f\>#0/;tc!!'7S C TrTQ9+s6Qq1Q[Dt0RM$VTs/&-Xa<~ ʄ Sl 5ny1wO~W^cpcJs rAϣp3!A AG0bUwmŏ9DU}fz!LԐ{wdB`^raxyofAbs\mo(ڥOܤCm՚j%yd~J YgnaK (pM| xat6Ì₵QEG\qL2< 2vX\7D:o{Ҹ\Lij}:ΣpYTdM;t 40G´5Cw(igy%4#1#Ώ6Ǿe>flԪ\3@L4CKjk`yV-GӃ*u Oj|mHlT \&m7j55Z/V u(4t*t5?@!xd{[j刯'h&Os^+"6;zt֐Z]oMYgPľŁ&K~gVJ\UTİc(R➩$P/'9ɪ{\bqsڞ:jMiޞѭc~x*|P8ڕ ~qYrU& <ѹY ^ƃ#ՆM$.Po]eoƢE C ^.|clu[9ѯUE.,Y6iB4Y;;4b6Oq*.C9?y1 mw~U9$+Ƨ(ۡ6N2)xD@r`ptJu0|0 3|^Վ#|7A:> σi LYuȨ Q(#6TA |Q_KQ 4P?#<03xTX'{{qԟS Pn~8IV~Dl0 endstream endobj 8000 0 obj << /Annots [8001 0 R 8002 0 R 8003 0 R 8007 0 R] /BleedBox [0 0 612 792] /Contents [8008 0 R 8004 0 R 8005 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35459 8006 0 R >> >> /Type /Page >> endobj 8001 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062958-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8002 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062958-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8004 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8005 0 obj << /Length 19 >> stream q /Iabc35459 Do Q endstream endobj 8006 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35460 20830 0 R /Gabc35461 20835 0 R >> /Font << /Fabc35462 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶ$b endstream endobj 8007 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=673) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8008 0 obj << /Filter /FlateDecode /Length 4884 >> stream xڵ<ɎFw~ } R)s9 T3vQnu Ur/޾91Y'>kznN/)oZ_~mCmXVK (eR޿')8ƿ~~uV`$Cߋ\Rg]6:' 2҅%4)(*#y,/ѸBiX@+G5D灏:gćY|= uZqg;QK*2eʴe`uKiw @! ˈ8,w5!)ko~1 sЙ::ƞ̟&E%t?s s@1MUl9U]lEPϰw_YA?wuM)@cԫ5mNLgDASMSE$DMCAҟ sD$r(E,"H4 Ey( H,%kQ=G$/NhVK0 @i zD*"JC*s &D+|YELLn|o ""rn$ 87hkUBd[lY8M֧:(?>ʋZ8|eP&RCsyH]3sŧ,h6^IT)L({^ eM9t[oMp4 ϤTR[0/"c$&u @x#θ&X1ܧkEc(A ^hh*fCݒ/IlDC>fU:Kxɓ5W?Ⱥ+n/Ċ7I l|F?]c r , vM{38|DZ(^ŗ':Ң5N/k8J$ttK2b" >B6Ut,LOgX]!đ@ǗdF7|Hdܧfo.f(ld6!\#pby=]$5t2::!5Ullxn ϲ7Y[)TKkE(&W 5?Yٽ dq \` 3Lv {@㚗O?4/uNC^>:ynyM 4P\1\'Soo*Z5EJPQ ݬlңr fn)U]+_aKwV A -(K~qIے?/isf!B['_Zɔ=ffBNrx5w>!.>Y /ȂdFH6=P8W(aU`8XMB~Z2k4/}"r;Cb\g KS$JK_؍>W% gAuXOUgAj3O3|8U)]U@Mg73=)F Vt =s*"M6K9Cc_'2}N!TJUJoI;UKxz 49RŢ#!'*:%]:K9ʊLBZ k#Z _Lɐ5%dԵDUH5ruԠq=cZC@/ބ̷G&]Ou#aNEҢcWqev1oƮBKF?1 i3."^^X\ÓH$Ђꔛ|^¦5GŦ!6~U0 cnav?8I{@ \wP%W0iU@$˥(RYؔ`d hAR,a .WW+칓OG.s*'i7j[cSE.5VN a vOhouckV 2 ^SPi(9,.mx)Σ l9zkf8}fEǼm94n]6R`x:CoK f^CJ.mYd|LR#nI{,Dy.n*9ٟH,`d,U=rIiO|6XIͲk@@jϞ@Ͻ@&S';7&N5Bk%>{,ġKD44g5Di1tJQhp֢Ztvݛ?ջ޲%!']>R52_ZdVY[:f/11Tʎ&k$Z1yoaUd&H%}0NȦd>l|8]KhhNzƷb߻nYXe?oglzk:o/ؽ_6l2%TŴ8 hwll/ኙu^/QA&wW@wG}h}ٛ > +D\M*J]{v|%ð𾇐 ˙lp$r- Wָl~IBZp=LH8 ?*J:'O:P,S?3d'iZ3r!}޵0Jة=xj-J!MXk5EUR5,RQ?ӱ~:]cZC.IdK{0~j?D6.l9DNFC5ꢿ1h; Hr~g !0eڛ Zp5!Krf3#YI\,Z VHW&T>Vshl ]r Pbh+7T\/;*KbmRxT)&QXG^֔{[-Kl}]\o`;Z@ ִw*M-~yMPvU oUBdw!-YHQKW\>$s-"{D&0"r RE*:.vNeH#^t`[N rV5iRvx .}/j[.KLPBi0!"߽8*䧶!_\3cÒc.jǻ h8*;&rhWNXGmūBWT+\" o&ݤ<=sB:ouQr w+ջSwk:vͽXMr /E9W<.`~%BKdY%: iv>Xȯ||?t0fǟ/h,{s#/%ۘIv@%!/9 4$'ٕLճ 1K6_Y4Ɋ#⃿n\Q ͿB "]kA38Dq rv ȁG1wc_!?O O&ۼCX/=`]HdN' E>$<,ŗJBmaGRe{Ԥkd629T QpҔ9@ "x|bࠔ)i3IXv-E;mL`LqCD`+ cg@yR{Vr7G:=.|'7=*|mIk|D`&_+kzN嚖𯉸ScdQH+5a㉀zHpH=)$x*q.cq49T}$or 4uPIfDvG:pdzz1F!x"m<>|^)O|kcTXFS^& OYì֩\ B|fc;d,4A0b#[,秶TLF;\J{&=42O]sicsΏu;3FS6SNaAg Gòօs`޿B:c1߆vW)҆F s0G g ut^|,­y'ѳﭗSBy0$_f$KvDցE W 3=ï}_cËAQ39˯?^BDYF6dRw?ă:!DM螫̓&4DNճ6Y daX*lo4>h,?˻%Qk*70V>TGdfv<;=?ɑ]x_fVTﴐ?Nw endstream endobj 8009 0 obj << /Annots 8011 0 R /BleedBox [0 0 612 792] /Contents [8018 0 R 8014 0 R 8015 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35478 8016 0 R >> >> /Type /Page >> endobj 8010 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062958-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8011 0 obj [8010 0 R 8012 0 R 8013 0 R 8017 0 R] endobj 8012 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 103.8771 149.5702 114.8771] /Subtype /Link /Type /Annot >> endobj 8013 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 87.6771 164.1782 98.6771] /Subtype /Link /Type /Annot >> endobj 8014 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8015 0 obj << /Length 19 >> stream q /Iabc35478 Do Q endstream endobj 8016 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35479 20830 0 R /Gabc35480 20835 0 R >> /Font << /Fabc35481 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8018 0 obj << /Filter /FlateDecode /Length 3732 >> stream xڽ[ɎWys_&=ocla .%ת"%PdVeEF"$Z*{]kZz'KMȿ*#"a)(բrXTDzGAzB9h fFci74?0n23R  NMstG1̥yyoγrrWg{+20ђUYWBALƿqeH#)3vyH"^RNOKSWWqAg ZE]zXO{9yVW̒rF}b+}] dNAjv,Q*%ig":H5d>aVY]r];Ԩ(g4OY"›%hNs 4H7^ݯ!{P>uv/Zn-"qU5q"zD` V ȹM1h ֫ 6l F୬-`z7˻x-+PL]i],g=щgJ"'<'xcGZP=wo?2Cjv +{2 VbCVТØE;b'bzfo),wAdeIlBg'atf."3IHx31Z 8l}2 ),>n(>\dGOjJ9j恔T Jw l.LK1z ^8U~ -/`5{u21=O+iuh C[#Ї pȦЊUK~c/w:uZMcuA^mZ}J@Aj7mDjj>waN743Խ )s}d$c7 =vA=tKFKY)>m4u+OVkbG|"Vuk.7F험j͓KVfT) JWMSmJ`Icy[$$SEI?Ư]~s񱁭̠^3 ¶P~h@~*ukᘕUWQ;!Qf>kkªzmvX`VUhSzVd.q6|@zXӄ c,/p.\AoFw r:SƓ#IZXe_khklkn-V @1`b{kfrh2i#1(\bd-.Op?+;qfuH*9$mI~wi]L|[)x)Z_-} UW| L.,}2#C.y vXؘDK*8~p DXccthBWIo).B (Hc>TyWV& CT_jm- Ue~q oI7?wWcn^YޏX&wqpp^sq*rj2;^1^ nQ(]ݗܰg`4Ԗ6Z(xx>` Kb*Ԗu\x|/&' j`҆ypD~?m{&!:, ?.$*g$+DʨW/ 컾 ~@ʯetV|Yƣ'_ĘI4k TC]X 'ˢ)&Os;c %5̏ K`0YH(B4ĎZ׋K9** u#-JqQ0*b˹ļX3/m!/~ l1a% m=ńP6 mpVEttY iv,E[;H~/1j&&r7j(#[~U&_S|2bbz"5CFtD3`h\]5B7Wby=ѯ}x\ew+ɌԍW,U̖*?Rh̠qƞq ۑ< +. h*Wq4?H4NP(fI'0?QX*#Է, gPn%S8"V':69TsTmcKa#zL)i$%W14}?D3_6/cfZf]_/.O!Q *hؖ2> zΏ#Ό C+laGlF/d;A +jrmbm{>^JLLv_z܁TL3`!+?'BkE)fCs>'A7!{Wsz<>;>.fgND] <8_և3O <{MJ^#i(Y Ь-R\!1 E 'bew|#|bmx&pC(A_g7z#,yLkVA>\wfsMyUQ[?D!unXլBYV*<{重?TDrai@b|+;^ Fb±Ya\;X[ :<5Ic endstream endobj 8019 0 obj << /Annots 8021 0 R /BleedBox [0 0 612 792] /Contents [8030 0 R 8026 0 R 8027 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35497 8028 0 R >> >> /Type /Page >> endobj 8020 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8021 0 obj [8020 0 R 8022 0 R 8023 0 R 8024 0 R 8025 0 R 8029 0 R] endobj 8022 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 217.8802 709.9] /Subtype /Link /Type /Annot >> endobj 8023 0 obj << /A << /D (unique_472) /S /GoTo >> /Border [0 0 0] /Contents (get_generated_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 207.9582 693.7] /Subtype /Link /Type /Annot >> endobj 8024 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 164.9372 677.5] /Subtype /Link /Type /Annot >> endobj 8025 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 180.9312 661.3] /Subtype /Link /Type /Annot >> endobj 8026 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8027 0 obj << /Length 19 >> stream q /Iabc35497 Do Q endstream endobj 8028 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35498 20830 0 R /Gabc35499 20835 0 R >> /Font << /Fabc35500 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7F3thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy8f^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Ii endstream endobj 8029 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=675) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8030 0 obj << /Filter /FlateDecode /Length 1267 >> stream xڭWKo6W\@  xCoɭS?%YqP, |D/6XDڢ}|]lv /9i[f}͵R·`/(6dOl$P&2prES{u>UY\3d^{u |Km E0%R4oQLoR|h.>F(Ȕ |Bx_1E۞͚]1{*а1|pBN҂CO4*ҵ_DΡد~/6Z[ [l%h]˒$G*xg+q- -t}](dl3 Bu\m.`H!ZH𻏔P魾Ԗr!4\1ㅒgh|08~8G͋s{>i*Lg{ JEpt3Ymp'WX̭E9VYԎmO3,/O8C! /XS;o)A&{|4܏Wq S ( J`Q^ dd:N!w^×&!Z<.yĨE^qShfvϞ$zZ};Iir)> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35516 8037 0 R >> >> /Type /Page >> endobj 8032 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8033 0 obj [8032 0 R 8034 0 R 8038 0 R] endobj 8034 0 obj << /A << /D (unique_77_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20211013063105-08'00') /Rect [90 372.425 191.6455 383.425] /Subtype /Link /Type /Annot >> endobj 8035 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8036 0 obj << /Length 19 >> stream q /Iabc35516 Do Q endstream endobj 8037 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35517 20830 0 R /Gabc35518 20835 0 R >> /Font << /Fabc35519 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴Rg<~~?va,6{`B ]QLu4SokWATCfٮc85 6\Hn:|/(h&c I`2(.X5g$𣈺ʔfS~3cy/ KaEr63po.IͿkcDA ߞ|ն9)evP(x P`E ۾{w7 endstream endobj 8038 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=676) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8039 0 obj << /Filter /FlateDecode /Length 4647 >> stream x\K丑Wl eI*1oc7Ş;^U/7^|IO, FHjNxȫ_u:],VY5hg[}XrH <+,f9{]Mv4k+=b]YWpr=;ReŽ{W>+IwrMGc'/6KZ \2g8:&rwYiMZZqYײ:K6?ɘIps 9Џ9ȁRV`ߩo}+vI=W>@]Ko'dSXő!%s2X'ݲb,(c]Rr3'T&G\-RÀ]ƲKE]@)=gfE1v[BQWE;Z8=0gc#  u_`4X#|DCg/(oh\7699Rk,Q~ Á ?`ԧ;Y ױ (sVy|?Mc#:WjA:i YLC+yyŃBR'9LH{޺ЬkJ\ Y%ʥB^#NXv/޵;ŗb+"X j4 HLQ]|:l{vR<"_^-rwӭ=d>}R2~Do szS#vo:(}VXY=_\0kUh `TAx|Wn;>;y$,k=㌟jNߡ)>Ǣy@Hp,‰4H^hYh׺9;T@ *-5 ζDa$j|%ٽiLB2訄J3ToqzU`J9LG u4@#uĽʠm&c-8B*3T{e:ΓT) ^*xϐISf#jRkRkh>vsݵЉPU.~Ylh 8E°Ȗ%U.@#{CSV@+V{!UWͦ+cwe/]iRl<ƒh0BlAlmvF Ya>2-,c ;2H56.Y\JdT} g. /)ӄbDԖf&͈ZZat8fD- F H jTnbCT |&Z*w.X2*^T wTIJ N(@ۥ9alS+jCUL}+: n`n ЗaX ٭n 5XF2R{(63Ud;V w Їڕ0QDb]NNtY Nn '^8 ?'V^4 . N.-~O-W o4T~''NX©]9@NCit#h49n8 DztJkq%UAB#O}nox'ԅ7> I@|RGIx|RR|PP7'u~$>)I 9I@?u|}Ƒ G#/A.^5@Ϭ I έy3z:?W謤8` ~갪..$NH{z (%>ixS=L{֕$-TbmbiX_\@1)z~%^/ȼ|RizX\Gpruu)3 F+ײrkZ@[]zYCu>.6ơ8&2gἊp/l-s@~fF' ##1d3Rdޱ| .\861h_佸sZSFdU٭CCϳ`A8/ߵ] mJf'AOjCX, qM`Qv$C?G =,KBZ۷ʺWƑ2ѽT6xG!qUD4kr~M@(6|Al'!8on&e&TK Ž.,0C?nS+KNAH7J_}0*_os`68B|`cƬ+L("+2jvY`.N" M<:<PˡBxK_q^U| D  Dі\|702wd-P)7a:P?m!aUzJyeR&cyqjٳP(W XgLD#¶gvd(*_) r{#wYZ0B8J mi8غ[ a@I(#Y181T Bwd-z H`' % &-+L0{y+9A]#3n`?./r iĥXM~Ͱ"79ي7@y. lpݐddm('61 n0uߛ5(Q@3Z[=唪L~8LMXpIˇ̣ 3[]jKE)T~ ~ŻorC8[ PVtJ%4:lEf] ݎ٪>غwCEO,y$sXu+^w (VjfFt`m;eb b b4=g.X- #B|ٜeyM{w%'`s1@|*!’i+/;Ƌ<摀9#&⃂ @_87up։CRbzfVjYE6b8띨' ?0p8;ƭT^xgmn0R4|\0; l\>e#G~Q Mʹ +_5yP0>7);`d!0OM!~M+xjHW׀&,HHuL.We4vn\o:/.ju "햲VҊHGz:^ie6/s9O̫-;Vf]}(uEZhw{ӄs#Qm!͊b^e(sѓ)rGK' T#SITmrC|ԚL{=-A< |iȌmb.@ rrKlD\^(KaWEVg(gYu]waqPS5jb^#ys\RGZ]@mG l~WOk|}H[lU \f;!LpG>p!/xޱ݋HvF!9k֕ Y`s/Y.CqM@|asMGM$Om.UkED_MaR)}~BfH|FWo%1絘c \S?ʂ>m}wW,.u&iO(~܂O6po3`B [`7zbP.B90 L ~/ӷίg#;w 9o,7z9ȨM̴2*h,>  ϋʹgַO%6DT#l_Lb7o_Wm> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35535 8046 0 R >> >> /Type /Page >> endobj 8041 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062959-08'00') /Rect [218.8375 376.9577 381.3955 387.9577] /Subtype /Link /Type /Annot >> endobj 8042 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062959-08'00') /Rect [178.99 324.2885 396.03 334.2885] /Subtype /Link /Type /Annot >> endobj 8043 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8044 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8045 0 obj << /Length 19 >> stream q /Iabc35535 Do Q endstream endobj 8046 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35536 20830 0 R /Gabc35537 20835 0 R >> /Font << /Fabc35538 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7dthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?>X endstream endobj 8047 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=677) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8048 0 obj << /Filter /FlateDecode /Length 4843 >> stream x<Ɋ,Irw8̪Z 1MÖ-j}Nھ{rf og>&k nݾ~,2o[o .nm۾py%tF}ԙy[}N:B@G-MbJ Xl@0+TVw{۾6frwp מiQLz-xFX=vt o4Sm0nJ较VJ҉#Guvmʶ57ޟ {zRUy(fz;IuA 2I]>sm;'F1a?2։<31繍v\(i`5dp\qSbbggDlL͂;KW";x; y2<6^ ."U*mag3Ri#?ܼܬMYQ˱ gp" ۂ?wӈ 4R%mgi䔌zBd#:d!CV38`)zl?3=CB%33 88Y,g\Ͳ`Q!~$ɓà'o2[/6)gx&jelrJJ^wO!1H8-t˫nTAt<p票1Mcx" ˈsϽ kTa/<-8ԁ$AR"cexRS$nAMz<`N_ M nujlMʺIѿK!3;^3o=3̬cfyyo\@M8PRd,b ]'2T)Z0\]F m{U1gO==\K4Ps#]5™v$'((4[Ӈ7+ kpN̗!qa5(RȥvH#.kōQo6q]EV xmvsz`%o3V7iĉ;qO{>Dkc#3&bo&O+leS|5 $h??.Ny#z:g֧Kj?d7ڴqJs~WϿj"Mύꌽ~b6I8 m={{!|GhJ;IH;YM$aDVyF:uNHJ V&*$%i,B .;[q&V7X'|@w>L+aA//%R@0X*Wu[hNVDN nPja_xZY/&wR={:)Y@dEU%G>jCV=0j;%%˶s}JPϫhWrg#ƘM3!>}8ET3َ)ZB4±XgkfsM "S&C.@rA" qX^ad<]c\՟z}[[r>KHBh$UNt5hBa OLu[_ُ_cQN-tVAa/~:hOȪ*RJOB&-Gs7*4aHJ4uY{0]8.4r35s{\eGb>m3QcP]P=>-FV&?2 C-J Bis]X3]sA]o:_"ǐ؞6 Jᮈ}YnqMڃ+9-S7 ii!{gC(RTZg}m,7{}q3kݑLO$Xϙ,(9 R4Ij( eA 1sHэɆeBlBn[% 5{nL){|GC[Cߍ4H.nn5NnSf]x])3z B|3h[n lU2XֺQVTUk,-:5ݦ~(]-PzcVPI=;wmsT:#[Zo ]N^ڐ!ӭGוU15c#*,s*JJ ͩ{ۑCYlYAPhG [Uk[ Ԑ4yUϡ: ICw[fT-Vf|坏c5}=QyU{]yi]V5qys`j'R>2yOO_[g}vihSJ6I<6݂fAV沴7۳:w.OACY[ߴsrcϭD{;SN*@i@?uT}jYzw:~;k]vU{˕(MZSsUB DgU=Sb- ;4sjC+9A/ 쁰n^A{ lsvjl)~nV{Xc;tN{0<[9M?&wx`ڎg%ZoqRkgȪ͹()wvςw+, L3{yhb|lvbԚ)5S, }p<`psSNBepi+ ]wźx}-cj.p +dAQ b3g+~cŞOѪF b'ڪ<9$ؠr/X; bk+:p?`uhG3½|c2_;RG4b o̷P7q*d *?5`i_yB2!cDŽxtSB}"K)S~f"=%(N .A*IYQq|Ee2tv`).%L')=dytH}\"eIJm2Oݑ4ޮoZogn}-/-|_X?hz d;"}ĿE8cz'E(pG 8[N78&w~S*r5Q%/Njs[l0]8SĔ?녁OXXǀ'nIk% f=Kqi5\ .CSfZ GjPH'*|^󑀿|[+:Vt<"u._GqDlCmA 5.]B"^?lDH,D^zf*VdU+L: ˻Ksi\,+D2L,(yt!by(; Lhh9#S`> XQf endstream endobj 8049 0 obj << /Annots 8051 0 R /BleedBox [0 0 612 792] /Contents [8059 0 R 8055 0 R 8056 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35554 8057 0 R >> >> /Type /Page >> endobj 8050 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8051 0 obj [8050 0 R 8052 0 R 8053 0 R 8054 0 R 8058 0 R] endobj 8052 0 obj << /A << /D (unique_37) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 457.6 240.8977 468.6] /Subtype /Link /Type /Annot >> endobj 8053 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (remove_cluster_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 441.4 251.6778 452.4] /Subtype /Link /Type /Annot >> endobj 8054 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (validate_cluster_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 425.2 253.2397 436.2] /Subtype /Link /Type /Annot >> endobj 8055 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8056 0 obj << /Length 19 >> stream q /Iabc35554 Do Q endstream endobj 8057 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35555 20830 0 R /Gabc35556 20835 0 R >> /Font << /Fabc35557 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lMD endstream endobj 8058 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=678) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8059 0 obj << /Filter /FlateDecode /Length 2036 >> stream xYI$W<`|*g ]~B^f -Ooh-_U/9,EU;>!flO/ok];jа(giQ]?X梼5 Z7"','dԈ5#5.y,`ogcL8hEFrkU,qik34`\H&eZPAx_Q{YlݝL] hm3JbSJ>l|h 7<, n .DR'}Vs"{xRVU9 my e|[K[߽"/hQDž5fQ;_jTWB 83^G!x!u75\Vxǣ6"QKA3kWXA=SТ_IA=e)!nlˣ 6 Lu3µ x0 ؎M%Ή1i2I}r, &MuYSIf2+SsM惴a=܊9p^5F4=v'}d\@[fy?`zkg^yѓk=)>8PP?A''5j5B~~ѸM]k+薋#yt+G_?jZcNXLCեYarw8%҂48L"ekc;n{ 6`mmݱ?`la92A;#j\<Z 0~ީ a:_ZXU`Dl >;rriNg |O`7#STAXywScdؑ]o*-O0z)-4#{HU[RՌ{b/ꖴs5^N DʀxĿ:`]nZY@'(+%K&HUaCag=:LŝEåsDYlDʑBƼǽ[{)H3yJ3ve'{_XW{gbn_L[^9?GmC]dޅ@Lsohf0J~e_Ls/M~(h&l1+8qָw )54a S- ռT4GLɛ8 wT6`#])ʁy#ݗ4z--4)Rԋ"yh\]{_^jsS!T|Ǣ f*K>#[S,T1 ~Z>K&a~[gLcu$O,&]<ҰS0X' rP?3ЧGRtKO> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35573 8067 0 R >> >> /Type /Page >> endobj 8061 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8062 0 obj [8061 0 R 8063 0 R 8064 0 R 8068 0 R] endobj 8063 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 348.025 122.505 359.025] /Subtype /Link /Type /Annot >> endobj 8064 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [127.818 348.025 160.466 359.025] /Subtype /Link /Type /Annot >> endobj 8065 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8066 0 obj << /Length 19 >> stream q /Iabc35573 Do Q endstream endobj 8067 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35574 20830 0 R /Gabc35575 20835 0 R >> /Font << /Fabc35576 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jVthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛK\ endstream endobj 8068 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=679) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8069 0 obj << /Filter /FlateDecode /Length 4709 >> stream xڵ\K W%mrrd7^)]Z%KE#)}2;}j*]Wu:OL_]M_?rI gi+g/67/uW2=P dT!emAf9̮(&_( ]NL3ر.Ɗæ-թުWy]wث7EeklmX|د^VkjR!W<[6߯&U5HuzT RUTHu]RDPKTռlF.-l<&x_?P8pyMގf1{ƬY8,ږN8Q׼\i܂c1`![CV1_s ^a; &BbI2+5ȃ^09D^ klXwuG"I w@ŷǑp*AZ6*B ^6e>VϨSb7]HO,Qo(uuKIWndtw$Eu,_Tk;֙f*bǚg)\F: 5hթױ ЮCLZ/QOW?+MWg? zUˊ&rNߢp;&,gҕFɋgθ0,[$|@`5$<ұ hĕ-֕tSNKۍr~8_}2_5Z|GOgETkifc've|e-}R֛9c*%Im ޺;%vU `/D6}6ПY=ni^)yM ||wya5!b w,`S =}C탛'/bFq4a)Gܴ:-~''@H/>7Wx¤ל2e<6V*}JSg kHO7k2 $㠘=f_eIJW0"ѬLPPh:^ȂO&R:[Ђ+2TG%:W}ja꽠 ZR1Q+y{ڶ3Eg-fL?UP}\w\N+xJK"@]uuq/x <<\ F@o\{*I<*?hLpɱ،+@2U4Whb95$&OT("dÇf""]~ɑ~5èˑV*\Ɗvl j* 5f*^Q3bXӜR >%)#Q$NLbxI| Q i|!cRXKsyW ( d哈h( a[ҜOYOdbH{OYrQ\Ӱ«MfW0X5SE*~Y-g;{Ư9twr]qKj2A0- <| rAd#$+#w-35R.7v:aac##0lԼǫ|p4K/2̹ _~kQQッ;3ے N 0$ YtK4<" q-fsY-q5u ݖƖu[w<{NgPU% a}Xۓ[&"ley܅-Ζ` PHnGeqnS--%8\2obsK\kiXʕ&-:j`I) j[H"zIݘ8T o}$;-j(|"uƣ +h?ѓ/.xh+^bSNмl4fͳ:e||Foy 04B}JMt2,u2@z+iUHk*Z:Oܤ>jt3Z3?}yjԉ[Xyv`P9ܧJ ɓ0;aq#Am(QSn8'`Z2#_x*ĕcJ3|Cs1\]t]ֿš.VJHJWsBGG:JF\ͬK?ת펞K+АT8L:"%f+/8ˬw.DU)f1xRV$кN|{D}>tJ~֎4 dʾ6}`݋HV*|P9k6 ޙ]\Ey* D{O(.>U2N~鄚|&{3W=0 7f@ˉ~V?[~%bӎjXΤa4 b;[nϱ}ꌶ0ϒ7 |?2|ke>gx۳~瀿=ÉrWLCJ΀a"p#eP.By.릓6v/woG/d,&>V38,7z!JȨ`ɲmY;0X$]C>ʗ w#~z٬>bvt|g =nc{=Q >ۓߑKm[||bi\gb5 endstream endobj 8070 0 obj << /Annots 8072 0 R /BleedBox [0 0 612 792] /Contents [8085 0 R 8081 0 R 8082 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35592 8083 0 R >> >> /Type /Page >> endobj 8071 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8072 0 obj [8071 0 R 8073 0 R 8074 0 R 8075 0 R 8076 0 R 8077 0 R 8078 0 R 8079 0 R 8080 0 R 8084 0 R] endobj 8073 0 obj << /A << /D (unique_31) /S /GoTo >> /Border [0 0 0] /Contents (report_constant_paths) /M (D:20211013063105-08'00') /Rect [104.1732 376.0192 212.3912 387.0192] /Subtype /Link /Type /Annot >> endobj 8074 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20211013063105-08'00') /Rect [104.1732 355.8192 184.1267 366.8192] /Subtype /Link /Type /Annot >> endobj 8075 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20211013063105-08'00') /Rect [104.1732 335.6191 158.9697 346.6191] /Subtype /Link /Type /Annot >> endobj 8076 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 315.4191 165.6412 326.4191] /Subtype /Link /Type /Annot >> endobj 8077 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 295.2191 218.6612 306.2191] /Subtype /Link /Type /Annot >> endobj 8078 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 275.0191 166.3232 286.0191] /Subtype /Link /Type /Annot >> endobj 8079 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20211013063105-08'00') /Rect [104.1732 254.8191 186.4697 265.8191] /Subtype /Link /Type /Annot >> endobj 8080 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 234.6191 172.9177 245.6191] /Subtype /Link /Type /Annot >> endobj 8081 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8082 0 obj << /Length 19 >> stream q /Iabc35592 Do Q endstream endobj 8083 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35593 20830 0 R /Gabc35594 20835 0 R >> /Font << /Fabc35595 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7EthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4 EŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8085 0 obj << /Filter /FlateDecode /Length 2756 >> stream xZIׯy1@5@n!Ot/6ɒdw7fQbmWe;WY*_r-/ h_?zP6Cƒ|:__a*3:VϽcA=fx'W"NHmcJ@.(;e͉أOqe>9BeTCr.ljnaNȁ6JCCAEsQGSDFB*\`ly @4և- L:F#QX'yPfm)MT}iA}GH:3s,D`$Ue3b{w)5?Yc8'5O #;8BCn4xLdSQHaSa}ܖ]Iʗ$*cZD=ʩO3,w|Zz {H;?׺X?ޒ '&nM*;a;.o[,]Շ;PYnAn߱uqLA;ujv`}mNfJmTJcB*){z Ǔ؅~ŠvST :_ؤmP,B!1'_ȡ^\+4k v#Ō_@ )YG2X2+DNAg&N|UURԋeE}t~2m5L3rC^t 4hc6kSrrH|b~2><2wB(]>s#rp[^qϻ;;fz:HBGȩvK mYCta1mdz^_ԿYh]ԫTȩ/D.0s:dF2<]&_K~6DZ<>À^GX[-ݾA;xSY_x7]7{<( diC2#UH]Fnek{V7%,ygʀJeRU3MC# (Yұ<#:LĊ>“Ń|*N8w"~mN69rTYx"0,mDђLϤ)~1+U(ls3-Y7?o,/}>Dm3z8LF [9NrB/q4tm,4a\ OqvqpAWLaĶH(Vb÷ԍԘ줟zSR}fT٥" @q{asKcW,Jmo|5 @g.PoH1Skd#@Q7v<Oa𝿵w>C3d=K3ҫz[IC=/Xn5N`XTȒ3h7'btQ~ݏpeyv,w, ZB$8^ PAe=X*̂"v\|QYwf |GD5r:wPb`d#.E~xZރ'^$Yh`};FЩ!EW1nmt{ endstream endobj 8086 0 obj << /Annots 8088 0 R /BleedBox [0 0 612 792] /Contents [8095 0 R 8091 0 R 8092 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35611 8093 0 R >> >> /Type /Page >> endobj 8087 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8088 0 obj [8087 0 R 8089 0 R 8090 0 R 8094 0 R] endobj 8089 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 428.025 122.505 439.025] /Subtype /Link /Type /Annot >> endobj 8090 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 428.025 161.9785 439.025] /Subtype /Link /Type /Annot >> endobj 8091 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8092 0 obj << /Length 19 >> stream q /Iabc35611 Do Q endstream endobj 8093 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35612 20830 0 R /Gabc35613 20835 0 R >> /Font << /Fabc35614 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 8094 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=681) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8095 0 obj << /Filter /FlateDecode /Length 4242 >> stream x[Io%W9p_A- '9vHf.[w'iS7dXU_-_hwG*vyy[jY_,M_bX5FkwƷ\B{ctͷr}=оF6*}Vr)Y}%0Nvy]S߿ed5 q ֔h~Pv]hV?/_Xn1]XK%-I*h :x&qZȸЛ6Ԋ^"| 8"e{yB%ɋҀfZ\Vl]9pPe:_ @. jOG?mִ`?_|AA1x \ϒ 5Ʈ>CGPcݟ~X UkgӃ6G p=;KTah@[v Cn16@&Z t"Dp[}_!D ލ.y:"mcĀb m2?>W=H ^Uܦ5 fRF ;6Qmh3!Ed_ޚσ.ǀXSTl/m(ӗ5(?Nq'{Hjʪ#KNjK-C {(rH'$zscz^s&[莪If=*' -.БnuˇF--ώk9ʠ# Jȏeb 0䂭g<&t"7#y.|,׀c1[P?f; x(w\>f))GBp!?jy&*ߒЮmWvjZy܃C<@G?Y;*Rg05=b=^PBg:|mXO|P-LQ"t|5Q z c|uS,޹x'pTL nӯYC3@HKUiCU AT*X @HpoӤ^Aߨz -CMŶ&hVo2RW4W_$cBO|%ѽDC&a,&9le FqS8UR=O0'"q!:s g`f&Vo9a!$թd*gLuz'z#j}Tx8pեfTISf#kR+RsZ/TЈPU.q f͚ G33"2XPk4 3@KV{"Vgͦ+csy/]iol<ƒh0B=ٮtlbcF Ya>2,} ; k$.%KijI9[0ŧ Ş\-Ws#͆MHR5U<9"8fkD- F @ЩݎɆTM/U8o3 0e:dtaPmn10Oek$s+b3S5Hce(9G;]ծĕ'%Zddp np[8-;3(bvz)vp\ ~ Tm\]d^<Z&KFBghdW![a8~6|C{# 0HR{{۽[PoϨWkCU* Ig ZԈu2am(ga|=eUU2,"C^TÚGCןx AvFo c}d!TK1ESמh jj8̀t8y㣵@ 0G6e7̶ l2SȞ}O.,_>nD] onf<}yGԫ|Vt[-DžtxUGEԠ-Gf66#L7ޤi~qeq5D~|p#5SJ=:PͥhKi[vVlU (˚C4AKwyגKyPզ-v=ĵHl7[s7]젅R?5?eْ)(12 RN4'ƀT1{{;2'񹇭WоVgq NƥYSDrn :F4C&c 努 N>qۣ(S,`!jr6i3BAd#@n0.|O&!r9ʤn(@`G$QD.vy!8dҴm #܈tT c0#JV㺠m*4V?Uճ\pkmࡼs{.L+nruB$FM,/c&Eu:@Ú&45ݳk9X4FG. l=&68rpZcigVvG/lfᓪ>^`os3Z/y5yZ7Ѳ[q(ROM7{'= X;irrH\e8n%Z"e" (uyj,scp3 G<R]a0}@>ͩOUӷe;}7I~}ǁ(׻0ij)U롺fHmSKJ(kGF CJ~Ʈ%YY( B$XƯq%^g|;'NBag&ͱy܆o`&sW[`KwuUmYEZ`G*4}XΰBNmOIGqMxfL38VxhS$< um!mcq.4dM6bbDN>k0ʜ0Dqm3?~$;Z5h (ֵj+ƜqsJu{phu)vaM_RKtTKG]4#٤k*ZS3RF$ҩRQj+0uj]ܾaK\%INSfO"5|e.N3G/>yQS*J1T)I˔x!I/:* Ax~H%iinXH+IZѽaݓH6F>!9k֔ 9Gr'Uk& K KMo9R\Pl 5nMfzPT00|ljf?q}Q_~3Ćm kRB8T\NY/nTzm(p'7͸ ._/<-˷Mv9D['^hqO->*[p2ý[ʁf"B90c4H~rYg[cIbasߘn=DT0*8j`( I׹޴SZ<֯#@48cv@+D SΗMȽ+j2\'[LycoܖBI{}V/+L endstream endobj 8096 0 obj << /Annots 8098 0 R /BleedBox [0 0 612 792] /Contents [8106 0 R 8102 0 R 8103 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35630 8104 0 R >> >> /Type /Page >> endobj 8097 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8098 0 obj [8097 0 R 8099 0 R 8100 0 R 8101 0 R 8105 0 R] endobj 8099 0 obj << /A << /D (unique_530) /S /GoTo >> /Border [0 0 0] /Contents (create_dashboard_gadget) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 227.3347 686.7] /Subtype /Link /Type /Annot >> endobj 8100 0 obj << /A << /D (unique_538) /S /GoTo >> /Border [0 0 0] /Contents (delete_dashboard_gadgets) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 232.1252 670.5] /Subtype /Link /Type /Annot >> endobj 8101 0 obj << /A << /D (unique_551) /S /GoTo >> /Border [0 0 0] /Contents (move_dashboard_gadget) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 223.7652 654.3] /Subtype /Link /Type /Annot >> endobj 8102 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8103 0 obj << /Length 19 >> stream q /Iabc35630 Do Q endstream endobj 8104 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35631 20830 0 R /Gabc35632 20835 0 R >> /Font << /Fabc35633 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8106 0 obj << /Filter /FlateDecode /Length 1264 >> stream xڵWKo7W\`{ ,:f@@EON yq+A46Vow9z ?QS[O/y= }5tJ{{~^Rc rOs?@!L.̵{XCx}>9_fΟퟄj$MF}B9V'h:@9Xv^&hQۙ6/{} z-&_\X(oR,$qK3o|Wˑxqyί$0 'I!/C&W jR5d׼jm9̬)5sN\.^OPRS(otWbU>Apyh35L&\誫b}p^{lo#c`[E E@ή`$:v>|ܼgn!o۟ /Z0p7ߌm}yT~Lم0ӉyF/xPb1rN/rqN޻ܧci髃YE puQzy4`UI'L}J C1,./՜k`b0^}UU^*NASG$[l?Dr[ }ܦHAˌFI-đ ,ش"23 ,MC abȇA~1u u*x7) c`*<*(^ʣF }yXN4G gl>E\˲o.o"]zʮZaҘꡂ-嚇nR'!rH+F} S_Y~7 *6D\[lR*THx/xuU LAD Oivx/W|E6.v`*CtHp+ϔculOX7.K6Wt # QѐmZC(#pGp8y`:|z{O~'c'! `V>E73qr(zf"f.A>!|<A7ϥeN*)F97EhlcOؒxOVf][ךH>" ]j=XQ̂W^߭X>bS1ӀtsK4L :.CT endstream endobj 8107 0 obj << /Annots 8109 0 R /BleedBox [0 0 612 792] /Contents [8117 0 R 8113 0 R 8114 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35649 8115 0 R >> >> /Type /Page >> endobj 8108 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8109 0 obj [8108 0 R 8110 0 R 8111 0 R 8112 0 R 8116 0 R] endobj 8110 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 304.725 122.505 315.725] /Subtype /Link /Type /Annot >> endobj 8111 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [127.818 304.725 159.927 315.725] /Subtype /Link /Type /Annot >> endobj 8112 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [165.24 304.725 188.0925 315.725] /Subtype /Link /Type /Annot >> endobj 8113 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8114 0 obj << /Length 19 >> stream q /Iabc35649 Do Q endstream endobj 8115 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35650 20830 0 R /Gabc35651 20835 0 R >> /Font << /Fabc35652 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 8116 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=683) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8117 0 obj << /Filter /FlateDecode /Length 4749 >> stream x\K8W<@x $Ȭh`n5[{"sK%EJvWGڒe"Q-ZVwC^k1b)~:v YWyS){MO\bgD;Ylw{mW彩^.F/S?/ԏ2.WM'j3eKf2 Ggd~QN4+_IN^QN>MƘ%L*,Q'8pPr 9S.=52>#AqGRMSD Z?nㅮD,r*ƋQgj"AŐ$N`}Rrޫo$aۢ`YiC 'T[c׿(s ,ւ̨ 0y~N 5N03 b,J 8sA0Qh~@!TL3A8@62|Ud{/xYÔcSȥПA/ XuWXU^|0v[y MF-0eYqHcx aEx7hE3qIۊp"UpU˵`oƒy I=ك*@fo~<(=Q ђAuTO< ñY JjD)E'ɧ+{kNfxL|y'p,L n}NXmx)X zSB77[ziIMs](( O49Jpd8zMq8mj<-To+[CҺWpzk5Lq2{2 EQcܭrdS}RF};ϒb%E'U)OQRUcJrMEboog=Ɍؠzw )l{C*D1pśIN5/WOh Y7-Kxt=g||FXv+޵;a] B T+bJZO\m"[37R\_tk۵oD> 0z[;f6WP diM&i.K礉n3`mH/QW<1 U8I;q$yDu'>N~REx,XᐆTUU.KF0|h׃̺RV+iCʺYbW7_EJҶ~,挥dl޹`/ ݡ, g> {nR}7fvif S,%T?^μfލ`KAk8[;:d#o27hw$ohΊ,W;ͣUJ!Rl(|[[\BQ\XePxZ#re6cԹܹduW#ڨMh4F&un-TOX#tOO^j(/)<kl۞kӂ<A16[yZÉbe9g܃G{AeKvSo2RCPPi_sH7"WFQd4{dNA!_idIJW0"Ѩ PXh:^aʠm. n2-R1-CWb0r,ȴB`Lޠhpyl^mZjMjQMn,0 UqYK`B Mчr&Xd[%U.ԫr̀ƞdd%}lFQݼ7.6sA{( g?7p7}SB Ya>2M" ;ۇ2Xjl2H.)O$FX깟202OcRkƎ%͆M(6U:Cؔlwcu<5tne+Հ]>zwKvw+I s:`$KOs׫ *8t9pmjv8UuIX v tnNB X M V'`dN*I+b3S%$۱"Zr#@Wqea,Xl]Nt'[IgF8Ipҟ'%? Nm)pR;'Z"}.>'5N'%9p%pR.LbEn$'&/Ve֤5D8)XҬpNcpd$z0DW婂,V8U 'AD‰̅n'OuMC+8]D~/I{0;uovzȟxOOiN#.fKwΒp-Z>_}e*`̵:] p"!YhT>WITƊvljQu͍=V9I2}p5ㇵ˸pqt?|y,?ܘ9/3''`mx4L%Q !nU],mP\I7cc􍉃O %2'nwyYbeCiKn*$9Mv_'e%2\So`bڗDx=_+H`ٞI$9M\!\q&J.0|SD 5ܨ"\kAj.eŔ0sirvLypunk78D%k&Yg쩵ȯ:=J9}td9|Ygސ6x:F{a|75X OiG.êD ͵+A si<OG=\*qz%bFqe6fȫ@AXI[`%im;s 9@do!ppp 8%ߝ"E>gƒlY*\sUyư94afuEz`uX`/"291;M[&Xʛl2yǝ6!0)57Y*W!>ԏ_!"V+iUHҮc6- -f%yf^U˴;Jw{ vIRB v ^2}1V)>g۩ N3aOk0i(bZ2>L% _h.KSw:9:w匣?U@:Wo(SHt:S2t 37\bs+pni '4$S}ʼn ^]+hg1R^$կn/q4,Y(k0%Y\vne#"~G[Mm1cxTDs֊+s/YCqM@O}'SWU*l'X\F?BMvTis~;c/ߋcLJd2c+ x΋_3Ecrpy F(Q~tၳ8'_̩Xk|?EB$)W!$^GY+FݰC!|./adΗg}bqWO|h/'-d(v)*x0X$]: !CSF-sLzBQ6 Hsv[E|#ݘ[^ ߌBǞ(,S9eꗐX Lj& endstream endobj 8118 0 obj << /Annots [8119 0 R 8120 0 R 8121 0 R 8125 0 R] /BleedBox [0 0 612 792] /Contents [8126 0 R 8122 0 R 8123 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35668 8124 0 R >> >> /Type /Page >> endobj 8119 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062959-08'00') /Rect [218.8375 192.4731 381.3955 203.4732] /Subtype /Link /Type /Annot >> endobj 8120 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062959-08'00') /Rect [178.99 139.8038 396.03 149.8038] /Subtype /Link /Type /Annot >> endobj 8121 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8122 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8123 0 obj << /Length 19 >> stream q /Iabc35668 Do Q endstream endobj 8124 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35669 20830 0 R /Gabc35670 20835 0 R >> /Font << /Fabc35671 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8126 0 obj << /Filter /FlateDecode /Length 4777 >> stream xڵ<Ɏ#<P;@T207x9}O,\LTnrc0=59Y~_5={7't2|sPՒBvSñ{^*,/tR} Jݮpnۼ_ Cmt # Q 3Ǔ7RF#X~F&z By‹Zw >WE ba T8xy-3DX5:UPsӚe[%ژ~ ?o5s6Ǒ#t#f|V4y&c)n^c'~P!dM7NKh|G7Sk;G]ҒNEnbɳc ~TzmEoM5IUc$v;nގ\,>(";o*P#Ps xy;ܚdMYa8)?mi 4Y( ʾ+ uQjE});Z{&J($ YQ+EK?*QE*2JMۑ.ѻfWYTȱ+bթ EDT7{)GKXAfZq0^7iBbW5$"/wVS~#lyX-z<؀ӰfH]An։VT]^w[-̬ጷQ"o<<ֽt [gey,n@ޖG?g)CJJ1SNZIs8\+(L jAT6 Z8-mvzifM0> e1X T ́X6e FUF2 = /.s}4h'Gy\ 9:!U T> i :A,><)vSZn#[.å@ӬȾ܉Sv ziU0yIUQ+̝ `wGͮ2N8vƬNǽHYMלhNc9qR1 Øe] 5@/VIf,M2o&A,$E LS˞@@O=a0&̓f,TʝSU%>PR-:m߫%u4Dbt:ZtSL5׀ϒZc;,_==BK|V4R;`dvKrEfu),vcTދl-XX -RZiYS-WΥyW=u"4&6BQ0W~LV$߮Fr;q4'=p.uQTfOpqV5~.&%%ӌ|_ֹES/&Vx[P9?A:3S._p/~8J,e |47E՗w[mgX^[_kp 8hyD%!|h[ fLBr559}::'O1`INUk:Yg@_qWUx[5J|ŜwȻh%Buk9^X {4pIV9o7xtC\Ǎgl\O?$ o i3/xG(&ȭiAP[t0셵fsL{mϡwHMݙW|>CɡsUm.ƯCv頝dt;tNRU ^vCuU?QޛҊn -(F|IbA'g(߶- ]0-; F)Ү'=CbœHKx&5B?wڤh*B\(9xۓ<(-iVC4Z`r:Iʩ͢(2Vh. X :J$1- >}' 3|o㬱aY#8żjA/Ps42dhB6reNtUhnce%»THqmw{eg,xO47&ie7r b/1aH }] / 2S搇[dߜBJNR^"8oa=|#][dYK^@u26mC9+8!5>{̝S"\~E)5Ep HF\?-ٿkIZjLZHnhK߰PgMÿV-==G)zxmrE4}I"L#Mm^S6QV_Ǻ'je;M(X }G-}i|k~5m {ЄjQ{ܩe>5~թ&$U>ד3&Y=.`8{`Bԗ.Z?rj0ӵwHeMZZ!(ŋ*:_JE83dNF{z sqW].վ:t ILC>6);J ""V5yQ(zQ#Ze"Qxrf[ ՋphlL[?YY5awЀ{it|" mzk¼pD&æ-CUiQ^1!1o.JE7uG*=]PtPց+ƅSż 18`Z446eUB;qUaQ+3qh`")'nؠҧ1J./3%< Ħ .{YCv,|Pm ÃL`k_KLϾWe@lu v+B m>5 )aXԙ3DLM('c,'wu1wLImՠU*lyS|w jvz|AcNJs4iϙr2?NR,lS$>oOU>ݳ 1DzY͊ESZ [#5lnO@'9.&Gmmu2H`38Dv9;9|b>?!?ϟ@R.uYaa 0d$P i a{4ކ"Zz"|zmPF| ŰI(B'm"ZM629ԝ≀6s5LO9E>1pؔ)0(igHǯnjHxѸxe9V*K ܓ6Wg@yRG)w(z3 M _[Rh,9XŬ -e5=\rM?Sc2!cG"m>DW_\>XwY( O'|+1$Ss) %}gЉNXvJyf:JjwC@ GtP3@ZJ5ȶzW>1;R<@OkRƨ6qNx.8?Y=S|e2<噍ty) ;KqN+SOUԖ"hV5ٮ`x}^?DN X>V,6Nk`S\Jsʀ}ug7~(^aNٟo!*#& k1K8l^2JJ[o+gu:}Vt>y͗g/8s0Df$Ǵ8 y +v;!a4> >1^$\^<.g8+@9!Z,.ăڒ =WsDPOԗ 0H^ UȳL?Ec5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35687 8138 0 R >> >> /Type /Page >> endobj 8128 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8129 0 obj [8128 0 R 8130 0 R 8131 0 R 8132 0 R 8133 0 R 8134 0 R 8135 0 R 8139 0 R] endobj 8130 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 270.8385 195.2587 281.8385] /Subtype /Link /Type /Annot >> endobj 8131 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 254.6385 194.6317 265.6385] /Subtype /Link /Type /Annot >> endobj 8132 0 obj << /A << /D (unique_115) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_ports) /M (D:20211013063105-08'00') /Rect [104.1732 238.4385 184.7097 249.4385] /Subtype /Link /Type /Annot >> endobj 8133 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 222.2385 164.9372 233.2385] /Subtype /Link /Type /Annot >> endobj 8134 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 206.0385 180.9312 217.0385] /Subtype /Link /Type /Annot >> endobj 8135 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 189.8385 165.4707 200.8385] /Subtype /Link /Type /Annot >> endobj 8136 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8137 0 obj << /Length 19 >> stream q /Iabc35687 Do Q endstream endobj 8138 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35688 20830 0 R /Gabc35689 20835 0 R >> /Font << /Fabc35690 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nMӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}a& endstream endobj 8139 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=685) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8140 0 obj << /Filter /FlateDecode /Length 3271 >> stream xZY$~ׯBuP4ѵ1 ~Xiֳf^;YY}x,)PRo1}Zz'.E.NO/W ϴ|ߵaUJV|zP:$`V:h_ӿ-l^sBɧ0(x/BרQ Ճ4^ЧpP9?*p]E`~ʧsnqfPt:,4,P?CgÁ񬔿 N\XqL+x~hjH#T _k}fbиD,xq&\VU1wХ1Et] IG&9Nҩ+I-*N5v \Q\FI>,$ 8W;1C63AA3!f'P4W)Ďz`8~%TUFcל}3TY+l Qp̓2,վ,l1 [®>* k2ӂg pF$k9i.zW ~ǃ3".ݳk05XPpH&a_Ys~?_љEJ%EA9P%F>Lm\ pGh_ $n Ӹ;\ SNȉVj;(hkpE R*?:$n5XlN0[R(cCU[;ĥט]q7wZ*4D|[rwيr=on@B~Uj@FÏi.m619 "qMoZb՚7ܺr]#^͹ʧ.f`I\J/\d$gs{b]5ɐIљuNGV 4C(-^TNݛ5XGNÜbkT@РH1z)B ǰX@vE_V5=BɊIά`7…:tSe6E^X%#jZwCn[ C8aq}$GhP4mXB6[^/u>4G=a6 ԋ^n shӧ-6zj6#G$ZL+)%;4O)'1&\ݬP5&ߍ9y8W@#n3 Ŗ{Phn^EoUk]S S JXl}(D(㷖_y1cr2PJ>sN+u֫QYQQoqD4ڎ%^MR}x/ Kr.b^!qϩ- RŤ/?Om7{jO&Cu~F>}#8Pa3> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35706 8149 0 R >> >> /Type /Page >> endobj 8142 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8143 0 obj [8142 0 R 8144 0 R 8145 0 R 8146 0 R 8150 0 R] endobj 8144 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 304.725 122.505 315.725] /Subtype /Link /Type /Annot >> endobj 8145 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [127.818 304.725 159.927 315.725] /Subtype /Link /Type /Annot >> endobj 8146 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [165.24 304.725 188.0925 315.725] /Subtype /Link /Type /Annot >> endobj 8147 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8148 0 obj << /Length 19 >> stream q /Iabc35706 Do Q endstream endobj 8149 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35707 20830 0 R /Gabc35708 20835 0 R >> /Font << /Fabc35709 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Pӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔfZ endstream endobj 8150 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=686) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8151 0 obj << /Filter /FlateDecode /Length 4579 >> stream x\Ko8W<@j~4010jgߟxTӮܽlwuZ"ECrquVǠWU/u|~ YxK){qgLxglg1٣ujWC;[,;^[Y(tCE?4.7M'VO/,p,[lIVBrrq)%cYUX^'8p̏P':IPΩ_K/8=72>#AqQ35c䛑_m'Zi=^@bzyvD vmHh(, 벤WD'mQ_eh!j)!Z<i5v.S' E s3a==GUoh;pza/OƢš0nk^ҽ %ka0ba? ОA6&A*nڲ yH28(#)14@waH=J"_"_-/!4 [ۭCFH}ݗQM>o 7[M<ɑ%E^Q&$Pq d<r} Tr>­Tx筓+F٫O]]?$IZ³\WT\w~M=@;xGNElDRv Zwdz1TZk6M,~޵_VL2hh f,PIs"ҙ^D =Wm39c,Ŭ:J%tN2S^IމZDE ^*x o@HSf#jRkRkh>vsu*\<>IYKsʰȖ%U.@=BS ۋHh Vͦ+cwV?_ޥy0%`G}Ķ{,0$+'Te,crRub4~sC%KOe%#4++jxٰ Ej3ֽVg#6Gd;:Qf25tdc@*Մ]>zwK;F ߭d]/BX*z'TTIUpz3Aq.2.cZ9P;;UuԷӍ@ ,+,, }n`v`Q1P'ӪԞ'L َ 7ȝv%xU8Nf8[I p7zhNcFpR%v13pRt 8Aӵoy? 'Ri*VV:3;DpKaK6e- pRH [8@fNC? +z0+*? 'W.:} K >'䟅ә`˳Y8Nj1;>x!x>z@ `:מ@bȜȂf֔6r癯@2id@R'dÏAK( _z\A}A[gt>~ >M )'/9 oVɃO-o;9% 2_L #rEn^kr/O71׭"4{a!߸ISJLVXS#+L_n$K-6AaLK-.)Y=nNT~~OKIbc]K^G܁9$ۮw,A5kƓ.dy{ps|[ҏ4eqIӏP&:]?RGi7>rYt%\V"U[;|K 1Hq7 KSc3!! J sKZӬWz`,Ѩf,$$?vqen&_09cCj|T71!E6cB$J![AyQ̔ #/\*_K!݌XZ 촫ʝ4(TY]acbxxKRS05#ƒ`3h9ʧDeeLM#VzٵldpZJy^L;y l:B_4(֚ڳ9D&.&د)Il|7 G3O!BC]2*p~f+=@ݡ !aVD:3J\r|$>1/0ϭ(u,,- v}!.΍D9Y"pEOiɘ5`X/Hzw;)(M ; >n&ý"mgq4dFC61gba` DHlD\(,(e%/YFz]]X\lkԠ1['V#ys\R{Z]@mGӃ*!UoEW-p)G LpGAYI{-=w,w"QEj(uZuB^},܋u֯P\ee[KY\xkzCeeS?)tnKՃ1Z/|l7{17mfl~)8!\| 彠1̇'?+Y;%@qH w>!'R|MR)!S 7eR)]V"c\xB`HH0zJIWz s|y|9¯ wYp[F?iO9ޕ"`ts|PfJ˄=^ Q> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35725 8158 0 R >> >> /Type /Page >> endobj 8153 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062959-08'00') /Rect [218.8375 163.873 381.3955 174.873] /Subtype /Link /Type /Annot >> endobj 8154 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062959-08'00') /Rect [178.99 111.2037 396.03 121.2037] /Subtype /Link /Type /Annot >> endobj 8155 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8156 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8157 0 obj << /Length 19 >> stream q /Iabc35725 Do Q endstream endobj 8158 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35726 20830 0 R /Gabc35727 20835 0 R >> /Font << /Fabc35728 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8160 0 obj << /Filter /FlateDecode /Length 4767 >> stream x<ɪ#I87ՐЇOS54Y ŷГ^@gRfU+$~m-^ͮJ_~iCRUI}0f)ҹ=qcV ?/`p"/Rypy F_+k֯PGZ/aR]`f `\txƅ@$@h UJ+B[ruA]^Fһ+MeUX ;BaE2qg,Z,E/\f`^9^ǁ1kxݎBe&d_2<a6{Aփ̙j˔['&[}{NVzf9)+\+A1|c,TsR1F Vi]ww= y=KLw^bys-oױL+•"#"wMqͲF(`x@+9ByQg.;~, fRI$2½A&_%(+f1. 4gW}2ڀqFE9 ]4 .v\@:-(!ҨJ`1)NyLeFTRLBLi3qUmmnjN4ƔQ(o.S#!#W:1nj٭ Ynj)eSy(U7I$.&U'?Ȕ=Ibx?$:"h\I~֩P4n6nμR]$OLvIXcSXWu¢`#.ڊHKXW2 j׍2n2'X)IW#u6ʔS$RibkY#:i'o8 pO{P/ <`[e< !+p9T%UGj誙$0I>P[ΌoEfKңaFx(;ڃ+yG6" Cy;GXG`n&ՖcTQc nR\3Nq hO~Jg旰onPdzY!R꺻!T(g.c3U0>{Q̅s+7%e1sS;ȮMvu)DXk  yʘ-_  kо\Mxn 6c::K(,䍻dNx8j#Gl ;tЈ%;Sutl" "0۲Bg]O H lh7:xUb<^2`S3oqfy;k뮍 :[.>mM>"g-ŷ.G x~>d5"2xAich=y1x />l?"xGx0Q{&qPS=* bW̖2LKh Ƨ H}v7#L "e`f(#yFr‚gA`t~n;ni>My'|pg_)Z8s$9jURmK'M%Oùrd~P(W#!2D%cނk=X%dاGYmb}rœdDb^;oփn2FZM|øsS-=0W:Li;(mJTts|^zZ) `= VoyK'c/iZ{49rBATު/$~y_2Fa?/YƆO!#jT;!]w?&uQP>Wڎeۗʾq;߇/Ŷ:1YRg}|6>e:ٓt fXx9G^JDğI dv>F%vXG.^sY7}RmsM QHSc:v"hmv%ߪd/ܷ,XS]<\賸sڃC*kYd #8/Tʶ]uXU~=m>|;O ;)`QcA]>ut]v~Q(W6f1x@& HŊ5 i捵b$CqTjҙWuyJֲ!PJ

9-|7nKq\ř.4Y;Ҏi!˩'B+ȵPWw%Oت7N{rH:mk8 L=l374"NFL;o PE=&d>FFob861sgD`0rR Msea|UAiU묗TS\?Kw|P^yp!ϊC8pc w]gɐf;9R5T#IMö+pmb~NARKpl0,W]U&}‡QȃU*m[Ms&>Qq^WsM0Һc$7b挤>f-km.PMZw_X˶3>ÿs U^Q:Z6ݺ-{q}m}}MuM-uNÖ `ur ֍miOi{ڈfAL?N]j noUh^,ahrnmeyGl#9>]+C~u#^hh*Cݒ$/.2pGڬ0vn{+r%>{Gֳyoyڒ'޶D`"+ʗiQ|p*T Sjx9:2 8f~$C@qJHgBb#$4 '<%{<8Ww8e49T~ 'oi]RN7֓&C9g&drs$O4/kR6qNx.Xtg zZJgxg&ӥN肦GɷoyqqniKemIeCmm]iB{~~5M| X}.%#Ylqc ka`cH&Qߌ ~(9=1G.wbgɵvtӭ,$'7 W~[o+Ym pYE<8GٷJYBu0ޕz$ _HiVwBrø3> 5/h^2K+@9&E%vA<X6A5gzc !6y3ī[4Kw`geQwhIQ/g6߀鑌@ScB~ endstream endobj 8161 0 obj << /Annots 8163 0 R /BleedBox [0 0 612 792] /Contents [8172 0 R 8168 0 R 8169 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35744 8170 0 R >> >> /Type /Page >> endobj 8162 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8163 0 obj [8162 0 R 8164 0 R 8165 0 R 8166 0 R 8167 0 R 8171 0 R] endobj 8164 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 231.6462 195.2587 242.6462] /Subtype /Link /Type /Annot >> endobj 8165 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 215.4462 194.6317 226.4462] /Subtype /Link /Type /Annot >> endobj 8166 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 199.2462 164.9372 210.2462] /Subtype /Link /Type /Annot >> endobj 8167 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 183.0462 180.9312 194.0462] /Subtype /Link /Type /Annot >> endobj 8168 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8169 0 obj << /Length 19 >> stream q /Iabc35744 Do Q endstream endobj 8170 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35745 20830 0 R /Gabc35746 20835 0 R >> /Font << /Fabc35747 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8172 0 obj << /Filter /FlateDecode /Length 3438 >> stream xڽˎܸίyV~mrXɉ7֗ԋ"Vvb[HUzWjxO_t:],NwuzZxgקYT\y T2 !.Z#t9v$=h%dSHG;2Kbn3 ";'PP JNqD< 餮$+j兺8; ܮ ݭ{6)a2B _@|<2{ƥ Ü0Luր9Gz$uɿe L;^߶tcn cW0Ȫb++o |TR BzJgoFQ·FEPLsnMrA㬁2 jP)aj^˻G&Bdx]"R3 Ɔ \aj>3bz0F8N'~qb1AFV4&]zI)n/i&Df-sx+[^ p~56+QeA ܇QA5`^7יFfYzHqN[$N+g~$(-#^Iƈ1aM֣J-Í\a_d5.9N%Wܶzɢ3[Su0 # c  p=fΔw3WBO9&S@7k]bv]+gbW2&5Xjz q+]7V3CEBnBK#!Nzj s.jzJ 4x3&7GWf_mo}nuG۳*bM]JUMGkYnd&e>ƢG5fCZ+1U2DNns]G s^$ui完Fǒt"O@gs[|p/ɑ)98B<=ޫHt$A%u~|OʮHWҠ!}Z"8ƒXS|xoUQ#o7<6UBX1n^`65 \{*Ǯm:v@-9epȘFM\)q6%o3ImƠ"s:~vuיּdk&|φPu-9X]NzrDQ-/!7q%Ss~*s jT#FQ[r4yͰo';>;p)6l.um!g:Ucc@qqkݍ7{=՚s)]k?[!lS'cR+kNzY\^Mx{ƈE,0}Tz`WM;&k u_|"ث¦SʥҤ##'P>nlcI@^7ۖKozӍ_Qc]:뇊IMS RPro{芵M9UxUeT̞8 =| !YΧ13:e7y0kXF6cs#$0GS; ^vWJG:RVNLehj ۥԢty`zyƈv:oAN O( ko)~;\}8eO' ~[ɛfW ,T0%|I?g EWqbxBPS_4g_ǟI q ''ZuI 5_@,F0Gİl0 fLm-^`7k'qb1j˦?FQ@8|eF)b &)uvYT7Cb:=TCBi_I&o mg<,&ߐ95KJo5 `-3l 7fq{HiG/|m"*ߨ,PyM%vmh6@'x;g@ق'gkLmȗm Jy|ylfofo~r 0ӥ=v=i[Õ h_zHmgpU8[(PWr*׆'PX Ϳ;R)?pG1x~k#s` ׃.{+ZzKM۴wE5eyv[JŮK ~G (~uFvk1ÄсO 9jж"Ɯ/9 {S|k%+?%B,$.v m-U< p@Tf/:,,V/Jx_4v56w!C ?xH2"qIy1.rnGjKyhWrvQF>Fাn1 \3=:_ܖ^>0pT l\Cgra/ 㙯/_j>Q4nD4 nj0*a{-hDh?4eNuB!3E Rduf\ߋ31nF{'Qɓ=*)m43{fM?BSը۳`qŞ Q і, W 4-e6gy'2MF6PbEg#.LP>3Ó^Ń*N( "Z6#:LQj+YHEFaXڈ)`'^ߘj/2TN' |87fXY7?mgKc:b݇!Q 'F98yub) &fi ~x?VИyuH\Rm& 99L0ݖ?aA:  bW?•!CY95WxਰrėC5C Gz!,;p߅Ux%j%Aמ66BqiXM`{$L|zRdoU5>&avwҖ`nc>#t_IpF`5h 4 endstream endobj 8173 0 obj << /Annots 8175 0 R /BleedBox [0 0 612 792] /Contents [8181 0 R 8177 0 R 8178 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35763 8179 0 R >> >> /Type /Page >> endobj 8174 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8175 0 obj [8174 0 R 8176 0 R 8180 0 R] endobj 8176 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 319.925 122.505 330.925] /Subtype /Link /Type /Annot >> endobj 8177 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8178 0 obj << /Length 19 >> stream q /Iabc35763 Do Q endstream endobj 8179 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35764 20830 0 R /Gabc35765 20835 0 R >> /Font << /Fabc35766 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9d)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Q endstream endobj 8180 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=689) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8181 0 obj << /Filter /FlateDecode /Length 4088 >> stream x\Io9W܀j 0 Xr@m`9 ߟq*RtZQE>{\I[mY:cг*C>oOA;0吲g;cý>8OsyYNշ^uXw*h~IxV_d&+ 'mL[%Y?f{mG}ˢ2ė{u|:?wڻt, p#l>=r:8.3OܞZcf$sHm2=q5ФRH!Dhy ,nRr +҆nhn0HA%D_)PNYc%7#H)wg.f4<-KZ20r}ߟN?F ?#R?=*{ e#po܂ѠS lr^|glrUivd-jͰ?v'B1G©u ({GNq}<,'h++0/#<õ6j 'Vc"S X} EVDK&D?'gL;SW.Qml@> x`-X0`;A 8b(fF$M))q~w㙾*qcm>{B #ÉNx9CO 8g@}9י>Ⱙeש֪Uֆ0ua Uֆ9L5χyjlʕ+ uzuQ׫G_uzQtw)ʊjR?Y&B 4{>1$hV5{}@3Zp ]j4% G-Ԋu˕~Ml<0+/I֐Ui~kDeVUmh;rԸѕb,jY0{XY^JQ6<чꠑ^ H?<G1FGEk[0;w ff7ӻ>}<}'2Y>H9>=ZמO fU¦;6`Qls|'uOb34=i˜Tr&]4U.Ȃx9>Q}eg/kqE/O_v٪Ʊ"V[aenUzW(Ww_8]@[*a$/L:ېT1@QОnQˈQ5{LѴoǨW y~* ^anR,/E̵k/獛oeץoVPH]5|!q>1%PYMڟY +fO3~ <_)|k,]OyOGqq |A yZS>m/@, 1kW-YFE*-m.6݁$j~|"ݝ};2 kf㠒Bsد4}IBUỦJGj,5nQ@#EVak%ϕG_,:J%t QF$Ug5F0ͅڗ c+^:oV,8

RV+&FFwi7jrA*zelkR0!ɦX93,%gI QyˁƑdE4PMZj+=۪uTen^K3Y]П;WZyrF?+Vo|]8# ,0P&GpYbNji sE)KHJLePYbPlȕZs5Ӭ؄"Y^U3=2k}lAYǀLD*m_(J5`6@RsBo񻕬ӮEKX{CuCur9ŕ=\z8 mJeGTx# =,Ka)CU5,]Ɓ{X\âֵiW eVdҍLt SZZM:/^E|Jko:ӊQ6o.(nJrM^Dg'7$I^ZuɆ) 13wҭG(RT@]Mf'1[2#\K%6wd˲E؜)R4N(p/  TcS8 j+zcIȘOxNl{艽[N sHÐ3[x4ƆDq0#]fOn!,@)#9V*%V,NQ)S0 U$+^~=;>p' [O駭 {Ͽ/r^P_a(&2,fK0Yg)xhS@;-n Rh NM&ϸ⌬8Q_n\"l93gN;3,&%VD:..m.?>s9̫5 V]uv  4Cc(g-ɻ ;_@\Ӕ1}NtO"4Iikd8UYLm0ivLW/"3"\Js Dn ax!%r_XsIHkieFu1lԪ]xh4cKjO~`Rn>T/p>[Tbʹeda(v j55eáY鉇?@:F:c].Кfl>lax|:§|?'˳c!ʪFsO_~l-_hE<8,.[V'nҕkyJʑߵE#D5A] bb7^Zٻ,SM~r wwy S=:\A%;QTO,;œD-s[ endstream endobj 8182 0 obj << /Annots [8183 0 R 8184 0 R 8185 0 R 8189 0 R] /BleedBox [0 0 612 792] /Contents [8190 0 R 8186 0 R 8187 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35782 8188 0 R >> >> /Type /Page >> endobj 8183 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062959-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8184 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062959-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8185 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8186 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8187 0 obj << /Length 19 >> stream q /Iabc35782 Do Q endstream endobj 8188 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35783 20830 0 R /Gabc35784 20835 0 R >> /Font << /Fabc35785 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v%C;f٩c8/ Ơ査ʛ~AG11H[&##((BB1 }'Y~I)ɔf7!& gV#ج$VwRu&[)1:}Iw9F&[:YɭUrZ ip.3jUOFx|1$ɾ l_ EA 2T^2 'LsBV9gP|uɨ\T#ERԋ`nOLH9.ڝAp94BZ|;B}Xb endstream endobj 8189 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=690) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8190 0 obj << /Filter /FlateDecode /Length 4771 >> stream x<Ɋ$Gw ?7vs !#"C07 sT3RӔTp%UMKDos_5={7/)oZ_~CmXV>*eR޿;Sp5"X.4fYm q?G N_mmOje Kh 4Ϋ<䱴Df| ^F}zEARP*\rӺ`\Kp\&&h/4;Y>vU+]U<>gdpfBdenWY>38ztgd}:M.Q|OL=!$S:u Lnvݜ.i=.#/'~2")!$!"Y p]p/fE58htt&B'XٳkZԪSg|PLSeiNfQGcb, \+#.Ұ)3hzXߧ1 gb?#Rh༮)VQiWcA"ɬ$J y`*,H D 5+ ہd"|hS, +$P5<ށS!\$@kbʜD%à 8Q/RmɞɍJBqn+"v$ނ,ވ>ȯU2%bqm>?AV!.nBVDZDTJ'.56yкOYP'%<IɢLȓ{ ^ eM9t[k ᔩI% `^DH<'8`pe&[ZȚ 5KR$Փ |Qt8%IMYlMEX*)*(/߰OHJ1@~9%\0JW9`EWo剆hc;Z)*|V}FDqV(,6!8&[V &#$SD9rqvI@ ^KmR4D8xmAb[D=4{s6{lDa3' q+"a4tIgN3>ڟdQ(S(tӊqQ LwK {,"D%?;'P2ئ%/~h^N뜆| 56&4T6d&if$>\1\'fc}GVѪ`(RbZ;sq!U[@ّ_`ReڵUrƊ)e/nudOlsZYt3%DD(PDmvvc6P"&c=DԅO']xQ^'@$3Bө 7 EoYlDou(}9[vĠ'KFt}voK΂DUgAj3yM>S~"G)]Q@UgoJg`{h+X%b@*Z&.ǽ&oYʡ.*is A'V"VrmKީR99n x 6I|R"G۸Z]ЪTkBoh;ܛPa%'Y-ZVRM5HZ+ךrm|3Ћ7u*:4fNٚОX>kQua>1^kx7cw!%WCט`tS<"~ Db ԋ|"jZsWt˱x9vQt[ "vl€u'nDmp;9&p}{_ ;mDpBZK(;ՍntUt|]n" P4Ѝ˸)ėeu17!מsk0`7()MMkk[0S .bݢmOCpb=Y|RM>qqhHYs(&V_@. |0a̵\nsuݮtPc1*fT qdvYT/V ZAr"LUJv !_)#*&5w2ZһǠOٟIB`mǹkb]ӈ(%7.+s3xWUHru°U\naLϣL!{\ی]x{gn,W[|n⦔i ~0&-V҂r=mo0zKʹӾoߌIŸW‡D7(u4w2z&bgK##Hۨ.T)L{T;R^~ٵV2PóF@2v_DnW >͇&K[|器ƺ.#ՆbN5Ff5L`M ě tgfkX["r='kEegl&UV@[T37ǚv<5]tƶx45ˌ+T^?,1 AfZ'd?,6*‧ /}8Z͆vbhғF-"n%u_} Gr=XLpر@ϰv/G,$'#ӔN ugKVMg`ʝN; 1cZw,&!PBh^yR47w4 ԳԢ*:ܶ>iA MM"NC0,]E,n}WYb5,6-Ϲݶy Ku viC0%d9ݳkcCK7a'[k 5gz͍!ޜkIXe2?o gj b慙1D`8 hėfڱl/ኙhaZQQ?n]v;}Y q!M%#Uяzu{ &cr ‘ȵ >%CG|O~pjUUuOnu0 .ũcux)vfC;EK5$Sv՝_L(ݼ(*7a 6m@W۰Hv_<6-}sM6f $#}+ 7.%odf|0`c|0٘aTc.Z& m~Ңy.:?] .)½[ O2%'cޱBȮ4 ɵƄ`3!n C``fPμJdu)-ɛu EMZ-YiW5._<ډ4Ln$[BCmTn{\ژ .5 =CCm%C3RtUstƶGq()ck]`UrdvRЯOؗwBq[l3M Rl`x;w(vާzW}`Ьuٚ-ǥxl3yseq*.c7G&9nw<4xOE.|+V4Lׄ[t)Iw? |%G1Tc\_NtbHZn:-(>8Zd*wV dh񮊔g;,u7Em_!ۄ N-"38D=~ΰ>p|M||~x4"?y~J5OwBt C=d abBeZ4YC aoDO-r9KDo[OyS(MDbqPf]Vðym/hWnXځ' ]dq;\_F1)űKwբ RU޹8+7^,ӱR1?+ؔ:[Gѣ`^M _]R,9XŬ -2eCe$k"a*@.py$! tS_Ol-wbq&RNxJ>9ȭySIfDzG2p6$nWcșBn97x"m<)_˕ķ:FՉet=Ey2ԜUi"xηg6өLVRLFSӮ^Gɷ7Y1Ou, zh6*ۅL'zG '_E7n#&ej56j%͵?~tL3MTQba=rM>䥵/\K)n]ҾWb؎ȺJT}? Er)Gg vg:/}t^p> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35801 8197 0 R >> >> /Type /Page >> endobj 8192 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8193 0 obj [8192 0 R 8194 0 R 8198 0 R] endobj 8194 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 386.9346 180.9312 397.9346] /Subtype /Link /Type /Annot >> endobj 8195 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8196 0 obj << /Length 19 >> stream q /Iabc35801 Do Q endstream endobj 8197 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35802 20830 0 R /Gabc35803 20835 0 R >> /Font << /Fabc35804 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4l?EŐL$E d_ )2̼d9 M \09 `FbU$!īEWeGyݝC.]@ U8Ρ\j ۾{w$f endstream endobj 8198 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=691) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8199 0 obj << /Filter /FlateDecode /Length 2532 >> stream xڭYɎ+xP@-&9Fˀt2̮^$R&d0[V;#W?}t4eIO_^cf&ꧯGcS1c.ΘG٘͝@+!7DGc36vb] JDsbp:^;t4>̈́ڞotp] r#+b9T92Ac1aS.3=bgR QmYwRZI\ۦ$?Zo']F>2WY;A4&YCGY\Rn"L#Lm:}mwi`sx Kɦwԉ&Q?T3]te}p~ 4϶`D\5h[謯|;%.f%g"ol<6}*cjײ\kN:= /ƩQ YgTc@M?:%~;/7xvݮmE.v6*-ayr0!UWb)vc@ql`/ac>nIbD_>U~#;'ٺ XQ*^K&1D挷U5[SVzTFM$umtRJerC|iD EV_+!b+5iȴu1I|StB{DqVjW |{}#5 Hp]o{q 6%~n݃$UZ ib̰fO-l#[\vچ [m7z>(b5+{Oݖ%m1yWw&]W,s8v1[nA J)E:#!zsPFY!$c]ZRE,R;QC*  hh>` ֊RG%meu?*Towm  ~M.57guK'CދMDn݄FQ~E(7 G yiL??/\W|­z3z|(Uw L֪/]m#ܒńy.rppcUn (MtW Wr@ >N)Υոnk4S ȾѠtt5ML؄20j]p_<:]UDxoh3pOiÝSŋOZoƐ\l>hT^Cs&"-BQX9@?k(=/w)gxs8Ю@vԝvN2X*%Ü7̫='Uf]u~*-(}!.D{C}N~F7'fz:NK{Lt+3 eSchs sx0K_ Qh8^EJ@09Em%g EM גazCm ^#6HʍuN R Tɪ8nm!9=13q7' \(FH -[yR@gK+Y0G[$_gm ^*,/>ge'2 yh@`au^^Iv1L/w&Vڈ(<1U28O5CHg_sj%*A6==a>mĩϬ)~1+mV@F.UZ8[MjzW qT6_nkDQ3{~K d*UT6-ݧzCZl.iC oYC7zV}[g,^[w3ҫ6FM Q+09ϜaP$3lPfHX_݉މ$)k06:+*33|mSrtoyrzmʻqExꠥS׮ZcP9D1cUj$N)mo~O.Ԯ6b<|IЩ!_hV endstream endobj 8200 0 obj << /Annots 8202 0 R /BleedBox [0 0 612 792] /Contents [8209 0 R 8205 0 R 8206 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35820 8207 0 R >> >> /Type /Page >> endobj 8201 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8202 0 obj [8201 0 R 8203 0 R 8204 0 R 8208 0 R] endobj 8203 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 274.325 112.528 285.325] /Subtype /Link /Type /Annot >> endobj 8204 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 274.325 150.346 285.325] /Subtype /Link /Type /Annot >> endobj 8205 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8206 0 obj << /Length 19 >> stream q /Iabc35820 Do Q endstream endobj 8207 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35821 20830 0 R /Gabc35822 20835 0 R >> /Font << /Fabc35823 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8209 0 obj << /Filter /FlateDecode /Length 4893 >> stream x\K8W<|S3a 2x%KS;"EE) ;O}uf~ Vi?~f[c1_OZojUHru2Mߔ .xIWo꿇^4oCо^PAq3.5s4~QvChf~eqqZ1E7/:B9z/)s6$$.ܑq 1{YCmxPxu LiC 0OD͘,8?o~EA1 YAnNHg` ̄†?#H=hRx~k(?ORi,$#/ ia0L`ag֦͘6+36nGB2JP@}+xbd(;R 8O<#כ7{ 9XD>k?}_^d "rWi{nVmԊ}@'@Kd钭X"YO5. F#M?XuEKabh)'J3_cW5zw6ˎ,u-ՄQfMO"Xh#h(_ZKbS#fg=чS ؀ak 6 9hv\1{$Vpo0{C 9vg?rZ=%S*LWq18[SU, !˺ZKMeihmX|X۠\^ctjATjM zgƫ , |ԚJ>'IU= 'i =ʾKO_S+~Ο B#WjϐX=ާP\JT5 {'9'hMh$Q_Ѹy-L>ogڔݏvNИD ]JEȢċϢ-FK g e=ӝ-U]FemO]% "[Q K.%:$Mק t5n*"KU~85FVqrIA1&^ے-To?`NDSQB4P@4\q0nJ?D)' ZZH: ^J$UD- nz#raBy a)nV6i빣.6R-&F]_*O] Dh,)(̥`|rbX$C3ꭡr̀/)Ȍ3ۋHh \V +mwR?_ޥX1%`-Y~¶2t qgK[,\T:4u>\91aLR49}nL$>M(JJ-;nilBڴuoiFgulN^ŀJ-HpGUxyhAj%˴zR6}_P]b_UVr NܰP\ʁJC&W66CUL}):m Y,`n8®6aaX v٥n 9X\Vd6{(3Ud+Vl  {ڕQnDbUNVSഭ']IXN֏s/9z8Dp[kB@Əpj* fp*u*vVԐYSsG"SMڢ GU UNY)TV_d] Sh]SpUZڈOãImħIŧI-I:OjG|RA#Iug's P_j O_xx P_=E;!n.=)ue1H|Z_"ÐRW "G }u *rLbDz "}"ڙb\׀M߃II-&߿㍣7W7@&GpJ`׭A(u ~fRa(T}tpq8VnƌqO(GB[?⏿dW5vG[u]5w0NeOu9|.{puSޤhbO<θE.7,.i7{3m'oT޷(߱hQSa6woyf?EЙ7E'$BD}1#U(f>TvHIĘ^j_ 6Q@5#*S i!eXDm.Tph99 M0炛̈L0Ӟ0#;|X}F7ێSsI(pnE`QA 0H8P5jjh9 d#I Ky~-^ BHU|cmw1=1Xţ0ͭZ찛1k}գd`*h6iOspuN4R-gWbsh v#1y z&{1Yv9ye&ۧ(r>yWjۃL=їl<YnLi%%,?zkhHKL}HA]lg ,4Bg24Ɨsv4\ކ4{~u 8 "ҁ^fڹM$͹|yKc^-`[wuU<Λ24!"X=at6Ì"Q#S.eʌ!v"`O[)MPF+ ڥ9y Gͣp,η_<̠b z.*̸̡ rOluG\ޠ(agu!eoEU-ph-sAz??\T)k_r'MZ2 2]-Py/_BnxI8kEĦrG.QPGJAnM!S.sq69԰{\z_&NͰcR$UP/pVxfhK"n)[ܑb>kt-"URKWV*䭫ےKJg f6YϨ[ћ T*l%H.^FMv5 )4z`\ˉ~U}wt(b°<٤a4eQ^~>fxZys99mn޴0YOrDfOg哣jE u3#a[rzx=GQ3t)29Ds"Bљ V D< >Oڝu</]|m`s\Q'ټA &A#g)x!tT>p{HB^jeĢ9̋mC>J +9 ?gc!&=ڳ#GPL 7, rj; jfw> endstream endobj 8210 0 obj << /Annots [8211 0 R 8212 0 R 8213 0 R 8217 0 R] /BleedBox [0 0 612 792] /Contents [8218 0 R 8214 0 R 8215 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35839 8216 0 R >> >> /Type /Page >> endobj 8211 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062959-08'00') /Rect [218.8375 615.9884 381.3955 626.9884] /Subtype /Link /Type /Annot >> endobj 8212 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062959-08'00') /Rect [178.99 563.3192 396.03 573.3192] /Subtype /Link /Type /Annot >> endobj 8213 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8214 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8215 0 obj << /Length 19 >> stream q /Iabc35839 Do Q endstream endobj 8216 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35840 20830 0 R /Gabc35841 20835 0 R >> /Font << /Fabc35842 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8218 0 obj << /Filter /FlateDecode /Length 4785 >> stream x<Ɋ$Ivw ?Z t*[jAe~_o=̞n"ٳ/U'٬_=z&mj~Mlfo/Jj?P#^=~gb3/J۬2Z<IwoQ+: ?#. ruIí/̈́ib437; pN ;ArIt)H }mdQ[r,P3w/X$Ei@ iRQ$"ɋh 7G$bѪ`X${E yO/JvIRfʜE%ä Ѐo6,V0erR*C=:RoDXGNP-}|Q6{iʐw{;/jP 7D/""*-Bl.oRg%F}->>EIE/GD(#ʢz7CB{S֛CdMsJ*iH%1Sc$ZMFqOczNPt#h^ԂUd͆AݖoIlDC>f?͠U:%Ex'^z BO$Gel/Zj(~9g֧r(b.\uZqB+81`3+ܳ)6[֪2ef(!;Jnx&QĮ%v҇^4bU;Bd97iM($N:B*f0;#dSE9rS;KjVKo(/-->T[poȸfo-f(ld5!#tby=$5t2:^غ!5Uqa66{<[BlM6Ŗt ՒZQ6:n ˭ ,"BT]3HґP2ا5/_קe˧PC`cB'OUz3EAv`BfJΕuJ^#8k V"%in졷3(-lq9gG~[JU:QCՙ9bLafޒǔ?QRx4]>k] lOQU9]x\?(YgDۻl婈JMuDLe'AäW&լ%ӯ\p;| x\La\`m7BNS2e]oSBrS G")P-̮ 08 G|W\+^F:o6z-5ɝL66Tyjȫ};4O>,(7㚢Ys3Մ']YotJ cÑ⤆rJ}ͰH~oLs5]ax28=Gw?9z&)s8pV>L0^QjJFp]|__ǘ~QzD_ XL @`ab.YzW9\&\)̞{:k}qޗ; sSQj: Pnkz7;Q G JrP,</P*wRޗʗu,o<Ȏ*Ԑ;Osk>Ck Aޣf0Xɭl>ʅٴG>qZؿ͚!Cl[]tsQ!7KN ɠwc!Z~9A2C$њKqOSCWs[z6;3M/Mkt(cb&#mFoy@p'V#LaE'k /f=K㷬!ۘMQR zD^<ܖuivlvI[\=>/6Vw]^phgcַ=H. j7sO"w>(3]eVք[R-x@i+`HXKm9˺m2C`U=rk\oSZCubQJE''uH^Mg Tʝ7&N?j˞L8ob!]R[IJSKCCVxR4Ԧ; XŏǪ{:_:xϖ(*Ԋfjq1qMJgu?,NaKmoNuwg[puΡ{l%\"]|d&H%t{=LX 3Dtp i] #iBEHw]v ; 1bX\8P' x&YqrCFB;վfkCܛ0a U}@“HHvc;KE&+3]ɡvbH~8rGbqCgGdg18$B9h'mW~@,=ٴF `^M%(əMwd17-RѬDgEb 0-fLn~d)2P=w);d]N6:zF'Yl{0|G[`k/y3 z ƽܩ+&H5ƒw$ͨto9<269 C&z8D ( lŮUrqX i-t|15'Jwr<]_x՛{KĹPk<}2[Qu(wǭs)oٛq1Hoһ.,UG/t'ptL}}QeѺvݲ^kv)u/mq]GNn@/* Aݕwn18٫ (<,3czTc5p;Ry,}T3V> "0&vZlvY8x,z?{cjuCh< [`.:`'!T`+NZ L'9\,u0!qņ-v`t)ālubDh~B4#Xk .uhI_ ru8#Cnb1_Ƽ> ȯ_@G.[7aa tOcR(̈́IqǰPKK\'7_[gbd-!vR놃ts ]X&x#U3"pF xAi /I,A)S(f 5cIwXDڽ;Gq 8RONj9H?P w(zo} |gmx#kKDvjBhMTi бU2\(HĭM<'HpJ%$x*q)cq49T}$r]2uPIVDD:0 M퇆y+rmKBx<2G2]"p~f.e<+Rke+LqQ&#mE$~ڎMv= ww_;~YG\Z%\sOnjGm2:$,dXrR<#`]0c_jėOhP:#O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35858 8229 0 R >> >> /Type /Page >> endobj 8220 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062959-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8221 0 obj [8220 0 R 8222 0 R 8223 0 R 8224 0 R 8225 0 R 8226 0 R 8230 0 R] endobj 8222 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 348.3346 187.5312 359.3346] /Subtype /Link /Type /Annot >> endobj 8223 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20211013063105-08'00') /Rect [104.1732 332.1346 190.9192 343.1346] /Subtype /Link /Type /Annot >> endobj 8224 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 315.9346 164.9372 326.9346] /Subtype /Link /Type /Annot >> endobj 8225 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 299.7346 154.3497 310.7346] /Subtype /Link /Type /Annot >> endobj 8226 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 283.5346 180.9312 294.5346] /Subtype /Link /Type /Annot >> endobj 8227 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8228 0 obj << /Length 19 >> stream q /Iabc35858 Do Q endstream endobj 8229 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35859 20830 0 R /Gabc35860 20835 0 R >> /Font << /Fabc35861 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=hx&$߄|j.P3YuG\YZ)㻶-f_Kimf)1:}Iw9F&Y:)[㫤j)\Όl iV5ۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"KvPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8231 0 obj << /Filter /FlateDecode /Length 2817 >> stream xIk^SBi œxBe~֓dھ}6\ۜ1bq߯ӓcO09qgFxIb%ş0+y睱cܽ~'*6^A9f "3#46 <$D׀ |6&>:6?wp4!;;TkG;`*IG1ZfpY3,+M;FĠ x$ZEX.6lrҚ"45=k ƐHɡ,#+2o6^5͎C,JlZ4eƃ@–LdOXNp~G Ə 3 A:ж$ATB(Étgj}Xo6N!o)RhPdL|BhTkjvnP#[`۟}(tfC߳]--"zL͖Iԥ/iFXMhvoFc !P@mj}CތU@,j6]UI&^fsMM^c. ~ZFqjxUQDM>n7w}ySX/@ "WH4PWfE>KfʥHݖn@ֿhl,8R*kQ%8 ='~!6/#^DSV%h:pt nL.rn+`\wu Q*ZHxU/tIK [#`9MvL\N}JLm9tmD/LWa`>dK2A@ NS ϙ96giQh'nW* .San]Lau|3ޞ/f'oC lk\w]r/Ghf+80r؉ښdG5Wz⩒lV|cu9Q-3es*}[n@Gޗ4J=C)G,\,NY7liF*շ])|gЖȚ5΅{NZG'ɡK ұ>r tw7 n'2OMuXwoQSJnޛF{n5H TaA\?pp5e/Jti- ps OA=Ej[i;7(*oIoʝ|F  W<6 bhI}=->Rr!m&E5AZ/vm &* "hVBe9_O_5^yG&6x_<Fe{ _$Ӄdג8]d}O43p-_b^9Xv3^_z2.n^Zav*QWO)kuժ Z3l̓-`&ol2ޚQ 3w9CKkj!aklBܪ:GV E$0B+][0m(|JwMn ҇ǕB\d$@uZ*|R e|xguYƄjAMDFJ;̺FWT$}dNWsya vɢmՀ13 HRč/ROVu~Ѝgf?C 9C異 Pz#e0"qK nf`˛ה)/ī=;]f]+u7a{h^ < ||>yɦ8ANO [l>R`OSc(s Q L_~+a3;V!pW9/F?\Bfm!aY-hB7o$юmʜf~=3iu lG }j >b܍qrdFAFJGV; 5KUaj=o-3XqM놨v%O~B2Mf@[ >ˡY>Lj0tihe Vld,@ #x"#Է,ǀw K EAD\}9A`RQ x!Ƹǣgai#:DJHR5wN? IBpneu~F=3f c2q &ux!#k F blQG{㎬׵]JKi&  0?^!BqG9=@ğ<=I d$yC z׶,x\x?k"3+'x-tIZ$9*a56JH{Rlm<2}Ğ?,k kǁ903=dkHw"GXpn4jIlE endstream endobj 8232 0 obj << /Annots 8234 0 R /BleedBox [0 0 612 792] /Contents [8241 0 R 8237 0 R 8238 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35877 8239 0 R >> >> /Type /Page >> endobj 8233 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8234 0 obj [8233 0 R 8235 0 R 8236 0 R 8240 0 R] endobj 8235 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 304.725 112.528 315.725] /Subtype /Link /Type /Annot >> endobj 8236 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 304.725 150.346 315.725] /Subtype /Link /Type /Annot >> endobj 8237 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8238 0 obj << /Length 19 >> stream q /Iabc35877 Do Q endstream endobj 8239 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35878 20830 0 R /Gabc35879 20835 0 R >> /Font << /Fabc35880 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTΛ7acX-`~߇]&`j[i8 [nӡ~1OE*(ko EI&5UJhAb2Y@Q 1Bs4dLdiNU$y$sBDQ@< q-lb@Tj92;$ o=kDN{r=C){ct@;b RM%4 ~UxoBDM>5TϬ:Tă+6Tr޵n6ZNoK5m͚ˡ|72ْԑȅ_%V΃sV7Ӭjpk"bH&} d_ EXBYB Ȱ)xp´!bd,9(`s2ҫcDA ^@wP&O]@p%4CXu^lMg endstream endobj 8240 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=695) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8241 0 obj << /Filter /FlateDecode /Length 4739 >> stream x\K丑Wl e)*3+|0|jx2sx%)+3{WHI`D|Ad& 'e;}}J]eOL_~ou~N>&7}yIk4ƣ$G'cl}q{];*=#S0qՊ/ sFsI:ہ_@_&=Ǽ)=kg\_kr4_Qv]h>dFٹ8k 7N!I"@ Fuǧo:A'uv\<)p|~Ӕ`^"pGs"K!m8ÁR|R$9 .1Z6: 0/'O4&͜&l8?ӯ>`SgDGYf_Wg_7T;pzIa6f~^ 0ϑG> Hf_<s/b:OD+evjE2D4Q/ yg2*p(1bfe!¶ gm>Lr2?Zpc-0)5\X"ong`+F(.M`3k|Ɯ;fشqB%d.Qu[LX ^fL7e-p"~'g7oBbO0j(30j pD{gYg8)ܖ~q vUB^u kK j;W{C\JOI=fha$zI۳~ycr@s@=*@ws8YrlP<<&1NL Cv<,xЍVt{LS]%Qx *+qNy'd|Y;_E(jB$b?cw>18vj[ZɹDߊ8nx:^; _N_+::l!@WF_9cNÓ".0~Zsw(@ޅ&WTؼg(K@%/ESr2 GhN88#y_L_܁8VM:(3,q;2$' ':^NͺS7LZ㇛"ˀs6Q_߳N蒪:N Ծ8﯍>+BS*ti~a4,U\dCn<>]X"'+(-rlӺl[@7ï[xvN0> bi%3TGd`T|?*.HӲYw=;ݱo|^Oo +qS ҄=yHO~op)>'@Ho$ÁFģ@௹:242:-4TF<+tW_%76)&_57!&9 :Z~[]q(ZW{@s"ґ*DÕfHwB7OdžbAҩΖ2*35덨 fkcFck^{ɢK0@H9[T/)=g'-׍zV8 BC8T&6K3lbp~\ɐ…zg@[h 2cf{ v-a5bmh:)M\О;SZYr FݲK۾Q#@,0PgKp͊˝NՈCSs$.%KJs,>I3r,ӄbDԚqf&4U>#ޱ:k@TШ͊Tvh */E8o!~eu4aPWkco10>R0fisCofQ`ki{X f tvt`aw`Q]:1QŶ's+eb5SIardd7zWW6Xiqك] z*+ɮdpR7@> '\ks@n-8N=5dzvPqN]{pB:mY5j-88udԍM:>M?(>ħv'5{?">N?&>O@n'~'ӭw[T >B@z Yb\'>?IuH~|vaq~ Cn6Gv; j܊0§]zUhN&8߇!σӡߐ\vR-`?he^Ö]wR6N|ՒXwbW[GU.#RJ0LynY4/ި[=gtMSiT`uU?PsY"[OIQN2Yp'QZn`E:fЕ)rVZ Tf%xL՝A9`ވ4n^{A؍pZ]ޔnJq&`"yQ:s<,kaw]5$29w 'H,&vU5DӆqBGt0)%vOdP#׼Ħ9[Dr1*8+ 9=52;5;jq@#ͨiT5č? I@,zu96{'{<;oZ-L@ܧ23PDWfSv4~T4D\!B9b2i2Kf×eR8^+c֚g7gj>@jdnt97=G/> I$i%E߮tQ'[qܤa)|Tլa 17vڤY(-a}˱*ŠrY\0Txܹ:OcK=qدT!]3־lk5%k6 jɅKRƿ$5Ck6]$T_yX9lr# `L6$A +D ("E% ΐ&@ e6Q  f}pw_ןKMkgLj|Me$Y?+HtSCDs{j%fxj~d_F+ [<~^[;hAVd|3+.5&F#y_/o &Qh i-9U&;̤2rWfvX%9ҿgTdqNK.~q!LmCjquxҲj,LZǂ>Q@-Se%udImW֊J8x8۲Xsk{lGB2~cV}c 'UloSPxC&~WÇAizpmc 㷓l:-xk <:'|dgÍuUW_yD_Zon..M5?\m9'>0O`]3yWi=i*:\z O]Ŋ0P#S8'\HXiir,w[i&;ME_&ݽ mcqN ȭ&FGEA=@`gへP.Y Qa%staEE_YNcyqR{4]HhzХ>`| S_Rh.amb-|S|Es1MKY_P܉o_PhTjB(u|8k4iO?Xѐ{|<$7rja"՝e.3G/8~[Z*j.ݴc(R$Po/dzÕ#-DҶvy Ӕ֞rkGDe0AuYm֡tțz--ZSy-l4+KUo29R\Pml v:5fUf.zPtaH;_^NY}H=!PwaI?2hr(XEick>lB?I|^/ծUvBs >}m2z Ob/v*yp!%^gjW y }Ax9嗿ǖ'϶`:Gە~2*XZұ 6v#|Ї%G*jϵ: ^jGU۱|B :.(')t{F,%R2uO" w z PM endstream endobj 8242 0 obj << /Annots [8243 0 R 8244 0 R 8245 0 R 8249 0 R] /BleedBox [0 0 612 792] /Contents [8250 0 R 8246 0 R 8247 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35896 8248 0 R >> >> /Type /Page >> endobj 8243 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063000-08'00') /Rect [218.8375 578.4615 381.3955 589.4615] /Subtype /Link /Type /Annot >> endobj 8244 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063000-08'00') /Rect [178.99 525.7923 396.03 535.7923] /Subtype /Link /Type /Annot >> endobj 8245 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8246 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8247 0 obj << /Length 19 >> stream q /Iabc35896 Do Q endstream endobj 8248 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35897 20830 0 R /Gabc35898 20835 0 R >> /Font << /Fabc35899 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HRSthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}\ endstream endobj 8249 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=696) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8250 0 obj << /Filter /FlateDecode /Length 4800 >> stream xڵ<Ɏȕw~DǾRRʀom$0Oewt]XLo_ b6zMI%E6??ܬkԆE);k?~E)up8G1~kD_V.4fI68EEi7x  Nnu_e'bR?'e>.GM$3@dy b6:M{: @)8XxQ9+p\t0Z7Kpz@H@^:~@#>p 4!|8pBWW N~ݟ0Cp~a{5|dĊtY2(rn9@2p3;D-8\EfX\W~"Sta :?^@ê,%Xb: A{!yʘ6 #;+V[Y|[/ohdpASH|%"[, (7;"ZU'?Nl05]\2}pXnmڝ.TӢ8e&CMcL*,$UMصD::Ξ̏XԢye7BEa|up)ͱJj"ruI 7jkT14ifBL1E<9*i8!xZ\*j: ~,H_[$"Y+&ՂR$Jr^)dlH 5 $-D|qLK)p @a H05(e̹d4h N·d &G&7^:!.]$I-yRkUBwuukGG8V>[yUKOpkQLivs}\Rcgo8X%<,z&QE22N:'jx1$қr7iMxt SԉTR[0/"c$&p/ӵ1 w+zbYaX%ՀK|~QtxYKW%Ojּ_bi1~uVd ^T+n2k* cB0yǸ:sB0\ZyU8z/XP W zAdJp&藍[+!f昣,+J&4,^z.˴h)@Km263,MRa ]\#N{Hlxqx:`뤫O'Pȅ-:*?EE-BvarlU[@|¬l"-^KA8{@y'/VO'1q˛imLLʮ̽J9A~`L4kU"QC=uJ0{MZEFu32Ylr)fβKauٺd lFYKd= |M̝32ޚ?5r%DDl\gb_ĸ+QXQhZDlX)(&* _ FB~VmGY}P͏¦Vejhw;Cj]g kS%I,^Ɵ+ۢ:X3r KG\ܼ)o`JTy998h(;mjtv:)Iv [K>E^[Dbۛ|N9|,4YRۓ-ľ%sT+U;[ptǀs:4SsԩY| PJȶr Dˮ4"BNhV VJjt8K ۞.lDS}e)i JK;X,x 'ǣBHW8Q*~zƬKgcK&e1[o6DKF2 3D΄Ź46PXQHZ6V )qêB6rOy]!_Id=AjjKLB̮Cn^u {Ȍ-:a{lشIC$>,܏banv 1N\wZ-L=r^eFk=?DOOlcw$cg_\(P0i26kowI4=l2δ`]0뙄Y]1>T z构X'^z]<؍uiovacjYc(E^p'Uo"OuÜr7JNrʌ()k^(TPcY9q2]\Km'*,`d,-×WIf)uġ6IlL֪蓺'γgy⌍FY1qQ+nlɄO( c%)T[´ZuU彵$bVSXI8|lölIPIy'^1q]Ji$o]Kpېb/ݿ1liC4_$\#+%>4ٱK"RIA/Ly3cSHv6c y:kʷyJtr-]J ?? k .o]6xI?_4/,EK6r f&.ٿe6btIKk뀨/?ο '{%$wa>FN-޹M>,Wc-;NpaXxCĄuM@llhG Wى~KRXpX!THW]W +p>;bPx7ɩ8FP' nĸƆзfnPNmc:z.Q!LXMFM9,R3FvLl۸)D ^a&Ffz^| )piTiD I-oUȃM;4bX6bX" Rl/bhwSW]"Q'(FDo4: A ]YHlt1QZZqfZO *|~z%yx'$=Tb߫{>hVo[ٞ=d)vz,.rޱ`꽋]ۓ;ްԋ -] Ol N&|kF4̪)jt}p?$e({QB%E~f_XI(E1?]bhD;ACG: `,GdjWc;b7l2:EbvU<>;%NA_'9.&N\o2.,[W Nu=h!+zv ÐÇ-ӘK+ (%pRܟ@T1܇ @Ix?2Nx&|jIyXm'B}ڔS(MDb'81DBhYV+LF1)MK㗃bA_sq)Lc0GA*t\_{>+ؕ:e}=z7?חTm%"5E7].i(s5]&: A谘cC?Kg ]K))`]Z"_d0o*SE''?臟݅p9EU4!vjUBf]0z^y 騽(E8F x =hj /7aWeԠ? endstream endobj 8251 0 obj << /Annots 8253 0 R /BleedBox [0 0 612 792] /Contents [8263 0 R 8259 0 R 8260 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35915 8261 0 R >> >> /Type /Page >> endobj 8252 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8253 0 obj [8252 0 R 8254 0 R 8255 0 R 8256 0 R 8257 0 R 8258 0 R 8262 0 R] endobj 8254 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 362.6346 180.0512 373.6346] /Subtype /Link /Type /Annot >> endobj 8255 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [104.1732 346.4346 200.8412 357.4346] /Subtype /Link /Type /Annot >> endobj 8256 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 330.2346 164.9372 341.2346] /Subtype /Link /Type /Annot >> endobj 8257 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 314.0346 154.3497 325.0346] /Subtype /Link /Type /Annot >> endobj 8258 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 297.8346 180.9312 308.8346] /Subtype /Link /Type /Annot >> endobj 8259 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8260 0 obj << /Length 19 >> stream q /Iabc35915 Do Q endstream endobj 8261 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35916 20830 0 R /Gabc35917 20835 0 R >> /Font << /Fabc35918 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7L4cU$!īEWmGyݝC.;p94C-z^lMK` endstream endobj 8262 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=697) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8263 0 obj << /Filter /FlateDecode /Length 2824 >> stream xڽj$_LǾ@QP4[fNm6L_X2T- HeFdHߴ~篺Y. ~U__-j֬D`l*ƺ` )sG~uσ1NxI05@?I4G,l#`*LOƤgjB/寈X`;@Dl\Xȟ2 <Ü#ygz"Wj3+wTQuٔEz7$k/veD8FIB$$7!P"2/YK"ł}$kǰƚljr:kbQ (U "yYaʁV1:dp^LaQTƦ<&^jsuPtq~mjeJĠvfM ̼`Cs^_`"s2>v+Puwj#֜.!\W &Zr@zAQwOvQdcE {-LWY$&k\wU HwԯBOkfMa3\[K) mQ:pC{aFM .,OB#2dY X=v,Tj)38C1}cEΧ]؄A9r7MRlf)$Gl ˻l÷&7NM}h%vS]8~_[q MߙӚ7H. v~L*Zۯ@! kH?hd_O@iRޝ)D& !Y=-:}[ҙ\lyHt^'P˂dr7Xԙ#7(Xe`.r녍8~]`&.S&/TK?L|N(#g<{Dsh:;1 [$0:wq LPuH6?@ai.4Y+`,{l@rːh3dK~bI !"VpU: \@lە$Tא@eJN$8V6V< <ZM"WG4 # .@M>nyV1Q0SO?I<۪{*)"9(!.zվ,1腿Li &!7mPsB1'_\g\w;- K5YHΝB,$o fJku?N7@r&".Ê_ `z֭UQM% $ѻ +t+h.PCA+hm6I\x'^LRgj{QK>!@M}^/1bru1ГM1*k)۩1ๆ(/^ǰr}V[!pw9_E ŭ!a ZѨ p^ŃE^' KDBqG2Zai#ڦDJIR1*mLT[;?327' ͹-,ÈƟ3u1CސDhPf0E7r^еnЄLp1Y(qv >zm&;RX]5~RSm[8`. %5"x%;駑 T6+G*DCV?[!>d+4;aԎqYp#wP3{&O]gbW9> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35934 8271 0 R >> >> /Type /Page >> endobj 8265 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8266 0 obj [8265 0 R 8267 0 R 8268 0 R 8272 0 R] endobj 8267 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 286.325 112.528 297.325] /Subtype /Link /Type /Annot >> endobj 8268 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 286.325 150.346 297.325] /Subtype /Link /Type /Annot >> endobj 8269 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8270 0 obj << /Length 19 >> stream q /Iabc35934 Do Q endstream endobj 8271 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35935 20830 0 R /Gabc35936 20835 0 R >> /Font << /Fabc35937 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ jӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8273 0 obj << /Filter /FlateDecode /Length 4722 >> stream x\K丑Wl e)*:m|0|bQ@eƋO)_`:E # %4wߏ糟^k:?'^ߧ|??MjW!r7=)J]ܶ k{*uʹ\f40]`/Wf=m #~5?MnY;ZF3e߅fWL/Euk:'(ק)¯;>M~ ,B>y#SIFZsNH0a(|,n ^- SgF[ׯTG{RL98\[4/3 'W}Y'j[̺/0!-C;m%Yl)Mq!'YY, T3XZ -K_3;{M[De3t8wU?g^6jGW';ƒܗoHjg&ݒB͠Q%=Vfڿ@t%?6$l"m,jY֙X`"UE&Y"Ge`0$df6a7pc7K2<5,Uv^\1;Pvt[WL:$UZu>hP.J-FhA`ԸQ4+e&E0(~?s5G3zC_qpYTmU+KC[Xwثl(6a Pܫ\o(6u2zZc2Gmjv|L`,JX)_Ik4U@zRmgzl<Pr@`Á̲&[~c8$kKQ8QG^nWIDGKǬhЃY%MPNzWHf+:M`sDn[_1& Q=sOԴ5^e}P t/ˑǏ[0D&Id֭,7`K!ʵ*Ũ4 0n((h(c ~[y7'(k?+ˮ, Q\ih$F?";ןd.{ g>EAo(Z*1Ios)u8v+ 9U>[CqjlXgq]7_aE'd|6̅9XIk0֓@X ЍMfqYSrphkwen,6b,zeT&~R@Hޚƫ@}\hblvYFCh * /;cg;N{ܼ8dvIA!_ے-T?`NDSY#3ہh,Hąҗ a)^z4`7rGH[Tųv} Dh,oLmRc0>|_0,!c Pf@[h 2"(:[j-l=۪tTan*]\ОS,9#n*vc=b*l 2XpS*}h{\91aNR49};k7'`d&k%rF[P6-:sݛ*ubEf鱏2# Z BTPݶłTvu /Y8\h ~eTTaPco!bYprӰ@qe*2*m\PTUGwi[X 3p݃m`1]m`4XXddF -b[ՒNIpRO$8aypR8nu 8F{_?'e6SW٭ puaujp[kआ2wxblSSyNJnif8aqS985dԌpj m1ia85DZ8PXm|uQSh]Sp74Ӆ`ëE8OX:[nO<=[/0ˌMO {KcjdV/1vuzAQ/|~c$!T+2l9tZhۏ  ոK("Im?Gpy6-|>>V ׆TdZVޯdT4jGu*5PF_?zpq:'Q19 DA:P$Ec:-&ʩ)z_JPw=:%w|# nL>İ=>nά4/ި|f]^>p(v8_4.'M9.+:0G!0h/`'~ZDu\$,͙P$Y\ۅvYk% =LkB>BXnT#F_!e;ךVdAC0GXAFU"[aeH R,{ q"ZyvHμRnY0Fm[a$ 1qk ^kD]%7lߚc6e/bńlM]zR5"l5fetb:ʂ5ө | },q[5Tu ^Գ7(wguLV6*NR5&Vm%"[yYOSBNX ` JJ,?U/ P+Uܒ-"3fʳBhqdC[5AEk]>,ߊlm(0gng=Vن&6'֨ <2u>q@x+0Ic7)0/db"j8Efu$}<Gyhw۷[뛇Yb71(%LdwCᵟ*ѝ18kNevya}4o_Zykp!֩kյ}%-{t|SV]oXE#ɸ;LY _I;atTnobI+27~[]HCx9K]'7~ {,lئ:^i Y%0Z7D 19q+wBi1_0B!賣UXdޠ 銎Vo>ٱpNJ,n{dO4.vp+l ́?K-/T|nϹ,x!av2EcVƺt ?c$zl/9SZ .3y|H68~$Uwm+cx\4vb4ZlN >Ns~^a)6Ye5nfҊHz$ie&w-ݜ;湕nxWWr.;{a%ʹav6hA0P#S.8chhma̽@&k>g44}=E< |'R4&/BC rOluG\^(,ڲ#$WIuUw~PlkT1cF ̕fmM8&3ص:[Gqnzꔲ7آLys\oh.FIԔ/HO|ۖpLHLWKZBGGN_L{qzۏ׊-历'ǦCr YK)X] l0sĩaswy&%L;,% HR xaL&HY%Rg\qKڞ恌R[>,kՔ*gXR!oftoK.բ*2dQf1pM&G NM$Q/]&_mY{qMY_ D_} G/b;0~Τj$ p+ţVt}'n`+\bژ<8}ϙ{9fȹ|/'úʧoE=cw[p.$#` 5 ȃqI}U򽚽^^Q fc6_b'B/[Gx=~u~Rw M2~1>ב%gZ2Qn 7,*x`A/NOo endstream endobj 8274 0 obj << /Annots [8275 0 R 8276 0 R 8277 0 R 8281 0 R] /BleedBox [0 0 612 792] /Contents [8282 0 R 8278 0 R 8279 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35953 8280 0 R >> >> /Type /Page >> endobj 8275 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063000-08'00') /Rect [218.8375 514.6269 381.3955 525.6269] /Subtype /Link /Type /Annot >> endobj 8276 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063000-08'00') /Rect [178.99 461.9577 396.03 471.9577] /Subtype /Link /Type /Annot >> endobj 8277 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8278 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8279 0 obj << /Length 19 >> stream q /Iabc35953 Do Q endstream endobj 8280 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35954 20830 0 R /Gabc35955 20835 0 R >> /Font << /Fabc35956 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8282 0 obj << /Filter /FlateDecode /Length 4998 >> stream xڵ<Ɏ#Gv<t @@&9X2e~o=3YdiFB5s}KD.,j BߗrM-.Q%IM\-v˷_۫/in_g)Uz[ md(B%gLR&.iMIſ -ZBY84p~ =>G,>cb8@}A-Qee:k% _UGdF(p _.!d'm5< NJ'm HQe3Tjr (Y8u!7[d."ZX9nݦ5stg9LQVN5$5?Zk&1JS@"V6,;#+Q,ƫY;ǝP,u)ziaT̢ Rؕ"R,A_$Ņ5ٔbpm+}DV#2F[y@٧ H'8&'Nͳimsؠn` CrR)4ѓ@`jYDռG͞mLJ=q)cz RqstUP%0F [*|RήIEi_5`!ױmhDw"-{ALl4P86M. _k*pSFYCS^@g{PWM^ G59HK  wS ?Fa[1,7ªDw`1`m3[ œY|ՄEtQF+] m Ol˯M)6>]d=-B@:-^y\qf'>Q"jh$Mg'j2MZ]T=mⒽ陚"&]!^Mz,3#oag`Y}s_eHw;bو˔#V{)u)5,AwA9JIAG,Ufaβanݩd27VjWqq/>DQ}@cƇƲ1eYjO YMš kD$r%0.|Er>QVygߋ ysoƤ5Ԍbv `TC:K?2׬~҄%@vنxm is)LLQє#{5$&,Tj4&)R+ }Ҕ!+O\UC]WԵOJ*=*^,!5vWb'014hWt$S-eFF=k5OupouTt OawjV*xhqvjzAxLZQ vaW3![S񤛒)e-ȅ6[Aع7 $c_SVɫilu[&QS N:^@<;d^ƚN_5x 37~5SSo zoPOZNeKc#No=LS'\c+!HY3{o(!uR('mjYPyG2]5,XjUS.0p$$ϭ^ooJ2OR[cҳuˣekW~ݨNsMoZN@A-:U`Rʐƍte_.yRl@txb1XW̕~ C%u~lـ:dk\S[]r+}%20H.ӜjNm+B95wLN9~#ԭtQ͘@h0 UkUTXk#$RVM&k"Ř[LjkB'_XII=̶&AŻ?ND-)0WDsϥȺAM%b2o^4O5MZʼn̥qYfNnjhR fpqg9P5XxNof5b%dԚWk-؄~ۢrkWDd$c/+vv`Tuʎu8MGոpTv}]|S^LI ݜKCyό`Vbki!ЙȭzTU*yf1\Kvji+LZJ^ʯ"Ѧ'4 7) N~ Os-k.[5EiYds ?錊ʻZ_WFDSG< dJHCnZq[8JH>5[B8KP[r۸%!}~Vf#}sCz pYcv?:qA*"~{(j:ebo`5;+?sاr@yeX2cГ-NzvA"Hyq;?2޻uAg-U%+^{yXO?6vG[)"7R` s$ڽ9k9$8\RW&J1wqtǭr6c"p{yγ{P HՙH^mKݟhL)ȫ2>?@u  o{9^?5嗟bz/tۯ⢺:0~ŭE/~cł_j|։\ưq}߼7Cw}4ey# E~x6qA$^Qt fXxA؄M@.|GgFۤ~M!Z&$Tʳ.suOnu!Oۑsu2jz;ҶtJuĿ׽u5).!6!mk<9:X9]+{9s\ݣcTm7wch]$ MXѝkXryKc X}[.{-E;;[CejU{3'dJlǜe$sQ\i{3 As1076C2(@򭌓}>]lR?P֬!$#=5tN2 ` MwHTi |"ó 10(5VEۛ@qe 59*d? xXª*Wp[A!hրr fp*hAȿ[ rv W#-ӘŌ).RMk:tO}tބvpX0PSC\J_mh_/o| Ű)I{Ԥ{׬Q3larX'R5I7MDœJSfs/9x.8(e Xyi qLK ܑ54@yRq w(zs WMxZߙ|-OD*lH&Mv=ǂtu4"'`9usBxIJ 1bhj8߳p+xZq goQBeJ@=aWFBy 7ʯ};V~^^/c͓4'A{]sy"*HR[P@j]hw =[k.>p".?e)gl,bhg7.?2MCF< 45s&nhk)f,5 endstream endobj 8283 0 obj << /Annots 8285 0 R /BleedBox [0 0 612 792] /Contents [8298 0 R 8294 0 R 8295 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc35972 8296 0 R >> >> /Type /Page >> endobj 8284 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8285 0 obj [8284 0 R 8286 0 R 8287 0 R 8288 0 R 8289 0 R 8290 0 R 8291 0 R 8292 0 R 8293 0 R 8297 0 R] endobj 8286 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 196.2385 187.5312 207.2386] /Subtype /Link /Type /Annot >> endobj 8287 0 obj << /A << /D (unique_138) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20211013063105-08'00') /Rect [104.1732 180.0386 201.2592 191.0386] /Subtype /Link /Type /Annot >> endobj 8288 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 163.8386 145.4837 174.8386] /Subtype /Link /Type /Annot >> endobj 8289 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 147.6387 145.1812 158.6387] /Subtype /Link /Type /Annot >> endobj 8290 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 131.4387 144.2737 142.4387] /Subtype /Link /Type /Annot >> endobj 8291 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 115.2388 149.6802 126.2388] /Subtype /Link /Type /Annot >> endobj 8292 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 99.0388 146.4572 110.0388] /Subtype /Link /Type /Annot >> endobj 8293 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 82.8389 154.3497 93.8389] /Subtype /Link /Type /Annot >> endobj 8294 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8295 0 obj << /Length 19 >> stream q /Iabc35972 Do Q endstream endobj 8296 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc35973 20830 0 R /Gabc35974 20835 0 R >> /Font << /Fabc35975 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8298 0 obj << /Filter /FlateDecode /Length 3530 >> stream xn#ίyu~Km9{d6<v.-Y'@2D6YUw{RK_:w2iRo"ᙖ/_^j˗oGCR Vj^A %+|#~e,KV*7Ճ46ӃaC8 rb ~/sn3PTz&, ,О@?dÅc  |`'*l,{ vGh6¹b |J3Th>3e1к}"fd%iX|q&_->*cKkz@:N|SOH- N4P.2q ,2{d&1,D^D\M,F$qVx5",vI)W),ĮpіPWA9;0<V0vW:+0Jc*B%^>@<ؿ-`6lC]=|5R*$=eϚ©a0,n2-8 U 0ԃ7;&9Kl'1;r )vn$pʬd}sos%a9̓Xs%(K0q%Phxs!pxM::8U܈r'v:=GNtTA>F^*^ \VJGW_w2gK emb #!R|t-"VAW\ D]V! . 55}oT.[Q[뭷mWA;45?'!4z GsZE=E>F#gG55v $5Uoٺrr]#^͹.f`Ir.se/@\dP-1v$hP'C^'Eg9=RBitZ,17vR0_=̙-J$i`M(TfJE[B[ 뱃-\$0NV8rHMO *nUڙrXI 1L;#@I[8w?\[oQ\i5l"i2eLnɿآh׹ڠfck! bm9⁺tN{!/w# U+wFnε":XByTEvˣOlbb.XR/ U36@tmR$HeO2FA[?@b* 4+QC)q[ I4eKۆhZ/+flBk PR:7ƹVjrĕ,G71KsSjʚ0^b'yQ>SM~ɚ 98ecX݄&%S+NųUϋi*{U7K07c{mh -5Z(I(asKRR+]3]NyQd%..jhx28_;{Xv{śk,#爺.v4EOgH>L0jn>ƠzkoU!)ܐ } ˞7y1kNp+g7N=LRyzg5~ Iw1A(qO3PF<I-˷i_~F|#8rҶ*ǧI(3;БR~̥dHcaH$%gZ.Xz!{AgÓw>@ c2mL'}:t+=6FƵ6A*ihmZunuGŎN\qtE;M~sȜɪ Z,9q׎)>7ZՂL Z,q><ٚR731KF.ɚK͏ue7N,_{d7W"n z5yG v+u!\n[-8uyWo:]%PwMrr;X`Bilz\Mkv^+KPՕ^xw넃]lhk}6}z=j'8AaSC$` 0|^K(CvlߛEkNMH|(PߎcΦAL v4^Q*δq@m(Q"-yr".ngb0''wj§&kW(^* o {H"`G5{?LlMIl{NZC{hWrf2۞^5vP+=D~( <ӿ$ A.1foQ7e!Spd'e im' jzUu&* 9 IGXR* _4{_!؅! b"e0 b/+:\CQKR.˻)Oċ5*5.nrK (Cp1#j达n 1bc%nz6 lRE`/^$ð8*)yEvO$E' \|,.w2 ;ш \.9L$9^c!͉._(;]of[ WI]pɒ_A?z2Mj@KY!CӼ5XUa禡*(Eg'?8DM8?:e_aCesb)G6NCSN E+dj^ 9΃&r\\Vɭ&;ɍ]NXg +Hr'eZgR Fx_Q=l3 eXrąAi*wKYkꛕiryzRh,K\:kZe*xs\^R|mBc}{jymҔZ3iHah &OĘGI:=Dğ}O~O, \9<28 8*̠m ef΂"t¼3 ǟkk*`s87M@E|cUP=&>=bIeolLGxSa*,,/@`1;|G6 kwxK  T?u endstream endobj 8299 0 obj << /Annots 8302 0 R /BleedBox [0 0 612 792] /Contents [8308 0 R 8304 0 R 8305 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21343 8306 0 R >> >> /Type /Page >> endobj 8300 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063000-08'00') /Rect [218.8375 95.0326 381.3955 106.0326] /Subtype /Link /Type /Annot >> endobj 8301 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8302 0 obj [8300 0 R 8301 0 R 8303 0 R 8307 0 R] endobj 8303 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 310.725 147.728 321.725] /Subtype /Link /Type /Annot >> endobj 8304 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8305 0 obj << /Length 19 >> stream q /Iabc21343 Do Q endstream endobj 8306 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21344 20830 0 R /Gabc21345 20835 0 R >> /Font << /Fabc21346 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ B endstream endobj 8307 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=701) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8308 0 obj << /Filter /FlateDecode /Length 4452 >> stream x\Io$Wlq'hP| aHz._l2Zstwu% # ߵ+N{5teTvVe|Շ%ŘpOSO1źTIiO>( ΔS+QhkgU}cON?hBdY%b]I9Ь4fyzmgy'.*Tx|{|<;`LtN,=)\^ΐ.bY^g !0Rh ,g]RrL9x5^PxT*Eůr'm]ƴKӿIҁRʃ%ZE?nE O鯿no:vN>=: ?cԇK0}wFoI&IN cɑ<v-ֶ*Dp_BOe`&x `Au:}#((DUvQ~.,gS7~,k6.^ze:IRkd bHipaB-!:ɯnA:0Jꊚⱚ#jzӦݕֻD9${'2"V8HzŜmϗk)!S; ܌mZXӵ[Y&h`XO"De,A6 \o~a=rK 04恙>dݷۿ|ڡ N[A߆!.V6ԌL617S]Y|z7 T殎-@ S}`hNzX1ap H̭Vu$\m@V SyQQ1[[bD{߇E;$x$]&Dܐ剹^pk; \ LAƛPGB1߹\Nj]wI]> 'uet8शp[@wM c.9z)vB$t1M+ C,:SRQ[◹.i@J![2K|{Ҥ^AȰ_CIj?aRE-Ƚ3qzUh.V"+8*q&gP#.9`8[P3 eN>9~BڞNxQ \Or) eD뛟%Gu9:?Xꎕy;]C>@/w}Cx 1;x6N diDzWwA< '-!cx?NNSqy<*yܹޞ;W{a""7FbHEf1Qw(+B,:u',}6 Sw"n|mJq;*,xvyJ4+3tsX`F w=z[)o2SVkϓɨ(9˝N\5cfڝ7([ #Q Ru;\^ꨙj_ETs;\A! Nvp䝖nw`?s$dI;F쉾[ua;y J"_pS)xMEP"Tge4sdc"R:s-:~d3^(R| ~g: [4x}`3 DEwqݜ ";P[6DTq]MIhgZ`! m;#*l7/)ڱڞ0ݱQY6NrhV҂[baыNed\9Ch(]+aH(oY=0]FZ`&M,4zX/ͥH\Eu=/$vїy,bW;I'KN9-$\ -;6݀M4bůJCGp,JA2˘}T ,͇๔^lHxkjygHmCX' \İ%x m0,jH5ta&C Nu x[#j#UfC2neG9@ٴ>Q7GIξ:uZT8Z;=OƠOeZŅ7HJlg:]ZSb\ +!xFӥY\ x֑$T<eukb& *Oe%[,'΋|jZw= ښvG*iE#}k:qn1jϹļ2?<2U3^vrxL3Dܗxiq`149ɔ[:-ף,x)f$G?6Q\:dxӶ>^xw!3"鈹B}P rrKlD\%0Rf 𔅑u9eA+VY`L<7s:1)u~8݆}4Ӳ~{wx5jLw1Ķ+>74+٤kZgMeSskBGG PBkȴϊVb-+-Mr$Eu6> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21362 8314 0 R >> >> /Type /Page >> endobj 8310 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063000-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 8311 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8312 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8313 0 obj << /Length 19 >> stream q /Iabc21362 Do Q endstream endobj 8314 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21363 20830 0 R /Gabc21364 20835 0 R >> /Font << /Fabc21365 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 8315 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=702) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8316 0 obj << /Filter /FlateDecode /Length 4745 >> stream xɊ#_gұG%dmLƧg1L_X^DfJvgPKx-kmֳO:szn^5R_:k5,fuۋR:Ïp ~Kaϯ?P&?^I)e^6*6u^1]+xQڽѱ |~ ̕Șdl*fI6QV7tϕEoSk6pqD$JD"ѿ^xf,GaR-*#}uxؚq? o| h9 I;xj[|Hb2GY.,| 7ΘNv/P+*^EQf@T.kη_6.I)5ٳ"Cb]5 _a qAA|ˏdU@~:9^:i1T1olkf ySoySo)dbMare#I 2^d;Qwx ~'XP!.,AEmdL---Q7U^sOx$$M Jq ^KL"O+b7!8l}ڇ3r\ u,L!TE [,UB*fe#S*^+;b5J4gh4ճ.ku5Mv//[8+(D~q)LmLVB2N-OV+04œuc#gu)NqmP%2sE"" MPKM{x9ڦvi1جe.hZ&$+(YDžO:e]#0T18þ. Wˍo;G#x>w`Q`7E=`z @͋ Wup^`suhTq)(i7.ѭ,<Ԝ%xt9ޫPMVj #Q`ŷ Ҫߧ$cNO*$ vڏ?= Tv2tZ|dH;bs<q/9{?0ytBl^Ʉ;7*cżh2^_zHWYjTKU~"ݒ.#Z^dVTǵ,g,5mapi!}` JEI>3c8$x-,TNAY dQe!`7(D4%RjP*C~ Wu ;q)a[_ 6ZXʝu2aҍ1ӎ!س?x왻a.0dW$Byixhr%$ pړtڣ6Q:DkW'bI28r>2He/;XW1զϦt oj^L-,l~̍%tծ@n.Ҷ hjMXct\‚"U=Xs-k/$aƀKD/Җ0m^&N"ʓ`Իg*W !$MY5qV<wΒVQ[q$&dKTHKX(%y6[J8 J0EA' f$94eq_ 9ZWOj`mdޞj;$r.tU٨;r-*wmԻEY:c$+-%-QO֚z (zDdLSEcE쐮y9tӘJ%bgЌO1#g]kv/V'l ّ3[/XVZ샰h+:6H3&OT=Us3i271%J@=;Nf?l2soc&׷_)*Î^5G[e` EYMvⷩ^Eeҫ0FDyס.{0]D1Kgb 74ˌN[_EN 1wO%i[sq+Ok4Rh7+[b[p{( a+R<3wTw'Sz6L^LqOq14TNW/wf>gв9{]6eД#Q9eЋ2]k}~HP0Uظr]iF[| J^i]ܼ :2Qcoʉ#"28lW-GONMo\!Xᘺ:K=RT'/y]KTI{==.nN]?g~Iζwb]'[a`i$N/޶'2õ%J;-?_WeOsJ(<'BY3Yy~;B%c]K;Uk~t:f7 E#vU[03Q =Nvo6M g7A{_/5<;{XۼaaS^&ċG]{3LݫqRM ۗ&SFĖ%j7Gܢ}@Z~[mݽ 1DzC}I&E#+ AZ'aK`uyi2QkoZ38D!J8 b>m1\F@R.۴CXX>L, )_q/B-r= |Cr1M>bd!AgͻLίx#U3"pF\<P2CO9#,A)?4)Xi t46`hLqe<0?6;ؕ: 9o-Z]̚Т/(.:&^ӭ/T$k"T`X1#6rke\=^QŕzK)_Zg@ĵU`S#DvJye:BjW`J~6_L|lLKBx5/Lk{F8jú'P&s^Xb Y,1|-o秶ULFi\J{Ot^\ _n]H> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21381 8323 0 R >> >> /Type /Page >> endobj 8318 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8319 0 obj [8318 0 R 8320 0 R 8324 0 R] endobj 8320 0 obj << /A << /D (unique_422) /S /GoTo >> /Border [0 0 0] /Contents (instantiate_example_design) /M (D:20211013063105-08'00') /Rect [104.1732 524.3 236.7782 535.3] /Subtype /Link /Type /Annot >> endobj 8321 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8322 0 obj << /Length 19 >> stream q /Iabc21381 Do Q endstream endobj 8323 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21382 20830 0 R /Gabc21383 20835 0 R >> /Font << /Fabc21384 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dr2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪzkEŐL$E d_ )2̼d9 M \09 `FcU$!oU櫶`ѼΡDHI]@ U8Ρ\j|=B/}F endstream endobj 8324 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=703) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8325 0 obj << /Filter /FlateDecode /Length 1919 >> stream xڭXn+<@(i1'=Q2кŒdTt7,EF2elI8c?ӭ4o^^Ms&ES Y}:=bG*J}Dʎ&7QdE.֑ Baec$/]kE;%A{ϕp)OqnS]<ōmƟyґz wD)uswݽ=(63X6Ϊi#*:u"hAcD*IL 5 Nj0'ZkN|}3 ]o}א҃&{](+k%5{]H[Z:Ro'/t2> t[jfH &kD6F'{=LaQZDF)x GUIhHbIln)PnJ'!)PKٞ[bM3`n֏ǭ.? lQðwH<we`P%wO›^ EZGĝ1A{qmzF+_I">X +g_#A.=nYB$8c s ĮWiQ wW# p봃ˤϠ~E"e*;_ke;8Ԩ>b՛:6mEֽ~-SuF6=RirWmsE4#"uGD4Fn28uRBx'ď/^N9 UE>d&¦.ЛIAXY>B< 3UߡbWիDimD!±Ntئ'Uy kxڤVҕxaK]pk\Yݠc&Ar enﱬ,@܎LmI}1i6,䇥gCyŋiBّO0-F8,.uˎ[k JZ]71UC[K% .p&;-՗tԔU>2ۏ-hl^K M?2R#?2~`L)];soDS\(81)fnƥ1\?`Z]!uᡏgmu}7a3&<^O|^ko;i[ cẅ́9l==q=':5O%%H!2֪`R^#Й\;kmb@t萎Er,I'yW-3&)~Smč=vD{ZܲOӏēLRM_Ap Om(+dF4٦j!5I& endstream endobj 8326 0 obj << /Annots 8328 0 R /BleedBox [0 0 612 792] /Contents [8335 0 R 8331 0 R 8332 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21400 8333 0 R >> >> /Type /Page >> endobj 8327 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8328 0 obj [8327 0 R 8329 0 R 8330 0 R 8334 0 R] endobj 8329 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 197.125 122.505 208.125] /Subtype /Link /Type /Annot >> endobj 8330 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 197.125 161.9785 208.125] /Subtype /Link /Type /Annot >> endobj 8331 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8332 0 obj << /Length 19 >> stream q /Iabc21400 Do Q endstream endobj 8333 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21401 20830 0 R /Gabc21402 20835 0 R >> /Font << /Fabc21403 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہIC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ ; endstream endobj 8334 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=704) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8335 0 obj << /Filter /FlateDecode /Length 4483 >> stream x\K W,rۤ&Awʮe2EDR%K~Z*w¯0]:-G'*E~K_N-_in+_߾(垟(j |uѦܝ_]JuY$._$2u2M OR ~pK jk矄2L/4V+u?V8 AymOw@_r> ԈnROn26b1꙱J{(1?RSj4k7֦,7SF-0@$ar/B/JAb ?)x brY)fp8Fq'iT8= #H \_F^<ק1+J/,)eA̚4AV_h դ^+m+е[_WPssR'4tݚEy#k|]k)Gĥc?H6$BI\HA=kfOD~#ch?+@XgX u"S$1KXl1,lV޳K~c(Ȅ[ qf_g8Mh/z)uM&0_4 cG0c2Q i`-O=~JS'mMȂ yqU}( $ )N*; Jjh)FK=lm&;rz\uq f0NNտ1?CLr(;:u kѺ0Xݢ}r9#% <92o%p0EdRjeiם*!$Q Mei`8^=EIi7ճA-H̛׃IS^$Ez <걹^}>_">-By"},By"],BlYk'E9 55M'4QL&?Mg0 vwqtf:} ^4^ 4х[pc '/Y%@RTk(1_bܩ D0M+}ڀS#rU#7Ϭ \BmƊv{#}tjmu5mDjkGT!Vbr@|rԥ^_LUM6w4@|c}yC.ꞙN?I V:R(DRp< }G|5W}ZZL˵v34ZVq.X% 5P7 ӥwǃ3wsL9\2fE\S=ã(mfap˴.bizLƝl[bg[2lYWÖPbgU?.;:~Ēn-s^f]ڃv&Qߴ&`?p1B5li~Nc KZ}n̒&͑<d|qwW>hܣL照,vl'vzocd>@uмT3/T-lCsѡ\ru#W4LFsi,!}=P&v[xiؑey*gZ)=H]{KC@ctCx I/Ɇ{Ck3h#&hp=q%6T+qdFnǹ pq\">q!l:U+̴$Le!J1w(1goLf6<ZާԸT_>Kyr9dmKj73RcJ$c./f:^v3JoL/|DUXoϒV_&wh $R=7ڧ2^Rhi"JSPB}`mQ7WmM{ywxv$*é!y'ShNz;ugNUͨ6<(Il'$s$??#A@Obi}?5$$}~:sݐ ݐ!H!c7C@J| =)q+{Rb3ZȷkG6đ4j?ľ4Ğ44j74j74j?đ4jı4>ı4>ı4 dJn'SdJHăɔL)y;$S7A%IJ Gsǰ%sXh/O24'C Ć{82K.?I˧8`*VZ0]^D2?H!L2XN>+e=T aٍ gfv棗]=e&d$'P>i3X.cn@\sg:.UԨ(hIRclHW!M51qZ[cl|Ii+GZX0gJ.ۇ!.iwGÏỴ`h" \&)?F9814-qA]f8+R l;=eihzܤi1Sx: hɔKTzmRNfzpZMJFf9y$i6M; sTDn6A fc L:8/(c0¡+ 7*0#TG' kD1cF 5i6kk*ؗV!f9tǍO1>qEUPK`h&]SӤo4?)aџ2 2] ;TrȞE9D,;jSzRp[1%xnl$SKJ8sKi)+Ͱ=SIQcyfa g<'dTHin\VjrӵsgTFє*gX2\oK6Ԣ(<dћ*5c*HqCM&[ ҔQ/m&Wm^Yal7frb}T$q~4Bod&=sk&1q_4aˡ}>5pú/x{H G"Ћ̧kN,VڣxY pd#`ZgqMbP*4H @ |v{gg|M5`>0̟\qQA.:aZ~ ꜊A>8m~՗˲$|v9uNhUlwnW>}=E]Nw{JN_IĞk|2$Si`nIVB!q endstream endobj 8336 0 obj << /Annots [8337 0 R 8338 0 R 8339 0 R 8343 0 R] /BleedBox [0 0 612 792] /Contents [8344 0 R 8340 0 R 8341 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21419 8342 0 R >> >> /Type /Page >> endobj 8337 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063000-08'00') /Rect [218.8375 335.55 381.3955 346.55] /Subtype /Link /Type /Annot >> endobj 8338 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063000-08'00') /Rect [178.99 282.8808 396.03 292.8808] /Subtype /Link /Type /Annot >> endobj 8339 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8340 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8341 0 obj << /Length 19 >> stream q /Iabc21419 Do Q endstream endobj 8342 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21420 20830 0 R /Gabc21421 20835 0 R >> /Font << /Fabc21422 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 8343 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=705) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8344 0 obj << /Filter /FlateDecode /Length 5061 >> stream x<Ɏ#rw~E (} POa#;)hK$o"N}b|9f}3R,:gVbKW=iVA|~0Ia! 9 HqqOvr㾳+mAB M|0 "G5lnr+U1{4m*MzaZ% 왇Y,٨ Ve>[PPEV;d-"X`3GEEg>SU3ljv+annur6SjA̶ac[=y{ |2/:,-[6ru>JDCR`"?6n_{ B5G}Xʠ[D\0 = z#pkMMۚ1ș1hY3w <(Aq&©%[eiy2Z*sNLЊ+ZvV MhDQ֡WÈAvɈ m<1:t32((6! YcQ4#ܪWe)51ӫn^ֱnx#jVg"'6%cj\f'S:G̣U"Ycyp{)G"ya.&|U=3]K34kk2+ad _hƀ덑wHݲ>OG3!DJ2O#̪Z侅n'\akPbD E* 0_K< 47Vo[la924"I )NFfaBlk⦽P7dh:!շcm V7LVtX[٪+&~sr`A[lX }{@[MX?DwiZą\st*엝Dvߤ_fqЮYLgi4z;9IB@6깞dUO 7ٖC{pHmwz_yH}'8&R(8RށD+:c.bl6:`VlYYX(d@j^s1BLJ)/c,ޠB s"4"4iE=JI`)5Sex5ʩ!mu&HbqY; Ds}SU!DwڔvastÅ^鮚:kyj+H25`mѭB')e<L^@U}W\[ʼni:wzW|$eVM=Gtnw˞Om^ l^yo7 1$Wt kDpMz/i(DebcJKzn'IP6o4y!q\Iy&5vJV-wUU 1$4EށWzM 9˒C'WLN$1ԩ}J(#"6K&XurzծڴYmInŔF6G+nW@2Z]L%*t"juKGu6KsևcoFsWhX6IӞ8AvI%/rs>AMh7UCueOd#JVg*4$}Ud lV>)> k$jۜJV:Y٤QNZUXw|q f4c^uV+B|1aԧXӧB=>ȫSb@nЎ6MٍA(ϭRqZb]D;94,Oy+KV3RD"AGy7cwz9j ➓k+y]\Sg/ ynU9cٗWABPh9[Ȟ=헤' c}W_[)7} ]-o5DUiAWkT65C/I5cG*wz @H̳nI/Ԭ}cbbߤQI ly/:U C&r?3MQ,]&L%C8rr]o*ReN殜첩 ~#0ƊS: +_,\ t)`T RR7oLo 1AYe_ǢV炑Fud'pLWF.'Q*6^{`ep7~j 2` J5c`srbU:,\6{H |H .EXO!-a; (Ξb=B \C)C8SUvRz^RҰS:rR+k:Cʹ&?V.m~[K`87N &ͻJq s︑"{ۍy񢙥 =7&9Unr'A :L1 cQ%@J;ؤ=a D.xTlqSC߬|d=ռ| c2_RGTbO@v6њF_NHo)ρ=y^^ 0&~$Ԧ|ŭwFCdM~2R.HvSjF(N A*~ 'W?U(Klۚ2] L'ܔW@ ;,6 i?u?4?Jt-e? ,](w^NoKm+%+i+2)(+#g[ΰȲuBRjȤ+od;ƙ晝_H[p7֎=ܓŎ?jrP)P2 Xcﰌ{ dI\17J^OiXm 6j`U1[!:Mr<>4&{^Gco8#g\g P4N!&Ɲ{jq|> /q黌T2nf&sM<*B rV8uN;K \3ݣg_ZfN4Ig C!$rD2fi woz7 JRB]& RWq0=pSApDxNw7~ D endstream endobj 8345 0 obj << /Annots [8346 0 R 8350 0 R] /BleedBox [0 0 612 792] /Contents [8351 0 R 8347 0 R 8348 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21438 8349 0 R >> >> /Type /Page >> endobj 8346 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8347 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8348 0 obj << /Length 19 >> stream q /Iabc21438 Do Q endstream endobj 8349 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21439 20830 0 R /Gabc21440 20835 0 R >> /Font << /Fabc21441 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM? endstream endobj 8350 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=706) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8351 0 obj << /Filter /FlateDecode /Length 5005 >> stream x\G$ǯȳR 4 eA4JYE_τ̪iJ33Y˯Z$?WHzR%*$˗qQrskj<\Y//R($k>0˗?B/{Z.A?_"IRJ|3>Aq2froͳOK4qک{,U$x̳֪SeWQidz$oeVr ,>U)OwFMi@,k+ԓ2fzm`[[X#m3bs%a P dMPݭHy܀"fYlyX(N씎kjK_UHfUCvkYQP ꓡ(YˮRizt|ѫ0L:g5eh֙2CќN;>"Ԗy1 6]];NV%nA-eG6З}cPe_fOn'Y6, E& WU郲KκY]΍rKw|fT#J|ْ[^¾0Tf@@2^EY|L+Joz64Xd )?"-R{zėֽq(k;-/^A#em| Z^`Y >WX {{;u.6tۼ@7w#t|͝y>t W>[t/_O>twEpR1(*h4OHY&$T«.suOmmB{'{?C (ڭA[]^z}5 VnަXܯ3 hz *<+Foc6 RjL&u۴ R< ;Ejv\{A6ӖguV>y&v0NbQQ6 0c N%px}=Ԧ3(Fٍr;UQ-V1Lo `#/pD]pLCH;Hs@ h?CF<(NA٣01Uqw1u9 KGK_t}M FAT7C5ږׂyj8fxm֮*>VT;gwvғ«JUI%P~{E͛֠†<*]} 0ܝu0h0n\u9q'1lW:+q\Oq[]{Oǒq]61#St*X jUgQiݟdR\IyD mf^+~7:uUע_=;cTjGYs}:z8h{n~H^SpmbB}va.kxYFKF=oMD?]dGR oUԋ[&83/-߸&g~[Eb~ n)_I'vӞz~N)NQMe挫\uKԼ Gh 0ׄրt,1qEWZ`W{;CZ\D~i&^`iჶ#Hi3@b KY4*PqƆ{˾bXÁ fYqޯ/lnAWz沇4qmc49$D'"qK: z/2l9Sb/8~ʨBS3q^Z|Gq_~Qx?=Jުx`CDHX@dd3,1tmU.A;9kNg>g~MFT=fgDb9lqxY4uŽR*cҶhLGŪmw H!^IۖB񍬙U:&`i50e,j/w ʯqM vS3݂-E `G!y`h`bCG-=^=tU;O QMRYQD/ajYʳsPX*q&eWEE9 Qwja-m5" q,LߍTs`JU 6k^w g/jvV15*Vv-w@ԡRiCB}^kFbӄUT`j1Rj|iI҈aE'`4=$ue+8x. `p/:!)5#17YI0-i7g>D&+s{}-iD-]?zoXJe5%o`fS\-.:1HV TqQ"c_5 .u Qnu h_=O%G&<WlT[;-Nop*&\=Ϯ'h82`qRhTxb61:y*Xԉzxp+x1xGw|}m q7!lܿ1w4;x^:AqD(M_U3w35rRs~~ ٶ8}ذ+IxB$h89FE5BJ~MW_ͯ48M{ij%;1 R/uu%ױ 0:-vy;<)Fn%G3])pJjN=ubnL˒8e 0sbȂT;DƗzzĝ5DkL{:#ﺫӹ )v՝DJws)8=ߔjZ尩1x:LTcye>4h_JCi+i#9JT`}a~H) ]lwL{w?*6Xݔ_U7PsQON62&ʿ") ΕOD}xxM̭~e leE/^JY,u ۢ4 :Y-a]oO=d^ř]  (Q.gǝJxpb;OL]lZog}`2>ORKhd~_0PSC\ 'VWn8>ΧP7IjALfU&埼*NRwBAx҄WsPSL@ {pDڵwvՖ|mIE+|m-'XMҟ.*_Gy׿\S%V-/6ΉTf!vhm+|,A\w|ͲSp;'?k=R2淄 T8d!L bImo7=tqbЯ?a2QH$hq>?`^鼎hne9nG.YH<#`ѕz&Co4;:O /)g|yF"pAH@O^{ \۫;  1ܹ~pTBD,ݳuZ_`8Qmr)oϣi$^=s[~.尢?qL:- "avDF<45z8I!s J6> endstream endobj 8352 0 obj << /Annots 8354 0 R /BleedBox [0 0 612 792] /Contents [8361 0 R 8357 0 R 8358 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21457 8359 0 R >> >> /Type /Page >> endobj 8353 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8354 0 obj [8353 0 R 8355 0 R 8356 0 R 8360 0 R] endobj 8355 0 obj << /A << /D (unique_561) /S /GoTo >> /Border [0 0 0] /Contents (report_compile_order) /M (D:20211013063105-08'00') /Rect [104.1732 286.0385 207.8537 297.0385] /Subtype /Link /Type /Annot >> endobj 8356 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 269.8385 180.9312 280.8385] /Subtype /Link /Type /Annot >> endobj 8357 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8358 0 obj << /Length 19 >> stream q /Iabc21457 Do Q endstream endobj 8359 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21458 20830 0 R /Gabc21459 20835 0 R >> /Font << /Fabc21460 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}  endstream endobj 8360 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=707) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8361 0 obj << /Filter /FlateDecode /Length 3176 >> stream xZI$ǯ@H*3|SS{6t_{I!EdTuV4CmzzbglKߗߧ'13?k]TZht?Y>[{HZ?4?$S69M;j6ubJ_@i xI4ţ.Q@'4:?Wx>kZ.Tp}| +=&\_ͬKxDi2jqhR|\2X3]dn*M$$29JuYD@=D4d: `i_*VVE 6;qx"g~L;'qwIcyX-lJmsT>;~VM2٪Uv+ƎL+<&VioUn b߈&vSR$/zǵv"nFE"4_B7}6,;An%R>xӅ5>N@Fs]wMG 'Cd!¤S_Q5[vܭH+k]~J\^$ {.-/*Mn}EmUAhU %zqK9KwY5ħ*0l|kؓjt8{&Fe:viycܮ(ccy`w1Nmu"ߠ'ufȑVΧl­ۀhF.`աv(7FP:~HqlQ1Њ,xȴ$S֪QXۥdsnl$괸JRi,HyeԈ%m}ט& f־7Z@E+Z =Ow Ydq>cz]@f$"5؝8- '^ ߻Vo3}m ͍7.`w"7dlZy(i%=̒Mcl< la=c&1Dv)bL_ kcFn3 XGJE$M*:hS@]'fI1VG{6vRXvoM9fQÍIo!x]nhK+mJ&!QN2r69M0Hy8{klx0LcAAUy^&V3)>ZF UE&wx#NK=x)yѲQ0v#^!;14{=_س sͩb kiCt,XaBn)RAd>1iym%o7{gW==j篅=x6ԛĒC J`Ze(SrC] xm_AoAE R>M|E%~ehޔP ~t?F>L~kG>jC!/A:s#IuziGBv}Klԛ6,W3F~{:veϴ(hb"mn8Eb[gh47,+a9ʹ"z@Jt*@#qo]SgU +.^aJ7yV#K[))/Y{4uZkgh4jꖷ uTٰ%_?zjSE!>ˡYImbQ5m$M]pUgYd`fx3\[.2K:m<4kmFtdqL^X[/uxTT1sKj^F6C9aAey4H现 2vhL/}$ w0:eQ*[YPaljڶvb&VJ&LLa4^}- lɏ]9B XԈbR905> n@CSqՖv#w6>HIIK}ӫP&Q#RpPouŃ:'.d}p%Cŏu9:>Tc|uϽ$ҋV6FN 0I"3#~yƯ=K  ka0T8 23*ɥ %m9Fˏ1.Uxٜp1l^=h%C ΗcB!66c KkS$=$֓ n ժ(=2uGg?+Q&* endstream endobj 8362 0 obj << /Annots 8364 0 R /BleedBox [0 0 612 792] /Contents [8371 0 R 8367 0 R 8368 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21476 8369 0 R >> >> /Type /Page >> endobj 8363 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8364 0 obj [8363 0 R 8365 0 R 8366 0 R 8370 0 R] endobj 8365 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 304.725 122.505 315.725] /Subtype /Link /Type /Annot >> endobj 8366 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 304.725 161.9785 315.725] /Subtype /Link /Type /Annot >> endobj 8367 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8368 0 obj << /Length 19 >> stream q /Iabc21476 Do Q endstream endobj 8369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21477 20830 0 R /Gabc21478 20835 0 R >> /Font << /Fabc21479 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 8370 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=708) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8371 0 obj << /Filter /FlateDecode /Length 4326 >> stream x\KoW@k&{]99>0sٿz%3\b̴[b"Ey2J>NLO`_TǓu~N>&7=N?O*zwWrXN; ?矧3q,2ۅoߚPdgKmON B#KMfvSXCp?Evwz: 'ur^<+c!j$#ϐgg-t1wd:ؗH5NLу #^nTJM 1rCHrXlc41ZvraP0D^8ޫqO˟h3 6N_~abL9Pe ggA|_~d 8=̎0ӓ6(#przR}'Wؔ,A:A8m"hZig1l٧rdgxt9ØeQ '^H諑J5mTzt"?up5wNMv2hXF^UBYd%$7k2d V`pChɳh Lׁ.jˮ1!?o:auV"bk;,WSZL:3B n+dq)CAK٥X ^zYi~>\xohMQ8G%B=P %&ůzha&nz"f*MXco]x^):;+j>\(!O; ~-C].h^e _ԋ~__}lLFgI-&9 [j-Tj30'"q=v U7CO&OƂuաT*eL5z'z%jau(t-L\RwusxT{CzW)wjRxzn,է:ŁI&6K3lbp~ dXbBtT.̸j X϶j4UYkiJ{b5ΔVzBom_ $ Te,}rR5bԅpEƄ9K Oe|\9#Y|PlȕZs5vӬ؄"Y^Tӌ=2ج(FA D*m_(J5`@Rʅ^;w+Y]EӋ u:׹jp˜UKRT=6v6T5ꈬa|0ؔUE].sc= ,aQZŠB ۪VLU'نe캢/Ʀ]EJ^x(wpR"`N+B .*XZQXN7XмL]*߂_eU1ɔ`(cNHG4(cw NagN3nVS+u%1Į*oSGW5D'[iuNf/: gN 8UZQ%8]FF@,PL|@ tc@Vw@@nOxz xzH_ ^{ @z WA<4@g@#̵÷!%tx1ҒA[sF4> >U vYH?iaH}|csEHݶM{g2B@h d^-y旤r-ܹl6GȰD]vkQC(]}Oav;@`>Kg_T넙]ɸ_6kf^9$܃gv)O"Qư=i$ɷs $@`VeYJ.RZyYE-Hn6P` vTj 14`w+'Vf [`R^atp\/aGc{MѮÛJH!Mبnt [*5ƆxOkWh;}E*Ej,f&@$pqɌhTN'#awa.m.ÇPPZq+U\bSB#@Ǧ^ߩP0,HC@cS\G8zmuV+ )շX'iWLx4HL8/",fTrWQfEd {7=;< `uQ$oq+bE'aTʰgNUNFʂ\liLXJslHފ5]^E #H+VlgT%ZZ[4[q#nQ6= 2d=1x7Jz+RNk7NVVΖQ|%0XXj?鵴Qh<0S6)(.DT+FGjA-%$Z (+B(Gnh1Ie_W9p `8u0Ia{8EwZRs>&Cwd'l˿`hD|>OR%LB? L/pwrLCv<[qbOZ̋]<5L)㜌iy" ` [M Ҳ'VH+"h.a/o$9W[Χ9̫5W0ϭtǻ(uv  4}wSQ {aqJm(qё)rNum(]i+Eܯ@ify`=hQi(e'"3h">C cP79'6z .+ʜDAiZg(WIiuMw0QL= ̕f}M8#ص:\Dn>7Oj|mHlT \&:Aی{EG H5隚?šy1PBHBWBGG>3HL" /#]r 2'x(.sq69԰2y)HaP$=SIѡ>^8x!HYh}}\qKڑ WRTkJnӭKgTJZ]YZK.2[dK /Uo28R\Pml v:5fUf.zP0݋OͶ6}T~Q)br}XBI hn(+n<>zmvx~:;ηOcNrqo:.%|`B쎅p p4 &ݱIYԾG-̃ÙGV nAӗ+=56Ȩ _pW_VPF,.S> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21495 8378 0 R >> >> /Type /Page >> endobj 8373 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063000-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8374 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063000-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8375 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8376 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8377 0 obj << /Length 19 >> stream q /Iabc21495 Do Q endstream endobj 8378 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21496 20830 0 R /Gabc21497 20835 0 R >> /Font << /Fabc21498 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@ endstream endobj 8379 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=709) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8380 0 obj << /Filter /FlateDecode /Length 4865 >> stream xɊ$_gCc_i6&3ilɘAsmf- ,Qӹŋo_"seիO'f~y-ݚ4^Rnzcjæ]R֮_~^qJyz8v/pjE?X.4fK6~Ǔ{˿a.lAE0@@iWy,cyƭ⩽z^ #“M?Լ*<+uڸOuiBXrIcL@3't TD|'踿<1=3"+3tcܒO}~a4qttgd}>?/.Q|χ2L!SL:NLn6Nd?da"v"k8Y7P Nm> kzk~j9`z5Am*霗Ǚi``#ܬhfs,Ҁx\`W@PiR4FioӘ 1)M)rLT pN)~\\5] /H[$"Y[D@) ߽`A )SEWƧF~`!/Y-_9Z&aKfu@ f '/w"R. PRd4A4'eA >/V0frRl&^EcEhApPoDXתP-Bɶزp\O7tP@}+u뭕Dq(7D/""*-B*5yкύwY&%m<IT)L(Ϋ{^ eM9tSoMp4 TR[0/"c$&u @x#θ&X1ݧkEc(A ^hh*fCݒ/IlDC>f?z}*F^f{YbMEX&)OToȶ HJ1@~9g\^0JW%`E7o剎hӨW,#C1xa-+ޅ=ٲV=]%@b !#e7<@ (pb;`iIaqZ}]}V[@ 6~'ݖLM](N./ih(8q$Ej` wY͜&k]N,o侦ST[]<:;>.fpKhEȢؒ.ZC+F@M0j^$&*m 6ULV^8d(}z\7i˔OyƄNg^>,1+1C9uJ0]ZEfUH *a1{.=Jq!7[z@ّ`R۵5&zg^)eo.9['6%-wl:DwKS+~ SlLxG>:p1XOZ@l"'OCx^gA$3Bө ;sbBvnb4 s:o>D ؿ~A]9$Vѹ ˱뚲7yӐ-eynF,: ˀ`ir. /a f򕋩8+O}:;Br+M!;!hxX@wM7 n=:]Oa}!V49U q х4p&$\ڟyea%x;&ccL`;su xde\Bh[Eka$So&Di9d4 [p*ڼV E*ϴ4_yn!$a@a?:"wq|6\AdgPkoh A56U 2M-x%9EEHޛ,Z앹(QY@9OV`)|CXPtp%xݜKb,s}V)܁7ʥm<ֲ>BΓ9q5=JkbŹ$~wc,`IM=\RCq8٤7V׀R$ zymGkq{5)p1hᕭNn]Ʌle׮+ue!P)43Gjr!=RE)휚2QQͥeo/Mc4^Z8{p{o{ti3mVM}^ijy8>[钹)˻FIrܬ{e7G ?I)kRN CݕW; 0Uiq8kR vՍSHA2RS1_Щ&.}[ܛ* (/ٔ'[O[ k eNq\4aJv@ \,؊S~/9@O9Yó 1B[6 ?nÍ7 NokLp#䡇A3rvFTW .gׁ,U̗=ØS}IuUX|S&f{J#a5~9e66LԒ(E܏Nܶ>h# P "Njs&X%ðym/jWnZO:(M\@ wa8/ 2_bƨ3ϐ苂Hx6㨇;_Y:jq0~<[+8:4݄>&q[QkK*6󎵀%"X5E?_Q\\&^ӣ|p*״M՟  \%ȅ"DZ9D7C99^Xwf#C!䓓J̳@ĹI`SׂϾ/t-;B%NփxݭGlr˹1#,iq7W&Kw0u*#PF9ߟN:Y/K1M,Hq>V&#-E$m&dB{D?,F\Z%\s]Jnj;Um²@ar>eW.?SgKfۧ0"{W: l3[-ǟr-yWw:?{`nOh>y^EG q!$#hL@[2(k$'*~oS +_"EL@/p+gpQA 깳  4]{2O(R?T>Tgfc L_b\'Q%ިPFdfv<Ɉ_.MfHKuR? endstream endobj 8381 0 obj << /Annots 8383 0 R /BleedBox [0 0 612 792] /Contents [8390 0 R 8386 0 R 8387 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21514 8388 0 R >> >> /Type /Page >> endobj 8382 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8383 0 obj [8382 0 R 8384 0 R 8385 0 R 8389 0 R] endobj 8384 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 205.9693 144.0317 216.9693] /Subtype /Link /Type /Annot >> endobj 8385 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 189.7693 180.9312 200.7693] /Subtype /Link /Type /Annot >> endobj 8386 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8387 0 obj << /Length 19 >> stream q /Iabc21514 Do Q endstream endobj 8388 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21515 20830 0 R /Gabc21516 20835 0 R >> /Font << /Fabc21517 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70)%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM D endstream endobj 8389 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=710) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8390 0 obj << /Filter /FlateDecode /Length 3466 >> stream xZI$A/P41 >w{1=EKR5=6T Ŧ/B)4ŲU6HߧKVF/cdQkS p_FMw~R?T&PߏڸO_y%+gDa'e5KqtW'UjZ,c#V a%&ާq46s^I>yh ksabTt4KvLËIsX= +mGAYE00exIsT5U M4M՚ZgMc6=CkXuȨܖc0(k,Xj`Ơ)}X _wp07䪿 h[gb7&ˮ#QKKk 52`haȸ|,@dcř &Ts}  6B,fEmo31#GZtXql|F+l^/QۢE&!-xH,l0o~jA8L}C"Axݚ9g [".(昃7ݹ@`'z C/V0CÔųx' Hk'`1f[ӆ 9Rv*Pà 3$֊Bz4=\7&nY (j}yi"w@Wz r h(!e$F&̒F%Q1pVګ^ぷJڣ704{-Fekl{|#`Cv0<,HR֛̉;)&9,Rֹ ߵl^4Q&)|Eu0`~^đ+S0\x s+YAbk:߳RIb(*Xoͻ uMp$ ;'{,[tz4 %,uS ˚&5`^=D w06Fc`{j*LK-X8kq'UX/#}ˍjL[,܋9lEڶ{B-RGR"%HqT~|ih 5Ƨ0z[Pй y*{b# *ܗK?K?$SC|a<^VzgZ7;g}!,8#ŋ:͌)0n9=~pivgg~.B"' Grö͒˸V#lW,sWqmNk@HV NQ=m/YЄ6R;J1,:i5ͿR~tae*jA-hSoNA B7^7Tk@Xv"/DCv,ژzE%l.x ĆNLfu(EU?~ӛ2.pC)<ƛ.e؁KDs3hNz"(Avk&nҬMΧ-a%Ӛy湗OwܖܤvCphs@L_qׇ3alW3f 9bIYijg/nΖirMsZ"F r˴ q1vOl)o,L/A8l}SFy"j m3@mO:BXVdFx0RS/Y괴T߰IZzmmg0cO뚸(C'ec2o&U6K2ܢ ̬oD,%xTq Fo*qj8*Zȶ1q뭶[MUJufj|&IFwp)XkmZOaxC4S|qIH..iiX~21zL\G&odkpfSe+=d˥EӶv03U6 ZV>Jo]ouzk&;RX ]5NKۮ+B ]JjLpOvOc܁T=`BVNb=v\&D >BV>y0XRFݶ/ ɪ_`BjGB 7trsR3:?_f nC18 ݥk$ Z?-~W@@__.{&/X.p=ï]OK"EPX}9ןlwzOAZQ0}y !3zf̼a8_\.pw+>vq |dRa56uīYrF4=*:<&bC.jJ1/`(F+>L5&ì8QVNm endstream endobj 8391 0 obj << /Annots 8393 0 R /BleedBox [0 0 612 792] /Contents [8400 0 R 8396 0 R 8397 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21533 8398 0 R >> >> /Type /Page >> endobj 8392 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063000-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8393 0 obj [8392 0 R 8394 0 R 8395 0 R 8399 0 R] endobj 8394 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 270.325 112.8525 281.325] /Subtype /Link /Type /Annot >> endobj 8395 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [118.1655 270.325 150.6705 281.325] /Subtype /Link /Type /Annot >> endobj 8396 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8397 0 obj << /Length 19 >> stream q /Iabc21533 Do Q endstream endobj 8398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21534 20830 0 R /Gabc21535 20835 0 R >> /Font << /Fabc21536 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫жYzr"eYHUC.$G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ&o#x#/ d_ ECC 0yW!ӘV;!JϡmтGŶ endstream endobj 8399 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=711) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8400 0 obj << /Filter /FlateDecode /Length 4739 >> stream x\Ko庑Wp(|S|I̪A`ܿ?K[vVs},ŪXEQ%C[myq.uVǠgU~~ YVRۋ;c}qr=XWQ];`;Wxow ]B(STmz^~S?H(:N ?C_2%3o}K(,Y(YeKqEXMp/1?@t 9S(R/z&"p9rL}{Otn̝P9v^L>;s7IL0>rR L)9&w#vj0LxK8-!Z,cU[g'xƝUyZW&k-말Udƭ><]0Ehc(2@B>vhJ;,6FKtYOU3Dz_>M-Rng/`iٙFB,GI{`VoDA8zHp+⓬jqwXzaF^мli5v'uW62w,ט19N& S)օ`5ʼ0ݣΣLU=uL?dqכx䭄Gpd8e#xy. L0/5PNJ˦ש֪UֆUS6]em\\|ٯ)Ʀ\Ӽat:ZjޫW _IyW_E+^C)>M80ـo/wj 4/HbFkmoBOX<WQFGEWSk3~ņoSq7mUzHNTeDH~>9Z:,MM1wm4@d }u/:zXNqݽFZ)Z(}ӗ"krd#" cA2wQ}9o3ˁOW4EtG̝m\+b[u+ӱ^O'1%),JVLsCY ο 9Rl?קLGR*t:A9׆,DN*^GN,tw4 w7y,SOD\ ċs&0y lbC{9L/4 !-*Xo;ݑ,ML7/Ή̣E< $yѹ`M=U\tBՏvara6ׇ޴o1n(}rF۩ȗ[|isa:gRaktb)Qŷ-~F+'ix5X[71m4q/ ms&OOp,j#G{ѲŬ](Ke '33TZk {b{?E$IX/6{ Z~KR?`ND:RQKˑh2V6tϕAL^ n2-R -CWfk,`HL#8XbNji )RS)%򔔧T$AXʹ202˜&[%r\4+6HmztgU fz>6k'ʬQc@QE@Jv+ R 8u7ЪἈ!~eU4aP7TiiuN購^9(´tp(EahS*;jTGd zXh&`ST970ְ*w;iX`q ZV/Vd(JI1ڌP~ow|j/:ӟ|ԉOF :@}R:}~NVpP8ۻ AJL]RE!6O&Hg>z>)(R3 zHݶ;| *udL&uHDf" ڙSZU7M>I90nI%hnSꍧ{H$!Q$ܪjw!>B < ß).>|K()隷0Ah87VOy5=жy6o~Y$ [#NFaqŽ/[$3:5/Sd B%%G-UꇷIZ YrGH\UVO%ڃ l^FԙKrY`&@D _ v%/Z8$E&^yP86'V8/k`b= BH5t3(-ؽ Zv BW.&N#g*S]-&<4qu&͖9TCclHϦX`ĻwJ4cnM /*i<;QB. N,qFhMMVqW^gr]:b8VRz&mxiݢ%f▧ӵEZx,-'g0(,=C|ԫJ (&w}ir{S%ϯ, ή3Yg ,H!")uK2m12T>gvbtMMYR܉ErKskr!#Oiv:犈<ķwɅ\Yg=K}uW Eҳxa6wNHIzwTbbf[!BƳx8eRY-eFZ;%)5sǴVD3QuY-֮T΢ϭjg 6Ys՛ U*l#HSF;B*{;=(js쮥wfۋ~U[dacX\7nC*b~LH|!J~}c~9{{tǏ iî|R7>C]<ڴK'#8;)E8z0,#nW.D#i+AW{OW#~K"˹Azɉ\f 0 r̅ B~u3R6#?>0SVS|eKځ(OFATL,^7pŞإ|7a}wzܳ䅣L—|j) endstream endobj 8401 0 obj << /Annots [8402 0 R 8403 0 R 8404 0 R 8408 0 R] /BleedBox [0 0 612 792] /Contents [8409 0 R 8405 0 R 8406 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21552 8407 0 R >> >> /Type /Page >> endobj 8402 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063001-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8403 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063001-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8404 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8405 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8406 0 obj << /Length 19 >> stream q /Iabc21552 Do Q endstream endobj 8407 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21553 20830 0 R /Gabc21554 20835 0 R >> /Font << /Fabc21555 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70FthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4 EŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8409 0 obj << /Filter /FlateDecode /Length 4909 >> stream xڵ<ɎFw~ }RR<(`>Ռhp]m0H*r/^} jcֳ'_5={7't2tw_PՒBvgSñ{^_ j%p1K10nF0HӤh 4Ϋ<䱼Df| ^F}yEAr~S*rӺb\Kp\'&h/4Y>a;Y|J7(@@;G5D灏2gćY|+= eZqg;QK*2eʴm`uKiw @! ˈ8,w5!)k~1 sЙ23|g5{ jQI<=ϜyPLdiNfUGcc , ;#.Ұ0hz~Lc4~F44PI+9\dyArIt:$ }ndQ[@nH>|Er^)6"@F~`!/Y-_9Z&a}uE:\ae JѓW;ad(eET2 eA LV092Q)6@V7mE|Eޱ"IzmApPoDXתP-Bɶزp\O7tP@}+uDq( 7D/""*MBf"zE%BVarJL\U@-`! V^8dڸ >=yCrZ4C^!1*`$ ;0O3K %Εu:%&`U*Q0 :{&=Jq!7[@ّ_`R۵5zg@؂-;g"%{թLI?)l6j&T<3Qq]D+1XOZDl"'Ux^g@$3Bө s&s#"1,E̢ʶok *(ex$Y&ђA6ٶԒ\&J-nS;R`7uSvQ.r.3׫&7L\UP]^oJJe<: Ȕ*UJ(`u]g@/OĽ*Għ?9W͢jEM\VpwoP;@$(6- Ake% jP")C:7OhzL %=- *Fh5ʶ ՚kT.ЩeS{FdhśЇt@s'08 O*u#QcB ӱKKow{'x :fl ʴ6qS(Йu=| 0zަ:iQEigvIyЭ &p\JA:PUE5ʦT{!,HaM4(l*KN]x$m>>ei|د)Bff+]ACY 8#Cp7;QĺAKHPzw8r~b'͉TZFP-FT%F%ƤrJRگ|PdCf\ lqEX{6IVLHnUl/"0 2VcϤc!D0mntcypuvtFG9mtR㱃 NѺ n'm{Y a0X+-KyPíBBWB|j: ?CLmo`A7V A?ץԟW|!aIj6mtd#M&W{ۉYk1i26lt"q,ha-m씵`{X=2޻&B!JSbxR:֝բ~X5O?k$V@yI iYV{sEaSKzq7[- 3%o}G+FeBk &;69D*i,ycS8t&Zlf7FGs3 #eaۗڲoglzk:o/_^i4bZ44y6p#bTϨο> M H>M];hx.s&)S֮N:]Ha}!SA z=2i]Hk '!^uYwt'ccL`[cu+xd\Bh[Eka$Sm/&^k^C ӛךlh2kkX[#kM&f $ -U w&Dn"dfl9DNFC5ꢿ1h' Or~g !0eڛ Z5!Kra3#YIܛ,Z {e++s+S>Vsho \r P.W1 E6h$^f3Fٔ;ᎨSZ6Jª8귿4tИ~_c'`4~~v˲k٣SPׅPZ k'e ;nx+} jVګ,ki٫>YZ&Ֆ iOjznewuZeCN:\}a[xjc"R&?QYjKR˪U !ڄh,CPv!_\+bn}Xre_a&oC9uAOh{XvQ@}J\&^*1t5oMQoo.NDnrMJ.mW\!U K#U)Gy@o^T: jhE{oMlL^^.1:YS@uڼ+W> ,?pn>?talA͎+(1Bo lc&S t(bPP%q>YεdmAFYdp!uQ2F|-R8}k|2b"JWw!C\6o-1b?WqÇ-ӘWO#'Tm^!,̞>`}HdN' E>$<,}ї2)&1\8I7.0l^er~ I)s5L ,RQ@ |9pĒ}(kwLxWc0G^RJ"Oio ]Ýb+Gѣ>.|;7}TڒDc-`*fMh_Ee5=\rMHk"T`X*@.py$! tax"`QkONr+1z2'A#L5\ J2.>&gЉNS df:JjwC G S7ݭ%g6 ܘ5,iqW&Sw0u*#PF9ߟN:Y/K1M,QW8||Ԗ"hck]ix}ݽUtvb_ Xc.yl.chqH,U991//M2,rݸXO1iY3!5hwW;mGP g uW:}Vt^p> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21571 8418 0 R >> >> /Type /Page >> endobj 8411 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8412 0 obj [8411 0 R 8413 0 R 8414 0 R 8415 0 R 8419 0 R] endobj 8413 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 373.7423 217.8802 384.7423] /Subtype /Link /Type /Annot >> endobj 8414 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 357.5423 154.2562 368.5423] /Subtype /Link /Type /Annot >> endobj 8415 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 341.3423 180.9312 352.3423] /Subtype /Link /Type /Annot >> endobj 8416 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8417 0 obj << /Length 19 >> stream q /Iabc21571 Do Q endstream endobj 8418 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21572 20830 0 R /Gabc21573 20835 0 R >> /Font << /Fabc21574 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 8419 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=713) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8420 0 obj << /Filter /FlateDecode /Length 2660 >> stream xZYk$9~ׯ@>0a[/}w{^~qȬ,04YRJ/.Wm|W欎AS}Mߞ,b,Dz46cc*|Ɯ`|q'' k=e/s]?hM ,KvVZ%B&_wNpj<)_:'Nk""Q\'U"Y;u~)6 dǛ >L s>'\yA84@(x92=T W(z'pFtc*\M-RXbM65a`6L0 :ZϟtD  ѭܤ.4~>*|x:G7}kxr5Ût5[f 6# &`7nUs9 Lcv}1ؕN+$L R*ii!?1PfdnvH8ԼxWE.ߦˈ 8 iWz\iz4:0pE'tJmάK AB>3Y#kP$׳ȫI Zi=XDǝTA(< 4~(n¦/i&ԣq'nHZ1M ][A(2rl&ŔdK*-[_]|տn5[PuQ u/i9#%(}?*Wx/kH7A(g dtM[$3sz~9wp٬帇1-?PEHvfn@eJQuA%|N c*։SEY;=uګ MݔRjkznز&7Dž,B Vn<)uM~1.\k,)ԞQګ r -djN$ZOvԋcâQqW5i FSp9Z6s2׹Qknyhqj8Tn"M?.^ϲr9c7>~) $%,9խ} WbR"O96tN|- ;Ng Vxyh!pk9 ,64shq{6¦)kXhmH]>RF=qk'⊉X;țs| @l>9lmv27jw lh=DGZJw\$X<-Ak%'6to&bME p>mnV}^ufѺ0\ s &;q91WƓ~omO:s*ceF\׵]_L֞ XY}_CH!ߴOeǜ9h׿[IMEJysiם*\v.ٗej?~֐aӿ)a_m78>=<7+d0"j6Of(}խݒW«;Uf]u..-Q&>f^qׇ_ 0i'Kl}nPh`D_~)iqY>{@{Pe< IKxoI. ']Ɠ5ID5r:wU(T1`ۉ8Ky4'<&Q]Mk kipG5N} tjƂ wW;2jIIn endstream endobj 8421 0 obj << /Annots 8423 0 R /BleedBox [0 0 612 792] /Contents [8429 0 R 8425 0 R 8426 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21590 8427 0 R >> >> /Type /Page >> endobj 8422 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8423 0 obj [8422 0 R 8424 0 R 8428 0 R] endobj 8424 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 301.525 145.297 312.525] /Subtype /Link /Type /Annot >> endobj 8425 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8426 0 obj << /Length 19 >> stream q /Iabc21590 Do Q endstream endobj 8427 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21591 20830 0 R /Gabc21592 20835 0 R >> /Font << /Fabc21593 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw701|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'D~K/lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶fEŐL$K4>S~3cy/ KaErv3.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo$L endstream endobj 8428 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=714) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8429 0 obj << /Filter /FlateDecode /Length 3801 >> stream xn6ί9@k Mb`=M%KR䖝%bbj ;-/Nyfu z2qY{?Շ)~zdLgi.O1ɺ{ qbquF4yK?nB WqQjO?'<8 =2C>%Y?gڎiE)Mdt?|5fNt3\N/ /w|1#āTsӍLZ} @Y#D?Wx;-!ZsmƶKogd ,R;ȷyZrSVd]?OP0O;=3fcN3ωƘGé6] a#cxsS{ ַ l&xlA!b},Fq/Lϥ-y>ܹ~ONfBWl=L8bi:^AD $^'y{1NуjTbD*ZԥQ;SbuqcA}M͕XrE*HH64=SŎ#(6dkspn}N`q0(N&`(Kx.Qϥs*q"!~Xzi+vc dBb9XS+!sf~C8l cj ~װ`u ڷ\@W6;`ی#rZb5:Yc55࿮yUST@%[Hk B C>|bS8mHf\ /7/,)g 1xQ>VkZb+v1 Oz9HېT[dbݲ.Pd'S6. @ 3"r>n3 g\4&u8*~bHZfq@FrF0,cHяβ?%8_:rvnk g, p:+p+LU#Ŗ;,_?$Wrʿ^X" au6If{; 'mSFpHqleN:!m>H+їd Lb׳yb޾qt:/X;JaIeizHMFye*t.xsf(}3jfˁYƝJlY {\ym2T̵/Y[o3+2mϤLa1KE]Kŗ(OviW7K^?k`<>eK)ܟ|FCNJ9^tcA zz§Hsn/.@,-1kW)$#V*}"b_Xi{gĻP#޲qd2Hh@ӷTq(H? NX:b]nPaw$@ΐxm3y}l:<[ʪLTh aU\ 2QR#tuKғUGJoRR wm4˸Ugm-"8Tዟ%$,NaB Mч8YdKʒ +oMS,3-/n%[mTg UGUR|4H sJ+Mg#jn_wb`,f[S s b{@Ր훦8~r)ąiB4DFnŧ DԚqgMlҘ:+YUam{n3vm- z@TZV ;}|vh *-9/ly<M.Xam ֵ /j< c׫!HEH9T?;lCUoC6 rY 6mʳ,:.q=6 kag%XlA=8V0V5Ugt#Җ"7SЫҕ0`I Y;)vtSszU2h `N[ͩF -sR9m`N*xdJD] {Q&\aNG 8(vLʜTs\=acNjt9uKI ksR{ͩCSIuN~/::y0U+dNTz9 I7: I :?::^7DwI톬DΜStRqGqo􅔺RΥ<2R?0g "CGȡVH^ftc%֫:{ u/Q}gK2Lc`B(-UCQw^ţYV­$\&MѥR+ fY랈!uzӜa>/h  窈sj D.D++}nQ1~)>~3 g&zwzFGE;MFZ!~@mIlȄY:+u_5K%>A|Y= 9V߼U}R [JZw`6q`r)YkX?Z b]>/yֺs!65DE{Ӂe,k,VA{g$u֛DH  ί*/9o2E !oWf540Hi!KV>28{Pu0;C2}X7-n Xb͂?Bd!ԇd(VQn܀2̅ SvƴUV:R_pe"W-j{jM~FeFCV1bҌFT7 9@YQ$.?sZ5쀧,4X$AI XpP2Hެ4c[jo] ׻zRP>[mx#hLfXJG~bL,/p(0+3,! BFGd ںo1a^gEc&+%qe#<VT &(_p/|RQZ*jݶS,\8zLS C!gᄭK&-vnex!렭Bʈ6Ǵevk"BT]QVu)'9w22oܚ.e+ e[/qn派6Ou] IywBŞO V ݇t%y= 8;10k0woe'9#\~Gɳ|_坜Hys`~0"A]Zyu=1a]}Dn{.ȗ{)H7蓺ExR wS4`ݷ,USD<5 ɂ{ؾZj֠8, endstream endobj 8430 0 obj << /Annots 8434 0 R /BleedBox [0 0 612 792] /Contents [8444 0 R 8440 0 R 8441 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21609 8442 0 R >> >> /Type /Page >> endobj 8431 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063001-08'00') /Rect [218.8375 640.5577 381.3955 651.5577] /Subtype /Link /Type /Annot >> endobj 8432 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063001-08'00') /Rect [178.99 587.8884 396.03 597.8884] /Subtype /Link /Type /Annot >> endobj 8433 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8434 0 obj [8431 0 R 8432 0 R 8433 0 R 8435 0 R 8436 0 R 8437 0 R 8438 0 R 8439 0 R 8443 0 R] endobj 8435 0 obj << /A << /D (unique_208) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20211013063105-08'00') /Rect [104.1732 237.8885 246.4417 248.8885] /Subtype /Link /Type /Annot >> endobj 8436 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20211013063105-08'00') /Rect [104.1732 221.6885 266.5552 232.6885] /Subtype /Link /Type /Annot >> endobj 8437 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 205.4885 236.5197 216.4885] /Subtype /Link /Type /Annot >> endobj 8438 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 189.2884 277.3352 200.2885] /Subtype /Link /Type /Annot >> endobj 8439 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 173.0885 257.2217 184.0885] /Subtype /Link /Type /Annot >> endobj 8440 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8441 0 obj << /Length 19 >> stream q /Iabc21609 Do Q endstream endobj 8442 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21610 20830 0 R /Gabc21611 20835 0 R >> /Font << /Fabc21612 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶA endstream endobj 8443 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=715) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8444 0 obj << /Filter /FlateDecode /Length 3696 >> stream xn#^_gl׾ `&&jk6%J#CVwn--f_ץ^3KK6xIӿoӧgK?⪵[^˧Gmbj}υ}aoq&O8jaoWYk;a Nģ.I @j/'̓evivwv&}Dm| `:k,v hӡ:D! ZGM{ƒ1&$V0UrSZsb4G&Og!7 @6Ӄ Zp[BO^cP+d.v2+}q~M.6 t)4&l$)N~jȻ{΅f܃k(D G9aG$YSbX9ѰΫ!EہTC2H$UE8hд##6 9aȂeg ʰ3FA5E \$.7 &zEP %Bn:wA4C 0DE|[^]57bX#*@FWX%ٙ#oŸ O@DŽWkWDsDHb41HwG)]e :RqR!l77g1Ub9"*-tOߡZ aKo!5;ۓ$[ZrK x:ky(PiFcsU  &{Fߢ 4T=" 9gx-LH)Q;Em1IDr,%r ʒ*m1~| RT& / D6,?dPKV~ONv x_܈Zr `jkq|(|L%;fpn1Q`ʲWr@7 ʎitriJӆ R}$!Hx[Xo&5U@$d]\9P2PcCeVmj%Tkۚl - a3-5qP `5.'wEJ`T@ԜN$3GٟGa㨊-P&ɷ@(UqNCK"B<^?G]sDo \}ΜT|(mlhc6fB\oNT 8k_ Urzj)%E Lf8s 崦Xz,o!QXs+}ӰѰЭQ!G-g/po6oZlW6Z/T=u_ԸS(4c {;wɅT\t7%ȬLu 4LZ5Sٷc83ۅ>a\6FtƼjJf%R"J2t繸AlnlQ*`B֒Vf>;5=b8E뺛N+#5ڌ3m9m M_>v͏"Fn!fvYI>Uw9! 6?Qs|ջCؠ40"-X^|WiS/yO6ŨMs6}l$y;z5yv< JI(ᾧL9?˪qhQ'3ӛSMؖ`Z6!9ʉ BY)˸|U0Fl8O,d\B7<6.9\ko7Ҿ8>9 ;$f-1 27 r}CVl̂hDmvdܫzi.y5ml1f!wnbըcٝMdh 7kmk G.5df* 7@0e)NN3}0h<;vjk7S,<կ~7tژwU5c嘝_?Nx[nr䕃VbOޘ5(C)cV(ۢ?:wl%NH@C ƙU]", C6ϼ.rUϘ%Lu"7Llٚdjڙ1gÌM]8زhe}X=x=n4ؤK1=օG4C{gsyW=U[O4^m5~m8|0V02ߠ4)>>o...^GQƗ|g| ,ƴyMLϯ|V,dt?-`]~?YܘeU37MV?[rϑ^~B|~w=C2]{ .riЌƸ9 ݽYJBfuȎ B)ڳBalK"\ۜ<O=wdaR?* f=+]Z5emn7\@trux~7 @8Hy!t?ݚR 6Ӯi1;x\3yW"u!;,T<턅5{k;  o(~uTfx5X^ڄ.d lVKH,MtX#H®+lI|D|xޥիRgjL (Cp+hXR_ۇ_y5l#8!vaK .BH~2uk q,ח_j(hUk p5G,l)j]LDN4j"zW N͠rtU$k:Z_XWb<_ CߋW:!lV{]߳_ԏW,U͖|KUny=kY yg4/# h+gq m"QU:ߴr2+6K2@D@L|MP.P*DV':LQj8*/dx"ðuEǔHI03Ijiwt_ZMSOzC0C !vzgtG,Wdtq)i/-*+IPV0\E&daGM%ŵK5Jhqh¡k{+;I ry> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21628 8453 0 R >> >> /Type /Page >> endobj 8446 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063001-08'00') /Rect [218.8375 163.1326 381.3955 174.1326] /Subtype /Link /Type /Annot >> endobj 8447 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063001-08'00') /Rect [178.99 110.4632 396.03 120.4632] /Subtype /Link /Type /Annot >> endobj 8448 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8449 0 obj [8446 0 R 8447 0 R 8448 0 R 8450 0 R 8454 0 R] endobj 8450 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 325.925 145.297 336.925] /Subtype /Link /Type /Annot >> endobj 8451 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8452 0 obj << /Length 19 >> stream q /Iabc21628 Do Q endstream endobj 8453 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21629 20830 0 R /Gabc21630 20835 0 R >> /Font << /Fabc21631 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 8454 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=716) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8455 0 obj << /Filter /FlateDecode /Length 4367 >> stream x<Ɏw~*͝L@Wjd7N7`t.[VVu4sI&{Wm?|/oY. :连vV2va!e_ O k_|mi]jPŷt#elwBH:8 3пTM%>x3<]I90?ܽ}\5Eu&VO:g>A5$([:ï}P? O51& 뒒''E zkYCH!_OdeտEFnAJy@ 2(QXPV?i_o@:gc@1s6p|jj~+>'lO%h t+~CyolclK1ŽuO @51udDq _r]𡶊cfCYB uA)3x|- Y04[<ɔ_@XOPtW9‹]c$[">xOkn)>^~&Of/NG耀w^=}ep9d(qO<p(c sfZ%[qzekS*! Cek\|د.\TsSސ2P4TUJX`[qk\l#gz0EA{\ΰP{8a6L,q؆̍5E^P,Dwblfڻiw@w^IV[k.o2[,E^pK=1]ߓnaIW s#xCpk٘Yډc;GC`0q;P|Q lb!3xP՛JRQry% s agDAw |BWWl~B^UHYcj '>/gA' V'h^ =U]CAyzC*<aPi_s؜(p+ ɐ&^fxPƠ+XRšU}q"sEdPH0|WXx暙Ԏ3iZZH8ULTi75NPa.J>֗ͅ a l(^[S}ݏ48a7_ZZkYͥR8\<.7$ڬ`B Mч[dKƒ*urˀf̗)V@+wnW{!U빬MG5RFte/]ioRl<ƒh0=ٮo_}3I3du+ȝrRu`i*s%RK9$%՘$3C}KB4+*jFC }J w?ClQ'zDo$@DOO^&\\!|?S7yZ#[w2Ṕ~noϪ%< N$iX⮛6Bt~=giەeNF_=we}MR}oAnz~oρW$(f7u|{mMpHɄpAa8 GԒ4\Rj'=dG'(S5Jޢj8/)z[trE**5|=^u-Xc;4PS6Bwjo, 6BbS%7܎(<=7oIwQ;\SF(*\G<óǾ좫4EL8S,\7!/pCBֹ&/?+"'='ևf(G_(\si)+/~m*'>Hƭ=o,MU`K[2v3͎FJͥcwRUC1DV8EM] W;T,۱Mc*^GM[>Q6 t,@ F!&v$@ee#DD@x~Zͧ.ӹ-@1G.d(‰M1J)Ae2 6~a tp,pʒDH[*I,8)5jXQ22>lk:1%X?sku!v!M/ǍOc|Y1bZ2ӹm<鸱J5隚ֿˡY\?T:WF:4=p~]pX~A+YW)1e`;*%L/$p-PH|JG!%ERvneU%/x}LG,{WdTJVlTfc^T Y.J&x_X\xmzHqQuVd;@ j ݶRaq _7^NY}BB)4b>^G 6GWxGZ܈yN?yѰv1 rEuXڍW/1a;Qn8)HV0ܻ4(ۃ跓E8zJ0M#Na;:UyV$Ou|_wLz'{r1Q~c-2*Qy<,3nR)?nLu#W>.M=5ݶ :\ bp} {.('{NqT曋0u cYn3L+|y ϧՠ2KgB endstream endobj 8456 0 obj << /Annots 8458 0 R /BleedBox [0 0 612 792] /Contents [8468 0 R 8464 0 R 8465 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21647 8466 0 R >> >> /Type /Page >> endobj 8457 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8458 0 obj [8457 0 R 8459 0 R 8460 0 R 8461 0 R 8462 0 R 8463 0 R 8467 0 R] endobj 8459 0 obj << /A << /D (unique_208) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20211013063105-08'00') /Rect [104.1732 359.1462 246.4417 370.1462] /Subtype /Link /Type /Annot >> endobj 8460 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20211013063105-08'00') /Rect [104.1732 342.9461 266.5552 353.9461] /Subtype /Link /Type /Annot >> endobj 8461 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 326.7461 256.6332 337.7461] /Subtype /Link /Type /Annot >> endobj 8462 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 310.5461 277.3352 321.5461] /Subtype /Link /Type /Annot >> endobj 8463 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 294.3461 257.2217 305.3461] /Subtype /Link /Type /Annot >> endobj 8464 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8465 0 obj << /Length 19 >> stream q /Iabc21647 Do Q endstream endobj 8466 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 507 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21648 20830 0 R /Gabc21649 20835 0 R >> /Font << /Fabc21650 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRvyxzyVp8ج_ax} -XwE1Ӡaz ^ L)|:m֯޽\:HYs_RƐ 柫=0'3cX-LB.FPQEq¨9 }' LEԕTx5s剢xq-lb@r2dv"`V_g_5kDN 9!M.%O#T\ #Y}Ѿ 5Ժ@Au꣔8͊fh{m-־0ZļfOr;L2:)Ɵ2VK2ufg[XL[/? D?cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP".Q8Ρ\_h'-:A/}& endstream endobj 8467 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=717) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8468 0 obj << /Filter /FlateDecode /Length 2852 >> stream xK#_+z?v{! 9,{d6e~JRnw! 5eT]i -W?mteEb/_>-xmM/_Ʀbls0 ~ד1d˿g *%p^78cX\u.) 栝xG7lLل=__;Z^A"jc,@1#>$n"pdl-794*9K߰OtrPz07n&!,5^:xs~Ê{d+%/9UaGbIY0QI0NAM:ẻK ^X"%PdA}KN"]n)lXbM6w{bI,m(K 퍰sԎ#ui'u ^кYU=/YDEvq^pnXH\ JŻE5.D`d)2+#KM+puz}G5 .n`UVW2=w A.ތmNŪPL{rt~@5{h0v5/图G&JxYi"R3 Ɔ3 \a>3QzpFҸ8a{(JGnyQt}e}2 KZ"CB:ܴї9ȭ /@9T[Aq/2†5saqP!@&r-l:dqMmaQ E;Nrkkcwgky|?$\cF޸&GYWjVyC@2/N2 ֐o\N%7¶zzD]%LqfXҥuA$\O+r+EyS)`yJ1U2x4,r5qaQ3CEJnJK#!O?JCVZ-⇥ܹ=P|J<-жm!լ9VX[~;P/ʢZp{5Ժ&~c#,72s(1d!)H8"&`kmŚ< :vWcK|U ?ư\ֈ0mޡ7m|;K.^\v}i8Kڟ^\CB+pn_rPLGk}{~Qߠ!Zoh@/^M?'XY7l3+ I !HꗯӻYCN;N_GnkǴ/i%EP"̥/c7h}kfqi7.j!;p?v.`^}bw!vQ&nZ&{PneOpG֬cV7<+ 﫸vBΦQ|l&v}v[b|xŁ3XC|̩t|kOn6ڃcl Pwàс?~ `!FdP}Dm NЩi1G_2gI)YIJPl' )TWq%~\Px V-pX-Ue $&j–[2X":춺fQ}5ݔ'ՖxSf])u..,rw04&n<W|]E̯KO6ӒPpc);6u) Qn 8x9Hl}NQh;h)3[~7,%UR6@؁Ѧ쩮_MaA[` mN28rT^ȶ1UVaYڊ^S"%L$)>1+Ul[?Zσ 0"zXKސFSkZ<'A9yZ(24!\W,q^zvIq굙lHa%h¡[ً9RWR#O~ FIi:w¾И='l*V 0s =r뙈?^pS~ݟ11 ke0 \zw@4-5*Uf"61Otd$;r⑟UykAݪ*a56J01ȻAp{Dt66ZX,Os`'0z#אHG~8_h`l5 endstream endobj 8469 0 obj << /Annots 8471 0 R /BleedBox [0 0 612 792] /Contents [8479 0 R 8475 0 R 8476 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21666 8477 0 R >> >> /Type /Page >> endobj 8470 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8471 0 obj [8470 0 R 8472 0 R 8473 0 R 8474 0 R 8478 0 R] endobj 8472 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 452.425 111.681 463.425] /Subtype /Link /Type /Annot >> endobj 8473 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 452.425 139.8465 463.425] /Subtype /Link /Type /Annot >> endobj 8474 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 85.7174 219.1617 96.7174] /Subtype /Link /Type /Annot >> endobj 8475 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8476 0 obj << /Length 19 >> stream q /Iabc21666 Do Q endstream endobj 8477 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21667 20830 0 R /Gabc21668 20835 0 R >> /Font << /Fabc21669 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU]d߄}j]֠zfB endstream endobj 8478 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=718) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8479 0 obj << /Filter /FlateDecode /Length 3783 >> stream xˎί9t~ ;3ZC;vH~WOϮVqXu,"h 9#W?:], :~ Yy[){EAbua&,feC0tÛ0f~ӏ' :9 37fK'o}5Ygkݧ_u&6曏tx dLr,7wwM+vdcȁ$='sKC'D7Qx}'` guKyP_{BQgKD->R7`nYc&?韐0AJyn 7+Vkú-`rzO_Qw =".~_7)qO=ǀmZ?泤,lXko&?\um}n~EE2a(XWQHABقotۺ0eGI5U8}v3%"QY)#:+Ff1oTKr 8@,cwOpLaRI՝I͑(Ndtnv9MKD (S;z@ۼEgҀ.S ]b $Is_gRnjlӺYƐkA].y:v*|s,1Z!|G lWY{ٷ.Utw(qB("*1mb"|_0I3S?wSnKcQxMh!vUnL4od 69CK*YAbk(;'/0?P/)ɂ%qMCO{wei]zA+.b~0ś|!ֽ@,/Sm8̴c[j85T4L KWlj~÷[Ly .=-AmV&j4 腰EO(R#LM{7Ipyu}R]Dg뷶[LdH`Y5)LHa) E,Q',):ie"ZHM{nAN<]ڋ4>O8+ zK;7m[YLbisdβc41[ b#l^@:SIb9kwe OT=U3nФ>u6س09l}ımI[l s[bt\Ɯnҙ,<C.Xac{XA=8azC<צ{nxUզ@7DVmjozJJ R }A0!m>&[!̑J9pĪfn|^Z&u@8 C$u&Ԋ]tL,UMS^jv]ZF8~zy^X@>#S2y&>q^u5<6Evkr[3g#HS>M6]v NF|$0"^mLnP3O. l0ފz,Gpkn8 Edsڻw8\x;QO՞Mn݆BVE.k)ZHCFÍ϶; Tٯ;m'Ykz$` ^ dfGrZ?{Y`;2L`"0i`.,_GOHKr{Ŀ a+S < YS/^;<<ՙ)ƂZ}ۣ|vkwn2'[ޝy6qޕ6oZd;[q V*^KeTT&Tp'w 0 ӝwXrﴡ {} / mE]Qo](t^B,KzܭMaE :dsP1UCESܔUH!ꝗ0,9ڭPìfΗV#,u.qC?뼹~fp "ԑJ wkGn3ēxruKfg jO⹗hWr[ (Sgs3faϧ3~0c'eZ}r]ntFK*;[]4~Su[V(bӷ4Ԉl(ik |k/}-`Ĩ^ru:oG?3{`tGlia]xg9'_u.p.F9~$ҝKKjϤ!GnB=mBK0 >'TxמxɁ}ffFsAfcZy^wڭem Fe~aʼnF˿ *հ >^.gkm;ޣO> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21685 8487 0 R >> >> /Type /Page >> endobj 8481 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8482 0 obj [8481 0 R 8483 0 R 8484 0 R 8488 0 R] endobj 8483 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 335.125 122.505 346.125] /Subtype /Link /Type /Annot >> endobj 8484 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [127.818 335.125 183.115 346.125] /Subtype /Link /Type /Annot >> endobj 8485 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8486 0 obj << /Length 19 >> stream q /Iabc21685 Do Q endstream endobj 8487 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21686 20830 0 R /Gabc21687 20835 0 R >> /Font << /Fabc21688 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70e-ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?D endstream endobj 8488 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=719) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8489 0 obj << /Filter /FlateDecode /Length 4302 >> stream x\Iȱ@zQl`y3F2߱FZ&3/",hwK*v:dU}]=~Y/,_~_u~M>&|y[q_NV]w!ݓ㽒V0ӽO~,߫DmExmRof $5CYcLWh  }PK_mִ`-ebLEESV  twb/Q#HF J s/#{B5gniz~П!3|Z |@&q0,[eWK)'9 ܭ>է3rʈg94ˠDpt&ó/jˋYrHr9 d^o)e#_nR_'vq2] @!&dV mvľY>_fc,`pdp)5:9_! @;gkFP FK=Yf" W7&IĠtW9v磍{O<y&-`Zz׷᷆⴫1y-To+[Cq[w:e;Cekjm|:e6)W wA&JCQSU(Ahڒ=۬0B}d'$zҳd7h1$; xH45,7N-/C&q +p 0AV/ ٱgy Τ04h(EdMCGHpkWdۄ8n+Q 6iy}N{s|Ǚ&NsMdXB"%ّB,J.A PN a3=FifϟKU¥TxLIX4ju L-h(# +K}N{'Q +xDU yU4z _ ߛ(L#j ypZi0onZ 1#r;5 78NnyuѫCvOhK $7Z (!OS/njv@ uۺzC*\B,a <(DMSo$7I'2f\ǒ-NTHxԸ*|#ƭMWR*ڱ`ahAS TBgP학:ORF‚ /&pK?06mFz7OZZxzkm7[{  URZ G+3"2XPK4 3@+V{!VWͦ+cwy/]ioRl<ƒh0B=nnu+$F Ya>2,c ;2@5&I\J`X} g. ޭ ӄbDԖf&͈ZZft8fD- F H jTnbCT |&Z*7.X2*^T3wTWj^1-ŕx48mj@lYa26X , WX#XyXHs=EEvĠB Ԟ'L َ ;tQ2à#IMӽԠϟջ>6So# ȀfrPY<E3u@Pg等;|.c1Ҋ`nFPV܇>OӫBv )'1 ϗϥO.bELǿd[֋ zź( N lejP>|[Ga'e ^e!8 tz 0p@טa(I\ȋE2v̷Tlr rqEav%P 5;{} ?q6cVN )>.iH ΰV*Qd s  !l@-&)W==`Q.$ۏea~HtOW"!] taԐhX|'UQx0Sqr6Piy\0H=Rh%9٦W6bAl5d: RAǝ7wkoXXcA ñv]Z`A7e4򥂜<17/K8PKj C=c.ُCQ5O"tXXvZXZNj T$_*)JY-]8<+0 piQ gИ`҄INy86zzL d,Sm0?V& eȓ]ʧj}Z7[ok>J͎p,{ƩyCwN8ő&oauZԣ1Fc]:F?X U_*aVd] W> e *1=:C+@Ù9)@P+i nq7}ޣ&DTh5bCq6>OLq ۓI])$jdTV[_ C cP79'6~".G+ʜ~bR\=^uW 5y_A*0W&ț5:X?T[x-jD ٘(OW4#դkjZ7ZgMW)@0g*|%I':W '<4öp=_䒈[δ4wdTIk-^;w,o"Qj(uZueBN9>\E:e( ȢMHbkӛ T*l'H.ߺAMvY5 ) q`͉>s ՙ"6Ѕ,D9WG9sOp-op'NĆq͌kX;>/e=HN͟|q:Cj૬*eogᓜ"gIO㋡_WKroyQ`CSyXn33*X<GҌ;0X$] ? hDTn] 8zxP ~n'~IDKA5{/BA2uGƭ.TS['d5X? endstream endobj 8490 0 obj << /Annots 8492 0 R /BleedBox [0 0 612 792] /Contents [8502 0 R 8498 0 R 8499 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21704 8500 0 R >> >> /Type /Page >> endobj 8491 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8492 0 obj [8491 0 R 8493 0 R 8494 0 R 8495 0 R 8496 0 R 8497 0 R 8501 0 R] endobj 8493 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20211013063105-08'00') /Rect [104.1732 284.2769 200.1812 295.2769] /Subtype /Link /Type /Annot >> endobj 8494 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [104.1732 268.0769 204.5317 279.0769] /Subtype /Link /Type /Annot >> endobj 8495 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20211013063105-08'00') /Rect [104.1732 251.8769 185.6227 262.8769] /Subtype /Link /Type /Annot >> endobj 8496 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20211013063105-08'00') /Rect [104.1732 235.6769 168.1327 246.6769] /Subtype /Link /Type /Annot >> endobj 8497 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20211013063105-08'00') /Rect [104.1732 219.4769 172.1972 230.4769] /Subtype /Link /Type /Annot >> endobj 8498 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8499 0 obj << /Length 19 >> stream q /Iabc21704 Do Q endstream endobj 8500 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21705 20830 0 R /Gabc21706 20835 0 R >> /Font << /Fabc21707 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8502 0 obj << /Filter /FlateDecode /Length 3005 >> stream xɊ$y HrMƧ{2t_YU=w!URb6뛬sZz')E&N/OiaQJ|y+RFEc<ȗNMX3o{Qt, I/Ne; ._ r~FL$@^A^TxB\tZ7<<5DʸHH&easPF/R i V e8c0E"bjヌ5_ʎIq C%QEB27 >6 a`#+PEoy'jB1HU ^ dOWؙAA905tFh:HU TYRk)KX{4y^i{I`*/"9Q1rtz 閅/w^\9IW*~ϲѯ\ _@|E Х]£[,Or-YdYsͯh%dQB(!7(!oD (!oD 2<^!x5ƔӠkv;r!`:Y1d g~<#XJ5,gT $n 8] SlXi_0AM^Mɫ~9 I⨽>뻁1T$uKXqh~Y<̮ iP @1RKBZBf='"w#IAo9a:Wg_zRjܡv IbN^@ Xi,h HLW"@0qpn5r J|Zϥ=S rq5T{!U8-(q&n,HDWJz@jQq hwAm|iAhcw*wlDbjؠ]~45$ #z:SV/UdWUMa{*cG=yaW{<1e ,,!TE-FQ?T5|"`Pke 5w{ԘB%?Jˈq.czFrV%q[pqA hF&(>Nw*~]]1 mΔ"˜3Xs6s)ɜz1J~[#]dNtysr@P⻉WŞbEJ4;jo3vՓ Ly~KғYÇSrKZFk-nVSblZ>{OQa)s}c#9-:N-jQw6[{azraH-k~9۩ Pu:s|(kuWPC9~>^wybM MK2VesPx $ x:kUd~FWi1}0T-ءg^|z[UW4@`;$єvq;?`vekSxlhBPJi=$Wurs\u!2Y<ώVG8}ąlP?B^ҙt!bЋڜWKM*D;`K)@?TRS<{3kUbR %#iD+WPaZ>ȵ%kZ-q0]ދl%MLtn1=6z؁$y@wp_"H2PEozc %]L:z _ &Y7VMep/ .B 4TBIp>٢xZ I?+X#VxbB7X]xoe _e\LW &dfhF /$Qam:BoS.)S>k'/@xWڼ䛰U a:3^-e p`ehɦ8,{Vhk)Ƈۈ>d৊(F _c\_̑Wn#}58ML2h;X,E D4kD#&'pY[IGbX<'~؂$g3K> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21723 8510 0 R >> >> /Type /Page >> endobj 8504 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8505 0 obj [8504 0 R 8506 0 R 8507 0 R 8511 0 R] endobj 8506 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 304.725 137.278 315.725] /Subtype /Link /Type /Annot >> endobj 8507 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 304.725 175.096 315.725] /Subtype /Link /Type /Annot >> endobj 8508 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8509 0 obj << /Length 19 >> stream q /Iabc21723 Do Q endstream endobj 8510 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21724 20830 0 R /Gabc21725 20835 0 R >> /Font << /Fabc21726 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`RthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭfo#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMJ endstream endobj 8511 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=721) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8512 0 obj << /Filter /FlateDecode /Length 4892 >> stream x\I云Wl eBY0S@dPRn95zI"Amp??|yJRfY|9~|wu)) ߇~6<oi.Oiۗ=ּS_iʪ\rr~gFuH6'~-_ 옗^&nnoBo'S\/GkMEXy <}8Ek3ql:s)=n” V8r Z0 22 ?sqӲNbHf첼!c5߅gCGa&;ؗ1gdLtB:QKLrPg8 y? P@Γ\JqY҂1B3jEo>GGw~~ A'IcLBs~6;mQq5,vk,}aߏ˲ aջ0Ʃ}AO>pd /359Ps7![.~HK7HĖ/3C}!|ǸGL#y~o<͵2fHNd5`<7p k9n!FUp`oޑ` P'狄T=2pQ@[7Nޘ#?7f)Ѥ:-[6ïN*_x*hX> kVbcipch5G^PYQAMq<_|q]YkkPקh n^i9>%bDQk4q42Rjr_!"a 1QHvCLmqSvPȠ9gZ2ġjYKD=55a ND# Fgu" ?كViΖ*35$띨W| 51p֥DT䥏+}\! v-wԫUKH1k;o)קjpT#BLˢ͒Rs]N!3brd,pa** oy MAf^^D5zKXm\g՛~4K ڳ2%'m0B?έ}K3ACe=Y;ۇ-cI\Jb4$AN 902O-2k5͊MHRΒ<Ȭ5Y1v*U[(L`\GR Lhz’a.0P]c_2zVp jMdM LT.U0;(c[p߃W.+X M 욧`u ,MVJjFIaE{= jWCGYɈž;8=85鲂+N~ 'scQ88.?&;fނᤉb'ǠSBT<&5T58!,pN5L=8;N8h06:f%Ѵl|?uQ3ҧк UI0> ̅iOÏOf=> ?i|O~d|2]3's's/@/@=R~~<@)0mU)65@43-OHsH_;09o/Ck(.\[Y0#q+vSՠz31 O7lBzqwd% a3]mk7p.9C_WeWO#,_sx?AjS-SWl9]:J'?+mW%1ȡOv`>n,yH8|șQ!"{0I-J6㜝u ^ASg߹ o'[(t݋AJ$^T6dQ _QM7r- wpA,W\iAURϠ/'`RG=,6eל2k5zMê帚:F,!羑|I,)som}m\K3=6k [6#{.Q b~wr+]\0  F [{&꾵HOO[h{]?uw c#lmؽa6D'b썺 vIEv[Y)=5ϞʿR@~1)ppi<=exijUV^{cz?kwI0lhq /sU}eJT2Azԁ, Yn4(]ھ+Ki 蕁~Z6+{gqOxkʕk,R,4D@D[EeOYGn<. =mkƊP٠ǧo:2gʺ QVgUn ̬R8*2SkD T|](Z fVWl"ΗgJը-b-'έ%npwS̩Hs>^8&6[?x4v[ s`8@pjWr*:2ZGDvD~7(_\C.e8C)(Od'"Zo#nHٯ|Dpƹ}Fx=Sl/Z e{H&` . MD = tq6I` {h@cԓҞEKly!LqY_p9hlAIo"CiL15spD Cw,x.w%HT7 v1qmI%tsXtYmeBOh?مU1<7Ɖi7 cҙ1kl±`A|Q!FPj>j.G UXS쮄^-%fNv\h\bFUe'9"N$49,8wku s+Sro4^10_"(=͸x·k"ՏAj͛!҉%uFD97[·9;͚s)x7W.rQ~CpfLHh a` 6_-&NuKgjLuH$^˔hB->!mE`q~;R #v^ E9CD~CyaEeP0#V4m,Xe2DL4SSfdgu)j[370>.DS-p9ѹO<"~*8&]SQ~8<'5q?@z*tT!x{8wd)"Ol\1`K\&IN:"2a#N on(JΪ9)I˔BjolU ORRGuGRaqKnA#/5ְnI$^J5j6%CXSajISk+ Ȳ|8'VIHqɴ62cPjͶX``z_mG^L|Q,˖Mi}  <-aQ{,"1r޺W(o+ӳgyqG>nzSB݅Ϩأ} YCH`" 0 +&c!|~魻x>¿NN{$tO+="*-uG I UE!冂v> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21742 8519 0 R >> >> /Type /Page >> endobj 8514 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063001-08'00') /Rect [218.8375 427.6616 381.3955 438.6616] /Subtype /Link /Type /Annot >> endobj 8515 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063001-08'00') /Rect [178.99 374.9924 396.03 384.9924] /Subtype /Link /Type /Annot >> endobj 8516 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8517 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8518 0 obj << /Length 19 >> stream q /Iabc21742 Do Q endstream endobj 8519 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21743 20830 0 R /Gabc21744 20835 0 R >> /Font << /Fabc21745 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 8520 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=722) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8521 0 obj << /Filter /FlateDecode /Length 4547 >> stream xڵɊِ1/PTfU|h1Ն?-KʥyuAw-NG+ e?6 _ r $ӉQDF 4uE7)Fk i2q2 S>YJe\W(FWN=W% $EW+zuߙ=iρ?]-3< i\8U)q[:'tM')Y&@QPW#g _ap$# lLp+; EVFh],Ko;6L^0&@:.HcVȟ5rjommUL4,$3YQ3k5'`>%cvM>[0_LiV]5B2ԑjN \*Ζi% +b' yېU-zOY@ ΂CI0K)L f/A? pv)TrJs$ ѸFU2*<'P-lrA*?fe2)c&r"+IreYNdQfw\WzbFV&u*N@o|PJ\ZOikW@/B(?2DeEs3sQg ŘIIRJ1=l 힑&xMxS)'xr s^TTNy(Z !I}Qû?槥ce+l3^`3kǕ}GOR#Xʬzl!мHpɏ,1z[Z6pc Y%y?8@Qh *XwtLpKWf*P~]zΣŊTfK 玎IeFW)E#ǔ-3$[IXBBH Vb2+t?嵀eqw-lՆjzADkVK}Nn3+QmD' ( !o (œ]#4u>OTp*#1kM$XA.|M谝"x+3l)v &bb>VSvmgocnETAwpdzDq<ħQiu˗x+G8.<9רA@1VXaI1j \W t!ouV륌-PsI^|0 cO9LOTʃt}:)aR!GnbP9?_J}f#RɼțϼLvS^@c˚`_'+}wdQZr d)ԙmݵ;ׁ9h|A2yQV=@ŐmBSY;N.&>av>]3j3Sl.C') Pv2,^$OYV==?5[crX#D{HlzDJ.uRwM0:}s>[>+R f"UTۍH/񘂀KG`"1ynanjy:H[Z }SVhqTe{@,- =5^ǡ|/9)*UZWH=K3138c0kM>5ǓsdKDl0L4B.z;qd%6>Rdj5fP>UQN4YVDŽ=׸vܱ߄酖(Zv ;ؼ^ߢ%A([ (SbqNX:aU 8>=(~{3[Mtwe8=3v[9vϩp2_}v@Fym 䇹d$mYٵ&'0ȪV]y GG;cH{zׂ˪kSIeOCy'rcΤr0M;4޷剡?%MNKQVnjynZIYbjP/O&+ePi0ĥ>ERJXgwDScٺ"F) ث(Xnmx%r=VD+mGDkK{TQ n[wשcKA|ۇkV ̄P]AkB_iUեUUwxGHKgVDkJ%#1 N gc>Vi6=Be[ol;evFHg iz8gv[d`"ڼ02 ^kjkJk>l>7̶jXeP& \ܜGJ኷lx1֛揯nz>om-yU}Dm 1кQF%\r?ߔؔ'_~o 4IYSnuCCۓQI<}O/<{Gs9\Ԣϧo-;ss]߉TVIn\Rp=/&L?9Jz9n7n1[H{w]i/sSͺ!:ݰxP{ijf2~wdpߖ ݽMA_/(/Tp/V#BH.b'?e4J@e+؅o]4\Z̃N6%E [h"'q5Ga\c|? D@CPեZAֲv/Rr|}~e~HL'i `]t4apENZ0|_xqo7f( qRZ^Q H8]eȧxA8Z': G̷֣aYkG*:^FZS.*_&ZTwr*T~RN%K 3?jWѕZ,;R@|xK4<q.Cq`0pbgANFţ<.4ݱ+X;;aݑ>Z_z<ji?Ȅg+ow͇4A?9+@ J-U!.{tBE螭#nfd|ha2y7ډOg2Yrazy:|eQSW8h~k;[Lhd=Tb'aZk0נ~j endstream endobj 8522 0 obj << /Annots 8524 0 R /BleedBox [0 0 612 792] /Contents [8534 0 R 8530 0 R 8531 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21761 8532 0 R >> >> /Type /Page >> endobj 8523 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8524 0 obj [8523 0 R 8525 0 R 8526 0 R 8527 0 R 8528 0 R 8529 0 R 8533 0 R] endobj 8525 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 268.8424 193.2457 279.8424] /Subtype /Link /Type /Annot >> endobj 8526 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 252.6424 193.2732 263.6424] /Subtype /Link /Type /Annot >> endobj 8527 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [104.1732 236.4424 162.7152 247.4424] /Subtype /Link /Type /Annot >> endobj 8528 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 220.2423 176.4817 231.2423] /Subtype /Link /Type /Annot >> endobj 8529 0 obj << /A << /D (unique_331) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 204.0423 166.6697 215.0423] /Subtype /Link /Type /Annot >> endobj 8530 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8531 0 obj << /Length 19 >> stream q /Iabc21761 Do Q endstream endobj 8532 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21762 20830 0 R /Gabc21763 20835 0 R >> /Font << /Fabc21764 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8534 0 obj << /Filter /FlateDecode /Length 3454 >> stream xZY$7~_gCu4m7/ `4^{Yz̨U6H/,7SƨPW^Ik}yVM|:x`wvvh.ΙH}8]qIA W70Vm^c.Vo={;TۿL* Z,C'al[r2v֕}sĠ, lKr|+ .52,НU|0k* ,ڶ mIY?ў=;|sk`dܜi yʺB5xkA777'mf4<hÜ&YlD]M\I<)L WxCy#-gOըʮ29NqIT|<}C}k~8DB Ynx&0xMnDi.ix*d L50-ܷ8 Rp8ÐX7[pcAqWtƑ4l\LP3Oc:WWTX5LdDhѠPGsPtO] j@p݃5dN`8o]x3X{XQV`IdueVT>=`b\zy[Tz->DULU!@0<9Bk)9'ZN qRV}'VR<' 3_#V?~:EzJV ؁V5f zB$Vޣvހ].E17_8^YӏG{˴}0:^jXpuKn 10In5n ]ʉ\m29'Lۗ_߲W`ϖafy =#Dd "[.k WZ` VC#,7ma:+W@AP>a$m0.wl_۲cpDl#,<*<|:I t8o'b ]Z,1x8/bS{j 1̘MM*l))'fg$޳kLJ?+&dqaU$d5otp z^c3ZBsU0n3!hrIbǤ0ԃTjC M%06|vvvU\#1ԩVL=Bp睨Z/I؍J0^,1CwQ<\!+ \K`,1O{3jpetPitUcNr _C(U 2p}k&5#ptEAZҢySB4,}RtA?ڴgZ?nu!rY <6DƜpiJ'D "M~ue'Uݗ2[}Wyya:5P[BsZ3Yk(/2'͵% ڜ./?DBo-<ݶiYݳa?kS(& WEtޔ9PqpzBpN77iVO_#׈߉jR;Ӹ޳| f<kMÐZ&{dI%wpk%E[1`u7};rMZ1BXSesEۊښJ جv [_C}RcDzG6 n.y5#li vb;DWUoвK^j噴Y2KO+_ٯ)M(q٭{ž8~Jk iL$gl[M3;.Q H =69dHq1i7l>Ma-"QIޮn8vWpoQ)&?=}0$HjQ&w۲(&G!CŽzo R=NAtF`ܩ ]:e [8N0gKCt Zv9וy•T%(i!??⟲hD,b}&!;x :Rߵ܏%ZNsH>FCX`cpffQq[tqurTG|Q[Y<^ys)9hፎ R8:P%*] *lr#hjcl~rX3^GTI

M<#jopY>hg~fLtӭ!BfvM Ã^el6/DZ\տyEA]O "~_&r-%֔eLLi\1Xq 8{My| dudȔ@x {_6.|ҏ{-mRBm$,aڭ=q"3B|OgWWG%Hgy V[`:'F "3HwʔkRdh.5pl?䃫Vgޙ7'or0΍j`wnPd;x5Uvx8KX> }H"R=5UcgPz#ID,uZF_p= endstream endobj 8535 0 obj << /Annots 8537 0 R /BleedBox [0 0 612 792] /Contents [8544 0 R 8540 0 R 8541 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21780 8542 0 R >> >> /Type /Page >> endobj 8536 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8537 0 obj [8536 0 R 8538 0 R 8539 0 R 8543 0 R] endobj 8538 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 304.725 137.278 315.725] /Subtype /Link /Type /Annot >> endobj 8539 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 304.725 175.096 315.725] /Subtype /Link /Type /Annot >> endobj 8540 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8541 0 obj << /Length 19 >> stream q /Iabc21780 Do Q endstream endobj 8542 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21781 20830 0 R /Gabc21782 20835 0 R >> /Font << /Fabc21783 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM* endstream endobj 8543 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=724) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8544 0 obj << /Filter /FlateDecode /Length 4234 >> stream x\KoWtf7 Ќ4 ͻrrrv7e~WOKVn,M],VW|4kWm~/oЬAI~ߎA;??ÔC^~x0&<=3x5`]Trin+PiQSE|V_I^t& +> O@E)-32gtvIVr/Yx?qc}8LĢ|zg>g 9SZ|+xr|m<Ǔ1D2)qo9hGE:Drv gA˔`!{x;8c]נCQF %딦Dj[bsz<0MNuE\72-=:䧐},^?>(LtdJ;{ v%A-5Yd}$Un^0x??KfA6. 8(͵:j!lĪ%pz>MށU9N 6<AA#/9Y⥾La(6(ΝBXu(-vj)Qt+-RZe,$$gؒ3%bLwsJ@ƆgFӊ=q,HVhRʰ93:/@؝P,+4j5bCfZv[İL ǎX+09s+yjU̖TkISCwM2[ָ lY7РoxV7fLwf4"js);沄jpe8?kյ,W\РBy s`RtLͣz'ʳkMI9SKz6#kks@axF{XP,;E3󫦙=yFm2G]KmVsٯa$ QG;ʘދ(~&Yt?25pcj8/[  |qg>BPC7ټBo\]|ⶱ:?XqXb ҿc ʂ9bqI[iCiA#\uTHeSj+,.&Yu#O';G Ls:uk.h ~`,B| s%Q ex_c5g6>12.EEL6[w,(Y}pyO4-WY#ߺ8ڶ7ߠ* Ѷ306\(aO< ~ڳ /KM&hPd Ԋv_h97vf =Q*+ RV+*Fg7SO˒mkR0!ɦHْ"z\rSh 2"[j+=uTon^K3ڛ?w;0?7fO|F Y`>2M,}D՘mCS$S9$)$@SAfi±Q*jlY Eӣ^UFgmlFYǀ D+m_(p)GknUe)yBoDiGhvBPgkmp]c`ܼRUpz5@1qC9ofN!vUMpt=,Pv   B`<;X XdWZ`qJٜJiyXTumXjr# .ZWMs'% nVdpr prk85ԕ{´TZI'5©g \|R.5Xé#^Qo',Tiv6ԱقSqX")ZEA" oNbY)46&-*Au^ <}•t;ΌO:3>|RItP '9h}GOnjOPW^<x=tBcYȜȂeVr=G ۀ ){ mu ExnK[v[n5iC.T*t_ag!~~@Ðt'<܎<P %^IԽh-g\{/%#򧧧gݝz^zGZr|Д~ob?Cʻ;7"<zQ>jW):3S|a9Ctgah3ʀo󡖯O9/Tk(+Ϛ2o&g)Zy%~%[+O0|i`t{{ٟc 4a7;7c/( aVOAD'q 7I,S' $rGjy&=~\)z .j鋌Mָ63#fE Y~'gNzeLQ/W~\0‚뱺O3auYa%eYѽW_NF];1J"$nr%cJ DOPu*_S̞Z% uꗐH6XϧK+<D^=ˎu endstream endobj 8545 0 obj << /Annots [8546 0 R 8547 0 R 8548 0 R 8552 0 R] /BleedBox [0 0 612 792] /Contents [8553 0 R 8549 0 R 8550 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21799 8551 0 R >> >> /Type /Page >> endobj 8546 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063001-08'00') /Rect [218.8375 302.9654 381.3955 313.9654] /Subtype /Link /Type /Annot >> endobj 8547 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063001-08'00') /Rect [178.99 250.2963 396.03 260.2963] /Subtype /Link /Type /Annot >> endobj 8548 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8549 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8550 0 obj << /Length 19 >> stream q /Iabc21799 Do Q endstream endobj 8551 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21800 20830 0 R /Gabc21801 20835 0 R >> /Font << /Fabc21802 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70i thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 8552 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=725) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8553 0 obj << /Filter /FlateDecode /Length 4656 >> stream xڵ\I+Grׯr_fyM|0|za<Ud=c TךKFisիO'fcݚ4^R__.?nvz RpUm^뿟2mEQ 'SijZ^m5B%?Ϙrя“5ẍ́]ߖxV[q,XXx^;,^%y!:[&֘"VIo?d6O3lc)@IR=XX)"# 7^bNT (#m Ѡ|woˀ3U"ntفR.d+Jñ)0 ktK{C'] Sy!{==8|*oFHٌ32d 9E#IBg=F{UpIdp?q` yUwHyMc'X 㑊to V#&ET|CUUi)Y2 R䅨% ǒKu3!2Co%P ;c%.lQB=It^6V= (!J bru`Y%X1GhKj֓ϷQYSuo9QT,dL(lƙMG\=X6, 8)}2d#]Nv}Nۙ&TWmFW7 P*[ ԖE׵e:M̉ +Maݮ^bDsceנ/M?֦l_,RJNCrFp‘2f5N_ "$B@et5k`"iR-Ҿ߀u̯"Cuy\pp5]$gXMH:P҇(\s Cg}hJޖ.hTF5__DV(J`'0޻{+)Q>_:n<,0x YUbƟ2:+2dk%KRK%~x—ʳ6z=VaI9]5@i@} Ob`9DK[yeIQd,Tugx ^хk!ߙjȚhHmg4B?/ZQ+ > pf`&?wuk>X}4w*{Aˠ>H5 ?W00S[pA ٖ^y{;E9 պBi ]MB0ue&R;yX3N/0z}hqslїl<WH1az"&} ě? 'Qk-qѓ5][Խoើ37ŕ]-x3* ^#";\VxTqi LD YpTSA'RҁA4yE愰yjcP6{v zۙ!8rۜ kX~pEUx&<'-&&m l6Je}n?D\aók hk|>@Ԝl̛NZ٥=0y5^_!!d<hvK@"KuMK~OC X0<5 R, ̖&+~C͸:;Zڱ00h*_JjcD*{/zdXpT2k0f~XDo9'I"M^ԟ#{4} U)5KK_hUz|3jJ֜3;uYQ 1֊J\1zi3~͹qkƬkkBk04`^D:[0}I*6Fp/Qt;:"IӰjªach'9Ʉ@Vdʍ< k`eL^Ee.ڤG!2H/wZЄG^黭V_MSUq|d_qJ6wb2rPn7R /NlTl#-*C&ۄҫr_Yf;J_Am t5ؔG>&4 DtUˡ( qF58U"[8<$xIm;3@TcS`-y~< ? +7K^[q"NR% BMrv9 +}eŖAeM}wZh]w`f˺'#_٩F7A~ΎY*D M)>N~Z Dq4˹yC,nRj{2rzv6:nM]f(:t|֐X" 2%a{rT*;"MWjԬaOn/([Dv@KY{YCikB0㦜s¦,@yPNT I&ؼ>//_N-Sz>ck㤹2[`t^b ^FaC=(jj[csULX15gL"$첤rj ~"aݼ-!%㹷n r=@XVu¢E۝%Cd!qNa?NNǹP1"¤ pmd OY/{֓{4g"OA-vOՌ㦰󸭶oZ0+\]eU!)ulmvWAIv*^s=@_3O V;&7pBnc3:m/d }ELXkq)TYYx5$z/Y&?m_#žOO- =;7?G U 4;dI;2_(X7d$ٶVx^7Ei]] 1,;hҙD@9;mSt離G_̧gT[D`+ޑCE}!5G{wJOjG >oI2~$&o7ޣ-ڹ6cr34 z;w q8R?K>yRŗ ju-|AƯo Q$zj8*YoST|'#'q9Yó0E"9&$GO.2 |Z#x#ֿ 827R ~ :v=?8]ʗ=Ӕ◙SJо<:S w,=U %#7%kr8/p& oDO-r;[T|xm=)P<69(E`&]ؼ 7/YW4ܴug\<tc "p< `)$f` g*Tqyu2":eMeb)9/lK/K1L,͵?|ɭ,痾TV> AF?BLv= VzG/㓟6 &}O #_rFnj=S"?=@6?Ol|I*q-齮XdzGZMe* j?ݡw p9ht:_hWg뷁2Yx!h 43=nf0jY+OT퀼L]n$/7=~^5Ɉ2Υy  ~zƛs[škPRXsMx$uQ=lWbjl4>].?} \,,Y1`̎gP<¼O@5Xkz+* endstream endobj 8554 0 obj << /Annots 8556 0 R /BleedBox [0 0 612 792] /Contents [8565 0 R 8561 0 R 8562 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21818 8563 0 R >> >> /Type /Page >> endobj 8555 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8556 0 obj [8555 0 R 8557 0 R 8558 0 R 8559 0 R 8560 0 R 8564 0 R] endobj 8557 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 148.7425 197.6017 159.7425] /Subtype /Link /Type /Annot >> endobj 8558 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 132.5425 195.1267 143.5425] /Subtype /Link /Type /Annot >> endobj 8559 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 116.3426 205.2137 127.3426] /Subtype /Link /Type /Annot >> endobj 8560 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 100.1426 165.4707 111.1426] /Subtype /Link /Type /Annot >> endobj 8561 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8562 0 obj << /Length 19 >> stream q /Iabc21818 Do Q endstream endobj 8563 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21819 20830 0 R /Gabc21820 20835 0 R >> /Font << /Fabc21821 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70)thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶG endstream endobj 8564 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=726) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8565 0 obj << /Filter /FlateDecode /Length 4072 >> stream xڽ[K#ـ| cd 7`:fx/_nfwZlX,V}`S~lէ/Z viU|uMuqztP_oOZ[2|+<;ixV׿(MV)iOKN+Z;G5./' MF X^\&00Yز^\ֲBe0sb=:c};^M -x錶 EyG./hGAcyi#4״PA@ϸln,"y s29`hYV,b.;ivӮyVMi_D|37 /džkm*ΆM #Pb(x=xꔨsG4!C`" YGT fR +_"zKtnVRPzlv aq3f7GL>thU4*oPHӦDpQx޺IUcoN:`Ɇd3*:oSO0 0(R RaZdĎM>gNb"f.R731Jϝel)5e:e6c>p*4nńOi (1T<ؽS ,UHTM^ c6+l?bBGlWOk=I(@\7™vOLQ4{d},qv3hxY kFB--p%8g*snqU}vSCT5 f);4쾍 gx|?5ia|N%ErT/ӟd ~E?/*$:?_:G/uQKl^ $| ˬIEYz dy>Oj3H/h__@2{_v/x*( VcnD8~sl%3 8vcgҟ=}෷L Hz\4/pelIšyK9 0t't]у;Gu'x;EZ=huQ2 Y+ E9_^`).hBHrb -ТТ#׆ Z 4ܙQȰ;&_T=BHJ^myLp0 vhN2H܂"mo<'zQjR緤+dFEjn*P,1QU.GO)&νvb K\>Tjy }7KW=b/}(EzԝuYN[ BF-Kw7n`fePX* _84ʲ\׆C)ܬB1rtwTL~C' Ғ񍥧װ;u[&w.互Ou^^@\㜣O1ܖ0꜖T˛;ү.U]9 31y4pctTmiNsbYEsd[]WەpQU}&zMbiG_kľu7ŷ:M^1kMER,*gYe/?u/b4=M}K2$7/N5 w^߀$2ۜT߷x1+R cS ٨Gh?۹\fZr;@ 7s&p[r>i9/-?]$.|-~N!CJ>(q B{w鴊kn.t#}}: *ِvWlXw\(6*n{(b`Ra.| wl!}Q7;GTqO/݀MRSL$yJ!5@u}i^Ѻvw{ˍE/7ר6wJNLŭ^RY]О> 􅙺5CN`GL5 7rE+JHP"C?>y:+9 W=07!a R\~hwP MHsW-$CCNTt`bnfu^pQ>ef!jj3Uy3`kHat[~-B&ϐ>;R7Øt ω1qUlWIpdlx|h HU)z"gfPb]DO&b*:QaKl 7NϰNN "KOgGcף:"K|Mڷ!x$4 nnڻ_`&ꍦ1io5ɖMX}"$>_sjP~@5/(?]j xl@O2nN)Ш; v(O,D!84:x s?1M^Du8o9MocφM& *gMʐ @{3hJz"a B4kt˹zf^}}ϣd=j\[4Hbr`ڵ^*`y d btJ[|>I~[ޤ  n0|v @D%]LEҥ>|p],;?jyQ m=,UՎWYdnj97Vx>O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21837 8573 0 R >> >> /Type /Page >> endobj 8567 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8568 0 obj [8567 0 R 8569 0 R 8570 0 R 8574 0 R] endobj 8569 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 319.925 137.278 330.925] /Subtype /Link /Type /Annot >> endobj 8570 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 319.925 175.096 330.925] /Subtype /Link /Type /Annot >> endobj 8571 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8572 0 obj << /Length 19 >> stream q /Iabc21837 Do Q endstream endobj 8573 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21838 20830 0 R /Gabc21839 20835 0 R >> /Font << /Fabc21840 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)UĻr2X4@wP"]@ U8Ρ\j'-:A/}- endstream endobj 8574 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=727) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8575 0 obj << /Filter /FlateDecode /Length 4476 >> stream x\K8W<@jTV9g a0',U /7^|IL]}چ%"(*(][m yqۻ.uVǠgUouz YVR'c>9gs9Y^WOJ>\Ml3[(0;}ԺԿ׿_w &+GC/oLiᒙ>%Y_ӿ B Kk;JiYu\lbI{8s!csjIYF&^4 gc)|x(#h^+Eng mRrH X>Z*X0#Ҟ-RۿO;eeo‚RʝY%9$n 3/鯿FxQ_^EY8c]pn X_,g0t`Ѹ]Wur(P \w+lZ 7\F<8Ƞuq?髑Q?tD`ri'p;{K#QW%K jb0m5J)S[hA @O>9e`mxu ^{AD->̜,8;Q0dDn}NI}]!~asaLr3~v;%hqLpP*XqX0Tk*kCxi]wګo60ɡkZܯ)Ʀ\ctG:.}H!ѓ5 .\Jv/qaɩpk^ 4[z@.vf`ʅL󭨉Ra٭ZJ8Wѕٚ,bܥYҫ\0C9T.[Å~TmȉD@xetI[eƻ֑}zzLM'jkӏJCw*#ARhk];P46޹/ڝ/V aha=̦Yh1L#_RC"9ӧ]/PD ABL̜e"v}1q?YpqkqE.l4zď`5p 1\bJ \zEPB8!c: (ty1gSwn;~`srf,k񬟖2~ewh āwN Z'J3EjDRv ~.{G*A*a|(D ,Iv#>2 fACsد4}IBUeỦHGjI3r ӄbDԚf&MRjatYfD5 z H hTnbET|Z"w.[2*^T+7TGԸ8R,W+{80-JQpڔʎPx#\ =,Ka) 㻶5,]Ǝ{X\âֵՋ,U==t=N +]VuE󶾘v@7+@}wZl6_ OUtSҤXՊ'ugQ8uވbA2]t٫'5ig8˲(ce&S"뢌ۋ2zhvQDwNagN+mVHu%1ĭ*SGjN©^t*T8UZQ%8w ~Pjc@[PO@=;?KCz)65~?3”Jat}đ9uGa\KhjR@[+ ;OLa5nC)T*^Ag!~|ៃr'f̶N7N8."II;v:~' eyn0sp?ZcyqW3<H-%Mo4Y9{gy+RAL/7XiwMq5P"z-.;|D"LJ_/`l\fU-LM@;>_zλL~Y>vB ]&ޖA~3xZz >ׇ>Aw,=JNyz!KW>5)lTJ()sj̀uKl yfeu̱/`89!Vj1-uV/Hgf_PRE0{6V62 &)чM.Ubh'õzo.ȹX8J ,#x dA gAkƂq~lE0gWl#@ڦ~cǸ|r[:bE,\\+lefL[,a5lp]l\M'j' ?6ܟ93iR0`Qb ^[ uDFQ#KJߏ@5A@WAxpFdf% =6c@LNܦ$rtjbL7p'6vէ&ԝ8<`C܆\})EV)ts`u0døē Wj\!*򪳵wXNѫt^[F㲇wf8@'U&z\O4g['QvN%v$?hM܉mɁ)R_WWK. .v`_&b햀iA;o#84Ly/E0}~ynVC_ df?eT/i |v=/MJAC.;fՍiqo&kP`뛜 zh/d4r`2%mE iE#-Aڥt8j˹>yO|`^?<2ԙeZ;J{* QwDyKC%_uZC|c2tɔk9MlX.H٩yKDo8`1mgqTdFCV1Wb` "'d6| .o0siEuݵPxVt`jT1cF ̕Gf}M8Ʊ#ص:`vbM/ Ƨzڐآ̴xo74+դkjZ'RgN|CqBHB BGGޣħ꣡ۉ.PsEz3=-]r$fYOT(b_U$l0sĩecϥ&% ;"% HR튉sr gm<RY-eFZ;g%oxkֱ݊HtF!8ڕ &0r{_U]&  7K oUo28R\Tml v;5fUv*zP0]Ko{oNE}3I3Sq( xܽ?˫p?nKGenqY>}}$(F׺mҍ[6|& gCS=v@Y>GS 9+F/_ M<򙖦М//<||9ïc|xu{I]7(t˕~0fTt%Ϛ2Lmr/6meݳzkmr̓lQ*_BQwG/ۚdo5>=bO|SynZg_2KH$S\(l8n0a ) endstream endobj 8576 0 obj << /Annots [8577 0 R 8578 0 R 8579 0 R 8583 0 R] /BleedBox [0 0 612 792] /Contents [8584 0 R 8580 0 R 8581 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21856 8582 0 R >> >> /Type /Page >> endobj 8577 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063001-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8578 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063001-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8579 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8580 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8581 0 obj << /Length 19 >> stream q /Iabc21856 Do Q endstream endobj 8582 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21857 20830 0 R /Gabc21858 20835 0 R >> /Font << /Fabc21859 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70iRthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMD endstream endobj 8583 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=728) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8584 0 obj << /Filter /FlateDecode /Length 4805 >> stream x<Ɏƕw~} Tfu|0|i0h -Y% E2#^xU ׵>ӫwkH?~Y_?U} RvjK!Z~uR)ڽuk_jULcdc|R:7?Wy?0Ֆ.lAE4@@i\W2,ayƭ8 ^=F}}MEIEXԼ* l܂k[xZv}IcJ@+|'tTD|#Z>C@tʸ"BdJSٍ08;tgd}>/.Ѳʋ_6j YeXz!B\2}:1ݺ-t\(zF ^xmD\lwTۗ~3 sY'Ξ֬XԦyy99*O 6Қͦn6* W yXA?u-)@cԫ}p#")UJZ)*Ï KEMSAsD$rK,P3ȷ,*Ht Uyj(*I('V5( m֯bѪ`X$ҬA4)F! & ą|YEϋ-xh עۊjݱEhApRoDXתR-Jɾٺq[ٟ_A%C'JH*u08}_;-/ZHHedB]t}`͐PJoʡۏ_94 )$ JRIm88x$FqOcU&GФ^ԊUd͆AbKTlDC>f?z}*F*EKYjMEU]R۟TQݾa#?% )Ek =@,45K\ *`"e -Z4jʼnVp{V\=ٲV%{:-@b !YA; x8kB0X|R+|v_}FBqV(,& o2!hwmd(4e\Ԯ`"(ΰxM,)đ@ǧVdmFX>?$2[c& Z!}yFN{zHk8eMuuգCjXǍn_˦^ʦؒ.YC+F@M0f^JLܢU@m`!n+ x ƣL >)/B BIٕRAv`L3k U՘^s:%X.`UQd *a1{vfŐ-=N orOgv~.A.8[Y+oOb_r̦C|fO9)2%feB? l.c=iEE&s= ǟO? EWxY0-NTQ+EdR,UQfH$nۙ:[^*MZd0K΂b,frw3|8M%]Sǥ@a]Jg`{R@{z3 -7Y!w,PX }BddNQЩRٓ-9ı%sT+UЈ -%zqا"o~pW%^R C;63Mn,kjёᢠv%(jtˮʢջUD@uP9xGN[^\LN4f/P3*=jưS~tT#7! 9^YܝX6o(z^gGs iȠB;>D O5s):9o&ey@Djtb gi{7(N˓>UޯZ3xQ^X4Y&ec9w k\2MhjZ`֣ET k Oʃ+ 5*?Z-f1-9y'Ŕ=K~H4t!}o(٪,%]&`E}AL1IoZV: cRm{TH՞zV=Sqw}o?ط0-V.IzL/EsMEsP4w͗:7$YbE|$銍0Sq je5ͻ5+Cf\M[Na!)̻XN}Z?йE2T Pf98Cv?2qi!~,i(liFk.ʝ͟b\';mmvd#MO]mU['Ll -;TD]>+;;e!w. ~fy<'vQOWQ(zAhza_ZEB?GY8PMܦ _[sլ_mE^jfZpiso>x}ڼ'"ɽ~z eò=m?[RH2y/u~'w~E[LW(`8L,bx*[3OeKT1LVV={Qt65f[Oõ*/m|$Ժ'qlĞדfw1>ᝆ\>q9h r?- p~h<-Fn d2*YqAƧ.?Uep>YNc;bgld|A`t .)ŁbK )7ћ|_^: ,%b^ův;okS̗=ØKˌ y/R&~@R1lTmk,Bm-&uTXs'vKa.,߼^i#.(]!.@"p=_8(e f,_YmQ~~Gq|1~[6;8:M{0-j5,9Ū -c5 eK}LDбUe#\"p[׆y\/,ofq%nxILT49T}$7mRL7߈R &-C<)w6r{cFX r=#9/\f[^/cnR< Byygc]bSoes8vd2lr]X^h^|o{E=:sicsϕG3Iobʎ@x LuXN\R{򥿇G^@)`]ڰ'_`17eݛʔQoÿ#E%T}["*#ϣ8{MVCH\ޤ5 -#6 k DTeq[,, iăZ:DM;טWes!}iB.0X ĻSc4RyItcK{f1#`eWv Ɉ?א] *Ԑ}jRb endstream endobj 8585 0 obj << /Annots 8587 0 R /BleedBox [0 0 612 792] /Contents [8601 0 R 8597 0 R 8598 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21875 8599 0 R >> >> /Type /Page >> endobj 8586 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8587 0 obj [8586 0 R 8588 0 R 8589 0 R 8590 0 R 8591 0 R 8592 0 R 8593 0 R 8594 0 R 8595 0 R 8596 0 R 8600 0 R] endobj 8588 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 529.0308 197.7282 540.0308] /Subtype /Link /Type /Annot >> endobj 8589 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 512.8307 195.1267 523.8307] /Subtype /Link /Type /Annot >> endobj 8590 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 496.6307 197.7557 507.6307] /Subtype /Link /Type /Annot >> endobj 8591 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 480.4307 180.2547 491.4307] /Subtype /Link /Type /Annot >> endobj 8592 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20211013063105-08'00') /Rect [104.1732 464.2307 148.9102 475.2307] /Subtype /Link /Type /Annot >> endobj 8593 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 448.0306 166.3397 459.0306] /Subtype /Link /Type /Annot >> endobj 8594 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 431.8307 208.0022 442.8307] /Subtype /Link /Type /Annot >> endobj 8595 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 415.6306 165.4707 426.6306] /Subtype /Link /Type /Annot >> endobj 8596 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 399.4306 172.9067 410.4306] /Subtype /Link /Type /Annot >> endobj 8597 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8598 0 obj << /Length 19 >> stream q /Iabc21875 Do Q endstream endobj 8599 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21876 20830 0 R /Gabc21877 20835 0 R >> /Font << /Fabc21878 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`*ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8601 0 obj << /Filter /FlateDecode /Length 2125 >> stream xڭYIk$ǯ@c_@*|0>1Ci2o%K[3TfloQ߂\~~ѭteoj{{,u, zV^^> ||^[?Yc:O3WcO /|"2"T?bL"ƙw<ƌ&]mں{%%a]jKuH3kBDp]&VVrī!O|-2V죃]cGPa%cq,}>c@ H9ؽٳ5ZPr+9MvV?u:VZkϰ\`?n'P1] [[ ;[pdb]]rͽv;S냨r!)675E;;ma#xæ,ӆ y36&1LŨۂ (XLl-&b[4 ;Rj=-8yN\1ш.GN"[m1oC.5t}\*iKCxmX}}cI<_G*q&zH(v'Ebaۇ&wهM-OƉ4Y@nRJS[5D`afAnzUҊ߉ Z( A0,A<X#rY >D`9B]76ߍd҂MNw@OG@atSTJc1R!͕X !` zJ`q; 4s$OxhBQMa#BN>0_N)OIϚ6+V$%RX 񫆺;J{HTq&^ ѿ&^GZxT=sTVaD7r7C@J)ӆ \?e6=x !)-J$JC^iO 춀xlMK-P3߱)Men]lCJ7 LLj%i0ūW|3G=ȒdS2/S|!F) ]?;}[u[#wL1T|>:$v]t::?w;@R(]d_jsЬ]c"HG%2K1bli>y7wL絺0X*G7yqkj:.謹BIjg VD(Xn׉ۼG%WGOϳ$z,m]뫴G.M4C\W{^*r®388fnztoދ V;5B! P2kqLl׫k ~zG rڑKP[)jn\vB~-lN V x} f, ޔ>5V=E3y}`G }W5z1Z4rS FI %{R@zԭe3nyݐgnMe@<,. AojY@̒O^hDH 3> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21894 8609 0 R >> >> /Type /Page >> endobj 8603 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063001-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8604 0 obj [8603 0 R 8605 0 R 8606 0 R 8610 0 R] endobj 8605 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 8606 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 8607 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8608 0 obj << /Length 19 >> stream q /Iabc21894 Do Q endstream endobj 8609 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21895 20830 0 R /Gabc21896 20835 0 R >> /Font << /Fabc21897 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Q endstream endobj 8610 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=730) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8611 0 obj << /Filter /FlateDecode /Length 4623 >> stream x\n$ )t^+` n mrrnf.!EJorl<]R(GJ%m3g?Zgu zXe_WgϿG}f_ ZUd]{y{c2njup~|V_/:/,5߿?i3-3o d_TN4+_$(蘧*I'O|: $LzT@s,OC @S ujCyF-w}|ADTÔkH2{{ds5'qZ4Zv`;Ƀ8PlRr W| h@BaN= c!ZIa_j4k,S 4 X3*=O0A7Ggv+Z8=0c#u ?hAVOQ_ z^& xcZ&ۛ/N"\EغPB:ꊆA"x$]wefSY)|.).S"3'#Vg isJU+<]藵_V+ n&-Knنݲnn9)mr` yp_sf 2?؆æƔթުWO˺W l(pPܫ`\1[krrVƵTՇHRRIJKIx(?+iE %9}M./q=ـW)=Q7[dmonfGPMN>лߛ+Qbl[PD}. 3_D)hN wy爗\y^y001jX8)G 3mkL7J[+@#bv~/`.>F73t{GVh=n0F/ϗjtlK΄>+7,_P _q?EZ@\kl.кN^)- @HP4nY(`eUWQg;(lbѮuBXFS6F k6+mlV_J+IXf;{ŌaȒ-T6?`E:RQ{(۱uĽ *OA۹H,,:Jt ^NUZERKMl)(R}hpy66-&FѨn,0 U⧜gX$ &0}+lʅzT.̔^XG[ Z϶j4X-kJ{b3gaJ+K`[]^ sJPLc{ۇ&; pCK SfR*KR}R麌k7e`da{%r\KX,m:[݋ju))(FZTKؑjR 8w7jTrXޯ$& c 5ƷXj|Ղ,W* aPjSMΆDNd $,V`STBT27v,i5,Z][R FjVI2q 5 [^?;n EjęCAag/A \2a[഑dΝz|iǺxvIK<$QsmqN0 ykZ?Uغݎ)1v[81s?/n-J(};33Wa p,,ndh=0<|0,5G>9$W4[b5bJI ~N2l%Ur.j#@;Hdf4 +*([DȦ|G2I5.wݮ,*瑯ɎJ:THXC#spLsظJtD3u 0Jt$ȐŒJ2dف%A4\1i3sMLkWssǘjwPQjQIS$ĒS Q;SVd"r6m2ֿI\(uX]R9I圊r~l]!ɥ [wKsez1:[ C5}CN14wi @ @^W_'U `6+@n')65?L]m!O>&~qiιdd,[-{TfgY.uٞgb2LRZ6LZN󆒿bJ(EX2D3r+M:n"/ >ʪEaeXnOyLqY[]YB;LFV*l2϶<ƿ`6@)r * _-ݎdsjsZ̰\k,vscɦ~W V_ۄUߩKi_O*IzbWJ k`m|)`v1||GX^B]A!_j_* U:mm\g"y-PoB̂'bӔOVZ㡭8/3>+24/hw2,ġ1w~jV^Paz6'H+iUHDzSiyvg9W[՜Kjͼ~Eﳴ *4,I$JIq.CLE_L4\mTv\ēLv zN|sޚLijmiEiȄYĜA9 Dn 3p=}23DZg(IbFz]4B~̺F ALMZ+śɚqcI Fku[kx7Oj|}H[lU \%|Ɉ 3Ҕ.5+]<=R]NWQn򲵜ZkU-펙\fH+PT8t& *E%|80\ԒZ-pJqNJ{.ER"XƓHm<F?\3΍ uTp˟[Mmҟ1c~xTDs֊+!jqYs/YCqM@j}&SWU*l'X\FBMvTi(gf߷ۛ~wMyq7 0/sYy/Ϗeܷ2w5Ip)w>R1f=h@Id>U\Gh_0 p0DJwY3:tȗd9>q@׎/ubO=,%̨`yzy (5^\ne;PF,>oDV\k?'9ӹ}L-DEN?@`۷]}>SsnQ>TdjpFۥY ~: endstream endobj 8612 0 obj << /Annots [8613 0 R 8614 0 R 8615 0 R 8619 0 R] /BleedBox [0 0 612 792] /Contents [8620 0 R 8616 0 R 8617 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21913 8618 0 R >> >> /Type /Page >> endobj 8613 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063002-08'00') /Rect [218.8375 524.7539 381.3955 535.7539] /Subtype /Link /Type /Annot >> endobj 8614 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063002-08'00') /Rect [178.99 472.0846 396.03 482.0846] /Subtype /Link /Type /Annot >> endobj 8615 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8616 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8617 0 obj << /Length 19 >> stream q /Iabc21913 Do Q endstream endobj 8618 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21914 20830 0 R /Gabc21915 20835 0 R >> /Font << /Fabc21916 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70)|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8620 0 obj << /Filter /FlateDecode /Length 4973 >> stream xڵ<Ɋ$Ivw ? $ (ATRՂKb[l٭ng޾6 OHzV-*$vSjK{]J)GپJؾqc_l*Lh۷| |}'|z`Ftsw~s* a ZÓ0J(Jѓ>? =SRNnZ * )}H5hwiVaNqH01pb* |!7R|݂{ L/:<=P>?/#Bq;@̣#@-B#<S ?p$88i {~Ϩ ؗRҼg*TsRvw+>qH=6"ۀa4}2cixgpeȭlGn Q&7@,227S/tA @RaZV a ʼnڽ8iڙ*Xu"3 J$bL/=qL촞Wi׶|\AQ:nx7Ĉ&@qGOj[uD²rC1b Q"͔Z9|H&jgd޸ɔTR0$v d+RA)ߨC]Ǒg'z"8EDJ6q,'$Jx"XNnSO"EQs~x}%66?SXqȌb.ʵBBY;H0=d؊yσ]0/(F8HJz:Wm T?Jd;htzUpthKOWQSrDJ^X0Vɬu)(υlv"If>P(zI& b*i39Jgr'2N1جSDu t{U|$vue?vY+(\Jy,]GZU0:Q@:xNE̱܁rn{tƈQ79QONm14}d "% 1 H/E C1'㔵 jp>kt2ơ)x+wlj35=,rI(v3CaVUKL Ǟz? ԩLH`u.č1$cSȇȖU)RkD$ d@#i!XEn@ 5tq 󢝅hŽǷ! ҚDs=DghZTUO5C8M$gfMKKAe#58$&^d۠Es$XI^κs RhPB'?UirpJ%vECQ2 >}%6imѐߌ`fyKPEf}enͦ# JE)Y|$z_{ Ig:u5 ,Ӥv+ KkGv! `e}ŘLZQ$>D஠N^-XVxxIe. "7٠l*̥C2OŸvGylyisE`ae Px‹re#-e% &"‹[~YQzO+9K89\zJȮ8& :*bK!RGFVCtY3iG+|ȺO*@R[ V4m̾uɭSzXApǒYGuKnp=U'3꼠5Z>Ys3jU*{x a)tKTE'bKEk\@#-" K}vk  &9]ϩxK ORRxve0ւ%B鿈D>tu\r+_˜#^WZ509_ 4Zl,"J+g_bI3\\D3z̃󠠢\ SIuUFs Fs2LD?.w4i=zdJsP-dv]r͇vcJcZr NSODOEc9Hy,-\WEC\&=b *PR-"Ҳp3(CB(Z5l>+溺eVVk KūSa цB8[cT8^H[p`3 G*W4Y̦;\5W^W^3ܱt۹YpqR Iun1WsSJ|p|>&fJ0ط|wޗ{u^٫E펠]5Ih[%D(b^X;C6'{Czoh{7 >BT\jͬ)F^~SV7\ʶl.eqcᴸ;e1+^ڝPa>A\gˆ݅M,VI^syu\*̐.1 qbװg'ngV˖Qk1Iy] pMكp]ؕM#vʘ_K1e8+܃k.k-57n\aX[HP+M ŶM>~߬W%m¢ x:SYpO?Q?,׼QQŬ6B>UC wP&܇΁Nu@"Ԭ{W`-s.,鼌`T=r[ڢpsRo rCъR={B{VVdM{*Smh_d·*@a2tmUaSb+>%v,m]E#_ky:[_ϮkKo;[ R=.05* [=omKpw$_Q]3*U|i޳M2Ҳ_hBCKWMvhT-F}qAd=Səږ?ĵ1y ߕN)}{NoCWm:i6~mm'==m\Th`\PFWݿ'\m8?P?ڊ7P{cΎvs+PMdg);%Mt/_Tu 4%,eJ3h GCmD6ȠnkZ<2w^uOvmMƱwxEc}Nj=6íNmNbё.8q/w{ިfsԝbev[ x<,*FF=ϹW^v2ҋ}^֡!26؅ 9}ށG&raz#}Z{E}wЌm1u0MVU^+Y 0%dFleu$SQgf:ba.lbhL'D):^N݋CfSCDf!9,Ǔ AF~ʟ䭋MM>نdǞtLE@ue =A6BD'ۏ-Ox %dq5@TUЂ@;:p\ \\1Ƽ> /f/ OwqmOaxSp }қN+x |jHfjwq_aS + m8I٣f< 7OjnWwA* rһ ,\0pP4 Ha&5 oy=ȈkwUZ*WD1#%jїK ܑ54j Ŋ·>)ZYlТ(.*_&^ӣ|p*T~g*0t(pe~\H8ĩ+*9cAba3įONVdO"e,N F0Ul_p.(hG> :ݴ+T#wT#]}0|g&drsGX"W?; n FYì֡t@u T<]͒A;SO~ThSemdCӱ6ٮ`XЃ._|Ϻ1?E1<6nbUHoC2BX!Fp[ M -3KC|?uC+k~Du\1i4I Bu|," eGKgxgB9Pt=gi~K W/c͓=6OU'2s+8@ Jݲ R#5*  ]{2/ZruDPl]2a6y7g3z.? g_ʤ*Mo>Gomd#_@葌)d=TbɌ}k)f,5 endstream endobj 8621 0 obj << /Annots 8623 0 R /BleedBox [0 0 612 792] /Contents [8632 0 R 8628 0 R 8629 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21932 8630 0 R >> >> /Type /Page >> endobj 8622 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8623 0 obj [8622 0 R 8624 0 R 8625 0 R 8626 0 R 8627 0 R 8631 0 R] endobj 8624 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 348.0385 195.1267 359.0385] /Subtype /Link /Type /Annot >> endobj 8625 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 331.8385 192.6352 342.8385] /Subtype /Link /Type /Annot >> endobj 8626 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_ddrmc) /M (D:20211013063105-08'00') /Rect [104.1732 315.6385 193.5207 326.6385] /Subtype /Link /Type /Annot >> endobj 8627 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_ddrmc) /M (D:20211013063105-08'00') /Rect [104.1732 299.4384 189.5827 310.4384] /Subtype /Link /Type /Annot >> endobj 8628 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8629 0 obj << /Length 19 >> stream q /Iabc21932 Do Q endstream endobj 8630 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21933 20830 0 R /Gabc21934 20835 0 R >> /Font << /Fabc21935 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f}#x#?z^Z`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$P endstream endobj 8631 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=732) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8632 0 obj << /Filter /FlateDecode /Length 2731 >> stream xj$_ Ǿ@!EaN dmdJkX"/^}2[N=<6gU X2xvgU_Z1^Yiol*5s7O&uwKVu^!PGsx*3,H{S138ۛWuiאu֎w )a%L1n=T'`wgYWBx: Oy |ux)=y)ˉ/Gp7KُyL WS/gAY{A;tɦ&_;(n t~%ǕtGFcM1)IJt}gan,A!Iܫ4LJĈ;:"2M;+F|ȗ#a(l/կ +nxy]klXmQĽiLȂb dTWq Ne8yY,lC"b52$XݽTyFL$kFV^Z}eOڋ֚) Y.9̃`8&=l\$5 $+\Y͏qn6.l*ӋkHaO;2B :Kl0 (: 0K87%Nt+R WR';'r;]gN 5A9f7^M&BV)0L%.+߿Tٯ=) ljjK3)S|m5"6IwtԼBAB2jYylC5ڥhEkbPkSXu9?ho&sG+dTd%7(6jo)qIO6zs6jܹR_R_X tRޜ9Sz&\粺Zʩ0_{J|b4%a~FQ~0& YWD2Ͷ`h@8lIxJ; J+_uOzT=!u?(7}A/+:x|-(o3Np +!(\t7>Y`ƙ}cUvPdPte5d,`8U ]>֛+9q%c-A9S9ɻ` {YɻdCkSfWƎ7 4 ~V*]!S#ryaܜߢ/V=^QS.4V2}q~0*ǚo<dfv?RZ &dɴz'+ hgA`+AdhxV!dw% T5:/`?@=]#GEք'v ШFpu6k W)_SL|E%^}x2ˋRgjWqwk^vnF W{x#A81ГMqpYc{#Ve 78! w28xcYs ȑz$|:uYKG}l)vY Z;YVDURNBAhS斡_C%cLZz lG }˘|ĸ]\"+Ɍ43,uY[̖enJ`GY$i2ex]Lj8uƓY@%#(_hτ3 <1`(UqB@kq9N`RQ x!ƸǣGai#Zdg-w M%΃Ǯ7aGdB +Oj m sޥFC~ BIe*blNd~݀AgBcuB!ݥ*40t[FOSDyS- #\~+˳c&c&!Vg0 \㻁@?PeƦ6xg'މ.tIz$9*a56Jہvbq"k/хD(3i`Yc;Q S_C"<`ŶV{,0 tP+ endstream endobj 8633 0 obj << /Annots 8635 0 R /BleedBox [0 0 612 792] /Contents [8642 0 R 8638 0 R 8639 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21951 8640 0 R >> >> /Type /Page >> endobj 8634 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8635 0 obj [8634 0 R 8636 0 R 8637 0 R 8641 0 R] endobj 8636 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 304.725 137.278 315.725] /Subtype /Link /Type /Annot >> endobj 8637 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 304.725 175.096 315.725] /Subtype /Link /Type /Annot >> endobj 8638 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8639 0 obj << /Length 19 >> stream q /Iabc21951 Do Q endstream endobj 8640 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21952 20830 0 R /Gabc21953 20835 0 R >> /Font << /Fabc21954 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8642 0 obj << /Filter /FlateDecode /Length 4264 >> stream x\K W+z 0q/7Cb&}ٿR$5d=]RIE*m;ʋӟ_u:=[2?YwՇ)~~`Lx|;gjW1vwݼAeiuv6ڥ/4vNhB۔/T͔nx3|;$I9Ӭ4~՟H:^Q:>MƸeqUDN:w>@O;`LrN^:>(k52Hr|v٘y!+=<>xփ2P4H))%A.^E T$i W 䢭SXvIM7 Y:O )6 V'WT 8݃80㓱(3E~XY"gn V' >@ FP!Qolr8uUdmkHFLO!׻a8G'*9As1 hfPogAfN`<20S&61LaAOr$"=P:)tI:0{JEj\}'*ܙWYfKrrʅp,R,V_p?9Yʞ'(u7(RpNUEYXAE  *IA8Et(À/40X{L#_oEKULwoRg.vO~MSWLmMǝ8*s2V_LɓW5tO{j/A'Î[`_h+^5GL#Gڛ4o oݔ vF9ߘx(܂#N^m -b[*|kce60BQ蝻i%~YIW&/Oul[+fOa}|}t]F3/&i[ ['޴+v@Hmyɗ ^ -[kC-zE*|Q 5g JvDDa&jح~){~=2 [f㠐AGsخ4z]%իch'v,4|q~TőcMfvաW*sP:O\ZEϭ\ 2Bw+[)F7wZZhFwm7ZSArMZRl>3"b,r^K4| MFfZ^Xg[ Zih:"ҕf.h”V0k~7Ķo{hC0$+T8\drRub4޹!s)KObeP}SȕZs5,i6lBu/BĦDf뱍62kH BЩņT6 /U8T߬$& c}k P-NfyZrCC1.M٦V jgZu: ]®`nm XpHSm" 1Yi$s+ub3S%$۱"Zr# .j@YIپ8-85鼂Nn 'upraZ~B+٬'5IY nN.M^§+Jz4pt@ k8kpR;pd$F([$* Yd䨭ʻ$ĚBjJEPBL뒂O8N@3~άO'>o_z}>][֧7Im.Z߲>!{I }n~P?Pw xxO^hPL'@zS*)v5 ,hfNi*ǻ[|$s$ <B]B#GnunC&4*4_'~oÐt0'Gɭ fLrb2wP/:Kp@~;aa풸qX[э=oH-׃;zvǏueD9%:ۍN_6wtS\9o>rtҋpA{s 4cWƘձփ"GQw-8׈eGe٦bfzjNĤ$&xj\ԑ#CR[2:qP' -Ad>3ft+-Qv#c4!/ qO]uaRe"_jy%<\DcQWԣ Nʙ%,٪Kju%V l0v"V Yӂ:%Oٕz˕$3Xj& TZpBaHUX3QG*IESr]G e6 wVŦ s;(&[΂%NYFeOɻ&8A'[9X<3ˁ>V0] W$뛧zJU]k%v%{O`+gP7֋Re[=n-i`K긛uu$'DD LkǮr:Y3Y=#Pgm,켯;r8nzK`l4c),ǾPXD$O ";K0j+uC/5JR6 yS9DV!ȇlYi#bP*5#t"-jo9쀝v.A8MW'~{AjIij1_Rj/#OU6Y~3I /Mo|-N5wZZkV0|+4-Y,w ZU8z0lDJ,EH3˳|>>r$mNL02=dßi v >lJJD;\& (95e^ϙl ]ivMRb7cδseKcLO'u=Q(1p}dJCY~(STdjpwM=~⡭b5e endstream endobj 8643 0 obj << /Annots [8644 0 R 8645 0 R 8646 0 R 8650 0 R] /BleedBox [0 0 612 792] /Contents [8651 0 R 8647 0 R 8648 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21970 8649 0 R >> >> /Type /Page >> endobj 8644 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063002-08'00') /Rect [218.8375 586.85 381.3955 597.85] /Subtype /Link /Type /Annot >> endobj 8645 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063002-08'00') /Rect [178.99 534.1807 396.03 544.1807] /Subtype /Link /Type /Annot >> endobj 8646 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8647 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8648 0 obj << /Length 19 >> stream q /Iabc21970 Do Q endstream endobj 8649 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21971 20830 0 R /Gabc21972 20835 0 R >> /Font << /Fabc21973 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDUZ ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8651 0 obj << /Filter /FlateDecode /Length 4940 >> stream x\G$ǯȳR 4 ]UV tiWYE_τ2=ht/E-?័ץSKTxJ/?pf˗_H|E—p_u0QK0ΗHeR._ w<4K{x Ҫ]G)߭T~ R^ٳp>4{`jT|^oy;-EZ+zU^^xI(0/dnWQv-L& މWe )`3h۰H58CHfAC&CݵP3Jn:b}b@|y2Ш%%  1SL,eIq~M,)v4nS3v 6Nw(X 2ӔL21/wthkG%f4\Vdw 2Zu1I2٥KUF51,[P6jRP ~CQq 3m?4lA)$c "3kġZE"j8 ,"Z0X(VQ$ E~$7JίN\YYz|{)~Ьh fd r[#)CpiȸoJ I^&n3qW_µGYx gwL49i8x|bݏ D\K7.+Ѻ]d{OmlM.GB*SX5/wC-bN !JIbn,x)aHh D7EcNPNӸ{vE[6-I2V !r!)ReԮ,KTbB'b:դ-6M?1| ۂVZ9A'qǜy(*MiEV}x^F8hY GE3[8y(FWs{t~5fԑ%[>yǰ:s a4x%50x/TՉ>+ZH-k'\ɨO*@fkj.e2r]N/G㎵\+ǒF[ 1*9(v|H8ɻ1NV잴.Zd*Tqw(K/,:ǔdޏxTT4 QViK5b?!7үR;&DLT1!sBABP3r+PA\{" Ar}%r%d(K)FvotT#g*AdVJSp3&=lSK99r+̜z9CF$c!*ʝ>VFR 6?{-Y 0SO%Jt3FM{OvqfӪ5lk:%l-{92]-fut_fEer^$"XkJ\f KS*J_؍;>Ȧa XMxjfhl1(Zڱ㋄)[q9Yp6cH#6ץhifUI*iNUXTw*+M{A[^G5k"]tAqMqŲJ۪oa6Qr]*%}mff1lY{'q>Y1겪"4XՁ ]]Pc!"BFuU1:&9tDsZdWӃDC{HM}(}L'$佞d~܎ڢx{Pu=.RZtˌw-^74ɣ_]jb).Gլ)lQ õtew Z69|oLx'9:ɽ-[/vYgK{P.z.M~=V>w;l=!$3K4(o{u]Dn{|_kc]ǁRůf4f>M~ @⾓U2ﲮ x {`򳥇Oˡ /6gac;qY˱z] 9f^'$a^=d.Ώ 0dnnhv.Fc-dɘ qXRݦM xMks%n-5ooyt .zќ l;<5':~U!mM-^u2߶atD|>EzX@@j=5ڒr/ qJ0#y$g1g`,cڅe|2@_v8 nv$fNR 86])+i,:eƎX}cTssf>}[X ?G>,΀U<)-].ahĝ}OZlVk[[N%'1N4E5טzK~5InvhswF/u]G Ew.-=uhz\Rknf~A>lE׶Dn%^TJYT_o$6"m·,Rt /Ͽ[~—'Wʶ Y $fTK/{YL.@[Ph]S7z:Pw0}e{c.ScO9Y>O<^w3a ˻°$ckąWmBȝɌ<\ʝyepLa%xsI/D`jO9r}^ҷfE6Z %=[mr5jOYDYw떜; 0 (u܌u YM`JMlŠ\%x<jNd{bg֖aZ@t0M 1-ΥUkU6h,$!FqEG>Jt(1a.D3yby2й# yԴkgٵhs{]t ePg4Y {V/]=EmOZG7lj׮{C_ l.xtC%NwZ[I)¢1UȹVT=JanU=uZ3 vC Ғ{X}sފmm V7KЯ?&6 XՌbC!.w˿Oj#5t hABآ/rX @ԛ{aTk q`UM "(T],u `|Kn `cπ]#epЂvƹ] p!bK0b&~|h7Ԃw8 #'= ĘPғNN x& |hH_Ve<&?!(MX)\mw;IYym/Z4ܴy0.t4cpANa> .xp0~e N&k+$BI HxS;ʃ;BLعH-Wu)bл|T=zy[(xVڒ +D`"_+ʧIt+K+9s*? ꀫ?1wʸ2?@.hH_KW+UW ,+0ڠNRdʈ8Ww8e28T1ZP[> :ݲ+\3WT"]}Ph$8m#2X'}"g3Mn8xNX'FY=S|xG&CQ'kRt!,Kb_|-/D[*l }5l{,t fy'k}̥d$͹SWǐLUjF-X1ssR T U*Jty&* ?:&ZV 8^Bu|>Ҫ5-Yxn 3]wmc!<O\ y>\Nğ?ݓ>|ByF"pAȬ@9O\{DoZ ߘrotPAX]Uxܚ'ձU)8x5,ƢV>F3qR~k@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc21989 8663 0 R >> >> /Type /Page >> endobj 8653 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8654 0 obj [8653 0 R 8655 0 R 8656 0 R 8657 0 R 8658 0 R 8659 0 R 8660 0 R 8664 0 R] endobj 8655 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 437.2346 197.6017 448.2346] /Subtype /Link /Type /Annot >> endobj 8656 0 obj << /A << /D (unique_38) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 421.0346 190.1767 432.0346] /Subtype /Link /Type /Annot >> endobj 8657 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 404.8346 195.1267 415.8346] /Subtype /Link /Type /Annot >> endobj 8658 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 388.6346 192.6352 399.6346] /Subtype /Link /Type /Annot >> endobj 8659 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 372.4345 177.7632 383.4345] /Subtype /Link /Type /Annot >> endobj 8660 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 356.2345 182.0037 367.2345] /Subtype /Link /Type /Annot >> endobj 8661 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8662 0 obj << /Length 19 >> stream q /Iabc21989 Do Q endstream endobj 8663 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc21990 20830 0 R /Gabc21991 20835 0 R >> /Font << /Fabc21992 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 8664 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=735) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8665 0 obj << /Filter /FlateDecode /Length 2485 >> stream xڭYIo, W<@U4 !7A`x7-U]i?FJI)Rh Mw]A/ }//ϋF|K}w1Gȇ?o@0 yX_1_34JW 栱q2vRCydt="sԲ†L c3q{TrN~U8fcɄ {Kab0Fs(}# yԎ|"Wx/Zk:҂ep`F( Z6O0HjE4d))b#VӣհZ _ٓ=6ogˎ}= ˋƵrTue3ƃBœLdЧX ΰ}~vً3I9F#l6ʰ"L)Oڎfm [\$u]щ5QuC6EP- +<ܚMq{dG?}(TmF#ˢ L~$]b!&K$%٤ l׽O-@=!2ϥDc !Pցj@U -я n Iee5EAml^U6mOs/Qz>iv+HuKıZt sk$-~*Gg|bf8K%yttsrM@N*? Xqᶛ,)h "0utWp.Z 8u )""+W*n^G7q i?WL<"W]ruF㯬ZGeY7;OKٲQCCt):؞yͲzFʡz ;-uFxkES w ~t`CCfNc k!!2u&\F\{˥%pLܛ3ȗ*N!=a H9A @%\gfJP1(4Z'͉!y2O/*!Z|wksHpkIKE R ʸ, 2l"y\_5? ,%cx1*0[ r4W @VSWiG @ "}MgQR<`ŵ"}%NӒQL=y;jGOJ{>oĨܒS'> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22008 8674 0 R >> >> /Type /Page >> endobj 8667 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=hbm;v=latest;d=pg276-axi-hbm.pdf) >> /Border [0 0 0] /Contents (PG276) /M (D:20211013063002-08'00') /Rect [92.937 214.425 126.718 225.425] /Subtype /Link /Type /Annot >> endobj 8668 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8669 0 obj [8667 0 R 8668 0 R 8670 0 R 8671 0 R 8675 0 R] endobj 8670 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 8671 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 8672 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8673 0 obj << /Length 19 >> stream q /Iabc22008 Do Q endstream endobj 8674 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22009 20830 0 R /Gabc22010 20835 0 R >> /Font << /Fabc22011 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ; endstream endobj 8675 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=736) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8676 0 obj << /Filter /FlateDecode /Length 4744 >> stream x\K#9rWyf&(j mç^F}Njd0*I51S$ # $,wTܔ8̲4oӿ7]5Ĺ\m/->-)y:9}}[RbS~s7EF~zo_K_Nvl ~[B+?Lnȳa-SZbS4e Ѝyҹ|~2aHg=w+(.+S/<8r 5,t {2X1Ю ".!BZBzaGV3v3ce]}1CLA|v\Ff_?* 6Dե`-Bq7Lg(2gP(f䴈KI؝$M^tX K(974!/1JW^1>SX(xA Zt9Nszf+ül{E .`+2WE;u9j6k%itO9T@_4r IKYulBJqg8>oo'C ˃4$zt7[VܶxjfqPdP8r[-/7Zk܂ ^f=pj0DVBus+6$_95#:xѺ=!3)a#K.$~"4jD=hAdmDg(WǮɤ/[󼄜}w+ $ -l+[M摭<:%ڏZ}gcWL( ǛlBQE5 dzD\y@Ƕy"G禕`ZQ& !+i g~#~(KetWI:=$\lAc:ԋ *&y87aS q 1s@Mc 1Yħ Ş\-WcÚf&&Bg{5-2:56sވ[h H )EŽNVf.V MF~&Teta0Wm_Pb`\2U N´ P9#4Cejv5Tu v"[X+awi`s,T.scÚf Y¢`u,Mf7LlNJ*BHoN]w+@YɈپUjCT8`)RjCu(7Xн.{dF8]N&ԈU{Q&'c*ĝ(ف"s% 8N8$-^pRDnVSFExNͪ6T N~~NJt>̕4d磓F铢pHt Nf7dI(}~Nt2wO8p'~'oWwAʌ NPodhB^@ȁn70- sy %{J悁+Py6##;8*!:b1  zE =+)QYPd =<r V(hvէֺ\Nc`so޷}Ȇn޶G[;V$`w8g$WI65jxsZ],.܅$J 7'>JǣEYNᆿa,|#yQh 9/z^| VGGZ!f[ʼ+BVP9Ã41fªP¼)IIYr,\s٧+a?狁Twllfi`tm) O-qܯ4HB8Q:ܡ%_Pܜ6c_ƒ(MB@TӋhԟEDJ b,ʐTJ>4w PLdyb`EtR_T` 3QW9f3ЯU6w^UXFr*UA>0!?"y}nu\j 8uj%w>T '~LzAxOvvEZkGs4ṦpGC$4MGН?U]M>5{G:=({U/vg,Kr\n:FX|gbs2*&-xDsV"ڃb[5 ҧЬf Hu(*!f|tt`M>TI=ytS4tCaX%DF.~xPl`QcI 7~[~C%BS4 @:A-*wN,rًX6_C0~luםAws"Ü}^yTg0aR[f-e`33֜ZWp'o%܍^%툇P 4;'ƍbaُ}`owt1z/nJ \\&F⹌\{MJέsZ@ė:/=ّ/+ull<۾sA\ ]dWs-vaUϪzVl/b7J4a6؄JIw26RͥNt tOewZz=uaojny›޶&yC [+B)cExwFh8;C]"k"np١m{s}mc؍xrOդ77uU!EiÂaz:von]o+ѻYL26Sa< ۻ8f+\e.ryۮqt+GaGXu*B^gi`.FЇ Rpr|mUxD\y%(>0kز&P!$Dvb甝\[dZ1-gŒ0PVm ]q4~xRvٖ?k ySbzp=O.F;!`!6ێ+iC}k:qW}%ݜkf湔UwܮzQ~CpfL(IsVl?zX| N2s#"'CE\k{I7M1q[Zqߺ6"8_4d&K6WbBQ`cas@?urITuHmQ6V,Գ\x"os:))~'60Gwx%jBGJcT $tMEYP/{4ttT<=Q7~u͖Ey6Dlio%$'jaiJG6y\ f8u_/ݵ^%5\TsR)$Ԫ?+}S#PDܒ~e!Io9kc{R'xm2b2*9k֔ nNғ5KW|ee,qN6IHqv2cPjݶ\``*IwoLuB]}@RְG󭸦pTB^a j AqNubt;{N.3gT7]bĦ /U80$ގa)o ]V[am}n8W& ~աd:Qt9aD5O4yL /x?tDG~O @Tv OX՝"QTǣ{/۵r6> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22027 8683 0 R >> >> /Type /Page >> endobj 8678 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063002-08'00') /Rect [218.8375 524.7539 381.3955 535.7539] /Subtype /Link /Type /Annot >> endobj 8679 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063002-08'00') /Rect [178.99 472.0846 396.03 482.0846] /Subtype /Link /Type /Annot >> endobj 8680 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8681 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8682 0 obj << /Length 19 >> stream q /Iabc22027 Do Q endstream endobj 8683 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22028 20830 0 R /Gabc22029 20835 0 R >> /Font << /Fabc22030 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 8684 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=737) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8685 0 obj << /Filter /FlateDecode /Length 4990 >> stream x<Ɋ$9w ;7pՐ0O9]4Y y'{d L&n/zU?1kW֤[_֟ݪԆM)j]R)ܽyC9j8"y?[JЧ1[1<)tv(Bgg.ܰJ'T5<;µy^ rm7cM GXFy^P[Ԗ)iW>?/~#4O*7 \t~^.n2.! FV^.,O0G| 홯 jkQ){x^wuz^NÅ2p<5/x8W<l(Pndu5tTOI8uA8=DLcJA7m%tD>,br  S^Zy<J{SS:S#[^He ZJڑ;؆HcRkf.q4FM 8StM v\8Ƞr ^TsAYȗ-oxz ZB5:oW ]6B3ܛ]D ;9oV{5iCOM*O8`у! ?/Dvsy#G d^~dTG1=9@[D M#L +;/|H Gl\dD`qUӑsÖcΉ5M_G7kjbe:Zκ6TN78.{]-$Y%I֨**f@&GihRKU㼖.L1:[Ն43UEJZ}ĸa _>vΦqE8`0HΪ`+\o#V[ڦ^&E%8r^ n4ā8=T"LLRtE䗙ڼ :vqE+6azGs/h/Փ1^ѮB']XhiBhe훨R{؉qKQ_PlLW}}>ON7@pG7h~gt!&4Cn6$2"a" x4GJk=$|$#w*>huR}#Ã`wC*DZ+CViHXo!J(rF';[."-C~ʼn5UlO;*w>hW1xT8;lxZĩrvoRGBDX@I2o53W0p'O_3sWŨ*%7&.5PEwxILI_t' \8 j:>)5}ARU7PYX1F3} y_Der}Y/WնjI 뇹myky`+ԗ{D]nN$︧SY] );Ħv\]M mT(9aFU(k7z(R*lD@(+Jr ,D&(O˽R2R_Ы!Pl)A;'UAUϡ׼Uj%g#(hK^VvVjQqpB]99Ve!'aH6 FlaJ283xfC}衐^fmB"ݼكaց;9\Bȇ-2#EPĺ ~pU)([z&XTyZ^nP)fd;FLȎ:0PCi汾1} B5yTkA'UWUk;UVJhS>ת>ՀǜA1]S jK2+ TD@ݙ]y܋Kzr9x}r/Ias~8L*xF~gSgPԳ}5(0kqn\9]Ԕ}O5ZK=;€ ` {s@KcsgsB(55+(&..[ZYԔKX9Kz>J}xٻ3HOKè5Tխp+zPCAԅegeVW}ʊWJ6drV;]1gg^aG/kHcr?!R=﷣G DܜsS9hUR0ʽE_5j E]j P{Tyڜ.nLFᆷb ׼}|=lj*[+9>SOZ[KG>`] vD7Qt9+k]vr۸7}C ĶU*-AEީ~&?鱛˂gܡLHY}yIʢZ$]Ab^X idG*t".NmԅZ1"Hh<0k7.Dg'u_:|y>;,+rw;`P+[6w.nքH*_}u[0UiXq3+XÞs̥@R]wSᕫ/{׻!/3'緔}u6oj_=T!<mRKhd_Ny | E:lX-OڔK`(XEA &ݒym'OIW4u_ta0NNfsa8:_s 3ZGvR^DҽEP vKE@>;bǫh5I P"p0UX|Ev6њ^eSKKK{S_U  ?j)8uœ͕:K 8δ+ /NQbOGKV,6~buXkb\XZvZvOeeŪ?tv)UL.2Y44O94ZyXw6>-GQ&hA&uVF0/}d#_S] * 8CL!5( }= endstream endobj 8686 0 obj << /Annots 8688 0 R /BleedBox [0 0 612 792] /Contents [8703 0 R 8699 0 R 8700 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22046 8701 0 R >> >> /Type /Page >> endobj 8687 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8688 0 obj [8687 0 R 8689 0 R 8690 0 R 8691 0 R 8692 0 R 8693 0 R 8694 0 R 8695 0 R 8696 0 R 8697 0 R 8698 0 R 8702 0 R] endobj 8689 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 362.3385 184.6217 373.3385] /Subtype /Link /Type /Annot >> endobj 8690 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 346.1385 186.4367 357.1385] /Subtype /Link /Type /Annot >> endobj 8691 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 329.9384 195.1267 340.9384] /Subtype /Link /Type /Annot >> endobj 8692 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 313.7384 192.6352 324.7384] /Subtype /Link /Type /Annot >> endobj 8693 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 297.5384 210.6422 308.5384] /Subtype /Link /Type /Annot >> endobj 8694 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 281.3384 184.2422 292.3384] /Subtype /Link /Type /Annot >> endobj 8695 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 265.1384 202.8817 276.1384] /Subtype /Link /Type /Annot >> endobj 8696 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 248.9384 217.6437 259.9384] /Subtype /Link /Type /Annot >> endobj 8697 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 232.7384 198.5807 243.7384] /Subtype /Link /Type /Annot >> endobj 8698 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 216.5384 203.3877 227.5384] /Subtype /Link /Type /Annot >> endobj 8699 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8700 0 obj << /Length 19 >> stream q /Iabc22046 Do Q endstream endobj 8701 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22047 20830 0 R /Gabc22048 20835 0 R >> /Font << /Fabc22049 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 8702 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=738) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8703 0 obj << /Filter /FlateDecode /Length 2770 >> stream xj$_LǾ@!EaNm6t_XcTfDF}ߴ~篺Y. ~C_,j֬D`l*Jk99_5ye?xeI5[q @Gs t+3lHS1 ,ww kk1Ɣ#@0a&e1a=`@*/NT,{Jh>_}qS) =^x=)ˉ/GT9yBXس(^j^ ņ5dS pi(n ta#Ǎtԣ @&W萴@bY >3Y$;KP0JmDIi,"v'Q|Z^D){g}ckz`:[Kb"p- //ίVEv Pu:K 潑g k[w{6Z0'GQ$꜎쭔hT*QԞNW%{tkrGN[9ǶD guP'>3/quxh]nٯ߉h&f3ˍ[gY_4KDؤB5;\W X ϻ'^9ا%xF\I1Bl>ejr^zFDkk84NQQk6&WE[9#hz=:t.zfFՎJ\ēU(&A)N8D tuMF[ 5YΧ9mό d?*'FpkGE\lD=/=`Ъƫ7gkwr\'~d]$gc"Z !Z' խ&4٤SE†=|M2nR3 jT煯U;f@ui.g*9-+;tJ+)ԞX-5_!9m% 6VУgAJpPGWD$#Gp{i~I0PXңP!D`o\ڜM1܈Y-/ݎ@U"LՃ,f-V uVp.OLvsq M'pU*U&ݸJNKpOέ`rrjƅOl4΢HaM+"W7P1z o%@$)+V~*yz&OD^>OGvBc; 53|ʧ3taꑛ1 ۗ89ݝnIw=A׻)(6P&ԢOs[X鳍?_Ϩ IJ{ xCF!ΣAd݄{x!#7C׶A"C2Ť%΃7aGOd@ +Oj m sޥFd'4NIixϪ$?lvzW-[кP,Xi bbK_eF?A:HlHlC!{! >YGk;tҦn `ď5 2:zPӛ{"*Op,ώ@PX(pNrTfp\ Uf"~!܂?άxw+~DP#s_Bu07fVI sXͣqcOIDj|ᦁ`5vc>ѣAD25zDłGoqrGtn endstream endobj 8704 0 obj << /Annots 8706 0 R /BleedBox [0 0 612 792] /Contents [8713 0 R 8709 0 R 8710 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22065 8711 0 R >> >> /Type /Page >> endobj 8705 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8706 0 obj [8705 0 R 8707 0 R 8708 0 R 8712 0 R] endobj 8707 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 304.725 137.278 315.725] /Subtype /Link /Type /Annot >> endobj 8708 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 304.725 175.096 315.725] /Subtype /Link /Type /Annot >> endobj 8709 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8710 0 obj << /Length 19 >> stream q /Iabc22065 Do Q endstream endobj 8711 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22066 20830 0 R /Gabc22067 20835 0 R >> /Font << /Fabc22068 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`Yӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8713 0 obj << /Filter /FlateDecode /Length 4256 >> stream x\KWl`|7X,31l99)`7tOyEf*+ݬ_2/N~%te?}S9OƄGsrG%Ozw;waRK_U ӣI}vNhBr p5o?@LiPd&㭟쒬'BUdymG4c2&:u|<`LZY:>*\#7&٘0R@{na-"{Ncx]C7\ˁmGb]'"ԏuZ+ ӿEK s~dӬ1 4wiAf |)dݷ'J'pag uQAJ0tu4-G/i0rdDɑsW8wmU>`0 ýpO@Յ?e꘸C#|adWFԚ;eDᄨ*;랠xg].2>. Xh0ݵ:j0HX {,KD4{`I۰\ɩ0ՆJPQ<BGXՒu5v)?XFEM$k٥V<. 9ax {(^&p50!)9%T59\Wl|xgy!aYMO 93AY3dNrlyj"Li ˔O(v&sEs;L>ƪbt3ZjETrK$;Aʠ\ ָ '"hW^xAݙQ݁l> q(PM]ccW]U 劉*ЩyOHaեUgz!a n^iLvGκSWLmMǝl6:T?]!W_@=Jz?oo޸*UQ4IgC.n.x6M^Wn/`(g{(;ˑW֭ ~j o_M?9f}zPoWzYq*~Lukd,K#hַmIS.7~0ZIs,y7HOP#q $WzKF y+]6xg; Z.hé^e p/i9KW6#& #Q^ U){d6v=B 尝ij5vTj0'"Paq$eX?2s&lxgp:ԐL%tN*2S^I^ZTE S-%BX<6F1zRZTţ6ޘNu"4TGdh: )L6Ḛ-K*\%{> 3-l/"n%D*s5~4J sgJ+Kk~Ķo{0$ Te,}r#S5b4ָ"sc4K)$ؠiF42+j츧Y Iӣ佨g=6{dج(FA*U>YQ j&Vv:ofL!, ck ϴLNN8gy8sCofN쨝`kzX v tn > ivp(ȮyL(iVO VJjlJWsNtQl< ;8)w''T8Wp[ɭ᤮)Q[wé#jhVMƷ3Y5CuZ<}Bt;O:3?o}~RItPo'9i}{OOPuOx;t}[ՁHo{ ^{ @f s )@dwg.dnRπ:0>;O9?PPǷnA[G4> >U uYHyraH}rsˉʭ}3](Si9{p~.Q)j>P#܊ J ДCі0rG7Sr%^%|(c61S;c\*!Qָ#32vPbm3@4 v \KM+ $Qg'J x ϜFFhP;G: Q]{_|Cb`PQ`l`0>!<ߧk (ʈ cJ} 31-M| )`>Wg׽bMI1I[bU6->N:2EZ 5<)Zfqtn.āKLzkNYRu`mx'@0,ߗ*Kra&uU 2=><%wwHphdON*ho\H;=y@SC '>ibf K+#T4Xv. F\-[%j(r\jt;~5p/( <W/6Mv3샿Ǚh?]ZC+e2Of3d bS1|_qBHυ4bnyhv2.Ƚ"bcOܤ&KN(zBJ _ԋxvEJ3%>Z xABϡx8/[΍ wDQ%5ximLXZ$/QFK5jv$C^iާܒve( 2-,qNTHqQ62ڭmW۩AQ8Ǯ,m'> 6;5Gq1dsDDShO>oby/p$^gg#1ZԡG3~qrow> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22084 8720 0 R >> >> /Type /Page >> endobj 8715 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063002-08'00') /Rect [218.8375 509.8615 381.3955 520.8615] /Subtype /Link /Type /Annot >> endobj 8716 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063002-08'00') /Rect [178.99 457.1923 396.03 467.1923] /Subtype /Link /Type /Annot >> endobj 8717 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8718 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8719 0 obj << /Length 19 >> stream q /Iabc22084 Do Q endstream endobj 8720 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22085 20830 0 R /Gabc22086 20835 0 R >> /Font << /Fabc22087 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 8721 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=740) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8722 0 obj << /Filter /FlateDecode /Length 4790 >> stream xɊ,_QgAs_`&ӳ%cF~߱^U$,ojؗZ~]" I/_Y58D$gqb/?ƯRE5`ORj+sϋc~_?._ `pk4?0_r>~o_႑*)+ýg?0)+j O\8*(BOdZ2.I9hY$`)K5h7iV&aNyH01pb* |!7g>w3^Ӭ8;@4Ng!FzpBu9:*-u# 0q7ʺ>I{t2)!K^•y\ t"אQ<eWNzJP15irL`hR"cA'AsR\ @V|TT^| z`H;̔+'ժ+Ty/@mBn"lČτ3쳦},\Ui"Pp%h9 H+DI 3 i ʹR&u JOZ(iWU *#M w浙UF}uF<Ҫ+JdP7Y`|n:)`}4ؤ^T&CXjN&<\ܻ8d<#s n8 #I}rDzdžA4ĝ]m7 ]̚#c^|Onm=O+i*+Ěk"1s<=1zeh a7>_Qu0u>OA6cP1Nv3(4nS3Y8><ERVb0E8g^&~^1O(fxUh](*NQ1A6 Л?0}5lZ?줌! 3u*ېs->e#H8oI-,[Jc`A)D%X|)A sNe/-;,=UrV5+3 *EwVsVI?iBM[æWΟK8gtK Q)~ɬ1oY%Uc@L|=iTlEsxxF =KĄӽc[Ù+u˵to 61N pM!5vV"R|9*J]4+jǦ# 2E)Ɉ:ޫrϽYD3ZݻJ,JҤm+  90B+!LtLp8<-JCdB-RiQTȃ|:($xF1{B&w,)Rl֔gz[)t_^A`Z`rD^$9uqͨt^SMn՘QLz~8;KXEcEއiŕ)ĪNXMѲvR pDcTSK]e6fߦ|pZ ̩UZ*ܱ@eu6ƏiNwؾ> cV=>_#pby=]:؍H逭BBF)C^+1E_gnU*T4skJJ*{Y~I-0Kҁ0kOTڸ9Gv?GԼ#IcnGuLrAB}oK0ʕrT0[iM~[\-*bU)Slr໢~69JndžFC1l8LyIce[mBC`^gV @MMfQӢ+ҙ"XunXVjul CmLinpAAFr.YC kYJsw:x4>O nhK6+𢡶nQP.GP(?nT ita g *bZR<`>jN^s;J*b#rHwYٍ]ԼVKƓkdM_=&:US΅Io#{G6(Uaʑ74+aTr q )7*;1|b:t2b}B?O._c)sʥӖTS :I-.ų佫}B{%aQfd5)zjLqkER{\vu Ն˦ӱ|^$H]OxޛidoAKJ`ܶ=tOƖ^K||5#8KmAWv?M*`FM9zcutZe޹}f.Ij>jLk*Om;smW+{"yͻ{?-{JrH~M~yxCwydn.΋aq`="*oTww=ne|_ 8>Gq,7|*,Ce\qjȣJ|]Dmqœs-ǞyfU|ycFM؍dBGւK6Y׬.S>M0x½nYHڼ<܈qom}Q 1[vAɎ_UHۦEgzGX*ܑN)7cmL̈#*Y iUHusrlF)sId'cv24δ;Yme3 bY')&8m9]zPwy4a0c׬R|_8ɁO[2s8"^:':vew b!BO媢;͎b;~_߫[F&1N4E5Wzs=g*$_"|w/WzgT\g9UղV\h>CZ$uhrTw4oaoӮ~L\e&rs,q4'wSʬz"~&Y3,!0kEk|k}_ho+>'Ʒ~k^~E3| 6Kgv|<_h?ʳCՋ^}=|yΚr|#^}d:]Na}`\$1dpq!|hMH>LEܙW]VV>ٸ}J6L-O_9>{MA.?+_Pv94A;r:SId*q@#W nur6 k me ,vMct|,akOLbt bb (1pAb./wc3'dJu6= a[J#aNNކz׀Z]m}×:@1l;vtc5U&o^HL' ܴyO:(M=A<WX`ࠔ1nbf,BF]kRQm": j@\#k_i;7 v"^&Qhw·>%ZU,Т韯(.*_&^ӣ|p*T ꀫ?ng+r!cG"m>DVOWfONVdO"e,N F0Ul_p-(h#Bn*+Qݑ+p{uHI\۬g&drsGX']^"Wmlu;k:P:ó?3:Y Y_ 瓏ʷ8bm, vh:6&ە, z'_nR2h +cH&Q(5O͝ሔ\7a8[H8Xq0XbķE&kpS/bW,W3CW:}g V.x͗g_[TBeJ@=a ߫HoƾB6r?_~ B>ּHy0-e8+gpQ'99j|% RDE螭ˉ]@N5JW !evjE|f{hR޹('IG`/Q߲|K̯ HFIM%$|$ DK endstream endobj 8723 0 obj << /Annots 8725 0 R /BleedBox [0 0 612 792] /Contents [8739 0 R 8735 0 R 8736 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22103 8737 0 R >> >> /Type /Page >> endobj 8724 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8725 0 obj [8724 0 R 8726 0 R 8727 0 R 8728 0 R 8729 0 R 8730 0 R 8731 0 R 8732 0 R 8733 0 R 8734 0 R 8738 0 R] endobj 8726 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 333.4423 195.1267 344.4423] /Subtype /Link /Type /Annot >> endobj 8727 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 317.2423 174.7052 328.2423] /Subtype /Link /Type /Annot >> endobj 8728 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 301.0423 200.7917 312.0423] /Subtype /Link /Type /Annot >> endobj 8729 0 obj << /A << /D (unique_265) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 284.8423 199.2737 295.8423] /Subtype /Link /Type /Annot >> endobj 8730 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 268.6423 180.2547 279.6423] /Subtype /Link /Type /Annot >> endobj 8731 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 252.4423 159.8332 263.4423] /Subtype /Link /Type /Annot >> endobj 8732 0 obj << /A << /D (unique_302) /S /GoTo >> /Border [0 0 0] /Contents (read_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 236.2422 187.0252 247.2422] /Subtype /Link /Type /Annot >> endobj 8733 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 220.0422 198.5697 231.0422] /Subtype /Link /Type /Annot >> endobj 8734 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 203.8422 190.8202 214.8422] /Subtype /Link /Type /Annot >> endobj 8735 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8736 0 obj << /Length 19 >> stream q /Iabc22103 Do Q endstream endobj 8737 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22104 20830 0 R /Gabc22105 20835 0 R >> /Font << /Fabc22106 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70SPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%īJErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E3Wuœ}"juZG)ȃ;6+r8knT-=%CO4yrCodԑH5J\[-48יq|mau3jl?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/} endstream endobj 8738 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=741) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8739 0 obj << /Filter /FlateDecode /Length 2963 >> stream xZIW<*Y-5@na0'' `_mJ{\*-[Wm;]Y^->2rZ/i1%^o{cL:B90qFsP맿QkjK?V?dc@.-)l%&YaxK&Y{B [A;#,׵==0 z%ܖ%ZNRo*pyR.IEkx<0a]n$HL>DymUf?cUc6x8RBƪUnI6md<tBT''7Ǹ h'/ITDrJTk/RBxI!?څ%?_'5̨.j q9s<j8ED1`]2sYxox] g  aSy/DM\\ .0 KJ5<0&O*KAamd6G8!P 6ﯻhGD# (a#p+8H_Չ,v>zfuRIP3 WaoI lyܟf j܆>~G<J^%~]0Տ9v.iA6h8р3B~Q1+!$"_CK'U;$Ts6 mY9m8I$ B P{FB&#1^([6Z7ݏHy/w3G_B[%^ K)R+m؋ań- >RS/{).fL}VOUv,LHTZk3r4I̛ʞ׶C`q4Q`8&sl 7R$4x0mI&j-q P ۯ{ tSl|n |i1,_kKgCD~qkyìm]dL_[63L0Z~dnFK#!OwoIz"ޝr͝Kgᨓ -FU#9 c*VX-EM跚!gouY |BxX,{J~3u [Qۙ*w'y&UΛ^R]Wְass{[x(g+4C.0jM']ݍ>.܉>`l:nx| 0JcZ݅4Q 15U-@]l:u}/p ܲkY6xVkĿc]ʔYxV/}p/fKR[h̐V(R$q{ZR1Y*|]+|nK&n I-0"bf#nUQȫvuFp,5εw..㹪f12$V-·מ~TS@ 8V d3¢`,aXg)\ sZHVbRa=J*+I=]#>сiUn -ڶQg,MTYV4D1ZD|3CC,JD5j\c'invP}7v9m[z>vp7ۯ}'Na*N\{itO B&*!#7X%A7qKB!GĕnINQfp 5 *}Tr_TChz'lZh{gw5o9/Q/WהAivɱ[}Qm";M8x-*w7J‘z Gc՛6Pj7Q?E뀕tbnB6; auO÷VF6G]D_ A%J@l խ>Bu/Rgm@X\2}^+ӥ5eK2yE]A%gmby542Ɵ7)l Y6ҊH%&*:Ok9O̫-,3𮾫u,.-vw4Vd=<<18-pYk8J8v6!w}:˰/c,g>YhM6w6_D.0cf21 wn&'rb=d#QsZ%O|M PĬ qxT-7G(!+%{&pCɺ`9u#OG~ib<[|'i~ngcụtTZo+i8XV*0w7 Yr`=8Gbxs~,@PYM(tǍTfp@+i> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22122 8747 0 R >> >> /Type /Page >> endobj 8741 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8742 0 obj [8741 0 R 8743 0 R 8744 0 R 8748 0 R] endobj 8743 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 304.725 137.278 315.725] /Subtype /Link /Type /Annot >> endobj 8744 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 304.725 175.096 315.725] /Subtype /Link /Type /Annot >> endobj 8745 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8746 0 obj << /Length 19 >> stream q /Iabc22122 Do Q endstream endobj 8747 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22123 20830 0 R /Gabc22124 20835 0 R >> /Font << /Fabc22125 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8749 0 obj << /Filter /FlateDecode /Length 4371 >> stream x\I9W8OsyYN㓒S}ŧP'7J"_t4=Xm?͔d]M9,4?}eqENO:w>Ar0&A:V.O8=W2 r|vl̼0R@Gna-|"<{c=Hw2B1(eJyֿthQG(a?HvZBBn )kLG ; L3Jm%/rSA (NjGFx9A.'g #>H%=)-MX4A5l|7ɃImrbQ+캉 K )d-Avs OT$r<f­AaDs9pƋ"Ȭ{0 > p."~?nwڱS؇#z,Kc Q*q=Aj&ԡNWiy ~$c](`#D]mu#џ{Q>9)L"|v$8$rsJ߁a=Ed+ NX}V>^r[ί5N`ez*6S {9YTEEi{)h42\!6nN]_|TRHN$h Šlkϧ΁-L3wl4@AvAz"tUR !;ZV*/ !'ZNd9 `y&6w?{e}G es3 -vHf#eT]5+T]lB̏I$H6AU\Re^-X~ݻػ%T7-߮holpIsK8je{غb_J?n{a֚}p341VszV&87VW"ucd,hVMASW.7^h4yH3)|s,ɼ?TAq ҉)PÃSçHm^hYb֮vA=,#U^  }ea05$^fL¶jc?(d3MRơjY팞s"ґvp #e- M36&dfZjH:[JTxW5)p ͉ږcK^{mk @H)ZUJQߥޘ:㹿M͒ &0}3gEd,p^:*o̴jX6k4UY黛Ҕ*j.hϝ),9#nתmw0$ Te,}r'S5b4ָ"scťDvIyJ' r46(~,>M(LJ;iVlB,y/Fg>6k'ʬQc@&FOVHpGnUy)yD,.EKX{Cu0JQur9řx4;8uJfGTxS_ |NelW+X.p{p,uXHہEAv`D,Y=+X)=N +]@nMʺ0PDbMNNSt]Nn 'qt(\Prv3oIpꉬEaKSBԠ<݈5̷t@ k8ռ[pR ;;py8lSm Ge> ?TDhZm6ɺӧzKCn>(ue~ԕI'ZOOo=;uv'԰c'u /@/@=/O~~Po/H[֑zA[G4> >U uYH??yraH}q^Ynׂ}ƽ` fPfL݋r/˵\; ,=k)&f粴c#H-864ڼa[-qJ|ӓiwģblH7%^%fƘ /1/c>^ܻ8ip(!8rs3)xT$4iYT+wQ3D)e`a GIt tB(kk&, VgdIѭBġG 8 'Pt⠖'5YXeCrO.\H+2'HP\PlPT/0꩏+V9#Ɖ9Tws4$'QSW\ce%qgޟm Dp8=ZThtL! RӖ:epoէ2#As87" wn"hM/$TFXuԅ!YL G QCvrA+ݦ^TWh0hH|}s) I\bΞ$$27N} t x^W$UcoLTvџdA6(6NB-֍ @Y>6dW\ZvEV]}UOQ4f@7 ĮBӌ\!q8LBH2zV[Quj*,+߇@6 nNoMe/ }ǍZqΚư=?8v>:aކO졉lފwc70(.s^)VnndhA(X-hCս>덶֐r)bSٵfYS[)ye#e2]apU{nXX^pgK6i~?tKUKY۠e,s]<ɢEA_ߣc[ &Y &Y[XZ ܭkrqe1bpYǢ?cg,6|f`OdNR[ܹչyx9ί_̰IU)%j ~# fmf|y2&+:a&B=o#%jnc *-/dÄwl2(U aSƓLn]0߷wv(gv\+tVhu6O9W[ݜ՚y湖xWoJUZ`Gv}}8ya`1t9ɔk:M/6)b!Nxih 4|Z0z:YB< |ȌȭbDG9Dn axq3rb` ) #-ֵa![NcEb,0W&ɛ9ǔX?`Rn>^ǍO0>!oFS-p)Z{' l5UeCɳO3Ph\i@S(8, NyVDl%f7 )Yg=C}uA`S JERsKY+& O|asMG M$}lNE*"l/(DԧZr~%4c;)&؜!{eq~•&ƞ (jb$N]1cn -A\&7&|g obyU/p$^as֭=EEy3m OƚCrO o~v> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22141 8754 0 R >> >> /Type /Page >> endobj 8751 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8752 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8753 0 obj << /Length 19 >> stream q /Iabc22141 Do Q endstream endobj 8754 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22142 20830 0 R /Gabc22143 20835 0 R >> /Font << /Fabc22144 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8756 0 obj << /Filter /FlateDecode /Length 4129 >> stream xڵ\I$Wِʃo >ڞ10~XraȮ[H9^w?1ӋwKxK&_^ R)W~}NjR | WRPp Fis{6 =|e<>;%JUB;D80~.Kue0>@ pqevÄ*00]K}uo P$blZ@._U.]~BgBΑ̈+ D43, cQ_-AKQB}L@jM:8%Z\(1 (}Ju8iY$yiU\%[gdxz)}7h܇w>\}UZ4JzFwTQh-Zѫ4ZXM5+ʍ#/3ʈƓIE' ȧ7<Ŏ03[YP|W /vĊ^DsСJi|Xy9yu,ֶ3褊AT1AW T:;ӅYkHX[IUz*}eNNBVz!],0bKIodmע|i i:X!v[HR@F3Pǩq!sѱz ^8Un 0|n s lʖ }D.x9)f\>3#3@7 Ƹfu5%ɔY\*Ak "hA. u+Iq9+!uШGSc)ъMcs ˢ"a֪w3DxY?lMg D)~ 0u`YFu^+7@JdiHr nr13л=6π_' CPƴ!A9OkkG v S+׬(Qv7a SH:cJ&7>H;9l'L{Ai[O%uY#NXd˟νk/s`C*Bʣ)~jSN}5^wTa/*3#/~l}$/`"4v#<->^ۯ}#S0V;@.k25K,U%2F0t gzb7iJ䊦&JǣdĹ>Pv=ME=+(>ĄqUn#fMC@-ZwLwb8e{=iz7u4#*k9db".6nCmֿ<.JhzL^o F*ڲ[T&ywW<tMhW@dA|X`V^Rwg'}fyg5 6e:t;fvCRIRnMX$1dBʰH?Fuwn)CgbwYBƽ] AUT|x6)Սl;<ӝ Y3lkB^ro5BP3evq'u^:Ícahڪv?=I~JJиv=" D;h' \M]ht˅'0,Q+%h=5]di1,vQpZATV:DHl K(s&=t)o/٩)ũ1`ݹ2ˤ ;zڗs{jSn7 !pnO l-V};zMis[G۽s66vg`8m|J~*t5,>c\˼}D6N(pp:shئIw}\M?όy_?sxLR%j⦐]ܒWńn<-#yINzmWSS/IZ*w9B&hc6tzj7x׋oUR'^Uwl.4 2*zvxݲ!K #3t{PPă3C+dOb0e'F39{Q]třyΥN ʬ;+SJ'W:gVNMaf$JoKxq87 '_Z2vpC2+cr mS.6$Etpp]+aڼ <+"Z: r2E6D\0qp pX~uw<~^VwĠ1#Sn2qOh6{?_ v9݊}4=Ʒ1>%U8VV7IE?_\tMT&k"TiX#|F+.]Kt b=y O(FJ23Gj\ Z2_}+WPAiXvUJefJOo G:i=O\3R<\mwo'.oK$^'΋s.T AB~)dyYlg6ÂoMoeq8/Rd;AjrݶX.h~x/G151*Q+{1ۆhb:darG/q[[rNӫL؇kU}4*S}D][::ܖ1wns~Vt=wc\L): Ь-v]DLP>IgԿoEZËv s׍+@ >i=Q9-5kCsbU{5uz٭$:x5jVF37]˙Z=KEt.WX2 begv<;=dj3.X1(z|m,0  endstream endobj 8757 0 obj << /Annots [8758 0 R 8759 0 R 8760 0 R 8764 0 R] /BleedBox [0 0 612 792] /Contents [8765 0 R 8761 0 R 8762 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22160 8763 0 R >> >> /Type /Page >> endobj 8758 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063002-08'00') /Rect [218.8375 640.5577 381.3955 651.5577] /Subtype /Link /Type /Annot >> endobj 8759 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063002-08'00') /Rect [178.99 587.8884 396.03 597.8884] /Subtype /Link /Type /Annot >> endobj 8760 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8761 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8762 0 obj << /Length 19 >> stream q /Iabc22160 Do Q endstream endobj 8763 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22161 20830 0 R /Gabc22162 20835 0 R >> /Font << /Fabc22163 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫Lӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx#"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%TaSA9 h#bwo9 endstream endobj 8764 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=744) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8765 0 obj << /Filter /FlateDecode /Length 4873 >> stream x<ɎFw~ $HI)s9 TvQnuߟJRRfgl$/^} Ǭg+$3}5RߦgzkkE);kx/(FnF3~͛R?e [UG^R0̽ [ehޫhϯ.0c}Q)+rh:uuمeu0.<,h<%1e%>VuXGtTᢔzz4ƿNWq"++etCXd7FgGA7x& @ra`GDe?.lԲ&`,egll]nzJxm\SMBHAD@d_LD=iЙu/8uN/=;fU:yL$4'@k27f4.aX XA?]2SƨW=}BL1")YJZ1b $EMCAҏsD$r(E ,,H@ iRP$eᥒK(h 7G$?OhU[0 @i yO+BvIbbʜE%ADq_Vd#.`4auVWEIҽIY}`E_LfJdڿ#WSv>yVKzpJ" $T=a.37C>WZ9ZC"LpES3V5[R""=?j^_z ~[,|&iJ,- ct@Rd& ?7'ؿ@`ٴHˋ@ W|Y[q|F+93`+g;>ݳM3Z%i%qaFo k{h&,Xw {;KWZggb ʹA'h­M mI;hDE~ 2'!&sbtIB ^KmZ4ϠKm2ż3ܚ=?2ћc& 9YMH/KVʾFdڌB0 bߒw@ho;p$qM$6vdZzj!~6&$'ǫ":TZј="[A[6*w9ח\(\9.lS{pETdcMyЋ7kcwj>0 Q!-]5#=kS`kБ[#x>fإHiIu=!my{jJ砞O"W Y$MkRJWC: w'Q˅s)kpZ"$X RԹuC:" >%Ў>4fcO+ H<\s6!CP)f|ԿMO b~ ;cyJ6Rmq)Mml0pmں̱^6DMm[-`~(5'|J|ĥhG3,2oU%Jhjk=,P].bieVcffH0#Jslg*m YK(;h\HJ I͝Ժ 7l5gjcb `( cqh564FG9xmwfx!VINF gunZó* G3i݅`mR2g_F3h`̌%{aC.]m:Dz!:Ds!ZZ/Sh R#lv#ҭf]ɣ{N Tϖa7eE״ES>*Gq?nM^}O;Ggc'T9$~t 86mtf3M/UN)5(ad#mzowکAhz.T;S3"܃v4mjtzﲍԜ+LH`Uܓ zn|05L+TҋM01YҶkQYYcm7yˈqB<%}Z9%Ib24$DJ+佞VPX jsd֮MƳj/=|EnnkmJmr,o9M!܊<5⌍B)Gu|ْ g @IꏕD4tw5iam֪#R*jsZtr'Em~}=[Q#$ɝ KFW)71懲 a UU&/1jBC3i;Mr`4sU{Jd*H%}0/R+ɹw!+ȷyJIc|=qF]$wKª/$5~.￁osѤu׿̿3 տX vr1A!+?f_;m֠F]pU6~ܾxꝋǝ`ya<A w\sr|)'ð𹇐 jq r-w?q ŵ'`?MH8 ?.a'x'ce]vil!:C鸋xPr'CFwm[C uY]m9s[\EBϴIиt*ڱ&+3]Y軑|w@k?6,HaH1h$_M7mMK~Q9?شC#u0f<WY&g6eޱN4KɭZƊ` ["͞ZIAPγ~t&3G`;fHiI)_8H:&͗3-nZY;SϮŶ)^rsm&hnXJ;Q 8/¢\tlSk5V?ɤܭG1~Zl T 8}T; )HFJgyb;ؤO#TJm/qf' W6JA=ɷ}bO-J{mZIo9\jͱ;^;ť]wXv浅ثՅl3r]yOE|+FtLו:]1t U=&}BR+S~>ǥWMoN8s(W1qڨ"ற}Cfӧ_j㛺bܮ>pa= SU<%hAV4?"=e'v bH r-`S_Ph97 V $0|[4y g1%lu 7Em MͿοCbZ\z"?g8C1OcFO ϣTt-0>LLM f$ktJ7l-cX(%Q.ߠjϏ-\3Lma:*B k4s ]X&1^a#.^4P2CTw|bࠔ1(& $9bIw^fD;GMp H8Rj&ONjH?`W['w(zDžo~ |Gn)x#*kKDv1kBhMi ܢ!U2\(H Lu(Dby!N)$_QdO"QL+7.i?NC %W6ژ襙I;yķ:GՅevDy1\UeY "xηW6˩,VVLFS3_|M6GS*lH& \B{&'o_E7n'&ejG]sicsяGEoCʍ _r:,#Z.%z _₅b|כ ̛JQol):9Xǯ2Yw>u "*R=U :'|34ݣg0OZd9T>'fcUV{xJ<.7j>"Oj endstream endobj 8766 0 obj << /Annots 8768 0 R /BleedBox [0 0 612 792] /Contents [8787 0 R 8783 0 R 8784 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22179 8785 0 R >> >> /Type /Page >> endobj 8767 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8768 0 obj [8767 0 R 8769 0 R 8770 0 R 8771 0 R 8772 0 R 8773 0 R 8774 0 R 8775 0 R 8776 0 R 8777 0 R 8778 0 R 8779 0 R 8780 0 R 8781 0 R 8782 0 R 8786 0 R] endobj 8769 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 529.0308 197.6017 540.0308] /Subtype /Link /Type /Annot >> endobj 8770 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 512.8307 195.2587 523.8307] /Subtype /Link /Type /Annot >> endobj 8771 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 496.6307 195.1267 507.6307] /Subtype /Link /Type /Annot >> endobj 8772 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 480.4307 174.7052 491.4307] /Subtype /Link /Type /Annot >> endobj 8773 0 obj << /A << /D (unique_265) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 464.2307 199.2737 475.2307] /Subtype /Link /Type /Annot >> endobj 8774 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [104.1732 448.0306 162.7152 459.0306] /Subtype /Link /Type /Annot >> endobj 8775 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 431.8307 180.2547 442.8307] /Subtype /Link /Type /Annot >> endobj 8776 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [104.1732 415.6306 185.9197 426.6306] /Subtype /Link /Type /Annot >> endobj 8777 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [104.1732 399.4306 163.6832 410.4306] /Subtype /Link /Type /Annot >> endobj 8778 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 383.2306 182.0037 394.2306] /Subtype /Link /Type /Annot >> endobj 8779 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 367.0306 205.2137 378.0306] /Subtype /Link /Type /Annot >> endobj 8780 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 350.8306 155.9997 361.8306] /Subtype /Link /Type /Annot >> endobj 8781 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 334.6306 165.4707 345.6306] /Subtype /Link /Type /Annot >> endobj 8782 0 obj << /A << /D (unique_119) /S /GoTo >> /Border [0 0 0] /Contents (write_debug_probes) /M (D:20211013063105-08'00') /Rect [104.1732 318.4306 202.1722 329.4306] /Subtype /Link /Type /Annot >> endobj 8783 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8784 0 obj << /Length 19 >> stream q /Iabc22179 Do Q endstream endobj 8785 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22180 20830 0 R /Gabc22181 20835 0 R >> /Font << /Fabc22182 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW<"R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8787 0 obj << /Filter /FlateDecode /Length 2299 >> stream xYIk%@s_@" FI</[.U*uK>*Ȉ/ʧh -/Y. }]_F?}K};cl6Cz"| R KQά1 /DeED3HMqWc^7΄`{  υ1-IWi^rIe:4@%g!nYVVqWC}Yt`ࣃ]c|#p%Wcq"}>c+huKı{5Z0Qו&]J`n cZ\8x kf9P+0p=-حɅ V6&w:V&P(#zX !sJ߲/g3gŎ=:&dh w88 fBax%~Ɗ莾/7M)sm3}Z{3oS'xSCB_"F SC l"o/;0Rj~Lb²Yźiy~P(}X/yG'D@fqQ+V'+d2Қz&) A|= !4,8R@NlRa;y K4E/ޮ(HG0$Sy]q?*.m\͕u%Q܉aNʗOt#4ԷUNr@F)DQ66OKTg(RP[qC>]t\Oj91cZP@ӱ7 EÒ70(3-8[sPx} G٪gނiS5d(?ysߜ4L6GkV6@Mz'qI]/|Fz9jFN;` Z?,DI͸Q4Zzrwͦ_T+- wY;|;J %ί9 4&aKGv6kLV.K!ϠICɛCf[k;Ko+K悀5Ԃ冾鼺7:7C?x3jME+ * dm^ LySsZsng^y,3鮾:k[,QKXfsxbjKŝo8iҽH5pjM AlH[Gx8xP[!qy}~6H6#LQse4kFmވRkhMSÿauSɫYvYxwSgud ʡ)#FE$%[爩jT3fmk'G^7%-EWM4Lg~XZe즦tYXAxD क़LŠ>3I"CʼnFC$^}"jr1q&ej֢eJPLH>bwMT*P=$3_>Os[Y7k~XcƲz4Qxی:B[C3т}+'y!-7Mז"bxGĹq~squk)?) EX7/8ᤦ 4ȃfH)ȁ1۠rx\}O/K*ј)M* eXrǶݙ_J<$*+f#XM;,Ӓa|{凞!~2^f.;Jz_ICq  Y; rPƝ >ۨ}O>'˳c#c# EY>s˻DN4ZQe<0EKxԃxvN".N +eix aL} 05x~~D^55K endstream endobj 8788 0 obj << /Annots 8790 0 R /BleedBox [0 0 612 792] /Contents [8797 0 R 8793 0 R 8794 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22198 8795 0 R >> >> /Type /Page >> endobj 8789 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8790 0 obj [8789 0 R 8791 0 R 8792 0 R 8796 0 R] endobj 8791 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 8792 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 8793 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8794 0 obj << /Length 19 >> stream q /Iabc22198 Do Q endstream endobj 8795 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22199 20830 0 R /Gabc22200 20835 0 R >> /Font << /Fabc22201 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛϿ endstream endobj 8796 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=746) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8797 0 obj << /Filter /FlateDecode /Length 4744 >> stream x\o丱9@+ rd&<<쿟◤v=wzZb~UEm2d;}}J*M}:~|5ӗG7}yzVU*WaQݧݠkSC|9}E}#~у^}0WӿPI1'g[ך_~ߦ_yn2]vyB' :Z(ZQ\.KxL)3rG~€&LyTH ^VADVOu#@/.A0򿢁9`'~g2˄e~+ :qˀ(4s/|GMGF~9krD[flacfiv7 7e 9''*~J9p]Z5/f6a[f $r??nwڱ 9F,޷9V  d500Ũj !0zźU>ލվ~Elx`fmhI|)XWhi@8]ln7ƴt?,9ZW]B/FW;+t3nT_L GsTՃ?B Frj~ '%X-^cIwLYz~zz^&06 Tjпu犴;x\F@Hp /<$*LZ~.dk0%2RZ TW_%W6^tQ0q$LYb%_j-Tj%?`ND:RQP@4\q\CJOf;dAC TBgP镙jORJ„k^6B*&.g;ƺ75! =Fݪڤ(KpN*rqE~618?V&bXbBtT2-41j X϶j4UYKkiJ{b5ΔVzBgoƶ[a=*m=2 .*U#Mqs,.*s*>@.b*0ħ V\5Wc=͂M,RE:]'v:QzJJa//j.VUoJiW"B]anu0firR2RTC۔ʎPxۈal a)\n`U27vӬ! kXԺz1PŶ'ZjlJײ !+r}16 Ԯ䕍r'%fJ|l}7,MUpR7V Q,h^.{F8m'pYned2%".(Q.(jفSGJ8N8u]I k8wé#75D'_iuNn/:Bu߀SUӽ 'u%:MNJt~ :4xtR4}RtzNNI톬N>):Nԝk?N?8xwO?OwWwAJ6PoҤh^CHo d@7)ly L1oBIm%?G`;8R8]ƽ1 ރƭXBCLJ=^YP l=8meehiS4ݫֲw˶\N``wu{ywiow|+]䶔e`ډs8F{Vl򫴻!G\vs雒qrNhQD@4v;۱}k~7<Cky6-! oFm> xf#W%Xx9m@s;2@9-ο}=!؝vi z_nʱMHqdqr~ OUr4|Ol5k)Rf7EV FP:"m27+MJGǝ=og8΢2}E!Uβh;sPwt58F[ j#MwX'ișUs|KfRBo.v@ZHy3 hHG E;O S:1qlli؀֪lu{ī·(6L!v,OYQ(݂BvXP_NYUۘ%;r 4+mE֏]:&v.@߶'!Dp@5`Y `.$&]Kziɑ`=:@…ঢ _g̕L7W0)p(*6fdJ)X8Q4Nyu7xj0Xb%SadtQ9?dbLrj-Y]*GߛalR\yLWJ%>#eMavau 3GlKti}{an6<)e{l_8W>GȾ~a'6cZre겏$Sooe;`A:{ endstream endobj 8798 0 obj << /Annots [8799 0 R 8800 0 R 8801 0 R 8805 0 R] /BleedBox [0 0 612 792] /Contents [8806 0 R 8802 0 R 8803 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22217 8804 0 R >> >> /Type /Page >> endobj 8799 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063002-08'00') /Rect [218.8375 318.1539 381.3955 329.1539] /Subtype /Link /Type /Annot >> endobj 8800 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063002-08'00') /Rect [178.99 265.4847 396.03 275.4847] /Subtype /Link /Type /Annot >> endobj 8801 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8802 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8803 0 obj << /Length 19 >> stream q /Iabc22217 Do Q endstream endobj 8804 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22218 20830 0 R /Gabc22219 20835 0 R >> /Font << /Fabc22220 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E~#T@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6,>U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nY~oC25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w} endstream endobj 8805 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=747) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8806 0 obj << /Filter /FlateDecode /Length 4769 >> stream xڵ<ɎHvw~ĉ}RR[`TaT;CJ"ˋoU?ᗏj՚5H%?\~UT6!ج? %  .Lˏ%}~+X"8' 'kx/8!ls85q<>8Gc}f0l:>uZxy4[D}d7?dȯ?J1^'Q!# ׃oXπoD`3fҩDži0n0Og~,JH$BBcK\ݴU I(@(WIcMK$_߭k?g!),Hdn8A1eò #4|BnQ-qnbƟ=[uk/`pg|'5{Ϥ%t*Ĵ.t.%*]=h ֤]O g\|\,UnXf\%Z֭| ʦY q"Jm*Qv,-H:1G\Mܬl&fi 3sd: Kt(AhѼEc#^HUVcvab $Xת̃!W'$43 [qͼ .5T:ڻk9#$ (č9'L2q 8hkfj=2SzLIQj;Bvi'J|*-װUCA$]\.4Nfh䒿blgzZE=fv22Ld 6Mh궷Tc *A,e.:r#FS77&eu y("]pcn'^y/d멜iUO(35g /qu#B8n iqǹ/*3bi\aν#ٿ3Y%3U1,$f,^@/=kXV2sG,B[J*'{{BKAx";v<fmG7 Yż Ʃ8^HYŸ#U4e~_"9+UM6Fo̝*D%Y\=x9|]{XlNo @"J gg|#ꂠRR$y%:]ƪN˝IRZj!~ka K*;ꬒh$pM9M@ewe ' ~)B kK,sKTX_ ο?a2B|0?.Ԋ pgAQ$ޔ ",!.z+aO^XkyEt$-iDi>Ĩ)YSc _"N~*c IS81Y%caCGgAgU>'rHـd6/mS,Hk\Dl*I>YB)R(b,x)B숅&@AԱh[~ 7,,o㰵s2\Ui ѪO W.eJP|N;HHГn5FѻA5x艵IL(P!FEJAq0H4ih),QWKG fgSOOں-r+9 o?;f3hgUx ^hH_´soOtT ن9Re[7xّ);(iSb#4v+ka3K:vA|42 #Vҧ ժfwHPFyX%UCWЛU*SA#obHELy.+xf^"!8>xL:Dp}4Ҁe^񍔙FP)JtQUnCMK v F QF04{\`}4sSB2*y2$o(ZmdTkټĨv@ݚdɅCYJ%n,2=i +gX'y238𵂌ay22uv)XSo (?66aF.kv.!CVqn48E _"'-H>X(QHD!N+3R(QBNXKh'Z9Rʝpu9W7.Q@M<)% ܥgmPdk@Z<1 W;O@:_hעuxg%D ͽ-}:n?`FSeW)V.6]kDS)=%Φ9.*/V5 e֯?1TEVXb$}KFK)}_=}s|ugbFS3T5G|#FD=ySUJ]aA;)"jn )$E "KDe`.#f` L~ C?-J q~e]= gjfwF"յI+8 5 %WFR8L,Bg=&ӯ4]OCh1 -' yPgdKIOS=Eqy֬X/z.WdsB7$64?d6'qeVܻ_5#ܸ[YRݞUT~QfAnat'52DB 8Id$H_^-`0!,Jj;/v|bh XV{ 79%E%\?}k3RZQ$Z ~%g2&Hn%`M@5"FwF,>s/̙Q!.5L4X]l3xl/ȱfBM-6s"IPRCkϝK$ F=ʖ37WBTNT`(6=M)eۥ0s6˞bꞶ Lξ8KmYk4봄Kͻ%yp _ɀ-M.}j'x} Y6Z}`ߴO2]C]nkm>b( hy#J"oWA"ht*lFzC%:'܉ܾ$ѵ}Kmm /aoJ3zǥ&YI{*{cLݳ =p 7]/r/R{סn.^8W(Ek+C >$|CWTSr fjB.o,''iṖ5Mz¿k&k*G)}k;2/$\R]#júpSpfSY Ubx{v!/5^l/G|9* zG/HJ}~szKNmjl#zЬw}V%.Id:=V~ַ}K_8mtf3HQՂ7\~W!LC{~k>k :%QCYcp7ns*wwեWgܥ\Z#} ;;e,.ɾ-v8%3΃3CoVZ[ZS5F|Uͱu%^* $RvKK\q\x/tk|=FTvMYaSܑjUމlYdSj~,6@O64,wsC|C:-Z=/.z>ZhTw,vDmD{Y'_KcqXnl t5-h&8$Q7Z ^V`0`!PZ{ .eYz-1CB!__]~_< !ZEor)C8_[{U(̈́IZq_}i&V.nop6kK]<[K`(^T Nb3pfނko>HL+h xѬR"Im3;t^2 bT`%`A0RUڙMʊwbG~r ؕ;bkev~>+nY`̷>a]G:ʥ%X%E??]dML4UOk>+2!cGBm O<3BDlړSo(0OyEܫyP A*YYQqկt]24v6c)L? Se hag"tSbꔱTMUkl.T5V|zT5iKe0QAP(u K焓,CKBb&{KljVlUL+D> 1K}N|zǯ\yxRB_lxЄFN;}'6\6 endstream endobj 8807 0 obj << /Annots 8809 0 R /BleedBox [0 0 612 792] /Contents [8822 0 R 8818 0 R 8819 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22236 8820 0 R >> >> /Type /Page >> endobj 8808 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8809 0 obj [8808 0 R 8810 0 R 8811 0 R 8812 0 R 8813 0 R 8814 0 R 8815 0 R 8816 0 R 8817 0 R 8821 0 R] endobj 8810 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 215.9424 182.4987 226.9424] /Subtype /Link /Type /Annot >> endobj 8811 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 199.7424 197.6017 210.7424] /Subtype /Link /Type /Annot >> endobj 8812 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 183.5424 195.1267 194.5424] /Subtype /Link /Type /Annot >> endobj 8813 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 167.3425 192.6352 178.3425] /Subtype /Link /Type /Annot >> endobj 8814 0 obj << /A << /D (unique_44) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20211013063105-08'00') /Rect [104.1732 151.1425 208.5357 162.1425] /Subtype /Link /Type /Annot >> endobj 8815 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 134.9426 180.3042 145.9426] /Subtype /Link /Type /Annot >> endobj 8816 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 118.7426 176.3662 129.7426] /Subtype /Link /Type /Annot >> endobj 8817 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 102.5427 165.4707 113.5427] /Subtype /Link /Type /Annot >> endobj 8818 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8819 0 obj << /Length 19 >> stream q /Iabc22236 Do Q endstream endobj 8820 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22237 20830 0 R /Gabc22238 20835 0 R >> /Font << /Fabc22239 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}> endstream endobj 8821 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=748) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8822 0 obj << /Filter /FlateDecode /Length 3801 >> stream x;Ɋ$Gw ;7 $ )Ч&AulX2D={fexIŪ?T}fT*|/oV~K]'U~zڔWe hI'qWO?f(k(hھoN @[2\a\+Veai+>yFڪb< ދ'B_ugFhyWDAq*ץ|@5:׊Na턝yM#`*WgQ.UP:|5c7J5$4} SJ-8xvC ]5ڄkԋѕ9 `seMiWբeqPFR1 tsL InJڂ^ʿH,oF &5"FF&jIJmR}oa`Hj!G银jTgy:n.E.5R5\6)w@^M{ϚM?Nݙ8gZ{ZyXmPjTk•貟vgMPphfWl}k8qu%@ "ujal"D\njpfW\|T5ԳN] Crzg ftݙLbo_=]Xrw$)*sBړH;(DLߖ? )>DTarDoSb]F/ 5WE_|g(^s)a7/ jŸ K?G8_άr;gx~dZv٪N+ ֿIEڀ78hDʣ/9ܬGҢwxsz2,|˄"a]F*Y_,Ϻ5$yppd+0+P=9}6vѥ 9nq:05۰&m3xD\.Nn 1m:![>M=Ӡ%i7 P&էXɑ]Yl1j$Zr^b"1&ĞpTTs>X ռY} 0 ͅ`ǻZ$*C*)5'5Ag)!+Вv\@KF0hfGl|VŜ*|5UEQk2#Tzޓ鷍Ћ}[R3{3; yYI3@&0$fSPofy|lJɕHџ94ʜvZRnюIx?0{4qlY]AVLq{(̵C]U2wiR>&xp!ۼl,>־sޫLyUrP|JfŹ FثB4r`l4dZO u̚$M攸~uޱ\ՊGl8!/~uVNt2=0n@.mw)濴FSe9#UO naMHkk+V;Zs2;kIP^S $|1O,jSm5t)œjAeɓ0ֆ9R?85q-cǓ?xNQ>34Q "eΣpm9ɼĐ  "Ei J2c" bϦ;fHO8oI Wt^ k`j&>̄dsRZ6Xd\!O)Y< 1nTpV-;lC—Лr ؗ=/zCۧ踛#ްqơ91sL7eӁi` mSf2Cg ,s@>1;C_ [7>D5TZm¢C1q2PT% ^t. n7i0&5iGyyp_r-k 0?b\C?I;&:6;a7 [ݱK l0VM`/Zf˰|PMv)R?$NL"h(yk2]&^s6˄<~Y Ɉf@HS-]PÓ Rtr}Ka3ڣLenFdS?>eTWh2jꖶ ؓ&,eȒ߅nzyLj@SY!͡>3XUaPdᡖYYܮx@rMnB. >vVBG X#/0]H Fk;)կ >T%OKPOs T\qźju2~BCn;m=+VSK2{SH5T_KK>{|T{l\cụfkzICNvLXBo}}N/q-p=¯^22H2Q~{G%% efށ"ڮ oxp$;p‘&> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22255 8830 0 R >> >> /Type /Page >> endobj 8824 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063002-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8825 0 obj [8824 0 R 8826 0 R 8827 0 R 8831 0 R] endobj 8826 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 8827 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 8828 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8829 0 obj << /Length 19 >> stream q /Iabc22255 Do Q endstream endobj 8830 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22256 20830 0 R /Gabc22257 20835 0 R >> /Font << /Fabc22258 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 8831 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=749) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8832 0 obj << /Filter /FlateDecode /Length 4583 >> stream x\Ko亱Wh%>@v' &¾ԋdQvd5iKb*bi6¿__Rae_??)) _^Yx64٣Շj\w(߆/o9|ːll'NOC_;eKv - Yhm%K"ڰLCZɦ"t?d88=Bqf\l~7a V,~'QǻᮁJzGn^'8:Y *;$@.YhPwPYtSR9fO|&% ōKL9N_ >gOï(w IY]^),yI @{/QDa<_` GoO|꒴F`_P#߁/ǜQ>X= 8S?:KT>Ώ ?(Td 9Xȟ= ɡbּ.꫸ \_.2YFmQD?R>'>Op('ה;``vh3>9^7|s ,87a {u.|MC*#xsfEhW_0609Y\T¸)1y–0\عtͥ:Rޥnܩn4 Wf8 -qܥvw8mj Z,Lk*ky]wګXh7Uem8F7*y 1[hױ2/|?|"닜OLgnIT$!6 ]{!r :K~@4P)ĉѓ"CuIbƎ }VF`FӾ sL'$bⷨFTR*~l c6҃V΂kE,$e AmK?EYSDA$/ZwKΫ_JhzRak}o1/\~'YaX(TXC).L6RQ; UpkX 7rFeXӬ! kXԺ.`dvX빣X(6*bn]ծ+e'#fVZTto*D骂IN捥Iy#˨WL}.+LLQEe pB:浣L܉2&88)2'ndVH7Iu%1$d* 'EoNIiNa/:E]a>ktoZՊ*֗9NG9ND'NfONA8nI(}~Nt27.nO8; xxz~AuLഁmMFBv!s^W95P2 ".p Wp4!{0ԸK[hP||@+WYHE[~5n Efo `7).]y6CXB;ieVC-ބUf6ZnapF\0&SFrx7ZN 'xt m+;;w3o"ǝӣ~ǴQ\vs uIg012}wO _4%IwJu&;p|~7˽@WP!ҕ`M(^ 3R J'-h/۷!Ӵ$?SJ`kgQ~옲OR0qVm'˸%z%we;͸{㸀ƸZGDžeUO;FP[f3d!mtv)wSyϹr>̹&1o`[YŻ*uvCZ?5r;>͘F5Qޯ9-!.Z M\ dʵ S--r"n!C˛-.u/#mE`q lbbAQi`0"'?Ɖ͡;+<0 ?ƱlFZ]<GǺ(AVy3]8N/v;[ oy!ES-p9Q ܁k+j55eSsr'pBHO(bç#.Hjڀ熈ķwɅ\YgP/W ҋxvNEJ3-a A‰u<)I-e{Z;G)5KǶVD3ɨRkW^*ݞu)Lhjg 6Y?Z%΅7).6T6F\F; JMv 5LSR~`ڛj~_RS%v3cnZFyZ¢^ITsi }(y4h> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22274 8838 0 R >> >> /Type /Page >> endobj 8834 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063003-08'00') /Rect [218.8375 96.3807 381.3955 107.3807] /Subtype /Link /Type /Annot >> endobj 8835 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8836 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8837 0 obj << /Length 19 >> stream q /Iabc22274 Do Q endstream endobj 8838 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22275 20830 0 R /Gabc22276 20835 0 R >> /Font << /Fabc22277 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`fЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM$B endstream endobj 8839 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=750) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8840 0 obj << /Filter /FlateDecode /Length 4679 >> stream xڽɎ,sh_3 < A^!E-y/ݞZTŝHBKg8Ya/G_4ko/Rj+sc~__*YLh˗HwvH> c'uoeA f^JDJR!&RL֠tJy⮜|]GuI7W_dJRz|*m0X.eaN7x8081 k4g:W0**7Lc# +"ײ<< $^ܝF蒯TD@Aف)硲81}b\ d(#g+Ұ +P'/8PU m!2-՝r,ȯ]aqWzJ g)Vo 3*"*Uk_$31őWTAeU\3uUiˤE˫0`ME2'+bDp܈rNڄUiT,kT87m i "RHLbĔZL|,ӪYS dM0rejWuFدw܈9h̸⊬S,ŒlzCm,mFI.Z 2qMdZ(E3ILRL2z|8/> noT%mi+Ǖϯ~dy,J5u<;.ؕp$2Td@ׂhGבA_ vOߝ94 >v / $WGWBFjO[fmoJ)`tGm}f'3Z &K^V5hQ*2Jte",>eNrEpֹN  gԈTO:?g5 C[ w7 &6nh &%>lpO+XXFyz>z 8t/f 6 &j%s4m($מ}0QrIdRGG" U,a ut *"NFhtX $GLkPm1w]p~Nu}2[U \z]&hɐOy65iDzfuk2J=IXFr\UTlSEHa-̞-}&oSϦDUo*ZpZMq˔I1LhF5D.j`nPX)Q)w3' Mƒ#*=fj⤚Z W<'4FI,&ᲜYDF܍U"JWNsab{[uwUTTsZ{#A%fU#Ud5L`vɌ ʍ03{Ly*> n2:ۙ?$s3E5hᑘlunfg)ەᝄn( ,كҮ&֞>ZvӺF7]\Q1ӭ};>V8е<[:Mx[>~ ^ϮԈHI:1z| -:2ל'VRg(hlE=:UjcJO&Z8nvϔcݷ3Gb=}TBOVf?M/x j">'هZ ْgK7rEMp6ؗ&*^yB@$_jw J^e Im1`x7 ~dwyF7"N3Ae|ْ >}fb|GI J\g*"T,r9o䪒݋H8Vs;NPƽaI;yd Kaʢn%wZ6Џw[֊ʭ_<ΊES3Fe<ysw<4"Q"cH}k֏Mv-V|+}ߦhXYA)3ĨYY?6/hehhrJ| ~V':pkY~bHa[%-BR=\O 4Fsl}:s#-OsMfG_DAL`_І_bNK8 -s럖yp€l0@} W77䪽uʉh1}5A48b`k@WE'˷%:`Jmue23LPVV38U ȠFn1pV C1[̗1Ō idT'lӚa&*0-ҚOCF;qJ87lb0QSE{HKD.6.Cקu9"YeF$Wˆg5U"_NfpB\%UpDg-`*<N*O*_@.0n~+مE3i! tՖcvGgG MI'r+8SsũAeL\ J2.:Vh"Fl*kT#]{p*{דGz0Gʙ \ʢmWo'.OS^'cNT\Ȅū~*d~YhAMGɷƷ8'RId;l2al]L]p?Ztvb_k> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22293 8850 0 R >> >> /Type /Page >> endobj 8842 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063003-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 8843 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8844 0 obj [8842 0 R 8843 0 R 8845 0 R 8846 0 R 8847 0 R 8851 0 R] endobj 8845 0 obj << /A << /D (unique_333) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_pcie) /M (D:20211013063105-08'00') /Rect [104.1732 463.8384 172.9507 474.8384] /Subtype /Link /Type /Annot >> endobj 8846 0 obj << /A << /D (unique_328) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_pcie) /M (D:20211013063105-08'00') /Rect [104.1732 447.6384 178.8247 458.6384] /Subtype /Link /Type /Annot >> endobj 8847 0 obj << /A << /D (unique_312) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_pcie) /M (D:20211013063105-08'00') /Rect [104.1732 431.4384 182.7627 442.4384] /Subtype /Link /Type /Annot >> endobj 8848 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8849 0 obj << /Length 19 >> stream q /Iabc22293 Do Q endstream endobj 8850 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22294 20830 0 R /Gabc22295 20835 0 R >> /Font << /Fabc22296 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7&o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ* endstream endobj 8851 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=751) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8852 0 obj << /Filter /FlateDecode /Length 2378 >> stream xYKk$Wh}UBv Y l;U!ؔKRI}> @֣}yc,ؒhiT1}~y> G]GWGPBDJ=>?1#)_tG]͕#Q>, B(cC>q׫ GYN<yU&Ct=@I?Й+Cǧ٩KiS j*l+UkD]# C RJ 0lh*Hў wD I4_&>HZv |̮0xT"ꬸHJw=Wp}Q*JCЕ$|jnGy,D%r؇\3Z؄p2we9|= 87= ;јg;}S/ƕd(3lVNԉ5U^ԭM&МG0N xC |,>C̮"3)Ohwz0\] H[8@ưxZw-lWUY QlovH k&\%Χ jOww #|) j;ӱq{Y-Ϧt`%$4@TRN:g2+a襹<ʈaJSqOdN334ϼ8趁7㞲$H&|=QqWMthUv9[*Ϩ+fdoV!Ѵ V0a!Q\H[$DZ] Xfi}ө̜es Cv RWy$y-K#zYaGE/>o2f7NBQ#V\89gF *fن (o*1^6 ],eC8(OF˨dM\#5AUZuvfFQ=AlXz.^5 .D4Kct?m*Bi{\ y~(Z!=柰I.lEڏOs*R}o76X\7.&Ws:Ez1}ˏ-",6NbjT*h[@8 @--xfC}-b4!"ty   dzȚ'_x &Z 1f=9/7ߨ⡚|h&!]{ͱs8vF7ov(Zh'w!!"񔪀;%V//f~\~T9T X׬P5Is"=(C<Ja/E܊I ?ܕܿ/q4E˂݂d?y=n%ۃ#L i,W_dV@B_쿀ɵK\x֮3;xK*H;^k k.KiǬws7ag 2Bq7Q@U+j:c_ms ƫ кKf d膕aUXbӁ S>ܼ3Vx,?nku⇴"!{ާ3@3ёx7ZЉ+rpVj:F{]#_-Qh:Ijajd^3͎\%e~ʴ$R\vB#_;٘;rSщ##q@gͿa` f}?Ⅱl#iTʖFHAKq{jjjHm fg) Ip+0OxaYIccS  ݠ7w.j̲ A$azyآ=wjbj̒A?-Q%E~ItP1D84*Am=j0ueF–7>ӹ:aE-Jm^1iyaĵ܎mK3emyڌJXEΆJ/ڮ c8TLËX\:T]v{!dX)k<ǶjVJ+ӷ9i!3%I?m䝒ntTZtܞP"K[:UHGq7CSiz/O:Ml^ThХ8r#;y#2.z> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22312 8860 0 R >> >> /Type /Page >> endobj 8854 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8855 0 obj [8854 0 R 8856 0 R 8857 0 R 8861 0 R] endobj 8856 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 8857 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 8858 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8859 0 obj << /Length 19 >> stream q /Iabc22312 Do Q endstream endobj 8860 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22313 20830 0 R /Gabc22314 20835 0 R >> /Font << /Fabc22315 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 8861 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=752) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8862 0 obj << /Filter /FlateDecode /Length 4075 >> stream x\Ko#7W@ ,y $al^`撿⫻eIgO3YM6Y,VWf?k k^K1lϗ?}W9/?*ru=>(};cQ}9 u4]Xm?ꟴҒᖙ~ogd/?*ƯgvӲeqf`̇u?w1 sjAYg0h~6&/LyqJ$7|ƱAAhZf=Ab˔!=`+[Ѣ>An|H|ZBܾ}iXvI]4wfAf%{n F}Q; KA GX"_}git,^yٰ @v693 nɇr(08$ Yd1AQˉ=r0+#j͈̲ÉnfvU^=|^ ),!ݧ'd$UqE{4ݡ6jc 0)3K g+ܧ[/&zTFDvA? a,XmN1sMPi6ż/2)[X Qg[]?$^fLu)9O)e!I(% ŷn~Njm<&}ᑣ79g@vSiMNV6 ~^%D Uֆ bCqrUn(vm2vZ됣,jոԿ]o.)${OIOW7'daAʋq\SlZ"Ϥv뙉W=VEWr>z/G%|sGs'{Y X2&T{ycb3vm1_0o6pȥc+S^<-RTU,IgQ9҈jm@cFbAdE@:DuyA AYϩVpK[, )F0l_#|=v~ RYu"7H|sM4f n 7F2Ǘd\ep6Q[L5VNխȪ5d+W"7.^nI\-0m"XÜmTq;uʳTWw|sFAOL9^R̴>@`=v>FUQp;0?.A;swX^s= j$a Kl*A>lYAM?s _p[󓋔Af5~Mr~nm 4]G@x_yWx!6m/@, C(*|qos/lEyL/`d#8(fR/PH~9HgP8A#eƭ ,ϕAۙ"%d-R -CeTfka1[2TP hy MAfZ^DfKXmTg[5~4H sgJ+Kk~c۷m0.Ns{ۇ8sEƅiBʥ)D ]CvdiBU"Wj8pO`ԦCg{V0:{lv׭.6 ʬQc@Q)uT)bR 8 7ЪHѰ_2*^T 7TԸU,W+ aPjS}RQ}5,a= 6eʵ].s=Eka %Xlz2qF/+Be^ 7 騗feN 7I5#s! F8uCI k8ʻU )T8uZߋNP>kt WTjEt;;.D'.D'IE'I~: Y_:8StR>':׿8]y O Owˬ N(Ф.hYCl dA79\y)dBIm0'x4wp7!vq'ȭG3bHm@܃ƭXzFCǛ= )^vP . =rrݫ \4Lݛr˵7F]-p8:|;}[)zGۜQwh?£omyoSx~u> J;[ϋˉ;* gB@_cp?qP9 X|q]O xN8ڛx# Ji JȷS17O:K#`?Q钧HR D,M ε7,wKK)U)$JlS*-c664L>,J) Wf,  F*Ӗgz!ҔjalSO%TvI QiA XVB"hD$ub#GI}T rFTӀJcX5@y>fdajgaw~Y{]VC_A0O1Y{NHK)xh۽U-f~O[1=d`%>&D 4'g7Y.2W\|ZP-is0VD:ҞI]JÚZ^s9̫5 s+^:s ;i;=ix~p=Q>;+gamh'S4Ymx90M/6A-9˻HgYbZrCxAS|_\T)k_Jw4 ]#(b|/'P ׊zLG.Cr 2'x(lC] l0sĩesᝩRzOTQ1wNHIF'Tcb^Vd,%XKJ~qKٹQ()5scһx*BT]qVu('h{_s+:X/SqM@ %΅7).6U6F\FB*{;=(jKOͶ&Y_i0#;Gx>ÐYx<S9Oһ.quB(\-‡fH+*x:(<.cZ|ʄ%AZfP]Q]`QGJz$%&I>ncO)vy*yg,lF<$L3"*}`A endstream endobj 8863 0 obj << /Annots [8864 0 R 8865 0 R 8866 0 R 8870 0 R] /BleedBox [0 0 612 792] /Contents [8871 0 R 8867 0 R 8868 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22331 8869 0 R >> >> /Type /Page >> endobj 8864 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063003-08'00') /Rect [218.8375 302.3731 381.3955 313.3731] /Subtype /Link /Type /Annot >> endobj 8865 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063003-08'00') /Rect [178.99 249.704 396.03 259.704] /Subtype /Link /Type /Annot >> endobj 8866 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8867 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8868 0 obj << /Length 19 >> stream q /Iabc22331 Do Q endstream endobj 8869 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22332 20830 0 R /Gabc22333 20835 0 R >> /Font << /Fabc22334 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8871 0 obj << /Filter /FlateDecode /Length 4700 >> stream xڭ<ɎHvw~ H cP=@Ij6 *d,/޾1?VJw¯SkTxKҿ?\UTㅔpW '?Rj:ZJwo蛴J ~wqwX&oۯAծe>-q{{ FO\9~ ,ƏEs}HyG~{8)՟냕<]?VXOc W}?iY7QO8_5aRX1cM2(208nV&HWgLȺ莬 Pn| LɝyĤ,89N(/c ϊ 0by 8 |2 Wv? daER6E*f]`Fp cyw0KuJ5!sUp4[ Sz)*-3:\BWa1x `~a!JU1_eS}1ߒrp˥Y6Q.cj9m坍eXhRĽBm6-2́k@i&$e=Ugp"|9Qc\ Lf y}mՄb2p]UTl|fB8!URE6eYJ[ )%z|VOf8dl,3B{2;e Q933jPZ[}V_Ȉlk6I퉰f=Mk}plNx1Бfs{fD"W>icEqfYoK"$@IL? V~ kx [=Lȉ{xeE)uǣ{h8첵\tPhXU-]Qhl ;u.cŹ-êvlG X-eL 2Zym|a:IAANGNgz-ta6l][&^?36=D"{EـY窸ɾ*Z(ŭgΊdQ[}9>4'66J؄QkX^7XFk8Sg iI!S%A54d7~6dMlQ0T E&dͩqL>{7U~eg{g j{λ(Li;(N3_fx%R@R:)UT.{ -SZWJMTʤ&r]βsTY#m5_7PӾ OƳT_78-NoexR(qRH7=9dzn$:-l"tmVNhre+j~5步ܥШ(ZvPz!.HQڃOZ+⭣ұ2,i`5 snWQnQ0:#L]Kuz ҆;{<~)X'2?cM#6VNY[EL1 Dd)rSϔ>{5qzB|r>:&m=iV9!ҳTYLw,餖骼UшR#Ǖ p#@I!Y eW)XWml7+lޜr77y}պh.Oz6|ϣr܀ŕhu08?vAjb:ٺW$(EnR&nXl dP^1^<~1Ռ[YQN  g=E 2uҬǤ9U[:6^~t9iTewdz_J}2JrF9}cD^6#5ԹX3j8%9 %R1>UQD3_z$,C[{ 9^@u,lk9ƨ;,ٲEDN/ X!.KV4=AsWrX3% <66S2d}|YabcnI*.M敡x5SX0g |+6G!֌v2ENFb,xwħEPK!CiݽzCKS Teu|YGO5R/DJ4E죫vs7 ك$>rӒԿzŝK%'bD1- ~v_;0Q8g(FE0(cM=R^nHCۨϽl'^I0{3nK^mNLO\_wܼsmSRyNL-Vg6d@ĠS*#s60e_3C/j]5M~H@[Y3x+/FOv,+(?}g+=}as( J4A.izwϱ6Js,[FmAڢ.(+J85pK:7oD'ʛd5*7T\]Q\brmAWIJZ7 1ov9Rtu6:/&Sݵ'?jSIVjckv;t_. M̹IL7] .(Z^h߫=zzۿs۹hGְMG~Ye|MnP3~,/]O ?fv!WP!AY-Tv!Njn [F JA;_.Rc`N $ 7%(, 18Պ",o/_kR7 }meP6c({U^hi'<`]8Bl!___|~_<C3IõT _[t(̈́IFp_$>]bz|X8eΗP6)>IpnQ| /Jty.^t4a79ia{X|A(c &@fg( <KLr mIwbGAN sղw~>.Y\ |d=3wx#iJN*~"|hMC?ǩSy&TրCYW dB̏S`+]clb>N<^|qʏ"Ӭ_TVĽ ) 9_W|Ѕ.cwl t0՞HWn-^Γz+_ѝגŤKY6γ%.^6-U^֭tAy=+R7kQt$Kr'c/~UҎ,$~Lv=,/4=?l,܎Oҏ3,6U*W xRq ɀGI<`B?J&WK fPb2Qf~o7NpS~?> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22350 8882 0 R >> >> /Type /Page >> endobj 8873 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8874 0 obj [8873 0 R 8875 0 R 8876 0 R 8877 0 R 8878 0 R 8879 0 R 8883 0 R] endobj 8875 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 148.7425 203.2722 159.7425] /Subtype /Link /Type /Annot >> endobj 8876 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 132.5425 195.2587 143.5425] /Subtype /Link /Type /Annot >> endobj 8877 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 116.3426 194.6317 127.3426] /Subtype /Link /Type /Annot >> endobj 8878 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 100.1426 195.1267 111.1426] /Subtype /Link /Type /Annot >> endobj 8879 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 83.9427 174.7052 94.9427] /Subtype /Link /Type /Annot >> endobj 8880 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8881 0 obj << /Length 19 >> stream q /Iabc22350 Do Q endstream endobj 8882 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22351 20830 0 R /Gabc22352 20835 0 R >> /Font << /Fabc22353 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw702|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8884 0 obj << /Filter /FlateDecode /Length 4030 >> stream xڽ[I#ׯـY 栁 &AO?oV*Alh"OewUrϨb1QoTgᮞm/*w/w7 5~4;:^괲s4Q2Q[y=Ӥj`Łe噋-j/?mɅl-+D,w9]<=q,ܣ=po} _Cˋ2^nF8@?:'~eK2.30E u؄ 8e^m`i ""a0=' `{,*ꊱEbde)W *٧[f#qѕ0O:j|94'[mSiذdA{k!'#}gDC|49 ; ?KNr7m߷A2c8ӹ5L<#M`OE'֋z߬p#ݪ54J;^`%Ws^CĢW 4-T+1[2d@> ,Y dK^bPrOXJJَ HX.s\x D&G俏]?ק.ϦQELq<ǞF6@&:Lw{#s4ׁFKv$y+n?T`PxakAe{!Akt;z44XG93cmE˺HĨd>uaGI2fkq`ܨp9o]lQ׬Oaڙ%|wa"[a Rh%v#QGLvN-ujvR2[⼺wfeC q+ʷo:&0ωhYT6 MW}2K" & |[sR5?@M^fI/ʪpǪ@G<_lv9>p}+6h_fKb4OB%e^s97VAZq>sڀcMR=Ӈ=-^lWj;o") 2?ْؠPɤQnNr_XoއkkK`M@>F*Ü57֑7^[G,#qm i˫9 [# U7@kUp u];džs0=&pY'[Rqjl.6> :^e.ɣtص F F~F>2 ԝuYN[IBF&ͼmAaQJ4*{*rs LyҝPfi (Jj7j _m}0AZ>FvޏLim9vm7wE< zy*{`qkV>Ut[jsZ~Sc8/ΐ_wݪ?:r fcy<7pctRmmOQ3YtVQ98{ip-Nfځi81^&Tq4tK/i%.t7ŷ:M^1kME,* ėcwV݋$5IQƖYۅC.wPBVf[PfqD6!{zT=q@:ސzTAe6qv>SYtx3P|u''ׇEjsgɰ _SP" 1vvqWxTs$nt<du||ОG촎¨F6Ԭ( Lv)!d}D~Uz*g(gƼE dw~WFqlOqhk*=<{n_S)7wRO$x~+w\lyyz9R9A*NX^՛V0D < 0Hu G8_7g}5Rrwi Huŗ|%1Zgm1-gذn<{v).4裏}Ә\+}|ਓVnub%Õ2"D[}qL0xlhlTqNnqV ӆ֖L$f4^{>-s2}{>J=PB!5 \|M-86+͹ .>[ ;gfPS̕ u?S0u&)T *Q4]9vd3ehgN9wӗj5mĜzGEǜv!.BVB3ɠ1kCQK]1GXO7 ـ0ܜRvQEGlJP`Ǟh*Eǚ6œ8lG3ǃ4 ~Q:zWi wv2P[% .M%=0GD]n7i0&5a{Ӛy (>ݕ:s|A=pMmO|M_pǻ+n8VL|Ȧ5R|jK~EXfK Ý}72mގcO㎂&r;R_$^/< "n[Il)S20 'r`3@A؁{Ѧܛ~T_ 6}Sjk'*[i.Ofto4eSٲiT#,u-u Һ&.a;ʐ'e6 e?vM$B/L̊͒'v-REo"%xTGN-V8jw"U' qj`/dۈ{|.Wr)W4m)t$] WNkO?ri!-R'!vy!Jma.xYn_5ΰBu)+IPV_4\26f&24a\L%|b{՛lWދ6+ujmX^H{ < 7R_GJT k?lXV@T_Rv^ґpVaAj|œS?_li޺?ĎÆTqG՛t;OT쀜ғXƧcy]kzIC7Xv-X&B90c{}J/2}O>'o˛t7Ba sO]PAf)3,I?vIwb#?UyZ(5Q m Ya5ځV*M4=cO> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22369 8893 0 R >> >> /Type /Page >> endobj 8886 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8887 0 obj [8886 0 R 8888 0 R 8889 0 R 8890 0 R 8894 0 R] endobj 8888 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 180.2547 709.9] /Subtype /Link /Type /Annot >> endobj 8889 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 159.8332 693.7] /Subtype /Link /Type /Annot >> endobj 8890 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 163.6832 677.5] /Subtype /Link /Type /Annot >> endobj 8891 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8892 0 obj << /Length 19 >> stream q /Iabc22369 Do Q endstream endobj 8893 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22370 20830 0 R /Gabc22371 20835 0 R >> /Font << /Fabc22372 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ N endstream endobj 8894 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=755) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8895 0 obj << /Filter /FlateDecode /Length 1205 >> stream xڭWKk$7Whmj؞CnBNN!?SU[/ Jꮶ[ojS>6'"}5dzr{{~ގE[]ٞ_nȧ=0o_O | x<=mRe19a{u5.f 9{TQgjsQq}> >?MOՄ\M!{! I* XK!}׼J9,,#)UGKN\0DfneW\ 5<եM3ŭ ؄ y! al#uƌYүІݩ}q-7Zn#xxƋ F] u4ܩ[x3e/&NGlOiQ"d^awoG.H]%p"qܗltKlq'J\/o(]6F0}6;9#hSpHaݵ}]'՘;(Z뇀#PI<7b Ygۍ)!&ZZNZw% 1-T/\'Ei N1QRL*(^#ˎ x،1\hj&v$E\˚7uR^ ?V8)*^.y؛$u#DR.R=$#7|>}y ڵT RjS7G t MinA}[ /iq9Ƃ^7a|/jpmz2h~ӫ~^b,ۙXS9~l(Zt MF0G> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22388 8903 0 R >> >> /Type /Page >> endobj 8897 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8898 0 obj [8897 0 R 8899 0 R 8900 0 R 8904 0 R] endobj 8899 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 319.925 137.278 330.925] /Subtype /Link /Type /Annot >> endobj 8900 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 319.925 175.096 330.925] /Subtype /Link /Type /Annot >> endobj 8901 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8902 0 obj << /Length 19 >> stream q /Iabc22388 Do Q endstream endobj 8903 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22389 20830 0 R /Gabc22390 20835 0 R >> /Font << /Fabc22391 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 8904 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=756) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8905 0 obj << /Filter /FlateDecode /Length 4682 >> stream x\Ɏ$+, \@FfET9TϡletQwud8t̞9X/f_R Vi?Ǔ_Y<>|Ln'rr I^mwOJ> qJhW{WF8m #5?-z%-jg\okJ4˟RvUhVYtbf鸰K\BYUD,J>y#SIq#N@qӰ]:<8HS 7?Պ'E|Dm(@ J1Z>  j"`+ԿbYӂe_ :1 &g@zjcWmZT+pzqAWmPn/Vv O F d0z䠝6Ҹ][ٵ1z[+V`wحi3 rd9 |zೆpVcXSUl ! ma">8Tɯ}Ԇ~u.AMr3 n-C/>ų%uxţn źI(ahx p_čVg$zгf7\fUd1; x!3r½nyni܂#>yC?ZDa3asQ텞1s9QJLS*S'PfYvb4 GQPnOHcM;kՑyFzLM}5ݴGT%![QJ e}>"޻3=*1{=(FN7#m[}|T_E+h76|1h(n20!Ds<֋~\ha ] Mu:#͙نCg׳[%DDy1ij+;;NExKUZ6>fʳh h. ~-C]Ŷ.YFEh *  kDa$jz[N{_#B e_ǒ-T곬xTx; WG+[nW$;쪳N-R sjTywa7,.gƆ⥉g'ISf#nRkRkZ/]ͥԹpNrqLm`0>\ɐʅz\3sh 2ca{ r-abtTce.o+]\ОSXr FݲŦ3۾諾'HVO%8XbN+5P k%5V$ș@vkF4++jxٰ Ej3ֽV#6Gd':Qz:U۱P j.V vVL!,c3[ "ji c[6+G8XF8Lmj@b݉2.88 d.{'yENCWCNja8 DdNEB lހS UJM` .ħ:o(%`@ݚPw@=Ӏ3}I;~? ?c`%K?H߇uG~j~kR@{1 z@[1sft>~=M )>iAH}"{[ H6an8}dO&vG=w]v#+ٍ,vm\wJ⃚q:^=4]Qv6";aak(}l~'Ђw'ݼӎE\x]~elt$hdY\kfF}Pn)hl]WebMH(^94I3p$\"3/"D[!xzhR td}Y*|eV~ yYH h`q.؂(k.*`c@y]ġEC d ܢ^F@^c6@E<6F=Yh˃2ʦìɡ*0h@vs+d\*gȞl 0$0i#{ZɦP2Yϐ=E]O$pxC-/|˂Ձ8-qxpwyM~8 {䰆IWiZi[B*82~6{6Rt<7T^k"Wݬfkha~۰ {w~Bx53٠&‡\dkZHS`1KUFC"C)cRG6gjDQ;肦{KSʭZZ&hF]]4md^ŲάRoʥ`Jh(Pi5R2K8( ѭ6zyʎjnchL'"nXǤ>t:;6ZSq,vU$:IA ktE {:t{φAn qet.CH' 񏒕א[R(EI dU'I5POeӘ`'f5Ivx8g:h FnRzr 7B9c7d7Nϖt+tOm/72<-Au|%\lp)Ѷ.}qkJ%L877^C4NCv2Om5,R,jܽiNOgv1=YT)&=OU !1+l;f%tXyie&Յ}9ݜ'Ֆs+=J-kH (>rO\aXڕwZDӬR+Mؙ5.мyO[)"&o3`2[_RrI-ekgZ;%oxޱ<݋HvF>9k֕ YXrUߗ& 2Jb[ӛ T*l'H._AMvY5 ) ~`D_ԗy㻟~Bg< H& 8ʰ|f7#o0ﭿS3;6U%xDN4o&˧H۩D;Ѯ§~ VpPs"eJ0Q 97 }!<ӛ"~>_8+|myG50 tˍ<dTP𭺭SFtCw toGB|n h\m{ ^Tl˙v$_.=G Լ=o`{Ϟ{(SW|$j|DO&܏HV endstream endobj 8906 0 obj << /Annots [8907 0 R 8908 0 R 8909 0 R 8913 0 R] /BleedBox [0 0 612 792] /Contents [8914 0 R 8910 0 R 8911 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22407 8912 0 R >> >> /Type /Page >> endobj 8907 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063003-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 8908 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063003-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 8909 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8910 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8911 0 obj << /Length 19 >> stream q /Iabc22407 Do Q endstream endobj 8912 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22408 20830 0 R /Gabc22409 20835 0 R >> /Font << /Fabc22410 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 8913 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=757) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8914 0 obj << /Filter /FlateDecode /Length 4805 >> stream x<ˎr{}h])q ApOH(gl#6&z?l 3;0_avsbY֔:1.: ''=O1k-)>1W_`!-ɮiq2,ɰdfxhgga>&"ÌdJy7&}uo&mø-`(3i\[ (w#u|~ۡM~"~zt! O|Mcpe\!RE7e^_M 92Q^ .QY|ů ;b ,=!"KtzÒz\e#n#/'aהn&!$ "U pEp>.nE5ذĒlt&B'=N|Sgk{If1-ez99*O >Ӛͦ/* W+,>~"M*SƨW[4镘cDASM׵T~\*j: /H_$"YD@)X@`WAJdSCWNB=QF/4IX:ŢUn2HeYh+D!Z T**M ą6 '/ (6Voۆݱ"IvkApRoDX䷦RmM}u7ٟ蠀ƄO[;jPIo܄ZD"*MBjMO[^n/OՊb]R۟UQݾc#㋿@R!O˥D(,5%U.ic xXVw^F(Ң5^ZqbxnYq؊n)Htl # .̒ nx.QĮ%+`i^,b;l€d0hM UGDaY]T\](N@t.6 ':?ȶ l31! w\0QjBzyFN{zHk8eM uգCj,6_eS/)SjЊy1 L[{,"D-x.GBm<N[^>y9s!/ظ ]yM tYc(6v  %Uf4X5!fң5/9nK1; SY]_apX!WWV I -(Kq k'?iyn)C[؜Z͔ f2uvunl`=iEI'c=DԅO'6EWx[Y0 ɦ/u}*T(EdRY(_Egܖ>#ݓ\D6ngH l{zd4iѥs|_T'u:#GtTu{69Z ,?.= OuvW:۳f)jn|d7ry1_E$f)º`S"s A+t/9ı%sL+U+ZX5</1|])z^kI#U*7ikt%Xf{C?mZ:UMN+!y0XT!f'`=xBF;v ݑA:v.iemiĨৢ^vSS3ݷcA/yMLczRIJsn ߻Q6#ۈEF#}q5-yZkMԬ嬢5Dcep1\Ob -0E`\cM3U|ǚ5uq2w,10Gc}!Iɟ:U d;yʤC[P릮uv'#G&Atg vh7S~Tى6 [ϲJ)QLJ?֦mE 6kb1TD.o d˔ Uc%(1(O[(o§oeq.f`٫j׼7fsm3%*@tD"Mip)KFk;G#520XwGmm+mQNviGo5K5a z(MĿwn g _i݄Fdlmz}_ڬ;&OCQ>2=t% %mDa%.t%]Eǃ€) H[L,?@U2;g Wm޽\~J,Bm âpʤ)G>;,"9 U+'Hg-Ю {gr׫~a4#dƂH&}ͧGYD44x-Di9)%+QJ& 8[5,V|ݗ޲%)ʝNT+1.W(QmiΆz̮i%J{qwgYS=5S#IwDQ*;=$NMvrTW '*9}l AgJI?ٍٚ4&#g߫nEXm ?)_7!gl?{8KzGk/Kfb[ ?f7}Bl\P?.Abπz' 1goB/ <D #JEsl=sr 2aEcj]w8sH떀iBIHhw]wv{2pd쬃 2镱>FPI]\T.4.~6QԻFR ;;RdibdݰKK ʀ6Wᑊ7;dbƐK09)a0:"w q7}5AWmTw4 A6/ů Ԃa e?GL/%$Q +۱X!\TWZ䣛eB\l`W6C2J5;bJ;.K6vVwLR b7SW6iTa?5hqjb!~zm{%]IM{_:tT 9]꣉弌z?^ E)2"71mJ|J%a=7VXjͦ޶̓zA$@om}#,) r@k]zʹ_^ 7'_ܘz|-NU^G_=ir*o>XlW}{QVT\=v,.zݱfip~nE's兡&HsScU_b5O:Tnӓtbu(}8U BS#PN~`_?XO0e1 A_rOҨ͍vHҬ>ux٧WaLmflG =R\7K'!5 V<}],?漸:cC@A^3 D#q9p|=b>1\ ?_@Gt-X01LLJ3awq\VkX('QnikX~<#NnA6C]pP5/cؼ 17oif4n؈97 JWfqCfq\oO rF,ߑ˂HyY:>`##l>Ǹ6;8:evEu1z:f- vje+ 3)7?dsĸ8vd2Wl ]\O40樾K7E7 &i#`A\֬䱹7^s\5cT z:/HNu~߻Ű_ P]:*?^ǫd =V Qp<֓q4 ę7!CFFLo\W3ētX(EFdW+=D45XB^UZR( endstream endobj 8915 0 obj << /Annots 8917 0 R /BleedBox [0 0 612 792] /Contents [8926 0 R 8922 0 R 8923 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22426 8924 0 R >> >> /Type /Page >> endobj 8916 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8917 0 obj [8916 0 R 8918 0 R 8919 0 R 8920 0 R 8921 0 R 8925 0 R] endobj 8918 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 490.1346 197.6017 501.1346] /Subtype /Link /Type /Annot >> endobj 8919 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 473.9346 193.9112 484.9346] /Subtype /Link /Type /Annot >> endobj 8920 0 obj << /A << /D (unique_264) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 457.7346 211.1647 468.7346] /Subtype /Link /Type /Annot >> endobj 8921 0 obj << /A << /D (unique_313) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 441.5346 192.8057 452.5346] /Subtype /Link /Type /Annot >> endobj 8922 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8923 0 obj << /Length 19 >> stream q /Iabc22426 Do Q endstream endobj 8924 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22427 20830 0 R /Gabc22428 20835 0 R >> /Font << /Fabc22429 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-Ňn8>϶g՟EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ endstream endobj 8925 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=758) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8926 0 obj << /Filter /FlateDecode /Length 2176 >> stream xYIk,Gȳʹ/464lLk?RFz6QʬXȨߴӳnsVǠ)C/bՏ_^Vcf&睱1GgC6Ɨs{;P E { $]U_$v6Cv{vc39{~ l' @9#8!Q&^gsDؔ#L`A)p6>9x{eU'qM/\{]v}#LkQfc,B~NjK}%1Y$\/"]/|k݃kDI{%+htbsY/ίH ![ B a`: vEhlھc6Z 3iqjD)uޙ=%V죃]ow-x~h]P8 ޝ"۫IKXsކܯi0r_-@Zr@~<8b@q#ǜ}ܚ>}#TN>$hg-ceG-b,)eTu:BQS⑐rC^xld 6Phż8IT҆;H]*q\nWg$鰷݂>A鉩hm Vc{Í@IIN=bl<!TdݨSAz*„{I ڀ.4gy0?JiEd.z_cFђ}pU;½o< >`@)?/dVY7K> 18[K@OpS:uFc(cs"?ppZL8#33t J QB,],o⚩^w`N >bZ@_u>9’ .ex5P3JCI+"uFoZÒ7«[wϫ$z,m]뛴G.M4C\hz^/9_!0 0i]C^Hi:NІp6$!aZ2kqLl׫Mm5H?KxDNUr jPBfq Z'٨rq~ V, ޔ95V3E v #3CoF4PyQ@3HiaTE+f*nHJPG[$ ee`@KO9;dFHgЛF6Padcy\g!LĊ>^ŃE^' KD‘BƼǣiXڈ)pYJ7[؈˘tT |,7綴 3v?Ψcc=H1ѐ`1nb= ϛkFDL1hq\D]r\]dAJ+On 3EC!Ջ9NpRWr~ΑfH>Z*R7?[ۖ \}-}?fn"pf0[K/kJ gk1~x (n F=djx ~s8I%%CE'86Gۓtv n ;~|Ä9Zsz  U~peyvw* Fskwz{HTp2b1:E\< @'1މ.t;'*a76X%v N=r)7n>'$ P> Oz4#אȦ"S[43[A endstream endobj 8927 0 obj << /Annots 8929 0 R /BleedBox [0 0 612 792] /Contents [8936 0 R 8932 0 R 8933 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22445 8934 0 R >> >> /Type /Page >> endobj 8928 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8929 0 obj [8928 0 R 8930 0 R 8931 0 R 8935 0 R] endobj 8930 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 277.125 137.278 288.125] /Subtype /Link /Type /Annot >> endobj 8931 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 277.125 175.096 288.125] /Subtype /Link /Type /Annot >> endobj 8932 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8933 0 obj << /Length 19 >> stream q /Iabc22445 Do Q endstream endobj 8934 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22446 20830 0 R /Gabc22447 20835 0 R >> /Font << /Fabc22448 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM$D endstream endobj 8935 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=759) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8936 0 obj << /Filter /FlateDecode /Length 4510 >> stream x<ˎ#w~曙@5ocScèZ2+ԵNJH2'#!][mw]`VǠg C~K_A;_0吲ׯo_ O ^*f:YW}Sw0kG,iKWb6wm?WV=8 woC?6SZfe&쒬/g+sk;ri˔M,4_to| `΄IکL:?)k :'M٘ya#p>҆N=pnS$~!R~  \8%PO( T#LaaLKRǿO;emE^)N[-ߌ^X Ma?~ԿGX~1YzrƺgX)g6/' {R2ඤlW@<-3 ݃~ mr֕Zhu)r'?0~6jb /xcgo/v&b't}bܴMoÍβs\Bӵ>jCUN/Kj}t\B@+8MV ɶ!f\R?IcZ,})Ѣa*.%`,4s5<͜S*1$YLq4*x~6~GMuAKI83ZγDpp@ȄsR /pe ĂOr SW֎Of ;l<9U]u^ܔ.m5yV*UwG] ߂ڭߤjުx6x?-$v{ *{Zn73Xdn_ѸH obG: ҃'py|9М{{#/{#vq]g]Aw챕Zp@i=hTriyoQ%)nX\~xFQ10'$Ɍ61ֱHC q8x&<"יB< >}%-ZvAe '|)2a2KWun cg^ O$z i-!5~Xyx{6`s+1y w3>Tt{у,,5"*|D4Ku]@d,QRpNpu{UrcO K|O}v~v<.Aa|i*A H GqA|"WQ}?csHjg!N⛓%{ܦKiܬHW6Ue`^*OӅeME/w|qMV> !W13M;tǀ& iȷݝpYb֮Na%@2b/zbx7ZkvκoP.x~= by 9lMRǡjƇqގ×7<y n2=%AeV&k4 蝰EO]Todܨc:ºK0^#nRR Wm4~cdN7P/\VIYZ„&#pfȖ%*[3_XfZX_VKh%hTUI駛҄.ͪ.ϝ*49 #>ҟK}c/3d1*3ehl4YeN pať@yQƖ}MA|o=DԚqgMlR:+MUamn#6mM z@4Yuh&+6h *-9|;i4[2O;@ !}um/5> c׫!HE5s(M5OvvCUoC6 z@%TK@0@k? 耛č8kȂ,* o`q lƱ6󀱪8lم69t݅]+ Μ0b@78lӠ , a1ڠV ʥwVmPڠ:R2q6UajֳaP-f0IҍMEPQ[ C0XMM˷, P<5s_`%Rw<ɤԇ1Jԇ1J?ԕQjҏ(1J(hRWcc&(XR1JDR[1ꦇ6nS}=6ncS}6nlS}6bS}6}lS}/]%ScHRLJ}B2aT璩gMR?LmdjۨԶQǓXkTt D I0d H,HgNiTMV-)U4v仜C]ӌӼ8@eі`2W VG[K4B *glyCSx7Ԉ+nWuo<{>iWu\3nz Gjk! +|"kk}R8K̋A'K RxiYИX=qU(Q*vqRtڴ[ 9 }E 2\W\Tf\ YBZie`zyҙVN'; x|YʚnSMT7, APV-\xpEHRA9OeH,$술13 _¶R5W}Y}Rh* Tҡ 'UJX&b(v7mOYTOx!z^ uas!5oP‹K-] C Kbmx8ųT ޞ4VՂRcU*Ϝp?0|m\kU_t-;w޼E|! ^bЁ.V},f}oO5Q&n:X_ r+m>;(1lI Y4/wK/+McVľXiP/NɢbTk=u޴T0g\E0:ƇO.>"hml<,CSKt3}aX 3 iwX^9])Ҕ5-OZ?0d 4B :c"& H17sQߒ.UԊPG|q GnsyM\Ly| ^ϽLGuv]\Grm!bJ!s㺨 Np ކ27=rm)c0S,}4N^p>liu3z|Ny  [-Fs5;2p= `si?8,k*x`MvdNb0ǂԕGf=QR[ lj]@ޭGz@ʧU-h*33ȗP]Iԕ/fe$>͸CskdQhv (hkEzLg!Sr fYP0\] d0qDekgȥ&-^-;%߈S펉uxጏ0!gGm=\#_Y-mF\'QV![c25y!(:02oܚNR\!,|csMG-U!$.}'tNE:{iMl=~m7(/Oלl^F9/~da y(~glO7aKLzZhR򖊍HMP0G[rXkwǢ6 >_|xuWn1sO߮`Gy₩W}tO;AauIUU>HUZu{ɠ9f^KWhDIJAKu`ÕEL' y]kupmfR> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22464 8943 0 R >> >> /Type /Page >> endobj 8938 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063003-08'00') /Rect [218.8375 466.5577 381.3955 477.5577] /Subtype /Link /Type /Annot >> endobj 8939 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063003-08'00') /Rect [178.99 413.8885 396.03 423.8885] /Subtype /Link /Type /Annot >> endobj 8940 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8941 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8942 0 obj << /Length 19 >> stream q /Iabc22464 Do Q endstream endobj 8943 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22465 20830 0 R /Gabc22466 20835 0 R >> /Font << /Fabc22467 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8945 0 obj << /Filter /FlateDecode /Length 4271 >> stream xɊ#_grb_((Io>ڞ1%Lm F]̈/޾DjE¿ I/߾/Z][8~,\̢[j*%ܕnEJm_EJ ^M'3 <&W% 2<É_^Qr΃z{\ ce2G; Ebd a#cT6]GבQe2]2.X>JlY>ɼ;Eq!֯@;>*LCX,ENڴa|oh Z {vW_EdJԋT& ~o01>od*ulώg֯?+j #a-\7E_ȴehn -SO L/2cRJ9UTaNuH$\0fc~M ]ݲ{jKЉS7(,0QcLqtݾ`4Y qMA'OrWFB-G[T &lk&8:';; 'X,e.BqW`ukҏrh*V'a ֞7DFuX$¸zSvX.'O軲L;0H=gURjnNJR%=}| I3$،D4딩Lꌘ#\&**UZ-Ӣޯ(tͤq6I9-gpaYм=)Iw]AVPYldtn@tE1} ".ݥN`>i{(Mx}a([pH)ِtU=G]igޑ@6GGP-#=@[6M#);eǔVDسх8x@H{\:^9!NBmsa}Y*JXL^X3V̬{EH&RdkSekDYCl*I1)Jťg4j!Ft&_tPȈ@.$u:oUhV8=U ͓^5"U!Q}EElzmѨ \qƈ%_9U,9 ||Dy~' " q GG7iHˢuq*9@#jL&?u5:n+bɡD2gwQ sԾ* yʤk u>g,udVf55i"m?̨qWf~M㯄J;lɖI M"EqQʳ`RDKR_ʚ=y~DZf%Ƿ!G֬ 'lM5@f14!k Gc5v:q[=۲` {58$&>hۢEs0XIAю~ ]{GokÕ;Ŧ)d|y?Pl2]7U+Al9Rc}%ZZ:ՍE27mfSV% JE)cvM ּ-r{ g*fB$5 _b B ou=L[}Y Ẍ́fnͩQBJ}PR3vIBUyi֘&bS*NI{ ygr0X9!KTeS\mE:aY@Doh6R kO'\A琵 د>1FHۻjs'Sa3Wb0C'єkԔaAiN|mQBܨe> #YQ8{B" pn5& fQi3͹X1IJ`;-#;[IQK4Yc=t MyT{WK3CvjmAD&.!,di]nh`zbQU9y-6Q$ٻƇ2}UbFB~>O|"%㦱,(5c ^M&d-v~0&Ѧuᅟ:Df{|%A b= Α}k+ZsWӃ,>x@Z"nne=ݷsi!r*ճ1|m+kK $)A3F I{ t_\ v5uGTTMbIu:9%|?!IPΛ\}douZh! lowjoJ*j3t[:2ad7aR]\>b|ި8ԙ<]`Q?\&=lmiķT!P :1-G.gv\B_VSrVap=Hx"U|1}.FP}l[./fG %g#حxaޮ t^3{]/PKG^wg;Mi{E=$hqJwSUNZe޹ef.22=Ϛ[AҎ UW|aQV#'ן˂,Z~nDj%WD ݵۓC[A3WDԎu:$%% *u΢+2 A_ /t'ޱ*Sܓ Bxa̻70ʤqWS}%@`kҫs Ȟ}Ȑ6D .U-$-v[0*b0=y1#|y%;M3iMWaa>L|D@i&L2ډC?A%>_zW cL_f| ŰɜHB W 55jͻ0L߼Ni# \4e^m`qQL@ <1cIi9U5An)zc5n|tاxax%6;ؕ:z; }=fy[xVږW8D`"ʷ4=g8:2O 8fy$毀|ŭ+t0+( ^/Qd"=e, F0Ul_p/(h3_F]n*Q=N+DIHI|89LZ$/D=;eg#yvDe1af. "Lh.֞&hV~S/>+qN6d2Wӱ6ٮ`.߿uvb_E?hKH• s$1$_B\i!~ w?fAlG,x\^dX{o"1[]9?p+xZq !׼IyC۠p_Wx!l&W9jtɍ[ 3 ݣg2_Oc+S2;x7"V>F+=dq)73ē2l*$uc,ʖW>#th*s\虾dhԠ{c endstream endobj 8946 0 obj << /Annots 8948 0 R /BleedBox [0 0 612 792] /Contents [8960 0 R 8956 0 R 8957 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22483 8958 0 R >> >> /Type /Page >> endobj 8947 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8948 0 obj [8947 0 R 8949 0 R 8950 0 R 8951 0 R 8952 0 R 8953 0 R 8954 0 R 8955 0 R 8959 0 R] endobj 8949 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 254.5424 195.1267 265.5424] /Subtype /Link /Type /Annot >> endobj 8950 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 238.3424 180.2547 249.3424] /Subtype /Link /Type /Annot >> endobj 8951 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 222.1424 179.0062 233.1424] /Subtype /Link /Type /Annot >> endobj 8952 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 205.9424 190.3747 216.9424] /Subtype /Link /Type /Annot >> endobj 8953 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [104.1732 189.7423 179.1767 200.7423] /Subtype /Link /Type /Annot >> endobj 8954 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 173.5424 177.7632 184.5424] /Subtype /Link /Type /Annot >> endobj 8955 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 157.3424 180.9312 168.3424] /Subtype /Link /Type /Annot >> endobj 8956 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8957 0 obj << /Length 19 >> stream q /Iabc22483 Do Q endstream endobj 8958 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22484 20830 0 R /Gabc22485 20835 0 R >> /Font << /Fabc22486 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8960 0 obj << /Filter /FlateDecode /Length 3518 >> stream xɎ_Qgl׾@n!IſlRY@$P][( xIŪOU}fT*|ߗ1Ukxנz>?+&^NXFxaZ3sy)2?xf}.wgm\x0/3wE 0CטˢۯO0Thg2 Ȥpb Yӳgd=Wqd늾9ZBPHl-y )X 1@kh@;> eTYMJLO^3m0×9Fo@mƯN0 rsL ºL5&`9֩  ->MwS#r&훨M˶ ob C >h9{FUv]Asx_9.Hu5p1ȭa=/d5qJ^z5UNeلhqٴL.wO[X=oiPmjɸ]at g]&Օ-L5ֳ`+D YZ4(\F8+F^'.qvj4SD9MX¼jwfa&a *lEZK0^Ȭ oIs${qEDZ|0x>!&Vp˿o?1 X#IC` ysRrN*df ҿzOx PpHFOh_Ο-CQ>ޣUv|P$༉AtKRs5HFNO?zN[Cu1aF]FY_,1κ5ĜĹyO )G&cޓO_Yʫ emtp5Yo}r- R}pXm U/@ȫ9n?B.=A9]ɯl3,\8{[F75HJ3y;UBj\,e֌Q3oqa_ aAfLZS&mMUR-R,N_B~,x6H5zVt0 wbd8SyHjl z^s3fnP gWYQAM9ФY!bR ABVT%9%06`3%}?۫fcS*){1^wkI;h&aDc7:{*xqKc,qGtf>i~6JcϜs uέ\ 8RC;*<sZI.{8{:Ϭ -i^׼)}o)wH⪜w* 6µ֏"V,. ;0<҆,&k) fy*zApAϯAS`]ª{+:n]tjM# Fࣸʞ6,D4wcksݶInʬy_W+,:mvhqzRpA7i0H_3KgCD nȝi܍Y-x kM!MTex疍5 J\Kp~-UK2c8nwMM'[ZcZ۪mJgjW]b•.[U}_ۥoԣ]a.y5#Z wH {-%&(ެUlp>3d<=?%ˮxePʶ@z\QfbNuZ[g7T\97{MfMϦtaOqz^,ث,k8ƙtq`qY}Hsbkι仭=YbLP|Uu0&즺:xcw3F8nkDHܮ{Q}،H5`6 Ug1Q*)fa8t6O~%2fr2)4I0''8:I^2|Mu5FeBbg:T|n3q)zJqTw3!]VZ0\!!JhLtg?g!Jz(8n!?O;Ч% GF=6KS[v&@x+g)(Z\vᣇ'D6=fMvp_R 8詟Nj1hl? c=Rk apY5OX `c1py]D_Na[9Eƿʹ~;T++u3FN~g7`jw<=|[>8;8-z2NmN8siy1Tơ, 8u Rxw7sp[nЁh=tScnhק6lg8k;C5Is/Vlx̺V?/ $Ч_nMi4|%A|.<sJK<툅5gnkcd0 )Nt*?+0yyYALzWi w]Paup 1i6pV Snb\c|>!lW߀<]3e-7a=T aVÃW;x}(;ؠyc#n&vC ÃگO{ֺ5[82S4ۥH}h~mE$[M)p_w{,bS@8{<[|'Y]? 6ޗfG .)I<z'{̚.ni=kQ, ]Ǥ43+_UN@7 ,ȊM,i=2By x*[T{L=N -ťCpvy#y辱C^7>LiضeȥihrۑYHM01ͧ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22502 8968 0 R >> >> /Type /Page >> endobj 8962 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8963 0 obj [8962 0 R 8964 0 R 8965 0 R 8969 0 R] endobj 8964 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 277.125 137.278 288.125] /Subtype /Link /Type /Annot >> endobj 8965 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 277.125 175.096 288.125] /Subtype /Link /Type /Annot >> endobj 8966 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8967 0 obj << /Length 19 >> stream q /Iabc22502 Do Q endstream endobj 8968 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22503 20830 0 R /Gabc22504 20835 0 R >> /Font << /Fabc22505 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8970 0 obj << /Filter /FlateDecode /Length 4001 >> stream xˎί@+|K Ll99mlL݋?KRw{&AX"dIojxg :=Yu|9~04zɘY)QN֕J>T  saWcM\OˋFlj~фzcj <#3oWgd/?*ǯg׶O1~:hbY'g:L1A;IL3.p?4H,~4fc~@Gg- A0A('|X$Ds@*8$9)9"-4#˿DK c~䤭è3 46FkA4sQnnv;Q aGX"ߟaB ƾg:P_?[ v1&hB :(&WDj]l˜xb DzYNޘČn'lXJ;XneF_f"QH2W0y7g$IL'y{lh3BH= `iUmrtݪNl9I,-]mBk+-D TeFV\VWRxlLgD|n*v*`#$'b%%aBrǔRd8q5R]aS]"ҀuI t]a>!M!xM~|P~,ʒ ^KpSdCw8O!3㣎s<.)խzR[)wkmP'Ik+Zr[dּXǬ67a~)9xD ݍ!.Md7/3 L^7 z^$@,+$XFpO>"b ox~<2 lGtP̠)lMR!9s"_>W<7`&ZzP 5C*3UUFآד.Wnnhn cM:UБ;vhtų6_*i!~66s1`SN%cI `f@=kgE$Pu䫵AJKnZKUڛ4=7 e?/ +ލ=t.ݼJpDs/"vM C$X )Pњze^q@wuPk+'̾Mxg3읭o6uĦoV",IDsUƊ]f"`JUxyh6e^*֥T$'Y^/$aUW!7U>`*}+[#׺ͩZJ߆*ܢn2nqͥ[XM[Lb jlJXT5lRH%Em]rڕueܸ}7Zl&uѧtU%[kN ;J0̍Cu; "WEt(x:riE{سtxšnqfҡ C=ՠrr+v:TC )w;TWUXUʷP>5wWXɡoow}9JQ(PRWs~p*bjzo1TԦSwSN/J=^LySaS2l w9`, (*,hgJiQTRMxWHu t*Tޥ8$bOj +P]RE{Hݪ*RvG(}\S;ͤ ~]45zCWGEs7nL4;dlj> a~?rѱt~s_c9$G2mC,4||Џ _mأO)L<ʗ[ qe]W%g!3:xH32 =4C DN>@[ʲCt B7nSCw sY 3hhЙ:p͡ h[HiK 89H}I=3z 193TR\`{I9 xfVpcqd2A [M$C\#Rz8ȼʹibYeFS=n_(ne rlΟM)k7qWhO=n.9CVSgǖ*fa[mhM DO)41 r1%; ̣sZ}̨X;.#՛\9׻9ow̫%湗ixWW’".t616Hy aFx2dʥ#b~ 98y&$X ott7iz,E 7³8_?y"3!t x`Μ`$Xٌl}\^SNYAxr%(TX@Nh hة pQ}v!ښX?`bln>ƧorأiAGbL|s0+#qPBGGDǷ.ivNI^<1GJ<4$7hb#j2a#N- o*-L;,%L$2 T6DHˏ"ni;2L+i-㵎1MD Dմ*gYH9H5ndxcmB>&xkћLU*lEH!FMvuclWZ4n~V?ee|26Wq/=qDqsSD6Z\C7sms~WմwXN,6_ן'L}ghy218 GwK JfBK:T':]y2'}x"._;wgםd뾼EZ@X#rQ *vy=m@{R? SRy 8!L j.4j{c x endstream endobj 8971 0 obj << /Annots [8972 0 R 8973 0 R 8974 0 R 8978 0 R] /BleedBox [0 0 612 792] /Contents [8979 0 R 8975 0 R 8976 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22521 8977 0 R >> >> /Type /Page >> endobj 8972 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063003-08'00') /Rect [218.8375 313.5578 381.3955 324.5578] /Subtype /Link /Type /Annot >> endobj 8973 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063003-08'00') /Rect [178.99 260.8886 396.03 270.8886] /Subtype /Link /Type /Annot >> endobj 8974 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8975 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8976 0 obj << /Length 19 >> stream q /Iabc22521 Do Q endstream endobj 8977 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22522 20830 0 R /Gabc22523 20835 0 R >> /Font << /Fabc22524 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 8978 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=763) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8979 0 obj << /Filter /FlateDecode /Length 4849 >> stream xڵǪ$_QgAh=0ioPUmj2,.j_!/Kg𖤿K-EmpWN/ߎRb[[tW)X.ep/  Y#5Lp_k'kޞ+[*4_0 ܌ǛcIRcL,B]#p\/!=2L?=I2q𦦷x3j +ęܸ\29.4A!jƵ@Ե[V rm5̀x3?rj< HXČ7QXx|kx,, NjzA 8 Sꀺ::ZeSj3u6AYPTAV1:y; n Nq ("VwC]T[8Weca&xUkЪEg\5ښTuoIʧo4cmP|5QW| 5ܹUG?a5 {f'Z鬏QҪc7Sgdke|,Fm >mP)+Um '([Yv\lXŤ"~{}{ew{lxL}3@xcj/{t#/]12t'c ΰbNh$u:w8޷0VKadA(ψqpl8ĴIZ*Hmæ%`\4 u›p%Wf(if.TSJc#vwܯ22nӺ-QthR HglTg̱љMnW7\y6]Nlf^:т['x5=?(~vxP{u\lçvqoXc3 Npz=x"L4 8fFұ!?jPn0MG/bnWq%BqUtSz[@Yb%FhG.D> 6~F=PAz8Y% h3Y~cO%oⷍ1))ǝ=hgdЃր6E85*XJf]܏ˮO]*Lc!E)@=ZRQrJEa@}='cp[Cxf*#醨"]ʀ@`d38t|b3Hz=`.;`\&Ufe7^ ޓRd`sy.غó3u]g*jm#t{b BALMANf3ɷ饨mʩ4z;9'Lw6OXRE@L6ybA#k 5PԔ0-r0^Q%\f op}zQMŬvgz-:mfH#M{kjICj/{ A2/s,jdlS_k 8D\;ѓ%>i/Mx}aC!]cdZHo(0ʜ;"2>k/B$==~@g"$~ŖFkTd_񫙧9R.Qӧb0sN\uGU:cqgWѽLل,nT=d}VYZwD,i*ouhYI#G#FM8xmL) ІT<  i Vۆurue{9} ,`Ywbmcl4%oA>lK^i_]1Dͦ& !UJO N&&Nu$I!YDeirƮNqb(0 ~[k-C“g-KwI"?+i i)iY9B0Nb?MEvðz4TGm6$zF?ɱ̕7u0 ѥf^zaE9W} culbiة%uXժdM_Q9Fx9%jz> V3O*QN9%[X[Lt}37Qp> ϘO]AsBur:Jk2pN &뻅ac1)|]w,Z2ۜɌW|]WX3]A:$p[YMs_ܹc9+C>L6O :J'kBy\Hny]uE~^sAZhsjRi%yrٱMS.彔ۤ\䓔K\|@[˚`_2;(&ea^E4vݙ55x؃f&Ź8'0~t058˚V" !.UɾV6ٯ[8;O澢h_꽥= a쳞vsbNndæ67kbS1-4uRx1<%Ұ)jՎ*TJw(kV]Os#*^:ZH9'JĜ(;!.<wKtb*ѩ91Ng]_'œ^ [ۼtغQUqXlPɱGA[eǹ-~m6B.߭tXV0ub5-Ԝի q/~z#V65|>ow;6a>&%z]w. q0tFzGyT&:Ũ &jw?]L!t&Q{e<bۋSXcAmVLP˯*v-rM>,,je]n{ :k }qQ53ģ1}Ykto_%=EZʚ{V&&Í4Y>}qӯMCQ=5sz)PexPIbk:ƴO.c|QKB+![Pm-5&詏9Gu3 Վ)y%uw8M=q(~>s"%PYjM1k-^.AvZHExr(rrSi߫ާ1mj'(tFl$ݚ;y6v9kr ~zKU>W$߬b ξY.Wr)ri!>NUS)s}qfm?4d E s`rz&ʩˁϽwoQ_[~?-.mtn ]FW`źh8<sk#k 4+m dY^`s1w||.?/? L94 S\:xn)sǓc^yB y?_~x~KvXghN< CpN4!႟ ;B/ rk@g 8eΗP<7ɣ$r &X_wa˿y#U2iۍj:@Xgi F҉/LrЫ arPAL T3˪.d@ڽF;jKm2Q HRhrGڬ vn{rnYbיoy|׶Tt<%iJv(#|hM2տCHWS2Ȅ '?qj"joAD&yC/(2 eF\{P2 AX^Qqg ݶ+X+wT{"]Z;xBOƹzW2!;_k=%y!sE^7>Σ%N^։AV/R: D'ߚ7i4on.sΟ<* ]pYֵNpHwH,DPtJ<"~-hV6[W0Gc;+ă2l*(̩r&+S[`zD#$ ݆V5(r[ endstream endobj 8980 0 obj << /Annots 8982 0 R /BleedBox [0 0 612 792] /Contents [8991 0 R 8987 0 R 8988 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22540 8989 0 R >> >> /Type /Page >> endobj 8981 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8982 0 obj [8981 0 R 8983 0 R 8984 0 R 8985 0 R 8986 0 R 8990 0 R] endobj 8983 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 134.4425 195.1267 145.4425] /Subtype /Link /Type /Annot >> endobj 8984 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 118.2426 180.2547 129.2426] /Subtype /Link /Type /Annot >> endobj 8985 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 102.0426 179.0062 113.0426] /Subtype /Link /Type /Annot >> endobj 8986 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [104.1732 85.8427 209.6797 96.8427] /Subtype /Link /Type /Annot >> endobj 8987 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 8988 0 obj << /Length 19 >> stream q /Iabc22540 Do Q endstream endobj 8989 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22541 20830 0 R /Gabc22542 20835 0 R >> /Font << /Fabc22543 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ P endstream endobj 8990 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=764) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 8991 0 obj << /Filter /FlateDecode /Length 4095 >> stream xڽɎykSvy&( : ӗ$\ݍteQ"߾OeJ٪O_TgTj1xKLW)cYk砃z~;jmƓ] D`lz'-NN'e,kMԸ,&F^`/h!F`gs@zq`dyb˶Z˓zOxra6{>Kd]gNܛcBhyRhdܔ'RND!GޘBkM {<@vK7BҊ`L9NgWHϲc!`>i08مNYPnB>EYr"œV#撟T-'Zo4^DMÄvDQswBr{;0es4l!AZmɂq/44c2nҨ؅N,7h*kQv eGm<.oԣٕ!=V g) |WT`/vl>'=!C+2EN^,UŸTOb7ph>9c0p%3?lq[e6}MFmBYn:a 2[v}bV ' :+恊 \i*ȫfrnn|ǙDEWtB?xs$k*6 5LC!>т %&} 1Z &&3yx'ISG]k1ir+klGFQP vrE9vKu-kH297Ey-C8f `{lRYGT fV@+_"rKtnVRPrlnuk2Dž 1Ȥ<-=tGèBQ8x}χFZ6<.LօHwy#q0ׁF0H6Q 0ݜ'A╥-/ X6ڎ->GN6ŶMfIJnRof`2;#Af k@·M^fHگ/ʪׯpǪ'8_/,v/99.pIu+h_fKd4%dsٍ7ϘD|.>&z&ݧ{p-^,Wj'o"* "ϗll(dHqYG/t,'>s9΁9ٵ}5%0˦~kQy S#%aNUk#PS-qm RipϟK\!`#DNF+T]B}/"y0XyfUgɸ-ɿ84oi6'w{7K3zp3}Gcg}@huQ4dACfKƮ M˕1ˋ`Xb"j5!Krb&w.互OeףַXwIt^;lWLCL,z]ԩbiG_k{D no1uc5ՊbQeMh"^?v/b4rp־&E[{sMC]7 6:-ujEvMXT}A:ޡzAmrw5.=uwś9p9=?/s^ZH]ZBa>(`7~ ϒj~zՃ׍NU0]sn=[ĨB6] |v#eA,ܹJH"{/z&@z 3a,䝹Ϥv˱{F| oN߰5)e^GƼ*>Πr.vdߏ]{;]a5ۄoOQ;F*޼I >[Fqm="=}z: r諦c-m8f̶ 7t@ b%ժO%+0ZZVv<8fqJPC; DV)1V0THwI{=M'hap>Xhg.W~@T/RSrzHl*6Wb6}- --3X$ ^|t!;8MTT3R[h8M=N߀-*u{|d4T~,rEߏX/Lxl@ҹ9BA3  R>ljl☛2anC,̉~2g= m5DIE#<)cgl&Vo3]Ȑ@N3 z"a@n7isrizF^}}L~so|/ 'g$|Hgx $V=`8' Df"3@rts \; ̜pg2挜4Vg|fc(=N|zeXf<"!YI$ +sgz$K">mRJ?ad endstream endobj 8992 0 obj << /Annots 8994 0 R /BleedBox [0 0 612 792] /Contents [9007 0 R 9003 0 R 9004 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22559 9005 0 R >> >> /Type /Page >> endobj 8993 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 8994 0 obj [8993 0 R 8995 0 R 8996 0 R 8997 0 R 8998 0 R 8999 0 R 9000 0 R 9001 0 R 9002 0 R 9006 0 R] endobj 8995 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 177.4827 709.9] /Subtype /Link /Type /Annot >> endobj 8996 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 190.3747 693.7] /Subtype /Link /Type /Annot >> endobj 8997 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 184.7812 677.5] /Subtype /Link /Type /Annot >> endobj 8998 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 179.1767 661.3] /Subtype /Link /Type /Annot >> endobj 8999 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 177.3012 645.1] /Subtype /Link /Type /Annot >> endobj 9000 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [104.1732 617.9 177.2407 628.9] /Subtype /Link /Type /Annot >> endobj 9001 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 601.7 177.7632 612.7] /Subtype /Link /Type /Annot >> endobj 9002 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 585.5 180.9312 596.5] /Subtype /Link /Type /Annot >> endobj 9003 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9004 0 obj << /Length 19 >> stream q /Iabc22559 Do Q endstream endobj 9005 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22560 20830 0 R /Gabc22561 20835 0 R >> /Font << /Fabc22562 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70k"thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ ? Ρ\-z^lM endstream endobj 9006 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=765) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9007 0 obj << /Filter /FlateDecode /Length 1295 >> stream xWMo$'+8Gj$k$9fiF8yUxq[=t4WDM^?s:E],}Qt9}|^Xt55%}|.>Eh\ ?_oM;]ІNs?4ɥgkgy,;>0 11xu| } z/-%BV-% J1&YȨ">3!&^\uLU]jRTn皡i$$cF=G`%{61|R" ޴5!~kB T-0G $;а%0*ij*'>/?ԫ&|Qab5Ik5~Q2x3v%LT_#Zm.E#Mj:iAt6X=ٺ:L Z1t23"ѱ>bȻw379yeoF].h^ƌx?%F֟qE \Ӌ<s&YeWذr-3pan0 $'z,]Hx n&g~m&f5w+X%_iFmHo*3 GLزtjo4 YUUQ"Lh:"Zz" !LJk=NMtf'^z|Tc&A+҄ݯdZ%/ɧpZ-ҩ JZR4ű lq/4k: )q@^8R=nFG>!m*탿be D\Ck8GB#n* WS Bƙ{zҧof2tyb*86xbu15Y汽6]8H/QO8C!L]-鸆P<kqз&?TOn^ ^ (X3 Nf{s,T.Ast<æ} bOc%y5YGs׆P[:Ic/YeK=Y)m}]zI-tG2\kj9;=1 5S(-rĶҐE74 endstream endobj 9008 0 obj << /Annots 9010 0 R /BleedBox [0 0 612 792] /Contents [9017 0 R 9013 0 R 9014 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22578 9015 0 R >> >> /Type /Page >> endobj 9009 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9010 0 obj [9009 0 R 9011 0 R 9012 0 R 9016 0 R] endobj 9011 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 286.325 137.278 297.325] /Subtype /Link /Type /Annot >> endobj 9012 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 286.325 175.096 297.325] /Subtype /Link /Type /Annot >> endobj 9013 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9014 0 obj << /Length 19 >> stream q /Iabc22578 Do Q endstream endobj 9015 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22579 20830 0 R /Gabc22580 20835 0 R >> /Font << /Fabc22581 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70k ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9017 0 obj << /Filter /FlateDecode /Length 4898 >> stream x\͏中9@94語Z M!im^)Regvږ,QIٖwmLsH_? / -,|v]=>+Skwju`__e68o:O,M?C_R^~9Yןӿ3JogvSsulbY{8's&䠜ZIgeqV*p>g]DgcL Gg- +օg`8>Ii>gAdyJyP/H_(vPA_E>-Ri5v5]ҿOg ,l͂(Ό),6JTO鯿q)_E.fq @el{_*31'-[N( X{9_H-H^jh)̚'x"ndZ,;Ń$@gǜ ]tQ4RN&Wo2S&B^1L!YG'D0vvmԎ^I؋+m;)ǭ:Xe?ϓwb;d=vB<2 Z.T9ƶu ^ I|㣞>)])X'&q,wEHaR/ -Wp0-[ #ީ$+GsaQOno}[^ȴNB0F.'Q5b`Y#uTwja;>8xkl۞ZμCSXRq A;(D /s<^вeE6Q*CA-EÕk$=b-aȒ-T?`E:RYM?˱uƽ r-OAy n2-R1-CuTbV48YK`B Mчr!X̶K\7A#oL%}lFQ9ܲw.6sA{( ݲ۾.! 08gPܫT>4Y S,5pb٥ Aq7B깛 ^$^\5Wf&KVZ!tJlc}e(@*Ul:SUTv /U8|6:+I sX{Cu֖om1U!HE:jQ aJPyۉaPe,CU6̍K-dAq VÖ,Un#*!َR>Tqv@Wyea,XdB"Vb**TZQn||Pʅ) @ Ŋ "W%(&/VePm5D@)XҬPTG=@"N@BH;ja@ "S=>еح|t*'Ӻa (ٻ n(XR7cHRWbPRWcxR1JbjT㋩^Jf(#ԧ$n[Df" YRZ57MV&sL), I,m {Pn{[k܏8\!w03觝p>$|%@fW0) ˫ToBDN&瘗C6K'[mc%(H5Dwd0$59q|$YheuP.i3Z[{JlSUNsH=*.?*""0oE*ZW÷qZf a4zb.3rIdW5[$T:lգ~;ΒvP|S&طsv95Yj֤2k:S-3q~|/mm\8D? S}~RZ ܴYp{a6SL?eЀTk<[B|h.lߔ G7Ô#Luv,;XN6VҪxWWڵt;K-n%yf^yjeԉ<勴*4C(ΈwQ2 ^f*bʭ))>ۆv\ķG OCcޛLD_- m'q4dFSȭ&b.ł`"Hg2| / (,fye?^u]w7?\,W]otru{⳸g4\PBHۭtG*ev2_sU-튙ǍG~='k+f̀7o EH3_d9O2|x>¯3thމn 9O=b'dTU<-U`H.C>' #]PF:>^V¼ifWx8ؓzĞSUGO(SC*25xx>o Ak8 endstream endobj 9018 0 obj << /Annots [9019 0 R 9020 0 R 9021 0 R 9025 0 R] /BleedBox [0 0 612 792] /Contents [9026 0 R 9022 0 R 9023 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22597 9024 0 R >> >> /Type /Page >> endobj 9019 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063003-08'00') /Rect [218.8375 427.9577 381.3955 438.9577] /Subtype /Link /Type /Annot >> endobj 9020 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063003-08'00') /Rect [178.99 375.2885 396.03 385.2885] /Subtype /Link /Type /Annot >> endobj 9021 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9022 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9023 0 obj << /Length 19 >> stream q /Iabc22597 Do Q endstream endobj 9024 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22598 20830 0 R /Gabc22599 20835 0 R >> /Font << /Fabc22600 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9026 0 obj << /Filter /FlateDecode /Length 4624 >> stream x<ɎHr } To 떁u\ Ewp5XlU"*  UreWГp*YbTF@M_D I*10y~Hɏ|r*?; 8 Xn̨c#JV ֡3ܨ{"P,;4)NY _J1A$2emp]%.rۤp큪1@/cTGd>ߗmpBiPЬ EHf}Jl. eBA66ˡX05.[F|uJvM@<_  yl02 W+ff#֠L0`3oԕ.`;Q ذz?O3g>GgeS 4$*Hta0CWs[xR Ofۀh^%>\c5?\*^o fc9?Ql3#hL 1 |ZժpvD1EP fB3ݘ{EQN)\FĤԟ9NѳYKˤ}RjUZ0_dn`~EQ%l>ZG@ uT ߽e7)8&Q5+bH2CҐ%&Y2sBr亘ܲXU`b`U?;l;:cnּzRy=`țJ 8iD"a҄NJ+(M_}aᕀXƫY;.UYbmDU5*kc t)ʜ8W<"z0bN'9\BGJ} dO g%Rۘib@n9L.R9Ə2Ъ2t>@HєJm\(u%|?m8\Myb[%,D/ykcTss#TZhR2QTHMB8DE]5+d.u8ݺJb}KGP,;QZ@Ȧ pQmc!גg{ uw;6zH]Ⱥ\,(64\tV.2ķ:+*[9CULb {G&Ajoo}9Hˬ{vNYs숈y@m8ٔٶ'15jEߑ큋(aVl:/= &ieu]ng`vb0@i}tj?f8:4fO[uѽ8}5bVF}Y_? vfg1pW71b;>?HVKh~.ퟕ}Ȑ[/V~ 6RQ?9(d^E@w5; ju: d] %I[}HYc6JU]Wnݧ&:~/&CJkz i-6S-卍WьnN p+&Za?lV?oC9Zm} }5աNσv-B椻Ӟ]ٱy$/>+ ս #Sb(-Zq_SD Ev=ͦDz6mE o>s0D 0Sn%Nu19ۉھ_ӣyZЁ<ݹf$ٴW1;u-t%TeezN_؏f|_^ޜZc/Nيb/ ҕ^+,o9soSds\ gdOP('4[mwBt\2/{ػoW=R- <<|"B9+O*3w>RP~jmVtIY?Hʆ r{ ڪ5$|0Xxzu[hk5dɞ,Nm**1Qކ@y/+W2AX_/tHAAJ q^V_AaDܶOɝZ$/D}6?2W{Fmbt:эfuXJgx#P]TT|8~Uh[emdcm]iwA]p=?u/D أc.%#yl#WRKG*$Lǐ DWZ?S@?Yr+ 3Mn&➏C+[u`<k)9?`u}," e?Gy4C>.Yx!3D(m$Gz%r2yE_${OkޤѼ me8;8@ J]+8c5{}!50A5g+ޅ:ٺ?e)wl,bjeг> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22616 9043 0 R >> >> /Type /Page >> endobj 9028 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063003-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9029 0 obj [9028 0 R 9030 0 R 9031 0 R 9032 0 R 9033 0 R 9034 0 R 9035 0 R 9036 0 R 9037 0 R 9038 0 R 9039 0 R 9040 0 R 9044 0 R] endobj 9030 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 240.2424 195.1267 251.2424] /Subtype /Link /Type /Annot >> endobj 9031 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 224.0424 180.2547 235.0424] /Subtype /Link /Type /Annot >> endobj 9032 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 207.8424 179.0062 218.8424] /Subtype /Link /Type /Annot >> endobj 9033 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [104.1732 191.6423 209.6797 202.6424] /Subtype /Link /Type /Annot >> endobj 9034 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 175.4424 190.3747 186.4424] /Subtype /Link /Type /Annot >> endobj 9035 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 159.2424 184.7812 170.2424] /Subtype /Link /Type /Annot >> endobj 9036 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [104.1732 143.0425 179.1767 154.0425] /Subtype /Link /Type /Annot >> endobj 9037 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [104.1732 126.8425 177.3012 137.8425] /Subtype /Link /Type /Annot >> endobj 9038 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [104.1732 110.6426 177.2407 121.6426] /Subtype /Link /Type /Annot >> endobj 9039 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 94.4426 177.7632 105.4426] /Subtype /Link /Type /Annot >> endobj 9040 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 78.2427 180.9312 89.2427] /Subtype /Link /Type /Annot >> endobj 9041 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9042 0 obj << /Length 19 >> stream q /Iabc22616 Do Q endstream endobj 9043 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22617 20830 0 R /Gabc22618 20835 0 R >> /Font << /Fabc22619 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴Rg<~~?va,6{`B ]I2hނ׮nwwROջ]p)\͂Vm sut;_PL$V-EH(.XS4EC߉b"KS"ɕL jE q-lb@r2ٗGӮBxg( G'uH!6,>Q]d}j]֠gQJS~3cy/ KiܟBd#Uo.̿kcDA ^Xb^@wevbVC9mтGŶ$F endstream endobj 9044 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=768) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9045 0 obj << /Filter /FlateDecode /Length 3628 >> stream x[I7ׯ9*@Kj[ !g0h_mJ%qrǷ~|,ߔQU>̨U6HϿ.)c>Ukxנz~zZp/Z,\#N܎ЎgÙ9<һ6. =;bQ^ʡkerogw4z2XIE0^e m|TN&~u܎uٺu<%V1<bɝSXOs%VZF^㣊px8̚JC8)C[ ϏKym×9FolƯNa@L7 mjӭId rSW"*3|4-0FI'QW m'&+CBPVz왲MuS^S6ǧ.qb$*M"‘ǴC$vk&A_3B߸׫ɮ *v&G3=Φe )8{z~݋!*[KFf#Mk5iP\LPf5+`r\] ڲY@WSE`=+pB0mJEB:k3b{ЙHN{:uKD)#%ΛxgVfoq{@<"V+84 *J֜GR0'8WDU/oJS~ri :>[}N `' x3Bk)9'ZN Q8?(^>~)#p{9=+8K$@PϫhdՁX3_c'!8Ob=Z'g@|a}G{˴}0:^jXpuKn 10In5nSʑ\m29/W@g03P[tpB`ÚEȞKr1w ^WA VC,76cia&T@A|sqE-k0fB4ŕ-3gj0F>atS +@j\,eZĨ#qrreW1L1TI@v3rli/R,N ȑX+j6ra+&1p&4TqŪHf|`z'c3ZBwUp Ho@ChPQA8Хٰ!c S!/ЀiN=4|nPzU6ıDK;X&qb7:;h0^aC7֩y3i?ey;?eW_S(eAznEL)ĻnRsn9xG -oSe~*bAh8JX~7O{N؂*h!'NJM5Z:w0J䔆b-/1R95F drPy6ZRSg2qaeo:ܜʹpcC;?Z7>;<M6 @w,ܚR 6S1;I!@!;4y k/5bGa4~Ӊ>,_CUnW!|URN`c rMH/D:$Qim&|\e^}K/wܖܤg`P9ܧPؑh }?hg~fLtdӭa gB^Ko m\M4`el6/DZ\_yEAODN}\&r5v-e,9sebz"W !"H:/ڔgK/lIVOde~ץ?a[ R˓y$=) ԏO,u-U} K]FKݮf=KX2IBм<&3lPD4tuSS@,Xnϒ `+F|'bYZoXQA^e+uJjo f85`-d*̵EL$)F.mZOI_ L-ťABpvy"*嵏}bݛHNF|[3RMe= =ƖKS3 b? kӛ,Zd;A + jm X[^Hax*ܓǞ w ՏSX#>qʒ PĬSq:k0rW^67e[O?x#*#\%T^cLؓTRd~x?WY\18OQSx& Zo4`rx[< "3I ~GG[^\ -0v =J<Bfށ"zIwb#My:[78ۦ"Wjfe'Wdz iy= }M"Bj!VKxO}f3Q SW|$j<8^.-[ :2f endstream endobj 9046 0 obj << /Annots 9048 0 R /BleedBox [0 0 612 792] /Contents [9055 0 R 9051 0 R 9052 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22635 9053 0 R >> >> /Type /Page >> endobj 9047 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9048 0 obj [9047 0 R 9049 0 R 9050 0 R 9054 0 R] endobj 9049 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 286.325 137.278 297.325] /Subtype /Link /Type /Annot >> endobj 9050 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 286.325 175.096 297.325] /Subtype /Link /Type /Annot >> endobj 9051 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9052 0 obj << /Length 19 >> stream q /Iabc22635 Do Q endstream endobj 9053 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22636 20830 0 R /Gabc22637 20835 0 R >> /Font << /Fabc22638 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;7o77 fڿc}Lhj[ߕ9pZ [n`΢ЎYzrv"i,*p% J϶fznC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/E*; ʄҡ1 gC9ma#bwo* endstream endobj 9054 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=769) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9055 0 obj << /Filter /FlateDecode /Length 5003 >> stream xڵ\K丑Wl |K Tfu aS{NjE}Nj/ITH`D|A6O]ꬎA/ s꯿M}f~y1&3x8ɺznLSvR6c(-D_;ypCGڅC_R^~_gso(,;(;cN:i6|y ~U{OPL1A9:ί;W3 #٘%3Ƒ[80PvU-U`yE M$vc=%5O)9fOlDCPЏ`__2-0}iXvI_QAJsg4aF/ %#{n RQ2;ƢpOX 8EPX{p6Q ]K˜ܔ=PA6*M8r5dva)Dx2ް?c`!#ƙ<V`,aWi48_ )G] qvEhAݵgԎKHkߧɻsc QsduʴL=)ԪѮ{8)mkӂj5Rzw{0vw9<\%[5V٪A'VVَ*zH_W<#+cLf(dc+;ـiOpZ;Df y%OvfqY.+`%[kLu @wⱕ[˘;slY%Ӎ7³[5VN0m:œ& xJl`[.{hYg*liQ&N.V嚣~u KCے>C/W9 φゥP!tL_؛tƝ1'QKUQ@3e@/7%Hg,xs_݄/yU_9 ppoS6wQ2\L_Xg!!ɲ1VlgJDߺ4\8GeR\nFbVzλ^Tu]uHN%~2H)xNOKʝ4$ޱG|v,g\e+4$Q_F&"o.s!6gw,~ lH|qE6l27HUJ nUڷ}7f +;OLI-xmr#*60U\RQg|hBp㍵|y$O"za3:;_4@حXtN%VAp;? yZ}p ":g^Y뼞PYA"hIM¿F듃P. ؁լҿe i1~ (;@;E|CB,6k/v@,uhW0}`2TZ\$lO6&~YN`kG&aJ3h /ġjỦHGj4Y+2H5paťP8ꃑ)cr&ݠbDԚf&C:]l(FA DPGbjJ5`@R)F~%˴hzR.0P]c`\R2W N^(ṰgJeGbj<@mDְ[ߖP-e,CU6*w;i֐5,j] [L_(b[Փٍcb5SIa{ !+r}15 jW@}wZn%6*D骂bU+ͅ\rX[yAz"k@0ʥwR27(׸g t,PTC:2{z(;uE(Q{#wX M+YBuZB0߁l@dA;KJ+ j *Bd.IU0q-=TzI mW4߃"u+k܊ղvͩX?OXUo %vTIewxxsR6Խ-\{I/ vGG9]/_:6S $8m.+,64ԇa*[^ x?0Ous)P覘m/[*|UξjW'p'e9LM7ڱ4֝q-&G{YgI$7e6/P)d7;xo(6A~l!2>C;fR XRL*.SZbeIDH#o)xLc.%A,Yqb_)nRdّ`I8Sws嬭N>  v)r<$hea,ft;R+$Α"vf.}C.&<ՁvahpW[T 9x7N+e' f*I$vv'֖t\gt;4۹N>b1(r&:/;I>]  |r@{Lk]={/+ _,GLJ-~< ѹ3eIvsqP;QܕWڤ8aBl)xtZ;rJלL{|=\婔>Lg &6wQE88?B^>Z8y"闙P?>vRh@~k:u@>)3?,q'cyHKϲ ?[bq(I1"@Әu7DL0.ۺrAh\I]ъ\cl-Qhev.{9W[ݜ՚yxWW)_v`P8ܧ NϹ(wQ2voCG3=r-i%;rGZL"b!J o|=0z|yJyR lb.BG9D}l@\6-(YK?ހYiuMwar7QƠ*0W&ɛ5ǒX?`Rn>^ǍO0>!oZrYxӑ"||CsRMGYPꬴw3Ph5ҀB(ǾrW;4t;jZ1ǞI-p\8ȭu<Ww8qjٿXc)}HtNHIz7Tcbmb057dz'GJ~qKٹsJjMy⣵1ci݊HtF!8ڕ yly_s+Y/CqM@ᯱ}csMG M$.}lNEs7f{͹~_Ef=v@2gמGydz8#Xo;}>oZK 4δʷ4,O=*G>CPai'.CJ΀!tP&BBi?x>ߗ/zһ2J?w L3rz^"SFȉΒO&!Mzaޛj]?s *v1rY>$K rI=bO(v瑗U"zOC(SC"\:g4jUz endstream endobj 9056 0 obj << /Annots [9057 0 R 9058 0 R 9059 0 R 9063 0 R] /BleedBox [0 0 612 792] /Contents [9064 0 R 9060 0 R 9061 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22654 9062 0 R >> >> /Type /Page >> endobj 9057 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063004-08'00') /Rect [218.8375 325.4578 381.3955 336.4578] /Subtype /Link /Type /Annot >> endobj 9058 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063004-08'00') /Rect [178.99 272.7886 396.03 282.7886] /Subtype /Link /Type /Annot >> endobj 9059 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9060 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9061 0 obj << /Length 19 >> stream q /Iabc22654 Do Q endstream endobj 9062 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22655 20830 0 R /Gabc22656 20835 0 R >> /Font << /Fabc22657 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`֤ЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9064 0 obj << /Filter /FlateDecode /Length 4336 >> stream xڽɎ9 `4жۇx@AN/{fZ) 2%X}V*b6kW֤rnWo6lJ]yo?׿vo/)tV8*eO#0Ū-` g) F)E_V<5Uo\t ^ɛiϸ$JpM)`k=%)r^OFo`0ދ{zSf-q /^,]iL; 7lyʴ_o5Fܠ/LEhqʋ#l_u;fh2.a OjGeKD kUμ F؜{Z[#] UxRDF|4tyC+Z]'ϴt 1ݷ6 8da0OJ{ CW`2gYB' [63=HgD *72-.6v lLqIq cC9- _( yBʼn.G.=R7Tvv;[`$QzM%WZzJY 0@C7s#+ VD[-rƯ56⌎ FCʲD0C4R6wJߴf;I"|V=Hr3UZheP})š&{#mƈH  {>3;idDtSq& o9,*8h1 8 TV{i)^e=(DB.4?!4J͜XL.~eb(Ƹ%Zf/(O"-٪\piT{Rtە1wY ! 2\4a90|,'Hͭ;Bŝ |-ƂJ2 Lh Pջj94QTҭE8e9,}642(M ?v7Aj2Q\ee.E34~&U+RnҺ$f Xɱ2cPD,c\չsCS'3^+VV)z`νxdT76=dUw3wVA4h`뽨 2GF*ft'-x_x :L*5Q 6CFJ{) v v1KȻe6qȷ%;E=(dT#:&$ Pm 7!"$ɚ(jCL`e =a zIU͡&GФ~ԊUd [""k.Jy'/jGɃx"\d]Z4{i lE6gz_8 Osv9 ǕYvl?\kZ݂i~p\޾tPfZTb>J8 ƚzoЅd< D!8 )>N~Ls%"qsk-쓎Į'H \z<Vt6 c+җ{]N,ﴧ3]rx9tX\ȕmz5%e#d E*WgX|H*u8+eNy!A/ }3t7U_ BxIɕ9X;LBO?U+W#л"xDiو*Ze&summ>{/cAlevR[ [TrΓ+g;]dK BKUwn8g6Q;^$7tJx>6Ź.jM~6xXcQzy Q~KG[\SbGoT`T`7XGYU=GsA< 9;5`.ٕ6ʼn*ξ[I[Ɋe`I7ߞo]gu+I)jℂ=bMܬ(ϝgostDV$fʑcbrȟ7WysL^PѪNx;t "pphظlI,[OYrl}l٧ 3Ur0JT7Q9-FfI¶ۯ ޼:k>Wd{Dεjȯ-yז 9[iݧ)>^ 12yL#M۶moEዋԥRJwc(2 b ¢֭@0 +@JaR(J' ڹ`Hpm e.h̸=Y0Q"-33jRg}8W{\+UNCߝ̟I5p*)a۷~tn=O(c :H7æ_ϯ}/`c&[@;UG3|WO2Xû1EX Br14Fۨ0nǪ!cXr ,,.Z@ ^P®<|c>> ȯ_@R]3&9 @T1m:۔!&}TImNj"-ͻL?y#M3"pF8P2'"p=_8(e5HKk,{wn3.Mw1X#9vK*+ONqmwp(utG݄>Un>/|·|VFg-`.VMhѿw]nUw8{̄r0Kr!cG"mD7:rԞy*$NQbI OSA#L5 J2.(#B$|RY߉Rm XሥC~5B26fx!ʂ27~9/\f! 1꘵a[ʔD(S9/G6VLESWkƷ9b_VYd;@2 6.ۍ\/^< ǃE7 &eoJ[v_;[v3IJlH,D6\sѰ-Y'l%ض;;2"r`)nwS*@4ns~Vo2 h{- +q!$#`&L@ J: y牎 A.+^W/kÛ7AA_\OpQAJm> ftMk!_ +4ݣg1h'g\  U(J\~/yIt.WY`k_Wz$#f CK j endstream endobj 9065 0 obj << /Annots 9067 0 R /BleedBox [0 0 612 792] /Contents [9076 0 R 9072 0 R 9073 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22673 9074 0 R >> >> /Type /Page >> endobj 9066 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9067 0 obj [9066 0 R 9068 0 R 9069 0 R 9070 0 R 9071 0 R 9075 0 R] endobj 9068 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 134.4425 195.1267 145.4425] /Subtype /Link /Type /Annot >> endobj 9069 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 118.2426 180.2547 129.2426] /Subtype /Link /Type /Annot >> endobj 9070 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 102.0426 179.0062 113.0426] /Subtype /Link /Type /Annot >> endobj 9071 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [104.1732 85.8427 209.6797 96.8427] /Subtype /Link /Type /Annot >> endobj 9072 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9073 0 obj << /Length 19 >> stream q /Iabc22673 Do Q endstream endobj 9074 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22674 20830 0 R /Gabc22675 20835 0 R >> /Font << /Fabc22676 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< + 5pZ [n`֜ӡ~1OE) eAko swT+_PQL$V-EH(ް0iD_EwJ2%ī+O˓*DƵa(R-Pכo=kDN{r=CI1J*qlP;b 2RM%4 <~UxoBDM>5TϬ:JG\YIZ)㻶-f_Kimf)1:}Iw9FF-I *Z-48יq|mau3ͪ5ۏFx|1$Q_cxy /s"r!ϡdy<30́s@9`BSPQ8F$!ī류N>%tw)!i\:Ρ\|=B/}7 endstream endobj 9075 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=771) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9076 0 obj << /Filter /FlateDecode /Length 4072 >> stream xڽɊ$_gAb_hKL§G4kf3cKTEdċo_B 2"*ߧӛjRsj"%I'޾Rj"|+<;Ixo>jQNRX#mR\VzK<>ozޠ/i!(F`p@:D0`aм3r"[ޱxO ruXg9cMcYs_yLl-Cy{./FAb"Wq~(<)sk^3ՙ=k|X\r \QjČELxRWU&bыiXf{;p Q@l!s}kbREA%6֐ - Hն[8Y⌷2R944CnҨڅN,7h ˎL_wmy4 <җ]ވgy+)L[I'6TaCQQktVB^P5rL޿҆P u&X-XD fJ HFLjM,)FACV28d9/[ܖ2M_qStPgPwM'A]mŮV>@,S1#YGvyej) |"Y<&=(-z\9K%?7U+k)(e9V7L:U:.>5f7F>2>thU4 _PHۦrސхeuZ$Uջ ؋ey#q0׀z0H:U0]'A╥-/=vm2=|=Tmn!e=(e>wn' ugOE/̦ :NS yؒ w¾:T0~"J/SY|*V"tJlCq7Ǣ)fX\oGUt1z'л{vV$iFo;i?R&`Tzlfb 75h xY kFB)-iYK,~4ιU=s"F0']l6tɊ۶ nL:d7 sNRf7:_ކwB@ |KBWU>!" ޿"x 3Z <'za{q'ŗůZ6.֖Ȩ+'|1cg7"_1[je\%}M,'K9m5^,WJ7o *-Fۤl(;dHq[GOt,'s>eS4i6VRO[ҳkUZ%Ԕ5AaK]4#Whф U5@kj+afKwq <$lZZUi2.`+_4Co؄=#xw౳hykooo2!@+Cvr%R >ƬOX$'_βF(.ȵY΂`3[!|Q ZyROK3ilwNj7U,t (tJ-(v%(SE[:&Y!5*PvU-@  ]e<~JQvMtKc\TSYWG0B_Tr(mꭗ{D_P.+k7'\Rs@*2C7 l RP%U;TPu(U(F:b; `5:RԈo,=ݡ @J>5Gz^L)\mv;vټ~}>^@9GKc*s2°_/7=ҟ.UMG-1af{LryE|^[{sMC]7 L7:-:f"5&{zA*> J@F<ˠζa} sʺ;Pab\IVVe\c9/-?]r]v .AJfc,_!CO[=H~tZ53ߣaAJH*dCڵذ,nGQ`9wBտs{[8gm%誏6z1x;w82n]3jbXd5}$ZyMPٷ`׎N#X 3 SAlQ=lh@%.Ij̯6anu>΍8SVF;0忣bUaGO%#ѹ_XiT}v5bc3u' >ІХUa ;5'j8]C^ |{k<=FŬ3b#=qv}W"j&w(`C`j3BIJ%9f/h.aPr:ֈe?<> "y }׌VWzN!yM%q'>[α2KD!eev(TZ9ܱE#5bF$&X?S0g|U{؈x"-XcD~yx NN1+)iz@%T``h C~B OMæG-~ dӑV lhqİ@pxanTkbn (Kɢ=R؍ 3ą_]3,!_Ļ+` w!C6&rL@`*@% 2 \E’O[Ә5U}Ku6-&,l}ݹ`Zjmw4ѸN <4$u!y*f82ǾSfؠ˒0%d!m9K,Q3laM㍜$p7_{'>O8h3$U%e~SA_c1Ӏ.o1xD+f_-U#63W4Nl3pG}j3έF{7UٽJSy#{!-~fORQRŏԩ݊gPb뒰EN$˜D@7 e@2K4?<[~Go^E1jϪO F0Ul.$hxGs7eDۦL|2 >D}"+JKyLITi!E2|i=’|ei=3=w!/фua%=֣t~NuȄavݐH2bSoĸ:z/"Yq5ce;0su|cRAWNHHGdu,X1$U1)u ïy`rK}.h|M}h0%N(e5QXt`ӫ|><S/.xs{5ƵJCh5L@&o裄@g+|S K͗ !]sʟ~\ὀLasQdf H..uZwăVL33ǝ̫9#'Mձ;ו)CH|fc+O;I|{<%潡eX> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22692 9089 0 R >> >> /Type /Page >> endobj 9078 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9079 0 obj [9078 0 R 9080 0 R 9081 0 R 9082 0 R 9083 0 R 9084 0 R 9085 0 R 9086 0 R 9090 0 R] endobj 9080 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 177.4827 709.9] /Subtype /Link /Type /Annot >> endobj 9081 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 184.7812 693.7] /Subtype /Link /Type /Annot >> endobj 9082 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 179.1767 677.5] /Subtype /Link /Type /Annot >> endobj 9083 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 177.3012 661.3] /Subtype /Link /Type /Annot >> endobj 9084 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 177.2407 645.1] /Subtype /Link /Type /Annot >> endobj 9085 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 617.9 177.7632 628.9] /Subtype /Link /Type /Annot >> endobj 9086 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 601.7 180.9312 612.7] /Subtype /Link /Type /Annot >> endobj 9087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9088 0 obj << /Length 19 >> stream q /Iabc22692 Do Q endstream endobj 9089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22693 20830 0 R /Gabc22694 20835 0 R >> /Font << /Fabc22695 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP /P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3N endstream endobj 9090 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=772) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9091 0 obj << /Filter /FlateDecode /Length 1288 >> stream xڽWɎ6+x (F3Cn!i;zȫ125UEիi?`='jjv]%%OxoNɆ`iv'\+Ş_OɆ¼@:<6&`I^u~xNc?h).H;HUF߼0sLmMJ|+&52%j\|bZzD$=U'T#J jb~],O~s;5 Q (f@>aO Qm=((]~|J\xNܜ_Rvޕ9]sYHލ݈Wyr- -̾.Eb3v)ꪹ]^lux}o#Wڌ֧*1Vh͈D:!27_3|ߑ7Q~nuaīXSgx3ڗBF/Xb1qMN!һdlbczf8yX/(N^fQ w#Km$0hvp39#hStJ[ّz]f̎!J rxĄϚMՙ-Ehf* -4\I=nd COSEySw9qt%RԤ!MymPVJ-C߼%HoG|nY~wWB ڀH_V#NЌJIx*q*D83D|=ys GSٛԙ0Oe REpvʬcpΏ,/}[癋r28W Fiw>IL0^$GפbBdAOx1 xGq2Eq`93+Nf{s,'T.As>×'!n*#F-9k"4Mx#ie5$ޓE8W\0'˭`)4"S a#ˆg)\;TJC.'d endstream endobj 9092 0 obj << /Annots 9094 0 R /BleedBox [0 0 612 792] /Contents [9101 0 R 9097 0 R 9098 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22711 9099 0 R >> >> /Type /Page >> endobj 9093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9094 0 obj [9093 0 R 9095 0 R 9096 0 R 9100 0 R] endobj 9095 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 9096 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 9097 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9098 0 obj << /Length 19 >> stream q /Iabc22711 Do Q endstream endobj 9099 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22712 20830 0 R /Gabc22713 20835 0 R >> /Font << /Fabc22714 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9101 0 obj << /Filter /FlateDecode /Length 4446 >> stream x\KWzf70`ͻrrr e~WwK#ըY,+>%E[mW]hVǠg$CK?A;?Wa!e?=Uru=>*S}Tit׎\;9|wT1j&zHw/'t88 woC/͔nx3|;$E9,<~Y^Q>M@E)Xms Q'9r0&9(J3,?3! 3h1 ҈?r ksIp+WTM(b(݈xL)9^lZ}mEOkLKR@W;eeQ@Jsf 0',( bMI#tl,uЋS;.?K<{{v|P/>Ў%?ajd{9냱UZW:&kYdO! 9XH~`Ǟfuxcg|9G:<Q&,Y / C]լuO+ 06},IN]\\T[CEV^+u:$6y!*CM쵹֦*P&o4eE .(SroB*"CP{o<5BN,'Q'w(D 1n}N"i.Vj  .%ቆh e MN3őpP<-i=ՈA45G 4o8v`O)Gtj-Ib*34iQ"~`ܲş^8Vf!#h`F#l4e"ؚP^;$Vײb*-yB d`X0F%ogk]Ifa]3 sBaH:*Ѹ8"@#Z@S})ځx=d %iIW෽Ĭ]m֥EFEn }eoc5,y^fBoc;d/ġjpґ7 oGK fcAL n2OQ @Uk2 镸E_.Z\u:⥗?! -ܫJBQk<7jrF*zIJMbR&0}3"[rTP/k4 3-/Zn%B*޳%(}s>_^Xs'a[~yj۷0irgK_!l*WdN(6NYBJ䐔Tb g,cr ӄc#Tj-pϳbLB{Qf=6{dج(FA*W۾XQ D5`WRʅ:wӎ".p]c`RWpz5@1qC9ofB] kzX v n yvp;(ȮN /bK\JiyXTumXF= ZWMs'% nVdpr prk85Ʌi 8 gNp+ pri=|J@*k<-SG'^NX\éނjفSfN]at#h9jx7:&6:eЬ||ȶSx]3+װwJ]{'ua|0>INu@g|z'n-{c|R=nކ;kxxOoPmY:oBzd6K& SZ-\T26K?.mK^ua锆sD 믬-uI++SLծ u VLyr 𷭚7N|҇r9xR_ɅNGӱԽ-G\{]ί=6Ggp]NwWr"\ޜr.+>_ۏ`RBly;oSSxx5[ZSi覸,;O^Մ{飗l%:;f+IwR>/2|N:lR/YgV\07ZA)KOTG,J2?X u,]%@2AU֦qKaB*XOP3'`(8(UE\;%+4EMVBc6]U$I8#5x԰.P^g=wI_,(8iBv xX/nSNPH!҅Mrܺ*fg|Ȏ옏( 5 XBKv0IMnvz7 -rQr#Rod)R2xj*g-D+#:8ɉ`8aQyiˆ8s3S9Y*m}5nqp@yu0 "£C]@w{L6mUw}!ب^ `7  Y @@K0jPrg3 gaidby~hӘpvCߺ!OU"XJHNs9?=}5aIICɵ8q;+\X밢[Xj:d@Ǣiubk bQ~^lPW'ص0,qaAފ,VF=/t}& qb37b_zg[p ["3;$p]vgSӺ ljvf!@08"qqg>-^FA85Zd8"&\ A2vfoƑ4.ggJ HrLs1Nӑe6Cm ?U]P_m'>7jmzA/C(]驡Яe͌GL1Yqc}5T}xx yH-`Mư僮aZ"tuʸ `fCq ͇u͡Ι~^c}ҮV:~]]J<ޜؗ\m%7K3Wk;L'uvk=0zږB |Tdl;b.Bǥ9AL\sfPEZJ?ހ,4ZLBbBQI^=O|^k]*1ʹ e <)sGbLGPhVj⫀?:F*2?rv_NK]zA13yl7Ʌܚf=CmuwY‘ _4ҋse*|&M;&*3fԟ8fKJ>pCEJʟ+<ְnEd^#B+5j6 TK>WĴu5΅j7.UvƐBFB{;;(z0KςvcoNQ}40L#6_V G9/~w均p" c;SO?w$[N04eIRXܘ[2dSw2Kݦ4C(Ôx=uDӷR QBL'c|χ!x>§|s9_;w]J:Q>}_,JV JvtҲtdh]kߑ|‹^k1U/]~KH-{/ 5E[XqJK:yVI eLD%$ҩ~ۥ5#AGU& endstream endobj 9102 0 obj << /Annots [9103 0 R 9104 0 R 9105 0 R 9109 0 R] /BleedBox [0 0 612 792] /Contents [9110 0 R 9106 0 R 9107 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22730 9108 0 R >> >> /Type /Page >> endobj 9103 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063004-08'00') /Rect [218.8375 524.4576 381.3955 535.4576] /Subtype /Link /Type /Annot >> endobj 9104 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063004-08'00') /Rect [178.99 471.7884 396.03 481.7884] /Subtype /Link /Type /Annot >> endobj 9105 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9106 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9107 0 obj << /Length 19 >> stream q /Iabc22730 Do Q endstream endobj 9108 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22731 20830 0 R /Gabc22732 20835 0 R >> /Font << /Fabc22733 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`VathǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 9109 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=774) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9110 0 obj << /Filter /FlateDecode /Length 4951 >> stream x<Ɏ6w} ;) @FDF}s9 T3vQu[~Wv6p opr p*R uo̵]'a.pUQTu'C~r].I{: uZoERP *<_缶2K&KD Po,&z5\=9ΠH 3z 0<ρ(*`54uh1OV#f8wW8+ ;o }s).&xznkС7uF^ݣ^%D|`5$\4&)RGە$$ ޚ CzGwX&jE$T C 1(@OmI{8x# fg3aymSB= 1yS#NKraMU7F'CGC fv"TpA0MeC{[DTFfݴh@(dΙ"k@ej(+1GP(Ev>|64V`cL +ڱ8RXݧg{>y#F6 #}nvݚgH~-F+"F4 EȺd+%Q9p CLY!ư*:I@(dYeY!à Y8֨E@grRYcK"]4~>P-WJTȣ,L8;&i|5NDu?6lb"Nm t !5vVb$ZlsoҬM?{2dHS; sk מH8OZ_YT39#%VoXRN̷G0 )J>]+Cr(=hBЂUdĢ/*[I%u@t|e,s'5Qrg; ;_9 PHy lRNMnWvNXt] fBT\W\}iNe`Z`_8/tϨtYV4_pk{1p/:pt5fO#EPV,eX!>/L#Vuxj2sjЀ['#e=ltؔrՌ,MC EMx]nET}qla%9Y0|s1|laCMz{FFxXPt<:x:V!/U 0Ci&bKfKw(M[$VĒ :D5+BR Tŝ@|sLPx)P.}6e`' brЙ9!SJhH#hukēYMb>&f짒cy\l%G .A% B+KD?m{9F&uJdșa65'bcgĹͭ rvI4TÚp dKZz$3dEGfTd\- pݙvCm]tE,Yv5=5]E1vϡ87ՉζIM^mYc n7$Ssro ̘عi즀'EF V崩fbM\ A_r{~طk|mgI =Kީ?c }]X% )r~s+ \KdBxE yY"?a9}t9:05rMV)kE!˻庬˺G>@q-Mg(0Y~&qGiE*/Xݺ§Y''rG=uvJ*ٚ$f`d_ם7;Fayv#fA\l-Zb='k0xZr9%lmSU&kHc= ӏ`/3ű]cmQ<ބ;)]Dv{!moPfZHYm}=otI{DyݗbΈKr&?Q;k tFG%%rҬ6ɼm8Pt=;{;A$no=I%9"XK]Tg@DVܩN5+4*UFZKh,siqhcCaM~=yS6ec,d3YKIL0kh>7Gs;{6U_ Y'~ v8;'|{ _z}r]o|1/&=Wuo9;\1^濡.~8-E)o/G7b/9}dױϼ:NpGaXxCELeyXD%!|h[ f6t M9::'OƱPN1x:Yg!znY6dw6DլrѴ+@l&> xxq 5渘ov!>| '!s]ΎvVxi0x4"?y~J ܧTglW0,4O{*D`$P i aoDO-r=K,T/ܶ hOA6ljAMX Dym/jWnX:'JSfY\dq;LQ\%旘i tTLc0ZjWɚ+ cio ]h'w(zUy?YkK*6^%"5E?_Q\tLG_T<5W*0WB,D|!.]/T9Z\>Xw rԨ!Sg@Ĺ]`SׂϾ3^DI,;B<3|%J;!`#m/d>CylOD[.|^)O|kcT8nLFYì֩L@2v:SԼSooyq8?6d2ڡlrM+X>AOfyI>kt2*0֏Q+ڕBXe Fuq; M-5Cp__kכi_s ۃ@|J.dm7_ps Bu~" g#s쥕4j9<^BD$ [loxPeXsy֒ 'g5CX3;x5"V!F3sk\> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22749 9129 0 R >> >> /Type /Page >> endobj 9112 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9113 0 obj [9112 0 R 9114 0 R 9115 0 R 9116 0 R 9117 0 R 9118 0 R 9119 0 R 9120 0 R 9121 0 R 9122 0 R 9123 0 R 9124 0 R 9125 0 R 9126 0 R 9130 0 R] endobj 9114 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 384.0385 194.7032 395.0385] /Subtype /Link /Type /Annot >> endobj 9115 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 367.8385 222.7367 378.8385] /Subtype /Link /Type /Annot >> endobj 9116 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 351.6385 195.1267 362.6385] /Subtype /Link /Type /Annot >> endobj 9117 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 335.4384 180.2547 346.4384] /Subtype /Link /Type /Annot >> endobj 9118 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 319.2384 179.0062 330.2384] /Subtype /Link /Type /Annot >> endobj 9119 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 303.0384 190.3747 314.0384] /Subtype /Link /Type /Annot >> endobj 9120 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 286.8384 184.7812 297.8384] /Subtype /Link /Type /Annot >> endobj 9121 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [104.1732 270.6384 177.3012 281.6384] /Subtype /Link /Type /Annot >> endobj 9122 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [104.1732 254.4384 177.2407 265.4384] /Subtype /Link /Type /Annot >> endobj 9123 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 238.2384 177.7632 249.2384] /Subtype /Link /Type /Annot >> endobj 9124 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 222.0384 200.7202 233.0384] /Subtype /Link /Type /Annot >> endobj 9125 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 205.8384 228.7537 216.8384] /Subtype /Link /Type /Annot >> endobj 9126 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 189.6383 180.9312 200.6383] /Subtype /Link /Type /Annot >> endobj 9127 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9128 0 obj << /Length 19 >> stream q /Iabc22749 Do Q endstream endobj 9129 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22750 20830 0 R /Gabc22751 20835 0 R >> /Font << /Fabc22752 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70g%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛV endstream endobj 9130 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=775) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9131 0 obj << /Filter /FlateDecode /Length 2783 >> stream xZYk%~ׯ@U/p1[CyrhY]\%tHu b6E>-Icã˃wZ>~smXR+/_vJ Rӝ|7PkV(3J=˰P5j+q H{u' p'3#L;R8) wwaUu΍" J{lB:KGCo[XQG`uBacV툎~h|N8HF*R?{(GF_݉D ++i/^r\ ECqLtiLQ" tn$!.jQq hwB5JPbYH>ڣ0Iw`G;` B'*w4i>CD +jq7[^#DYdVcbz`]їPwA89[_]s +R ]5V`AQJmYjo [–_*Y>01U%)3-x Ng Y3e2[֤h\w;qъpqOYc+}b?ñT`ޓ<[csIv`NNΔsسRRipؔެE;[%AT+b{Jʸe[%7C*z%SQXZhF6V \BhՆ'8BQס[ZG w. ?F-J' 1jĴqH|fm#֮a 9@ w-=h-fkoRF3h"vw1/3 _kjO0&R `kL> aի6 x半9mf+Ӄ=6l Y~_%?4 w"^Јkv[DT-]$~S LliLRE4 I {c%6x3U>"'ִipO@' (CP [2_a8f3E_es0:^9z̶^|]'jv[4shP/wroGLc%[G/!GF/?PZYm7FMJJÞ']>BIOT v`~u&)UNx *&"|nZgͪ!e\Mď%;y)Gq+BZAS7҂H5$*ںN\U9rn# ؂ϣԀ]ܔ:kJ (|R^jH ζzɸ3qb%nFܤSl#zUpQ s fv,YKyE.r$_J~6.&r Ŭ.Ṯ2Mˈ D.n$шm> MIg*,.m&)=~Ӻ EV˓9$=)Mԍ=,U̖*Rh[jf8v&O¿мt&3lO>3$JHGL `͒#GlD,FxWDR)Zmu`pTsT^ȶ1q빴j"25HRQrOMdJ:?D37 ͘4=Q}27x]:[dͰ)IPs~l85Mn:AV`)KMo]R\k=W);ɍ]8,{>]J x$;鷞 /Tߦ#|ZԐJŸ~_PE)fgmR=(M|=6j@і%Sv,X@R|FEgSZu4ӹc9*vze=~,z[ICM,@/u2pJz˳a&a&!Vc:rFTfxv3T9 &o"#+kkE"ӹiυB.`nXլBYmO+1J*sc?#O*s 㕏`(F.L~ {E`A'/ > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22768 9139 0 R >> >> /Type /Page >> endobj 9133 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9134 0 obj [9133 0 R 9135 0 R 9136 0 R 9140 0 R] endobj 9135 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 286.325 137.278 297.325] /Subtype /Link /Type /Annot >> endobj 9136 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 286.325 175.096 297.325] /Subtype /Link /Type /Annot >> endobj 9137 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9138 0 obj << /Length 19 >> stream q /Iabc22768 Do Q endstream endobj 9139 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22769 20830 0 R /Gabc22770 20835 0 R >> /Font << /Fabc22771 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`V ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9141 0 obj << /Filter /FlateDecode /Length 4603 >> stream xڵ\KW%g fg6q`&⿟z%3E*")Vj5gRgu zXeRoϧ~aCŘR,W/ջ'%wwc^jݵ#N;[(=o?!& + gme&㭟ٜ'B%%s̳yM,b3jo|s&䠜jI'e-6~x/㓦٘%3Ƒp>DZBYHfwYXRr$ =*"DSW s_'mfeԿIR; c3 sa.hX7Fyv-pzaWcQl/XxW)3?)?hZR;C4- ֣<769? n6ZnŷrX0fAldy!]h2xxPoVfN&-3DUY .u>ʗ@s7hvkmԎqK ոt&rDZ=Z+B8m6vFTz5T,D2paB %n2 Kᵗ(٩0S̲L nƃ.).f"Fv1$ok isJsR D ;h9Gi?Q(N 3Hv%C>@n{XqXTk*k';UerVuMqvSjP~w{0vw9<&[5Vl [ U;՟#QEwu?2= U9gRq1 &peeau@3vjĐVΉQ99^;8^׼\t'[,3";0\@f*yctV7*GnEXqg8iEhՃgpk󂬐0YpT')jR{|PL0~ZZ}ɽ]*'kRsK-b-KUC@F{Zd|蘾(Jatga;V21煰zNqCjxjp J_y3tT^ *-5g Ά/b6[ ?l$=b-a%Z V^?`ND:RQ!PH4|q~9ʠ<7ŁVRΖ+35ꝨE.7B/4Tc]ܻ4ޥb#nRmRjh.R}j+\lh: )L6Eʅa1[2TPoK4 3e@-V[!V*+}wZ?_ޥX3%`5Y]vfdyJs"8Xb^j)΢"sTV\ U(#ٛ2>PqSlȕZs5v,"YT3u{be(1 (7]t(+Tvh */E8|;m4,WL!, c 5-.5nq1U!HE58TߦTvΆDFd zX m P2<ʵ].sc=Eka %Xlz2q%}o7AJ]Q(HRb~(F1J?~ҏ(u.FGcc1JQ1JŨcJ3Sy=Rqw3ԭ1nݎ)uϼL}1]ǔow԰TSkPOXLJ}l1bjTË]P-A_LJ=x#E3>B}HVq@d6 %\z؄jm`2g*¸` =na HoA6k܊նv㣭؏?'lHȮM\E/}҇y9Y}Sʀ#9˙̗k/E`wQrD9{D\.\z8H1m.I84%{C=:_."G+ltS-J%y$}ޯLz`xjBqxw I/Ax 㜿JJp;%+CA1'5Kz~d A%s#xSn1)[xb N)B#AB 3-~z( u@ߜɚ8P,W&yΩUF󼵛۬}BCh!渗6)ϣW5ksY~nfIAL\Y\QDj; \7cH&jytjz )D50Z #C,8 !t|[Z |F׋;L幹 0 -Xv<4-^x9NAg\Kȍw3X/-69# yl E0]8bWԱe8l1'AVN,& pa2R~a=C @LqpPج8+N[Uf$Ft3`m {PxZ&;E z5C<}-j(!Ofc͇L1YLq䔂]*4ϴL倇K< a']Ô# uq{ M㊙OF;;ar+QBZ鈯t]J۹\m97sWks+.J{QCp&LXi8y!cg6t4sѓ)rf< srGڦHi'E|[M)(>kp 6tz|IByȌȭbBG9Dn 3p= e0](UTk6,i șԥ ̕Gf}M8Ʊ#ص:W vbMƧڐآ) Oj{J}ZJ5隚ֿšYygsk !#w[4t;z.ȵ"bK+xH-p\8ȭu<Ww8qjٿXB)ItNHIzwTcb} e!H+Uu<9_R[΍ wD֔o:[Ψ"DՕgXrR!<ޗ܊vP\aeדĹV&#EՆ&hSSh]eoE {i]^g͉~Q/<#$f7g,bhQ^q1 '}_|TSJ8!vn`I3^[|yw>g朹1=7=ɼ33t?T9"<}k~\)z ._o%/2:4ڻe9^uH?)ߜ^׎]熟`:GJfTlu eDeyA>(չMׄ#k %:1]}1)J0^Dk6={]ό3&IIND ?i`A“> endstream endobj 9142 0 obj << /Annots [9143 0 R 9144 0 R 9145 0 R 9149 0 R] /BleedBox [0 0 612 792] /Contents [9150 0 R 9146 0 R 9147 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22787 9148 0 R >> >> /Type /Page >> endobj 9143 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063004-08'00') /Rect [218.8375 510.1576 381.3955 521.1576] /Subtype /Link /Type /Annot >> endobj 9144 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063004-08'00') /Rect [178.99 457.4885 396.03 467.4885] /Subtype /Link /Type /Annot >> endobj 9145 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9146 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9147 0 obj << /Length 19 >> stream q /Iabc22787 Do Q endstream endobj 9148 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22788 20830 0 R /Gabc22789 20835 0 R >> /Font << /Fabc22790 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`.jЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p۔%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?M endstream endobj 9149 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=777) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9150 0 obj << /Filter /FlateDecode /Length 4842 >> stream xڵɊ$_gCc_h2&3ilɘAs-g֒$j:xKd.,j?/Z]K8}Y|R˗PW)͢}0f򏣔Jؾqc?/JfS5_G< `T0NN.2.pė`̪5< s To =i S$ۢ P7o(SjiےV&aNyH01pb* |!7'>wW3sĀP= (cP<;i]Nv&*"hޚ|&X`q)UiU8)ۢ\x[,0u0)\ vu+_@ou&6Z-9X@8'yx~=8xoyl;(:x3B#,(ʼgL<,fhXJneGZYԿmHi]f̖y˒ %!!3fҺj `tȑ!#H Վ( <ځ zV"dC\< <tѬ$BRU0)8iT֘?_e%k^2cO7lD#TZ-0 Ӽޯ(ahQ_o17gP]\㊼@ ˗r"Q3NJ+1? WºUns'eRj_<+ÈXg$"sNAǀ8@gȳx(Gx~yE'0W3M@{Vkn)*9 ɬe$,uŎ](V\++T%cxڲ@ lsDl"6cAb#*ɕG>S[qvmTN:mweiU^*0y0cYR6Q Yݻ&[ f=f(F?FM8EmlLΣҙA!#@Ԃ#M:@U|&~`gؿ]X"I4_<,fè6S"4s,k(BQ79S f\&358}$!H"\2512v &N;/ZEB4[ޅcBںk*.` qTgGrQ(̲ w771VUJmVȡz~"jNV T ?gp4OK-M)RsD$`@#iG y݀U+ŗ9~?ZN [|#~JkVd(AT(&)4!k b5l= ů%߹MaW{Lbb +JƄb+7a %SnHA tU6yR#BPw2~ؤE;ŧl9oRc}%P"ڝIǦ# 2E)cE`HFռ ޳rOuD3ݜ%VnHCu.` 5BJ P=M-pixѹɽʗSMg]Dq.Ppok½cCNcڼ< c˳ek]N,o俦sPS[*.RS;fЫ)PQLd5lTXHJ鹗XsRHslmy&K}d~q> ˵rkԧ%`J[OUM~cԓ:N@͘Hff)ŰʎDrΒ*n->1kO̵ulNŘwffB; w?Y55K>ډlw:6:ν"{dEɸNteVHL2zӄU+6 *)Fc0I)0Lc{&Afw>^ɚS9A'昽;E8ݠsl `̲\5zП($ţOZKSM LO /*Uwk:ƅ[5,$[uiG_({,F}X>X nMsCnQjGz}!LZS` 1:t2`odnycjer޺y Xf~ia iEGZ u fբ[tz&a\M]G]v'u<.'DJ`HR\k4$z):65O"AKCAݺuAwJnc :wQ=ӄv V=XOzXLk.pH',YPQjc(j]6k5AG֒Ќ*}7mgSYraܘA~gZ<h2ԍKqf{>Ww>X"jZW#[SբZ&l ] sL b{lԬ uZЉhQt[W;t 2'֭\tާe \v̾jA"Vua՗b1 Uж%bsrFM[yqca_?xn0:|hg3&~^WL|+|+6#8mDw=v*`G0zx}UWec>fjL.O7ԋ<}7zs/Cyl-_Z%i1m$uō>/ҊQ;)le8e~:_ؔ} MA۳"zD[V& ߱ٷ*Wܱ bT7N OLβJ~XeO3N -wإrۍ7vF ImuL8oپc񟶟77n;U WwXOvi.2ݻ>l9zu1 Y5<~U!m-t}vn pͰS<߫UqLnnQv[Fg9MVV5"H 0R>yKEN+9ٟ(Xހks`3mgRLdLXIMyN׍(Z^Ԟ=^zME'c;w&N5BrqK&} 77 wn& cȫ% JxKõ 9kQK*Y`K{6Y߱&޷ʃ\K{&jRH c .TI.o}wWݏKٗ5i=܅r~Xl(snUMJ.M}XGC +µ}ʯĭ96f/C̪/ڒu x?w\\DˏZ~Wˢ/^~}m4u;ALY܄/ų?(PϊgI?qV| ;"!wa8ֈCmD?ȥ]0eLBN w⿰퓱.DwDo9tCym r_ˡ ڡTO@Br:SI`Aq@)77OD}xx!@XNժ 459X*D笊OoKoˏF&1SUA  RsK]nǝvMi{bF~pw&|9,/{(wuF 8$Cx& |jH_AՕG|)&) \m8I7֬Q3l^ar~[ $"oœJSfܖ!^rЫ ,\0pP~3 H{g,)"m3ʵ;H-+̑EzI>+ c3~^a3f }=ׅoy |UkK*6^%"XE?_Q\TLG_P<W(0t(pe@.pH͇V``ǂz\s'<%< DX2aؾZPpٷ| te{WgDvG:0/i='g&drsGX'a"W&Σ)/Dw0u*/tg]fB;uv?/E4A$+SOUhKemdCӱ6ٮ`XЃ.?uvbD1<6nUHX<oC2BE!}ZZሔr͕ Ôr f{DI nO/YW~{:?{`nO2|9zܒ,CHּHyI4-e8+7pQ#ޜ?TJ^DE螭Y]@ձ֕)CHfc+g{x?GcIWeQ. t,#`yf<45zCevRCd endstream endobj 9151 0 obj << /Annots 9153 0 R /BleedBox [0 0 612 792] /Contents [9171 0 R 9167 0 R 9168 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22806 9169 0 R >> >> /Type /Page >> endobj 9152 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9153 0 obj [9152 0 R 9154 0 R 9155 0 R 9156 0 R 9157 0 R 9158 0 R 9159 0 R 9160 0 R 9161 0 R 9162 0 R 9163 0 R 9164 0 R 9165 0 R 9166 0 R 9170 0 R] endobj 9154 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 333.4423 194.7032 344.4423] /Subtype /Link /Type /Annot >> endobj 9155 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 317.2423 222.7367 328.2423] /Subtype /Link /Type /Annot >> endobj 9156 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 301.0423 195.1267 312.0423] /Subtype /Link /Type /Annot >> endobj 9157 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 284.8423 180.2547 295.8423] /Subtype /Link /Type /Annot >> endobj 9158 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 268.6423 179.0062 279.6423] /Subtype /Link /Type /Annot >> endobj 9159 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 252.4423 190.3747 263.4423] /Subtype /Link /Type /Annot >> endobj 9160 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20211013063105-08'00') /Rect [104.1732 236.2422 212.8147 247.2422] /Subtype /Link /Type /Annot >> endobj 9161 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [104.1732 220.0422 177.3012 231.0422] /Subtype /Link /Type /Annot >> endobj 9162 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [104.1732 203.8422 177.2407 214.8422] /Subtype /Link /Type /Annot >> endobj 9163 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 187.6422 177.7632 198.6422] /Subtype /Link /Type /Annot >> endobj 9164 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 171.4423 200.7202 182.4423] /Subtype /Link /Type /Annot >> endobj 9165 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 155.2423 228.7537 166.2423] /Subtype /Link /Type /Annot >> endobj 9166 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 139.0424 180.9312 150.0424] /Subtype /Link /Type /Annot >> endobj 9167 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9168 0 obj << /Length 19 >> stream q /Iabc22806 Do Q endstream endobj 9169 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22807 20830 0 R /Gabc22808 20835 0 R >> /Font << /Fabc22809 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70kPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM* endstream endobj 9170 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=778) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9171 0 obj << /Filter /FlateDecode /Length 3151 >> stream xZKo#W@& ${&0bO ;e~E6ْli֋FlXUjjrq}VǠ]'_֩>@U3Ƥ^ 91m36M? Z#TC]@wy |;\S22FKdM>RML2ћ.&84ǽvFXs3{g`@J-sv{;HilIu-8x&-uaKekz<"1|BYHmV3\ƪlLUݜlx=4ݩ&ON|7Oqq@$QU>3*iʎ^c}~Wm[ y0]cAI n?1fDuT[@ @c1""y&ؓm\a"(8f T+.zqaPn < ț%M~&jzrq.f(4?ml /+1C4, 4h|ж9 P̵Y]59E&9 Ѐ U2xh#_2TJq}F`ID6zq4^-ק?T֯QT]. p]@;V;fߑVNsǍ3 :!d 㩢\"lEF#Dž+އv f~ΆӪ],>q< ;3b{xh]CM /gfB\&YHaY!9(9\V%o <ֈ!z~Ş3!b=JJ"g'p\۷۞!+]H-b~gBiEM\b6o[b/=K]-1}j|=o XK!n2=lֽPpj1aba՜C|JqAKENeśԤ^Hw\[x2;yx=r\d~^BȐ!_9瀪6]rܘ u{*Ŝ%B, S]Bp nx_ EC7qR!9s1%1H"mLKNߓ6ܛoV%h- Qe%Ê"Z)&%rUZJd<1- šܒgT4N딤czr h'<։vY릠 rK \t=E&*o=K*a[1 5PN4yxZR\8>{PeCx6Ru۰IQIZq `71`% &q dU (t8Δ#M.aY XJ>y'Fe oqe9|; S?Q i,Ԫe2n婃+Ɍ9@eK}[TuWE^+oBxƊ̟rle!Z֊zz6 eY}rojSG9IQUCEgwOoS+uo8AysEϿ ~$|at|;GAv3s.-4jht&.,>B @B\Qw͉~S7jpPd;X $=J,=ܼV>O<$QIVúrzT#ԗH?eϏh F  endstream endobj 9172 0 obj << /Annots 9174 0 R /BleedBox [0 0 612 792] /Contents [9181 0 R 9177 0 R 9178 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22825 9179 0 R >> >> /Type /Page >> endobj 9173 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9174 0 obj [9173 0 R 9175 0 R 9176 0 R 9180 0 R] endobj 9175 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 286.325 137.278 297.325] /Subtype /Link /Type /Annot >> endobj 9176 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 286.325 175.096 297.325] /Subtype /Link /Type /Annot >> endobj 9177 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9178 0 obj << /Length 19 >> stream q /Iabc22825 Do Q endstream endobj 9179 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22826 20830 0 R /Gabc22827 20835 0 R >> /Font << /Fabc22828 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw70+thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ1L endstream endobj 9180 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=779) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9181 0 obj << /Filter /FlateDecode /Length 4570 >> stream xڽ\KW%{ fg6q`&?K~^kZb*"i ;8;C "yZD!P:Ƀl5>UhBbo3LC7.dE#pH8YB[iHa<$%ba7Io&? '@,r #ax@>1Wȫ~(a!Uqjf)`y4 !䪦hݐֹlkFp⎸[ʇ[a'lU'[ Ul'VmVl{%[$B43 o׆ P6؀#/.+ =1y o͍ΉP!!X̒_k9V\t#[&L„xvP̠)lg6jC&U ̉H{jk\*|=0839jWB2Y3[e*ʓd}qENDK0$iN4صQOJFo.קJpFrFD9LHa)gN ђ̅zo\254if{ t-a&RuVo:67-*C\ОSZXrl F];E+۾m)`:Hf8'3Kf\neJl,CR(CyPq^BwlP{Kf"WjUpK3cTAg{W%0:[l6&6k#,Qb@\ub.(Su86*d|HmQ2m2^dj+K +\_* c׋ř]0bpIՅLl톪DJd xZX mP4TPn&sf Y\¢հՊ,Y-8V[(3Ud+VMMoj׭tQ2a2*cՏN6A~x2 *CmP'S۠ROnw@ȰP? f8/7 2+YΔHXlsP60]0Я'* C Xl$H]UnbYQTG|\',HȪ;CM^*67uyvf<p$;SS26r8c+͞u4?ݽi^ɦPNG8X~sآͯrJgn D7ĹEHwnԽ( gcYem~u` $)gmLAh'i>W@HR0^KA3Xjg9v םN$E!˽FE!-oAd[9wq5Y}c{XY]6'm"4Nr/z hQټ>Jw'G{9߇dXٿ, yjBpp*,,ZXQ/NWeuaq-ZLbF"wSs~#c$}Ƃľ-EsPwq[t/M0.OXhej鍭8K/m*+=.vˠXz+E9"6qޔ[hF78N3EKbP{;/lx-x-/Ԋ@|NPKFHOd<غ,gi5x[vlV:5:qtgvJxvyzb<W duq'fV-uq*Ea] "40_!ZN:R6m':(;[,a١ؙ/;3}өU՝ Km/}5~- k(AaĕQբnE}B\:toҖY0O '1*fl=x=\ˊ>=FG%V5֪ݒl_RH !GX`:ƈ&؈*E(QWw.ݵթ[8+tގp)7qTh}_0!&+_1K%=$Jls avs̸&L60: tF!6i-VD:i>q; ۜ5f[jɼ~y.eE30;Jw{n WQ:~qchh'S.41?R$6aV[8 ^x7w 3"١Js>;F6#.o, 3%$|w0R`b 9:G56f,T\x$oTcSj`܃M Hhz0>}ԽW}UHgp}X&]SQW9<+5qa}2 =fF*P>J]zA3xl7ɉ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22844 9188 0 R >> >> /Type /Page >> endobj 9183 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063004-08'00') /Rect [218.8375 510.1576 381.3955 521.1576] /Subtype /Link /Type /Annot >> endobj 9184 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063004-08'00') /Rect [178.99 457.4885 396.03 467.4885] /Subtype /Link /Type /Annot >> endobj 9185 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9186 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9187 0 obj << /Length 19 >> stream q /Iabc22844 Do Q endstream endobj 9188 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22845 20830 0 R /Gabc22846 20835 0 R >> /Font << /Fabc22847 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v.s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9190 0 obj << /Filter /FlateDecode /Length 4814 >> stream xڵɊ$_gCc_i6&3ilɘAs-gf--Iso2_HBןrM-.Q%II,R˗PW)͢}0f)ҹ}? W/Ͽ._ *9^ >,_"0"7||x`Ftsw¹~_ K2$+R!R.O5(COᖔV0@_Eһ@J,<^ w:KHGLp<#>p Vx:[zc/_BԲseM' Mؚ|& [ `ƍ(8+R..Vqbd\U%|z3텈@Жu2~a `y(~f3 A4N ZtH[`%$vGc.|]WM!Z6|DUeQBBFޞK2c/Ѕp+c E4@ɐ"%D "+^ x TCX|fIzX] H[aIK$CT(0nuE&e웒gW`ZU9p +DW$ӗ2 N(\xYmMM\ ֝9|P2&UaV-JF' eij1{:UY*Hw>:QN5VO_"܄WϿ9Zdp]9R.`ؕ9we$yuǎUBX Hp%s͋]fDR#}uYJ,] TU=n#US踳~&=YSg9 eedBD|6;75Mhe;Qrr<ix&svRH`=EF$M:າo,U|`g ?[tfA5KI4J9؉ND ̖QjpCX+hT7GGgQ*Mlr`})B$phA][ iaʲ@&Ǯ={G.QQ8e{.9fG]q,h;siYRT$tߋ^7IU۝1ncN@a6B 5¼a#u>I-WU؊m b14kK?p2 -~{hźǷ?RJԨfQ)l&$|&C"s'IǞXor\W=r?JS`5f8D흹I:*7x`@% eeBDi2pJrkE4ݵac1B$>]+C>L -Ppxے-e-ȅ&ev>v;iuR!7z-uQ̶@mg]0W@* DnHMEN KLٖsS-s6@W˚`_/LHl(פ̽#<3HoB[ z[P9FO4X'0~to0U[H+k&(Yݎ7I &vJijK3Ɨː/ѥԪa>5_9&'੪_{W-EVc\^-=_#pwC<]$ 69=倦BNQ)CaSǣU)Y*Q*Ҷ^hn_E(04ҵpM9Qwvd2hLe{Va]co!(Ň[eTM!~=.\1Ru{f z bXCFsxV&'qzo';6tM^a~g^eV*.rew;J=5 S>lwB6a&_cA9ń}N#^wK%B N J9L<1OIM\ىQL< %uԁKmRhhKd9;/1{)Q٥[캜c%dϰS|:ͳimEkɂIJ-٥&g'cU)Nya5.e^3KR{-5:dp> 1OT y.F VNJVc7% YCȺc)w f1W7~[ԓ%G~H,R%cQ{b 5V &ԑ<-S}ws*=sF{htCOUm,ֵje_E aeҐ^(/VRA +6G#ܖءfo揄sAn[[cn$>[gZ>Sgdck՗vfQxMhd6dGJ)A;ת.O/3Oq޳Vg`7tL=Y#7kd%-|=~VX?h=Jd)ky&dGli)|6gE;XZUEN:{Fi)O`rvlJvb/ژy d{MHsU蓋M\(JrF~L& 'H;}wUI藹z۴2Qhî sРUާq}% y29#K3Q Og&=wB<BX۫2Ps%<޺q VPsWҶ~Ш‚jw! V8iQ>fßS]rؼQc;|a"_::95arB-9_(=kW%c8 }N .^xeBY)7嶘._'zRzzZ7Ccb|9U Ɂ/[4s8c&{uiNuV^Z{I yK5s8kfK*YqRP Պ7t-rbT}Ks2(zԛ6|W\n |7_ͫٗ*w'Zz \[)/+$kv Lia_G#ٛ8Zi~(eR@ԖS~ l *Sה_{Oнz _o@{?oYw_{K.AY{`Wl><[z^a! - MA[&@k NuV\x9 ܼ9@Z#I v( eBμ w濰I.Dv_B]Nb ~CC75tn*}_.N>[}aM:aUsUԊ859*` ,ߖp#ߖA0vg5ܯSjuqy_F| sI{Ĥk֨ynޅat~[$M7mD̋',M=A<1I60; '7\3jx6S\kRRi":]yrGڬ vn<{r{Gֳ{;'e߹D`"_+ʗ(S+5G¿:Oe:ye@&`H͇JcAzL3C /'|+2 OeF\P2 AX^Qqٷ|tm{WWvG:0~C9LZsI^ZD>Z'1-GS,FY֥t@y>3کy+ %+cOUh[emh#m]qcA{~k~G\JFW!aq $rڂisV=Rq(VSȭ_!5ؠXy,˟Dzy Bu},E80GK ?Z .CZg:KMw D# f=ï}_ǚ7i4o <9:+@ ޜ_S;_{?BBb!ktV.G 'ձ֕)ϐ29x7LV>F+==s4+>O<(bȟhrf.MWome+_S'J<0qSoY5(:i endstream endobj 9191 0 obj << /Annots 9193 0 R /BleedBox [0 0 612 792] /Contents [9209 0 R 9205 0 R 9206 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22863 9207 0 R >> >> /Type /Page >> endobj 9192 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9193 0 obj [9192 0 R 9194 0 R 9195 0 R 9196 0 R 9197 0 R 9198 0 R 9199 0 R 9200 0 R 9201 0 R 9202 0 R 9203 0 R 9204 0 R 9208 0 R] endobj 9194 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 297.4423 195.1267 308.4423] /Subtype /Link /Type /Annot >> endobj 9195 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 281.2423 180.2547 292.2423] /Subtype /Link /Type /Annot >> endobj 9196 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 265.0423 179.0062 276.0423] /Subtype /Link /Type /Annot >> endobj 9197 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [104.1732 248.8423 209.6797 259.8423] /Subtype /Link /Type /Annot >> endobj 9198 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [104.1732 232.6423 177.4827 243.6423] /Subtype /Link /Type /Annot >> endobj 9199 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 216.4423 190.3747 227.4423] /Subtype /Link /Type /Annot >> endobj 9200 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 200.2423 184.7812 211.2422] /Subtype /Link /Type /Annot >> endobj 9201 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [104.1732 184.0422 177.3012 195.0422] /Subtype /Link /Type /Annot >> endobj 9202 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [104.1732 167.8423 177.2407 178.8423] /Subtype /Link /Type /Annot >> endobj 9203 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 151.6423 177.7632 162.6423] /Subtype /Link /Type /Annot >> endobj 9204 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 135.4424 180.9312 146.4424] /Subtype /Link /Type /Annot >> endobj 9205 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9206 0 obj << /Length 19 >> stream q /Iabc22863 Do Q endstream endobj 9207 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22864 20830 0 R /Gabc22865 20835 0 R >> /Font << /Fabc22866 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}>P endstream endobj 9208 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=781) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9209 0 obj << /Filter /FlateDecode /Length 3087 >> stream xɊ$_@HrMÜ10}ۤPD.6DEH!}ӋT+ -W>6gU X2oU앵˼'m MTꗭ1&T{:r5c pgl4Wgj@ Q`>:S0FK`M>SUvҸDlFZi#QKs)g7y\? UGkg8ƛF܁p- @3=H^|>!.h;g볆ةj8;Y*cD4 DC5{LF .33@j@=Ǹ_H ]AFHmbݓ6^4qQg\`7A`: qAԟV'qCtְgZxRnlNȅ)!voXC)1Q怆0h6 y5'l_}lF̒(bb4<A>2 Q}C#{{Ew&"_8L?1ǎ43tzg2Z4 M!1CHDN09vH9$l̑ Z{My;-[B&#1; F߳QI:fof?"X[ZKbD ^T ҆iL@8a؇t^[jup~/6c=VU-|C FԔDIEʰ]78#dȺ?KˈWpu1D8`1)<ơrCUBeNlo;Bo8tCrf?ё-[ nqH%M nbmYդsCD^D0k{@)f7kK&^z63, RWGٮQ)-@<=;~O RSrVo,ud8qNnlCX=xeVjzKmQq{U2Q>PעmqTCmPb;Tr.c0ߋ`ugJ[ܩ>eN"\NR]7J9b\~qkŝsǃ( T¨=V5{ă_fqFa[{>?U;,9>Ό<^w7YHs"dbq7j|Qt0s?Dsm~pR,=:;E3Ydbv\˟XRo(!|P 3Wd4OP{iA}Lj>#ҐzHShc0 ځaaq`{BGcӦ`=c%A:TCeWW*whiY/J iT"8KI[ʼ"V9A:%1"B TFd ee=F)ݭAqq;G !$ = rLLT--:'o)~wT&Ά4Fwx&;8|rr~{|.*iM"d#x#ޯ+Gگ761 ~cAQ;O 9"V*I *sZ 6SltLV~N;Xhp`Tc:Ul?JڙZσaDtnmcfIJ{"&BG3eU$('BG>/mw31 .V>Jo]o.)ޚ)Į?)- ^qxf%5"x%;9A^)>-VT'BYEqݔr bV/)=+Gq?ԩnk_cƳ?CYyH F<?P߄7Q?_XLgBc}OBKiQZ+iaqa!sĸBG^?oUj=\Y33 :QTfp4f2 ,Af^ > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22882 9217 0 R >> >> /Type /Page >> endobj 9211 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9212 0 obj [9211 0 R 9213 0 R 9214 0 R 9218 0 R] endobj 9213 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 286.325 137.278 297.325] /Subtype /Link /Type /Annot >> endobj 9214 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 286.325 175.096 297.325] /Subtype /Link /Type /Annot >> endobj 9215 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9216 0 obj << /Length 19 >> stream q /Iabc22882 Do Q endstream endobj 9217 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22883 20830 0 R /Gabc22884 20835 0 R >> /Font << /Fabc22885 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {v`.%C;f٩c8I 6-$G7]|t'w J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9219 0 obj << /Filter /FlateDecode /Length 4388 >> stream xڽ\Io$Wlܙ T|[O=? Y'jz? =@u-SJ΃]C^ REkUkEůro퓶NYc%_?_QI@Js/ 5 ]20ٿ~&{Pzy|5uupƺG! ҟ_,٘_~cyp5Mjκ"Hʰ뜟Br$1K/[44e^;{cIM}FqKM;~Ub I5ߎa 6Y:\6|~X/XoOwO!|Γ=wz/ohRmX uYaB&.m/Nĝ`Zd37ߔJd yp9Y"LK(&IwJt50li9 㳶&=e( MpЈZH؝P<-ijZ1ɬi-b'ڑʋ)uM&O3ժSH[tЯV _[5VnՆnv4]]qG®tEC2\ԏָ ke 8}@pYi-`Ό067/W,8x5,Ŵ^nu-@wUZ )dp9LAQT iyP(nSY\GWf%i90NV1'T+!gz_:3jѣJ`:/O`zM|zeU|*ѝ34* XaB!cPh˓4qnq/N|3/" ky$_OW:JQ?sذcކ -u,ދd0sk-O_,l2Q\f-bR!t~;KxU 3^VE0ve"6z\sN%*N"hb;b"i.Ax^8<Bn)1Vx꼴1>C<9o(1l&aGwx6γr'_q:;Nq2ءedzAJX%s6wm-5&ǎFǻ&Q䀹M[ ze$׺"^4[wQF#e6? 3KmFFs嫌;>jpZO_E8XyyUͺr 2p)<ӖU[o\|u]n`z) \?}T}Dzó7A;vBᅰ4^Em۞mxYjb֮6a% 2r/zbw Zk26w,Ci($|=jahj5vU#3ܸ׸ETx;_zO0L )8.:>VYƯ$5n \\R!tusT{eEZJJ(]ꍥԩrF*zMbR&0}3"[rTPK4| MAfZ_DzKXmT4k@|iFbuΕV{Fgso߶0$ {T2 .1ۆ)."(#'e|\ k0{ϱQ*jlYEӡUFg=ֈ2khi*\PLVDT6h ,E92vhX&N;B0:#Xkwc׫ aPj:q;;T5`mLְ[ߖZ r'w)pϳYP\âڰի2Xz6XkyXTumXjvCHk_Lͺ@+&wVM">6Ğ颁JN㝀R.LKXISy-RcJ7ﻎ)u߼ua+S,֠R_bjk}&ԧSRZLmJ=z|1uG@fi u[e9)&W&sL){ (HVoXIkӽ&zXmk79ښ[Kz>hJa)[r-rJ|惤eU]V@tS\l=\/g<{Q>kWYW3fZS|YWtMb{ OXp$ėerj*R졹lz6E_@+CͨSxK'ی vy F_ )nݰrgOW")Z']*SNoGHXɳq~ Ar ;`,sZ|c 2M*2hىu\rcRIW׋u9&OmJr8`5{J@q~d mVD6 O9/Tk(9ra%eX,&+ΖB=Ojn%&eiqKç,xr&3uWI0-0!ʸ|t,cpFϧ lN֊XGօw)gk6y-:\,y|^ϵL'ugy; y ю)Vj``64ɕk9M'mX'?oӈ7 N"&ݳ7q7³:pG*2!v3|qi`NuBfw@9O*S6C  lS(A>HΧ8ZJXtLOz:ڌH;2ٚտŮЬ<{{+},\. gQs4dLY9kBҞ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22901 9226 0 R >> >> /Type /Page >> endobj 9221 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063004-08'00') /Rect [218.8375 510.1576 381.3955 521.1576] /Subtype /Link /Type /Annot >> endobj 9222 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063004-08'00') /Rect [178.99 457.4885 396.03 467.4885] /Subtype /Link /Type /Annot >> endobj 9223 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9224 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9225 0 obj << /Length 19 >> stream q /Iabc22901 Do Q endstream endobj 9226 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22902 20830 0 R /Gabc22903 20835 0 R >> /Font << /Fabc22904 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 9227 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=783) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9228 0 obj << /Filter /FlateDecode /Length 4826 >> stream x<ɎHvw~($HI6F>s*0 t]I*6nRA2^xV _OHzZ5*$.o?}U/mBJ*)Ƭ~[EJmtuuݾ O]~gd 0o" z}7|`W  ><\0RE#e]0֯˷%L\5< k Tԯ+=Ie)ܒru &o E.< 5,lNuH100@>fc^͛k ^0!n*/ eeC ]A]nF F" GL0cLq$]!wY0muBD)BɃLZWF{#6ڲ9Z@8-=Nx1>;U=? 1͓m<20uQ8y8Dо.eO2ȌFN:̥N_Ŧ P6tXQNge+ݘW,/df"u%׎+^l'  "3rYK="jVT( U uH7C0MIk DUmF7ьp!ܜru2y=`%1 ݐ?(D&QjJ %&un.d^- KpYT ˄2UH"?)E lO Yr tBlih<');*:Lɭ0'P۸c+|kpX@-?K#ϓ(6eR(#Z@NB]c Hm6 6J] _OzF[|Ў+obcS$op;YRQ y,ljo-h4"آQp{ &!*>ҙ]BFxjEզQ"h]%_7D`!`z/Kzuَ,+X Qe?T?ihM|>2{e҈Wo(ΏY^ipi`q1Onm';1#.PY}谜"!]o bO)+oSXV5Wi!8*Z0X>&~AMM莥hHm~mH~.E_$"Ymm H$KE8S:OHK!Qocy9-hVy2뉰tZo 3YvVkVI?iB@:|k4{%?7ے3._OZ5 ,~{͗ {M+ut.GMLz#S:\fyH](*\NjfEs$SA4ea, و>]+CI>"LpES V5$yKf/CPBrSX ڥ\5xQ}"㑕"V(\'% 7 4ܹ` р.c- vŖ1C4쵬e "٦/ln5Gi,7, cF9^ux {a; N['ST 9V!At&Mu9_+|2[EY2 `N _ܴpDo`! s=,Z,[0Eݸ%鞯q嚦bUn4rXVűC^ʐ7xc5|5 :TҌ!e#V`/=7 TҵNT "QSMj ynRL˓rs+PAt{" N)] iA,TWz75JmJ`ln@2QmiDob6yR "5@v39MrVmqDȵN:i䄍vSaZlkj02dvӐ{*e65% EϏB> sw;6 =ήgɺT'q/C`ѕ1Z˗:+NgR `S5ƾ|=؄T=~Õi; l *w|y}MYК6,MY)?YS==%,i['\,0YV׵|f'(Ī]7߼V`uMn]noOvpFL]=#S=bȡw- vFZ&n%o(S5kzwGj;$ӭVo Q>UܓgV@ NY29V|E]|)%kv 3J8z4y/ȹPB$܌c5lc`d*"sp15:q{9}sDz8[U+SpkET]{VE$G_hN' G)댻3At魕Zbq[I0Uⱊ0mK&wNP4M0eʒN _ y@wuZd҃+D{yQL^o6~xnm=xcOcZ…p,֓m2FYMq]1C6P٤W+^?A𭝏>QcT Ҷ12MwY;v?'uL|Ϟ,=M,WCj㽝G5ƒpPT'R]+8D$9_(X5xe@oKȭ=rYmubY)&8S7]zRwy4a^:aX}cT$nlɄ{TnV)2ڈBE_7g?Ǻ[x*&tbr3q]t/RWezs:^٨nZЩU*4{wgs?PdBġMJѥF;ɧCq)92D,\gӸ98Z, SʬzMNoCWV&B`ۅB{;W_~V8d4p'\?νF&׿.8h'2;h^<=no;z^"|=8sǀ*vu߭fXx9 |: Á(&"nm&BOf8-SqTTʻ.1uO6n{!BK/6 ħAĿ@6Z °ˡ ڡ`_0]l24y߼.N,ن~A$,4Xb >jE^~Ӂ`5ctc210:3 z!@dD]F`=P v%?0۰P!Qc/ƺJr8_f<b3%!vvtsa. Ni#.:(M=ayE XRQL@ mXySZdjEzI*OJعqmw+uj/w(zty[?Yk[*6^%"XE?Q\TLG˥\Sy&K.,pe@.pH_[WSaz\3LSꀗ%ߊ̳@ĵ% % ~ota]R^߉Rtu XaUHAu#2X,גď6G6De1`ҍfuXJgx#py+ %|w8~TҶ"h_M&d =kn'&?{}̥d$Ѿ}VǐLPQj-D;)U>s K ^S'7i4o~TLwt5q "*7 wsԃXݳy9ȅ:ٺ?e)wl,bjoϫ\~.]Oʤ\0oQ߲p+跶o HFIM%2s3};`A(s endstream endobj 9229 0 obj << /Annots 9231 0 R /BleedBox [0 0 612 792] /Contents [9247 0 R 9243 0 R 9244 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22920 9245 0 R >> >> /Type /Page >> endobj 9230 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9231 0 obj [9230 0 R 9232 0 R 9233 0 R 9234 0 R 9235 0 R 9236 0 R 9237 0 R 9238 0 R 9239 0 R 9240 0 R 9241 0 R 9242 0 R 9246 0 R] endobj 9232 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 333.4423 195.1267 344.4423] /Subtype /Link /Type /Annot >> endobj 9233 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 317.2423 180.2547 328.2423] /Subtype /Link /Type /Annot >> endobj 9234 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 301.0423 179.0062 312.0423] /Subtype /Link /Type /Annot >> endobj 9235 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [104.1732 284.8423 209.6797 295.8423] /Subtype /Link /Type /Annot >> endobj 9236 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [104.1732 268.6423 177.4827 279.6423] /Subtype /Link /Type /Annot >> endobj 9237 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 252.4423 190.3747 263.4423] /Subtype /Link /Type /Annot >> endobj 9238 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 236.2422 184.7812 247.2422] /Subtype /Link /Type /Annot >> endobj 9239 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [104.1732 220.0422 179.1767 231.0422] /Subtype /Link /Type /Annot >> endobj 9240 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [104.1732 203.8422 177.2407 214.8422] /Subtype /Link /Type /Annot >> endobj 9241 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 187.6422 177.7632 198.6422] /Subtype /Link /Type /Annot >> endobj 9242 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 171.4423 180.9312 182.4423] /Subtype /Link /Type /Annot >> endobj 9243 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9244 0 obj << /Length 19 >> stream q /Iabc22920 Do Q endstream endobj 9245 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22921 20830 0 R /Gabc22922 20835 0 R >> /Font << /Fabc22923 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoX endstream endobj 9246 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=784) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9247 0 obj << /Filter /FlateDecode /Length 3011 >> stream xZI篈@c_({79m.زzȌx-We;bUi1K_5ys^` ?m D>6 X}LZ?x]ӿl\22Cdu:kadyKm -.85ZXk{{`@up[`L;3Ilu/x&:t-`BE7@gD&@RH I*qi6Kc;8RBƪUv&nd<TDMwK'E7qN_*txXʞXc\~JS~ 0_CAI\S}I}bP#6.V"8 ,fO9 "~&OأE3{B`.0?X͑O?a`?_[/ 65ț'JΆ5Yo= JYH -D#j&4СAfr 2kOk ftL>!Ѐ0U0xh3gV.~?:ArF`I6\Fyvm*wSgQ+iu6N V?ojԡtBD.Fph|-TerP.=D@Afzdep'*%@-ynDhxmOho(5$^Pogԏ&V.D.K1=ORBj VEiT [ KM d1וV?T5|G F`F"tsaZN=`&22o2+{^*bpD9^ /=qhJ/N2 Unak]oK𰽦b05KvFHKfZ>F|6MVțfM d%fBxnUZ_O?~7[ٮQ-D<% CVjpwR.qWN̷|5րtb}ޒoiԌzyrF[\Fp2x*!dY;(b*81DoE7khgJ[ܩ噌YΛ^.R]W_S9Qfb-)e;lEfȥF鴩ܤ;ч64MUm9=Ό<|l q:3OcI7kd [; "tR+»to ֈbSȔOHc#U>8fH;#12ȝ3[ {U { 'Fn@-0b b#n\;9n66jx7ձz*].ܕF% h) KǩjZJ| ^@upL \y\ >R1l 5qڇlGF~c96\L 2[cg 2zojݱ[$B.nsyO۞&m^m01[^Wgz#uGM«˄!\m9k:a*qw!Ck>e0Fn#YH^﹅:7, |GI0Eێ#qbLCWnҁI]؁gϾ~S3U6g6=V8XF߀_1&]DBcuqDRT26wW "F*O[7>gN&O?P:5lY` Pd%8F#!Gc=`i&[<FSFeW&/@=QbnRN`SrCH/D:4Qi}&|\e^}0uL*ۺM3@F*+!+KŸj2l;&Y= uը=T9]099س=K'Esu>}d=(z[ICMXvL@ Yr`5x1zO'~I- , A7*QZ"b C>~8|3Nٿ"`i،VQv;N,=em 6<"Q"P0;=|УAH:qd^z/vpGF :+ endstream endobj 9248 0 obj << /Annots 9250 0 R /BleedBox [0 0 612 792] /Contents [9257 0 R 9253 0 R 9254 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22939 9255 0 R >> >> /Type /Page >> endobj 9249 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9250 0 obj [9249 0 R 9251 0 R 9252 0 R 9256 0 R] endobj 9251 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 9252 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 9253 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9254 0 obj << /Length 19 >> stream q /Iabc22939 Do Q endstream endobj 9255 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22940 20830 0 R /Gabc22941 20835 0 R >> /Font << /Fabc22942 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 9256 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=785) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9257 0 obj << /Filter /FlateDecode /Length 4390 >> stream x\K7W9zn0i%1ŞIK֋Hd5b*e2Z>LLO`_PO~f{O/?>hxUXdl{|Prq{7T讹Ԯ]J9}iI}vzȷoM_is nY;&G3ӟBu%&3J̅9PĦ?>Lar𽜠jxru:1+z  -ZG~H;Í{|P$3;E} sᄒF-?"9`+}crq2˄eM0b\:3 \=J1H; gqӧO:HOڠNV 8ЁoǤu==aA#|!}30田塝6m[ض1r[+f> ~udaG1gÃz`XUFԚ3ɴ儨*8ckԃ}> F(Q]kv,,^]͙ rQKy `Q;3ޮk @mh@/Kԧd(Qu[LX OD T[)k@N`)$>&`,a;oܾ[bDb 4{44W ב[ 5R9MghNV6nN^%&Uֆ LdCq2r76nȑYKRE=dO$Q%5UYdR΍#fq:cz4$zУtW7lRqf_x8൥iZq½yRML!+$L\+//9G?1=RB, QZ}ɽĥ 4sy4g)~d ==Gl%{2kkpI]!nFXDC^_Jey,^Qȭ <((bqyW($/P(oEYpFT]:;4fDa#6oKL;aF\LӨ $: q;7dz=h8?r¾zrrly9[Mq֩\)f-rA~ͭr?#4MdtCw3 JTo(՛|e?z/AӖXB/GvfZ+f5uKި >6nt:sStǩt:`Z]30:K,s#xMk^_^pW ,P.bkZ&16 /hK 6q^ᅐ'^I˷2ElDXFE4 ꋄ5}aCWx;Kub6~P̠+u_RšjUD#55nVDÕ :LWj}`;dAC TBgP镙jORB„d6gB/&.g;ƺ⥷9! =Fݪڤ(KpN*rqs΋R G?+b1d,p;*̘^DFKXmXg[5ݥ~4H sgJ+Kk~c۷16̞c{ۇ89PsB9D ]rm $iBU"WjqO`ԦCg{VN3:{lv]lN^ǀRRKpGnUy)yiayeU4aPk}o10kq_'YnZ(TY[C)!LmJeGbj<@mDְ[0ؔUl7*w;i֐5,j] [t_(b[Փٍcb5SIakم9v@jW敍r'%fJ|l}W,MUpRWvS(4/EkpR#kp~,2Nf2%".(oQ/.(ojفSGB8F8u]I k8ʻU W8uZۋNP>ktWTjEt;.DI]N7D'oNjwN-p!:ݐ-ѩ;E'}q;;ӕ*OtϺ Rj܏ഁm&uFBz!I1`dk@fq %}Jj(q<;߄7-!qb>  ol;dRR{m7HmA\89zpq:u Meh54r˶R]Naw >.;$wF^Dr[Ju,] `zW1s<亜0yݝ*vCrӪJšɹUQ”PG$ JQ|`xΗ]t*BB{'9iOP VB'·*Gt!O|2,I6Z1;uבY2bhX6]XU} sHV*ikd0jT%5W޷UJkaPYA*[L4+l=۬ @Qd7 Qy[Ԑز5GhEz{d$'DmJ/ +)% Ӷ.592 eL"x9Gd&:HrYjׯX[=5(tGlg.H!u!bXJ,\@ZWSD|%(]ȚɁ sY\Q3 z!~+~ӍFwR̪3+J wIhNRĠ.74%ȧMÇv4[هy Ƈ^cM] h] -k $!Xq-yq&hI @9ʹzC8F1^&;-s;+HiNE?J[F=8njpi QNKC+.O:c]JD?W!Nm.R&ff*P+Rkȷ`UTp}"v.gxJkacDFE2_I7$+;%$`1d6p%r4ow Ґ5֭x?sgЛV_K_&7/K6Q/Q =h'90ۇxi(UmB?1g=6߳V~9@cܡ,qegh 7 iEMڥtYʫ}Ֆf{j湕xWJsH (pOu=Q>fg:d4ކ.:2Z`3riVxh5ոWLgyi(y7"3h"@ cPw9/l@\ifDo";X@M֨NcGF ̕f}M8#ص:n>+Ƨ56 h.Jӎ~*btMMYP<{ PhQ쑓.rƭViJ/ZT@ĞZ.p[u<V{.sq69԰RzTQ1uÎHIzgTC}0L?L!Hɴ,%%lHKsG4QR\kJnӭKgTJZ]Y9ZKniEU;ke( :Y\xzjCemeKש7ۮ7sуa ݽ_,lD?~) (b{)d 8-5Q^E1 gyNc;}8wdI꺽W3([AS6黟СW%KhYP"ig0V (}!<#,|!x>§|s7_[]zQ>}߇7:4eL9eA>hd04#kI=91V.݋혤W%wG/I5/ZRGqq}=CL\j#Ĥzܳ L]dq]Zi z)o endstream endobj 9258 0 obj << /Annots [9259 0 R 9260 0 R 9261 0 R 9265 0 R] /BleedBox [0 0 612 792] /Contents [9266 0 R 9262 0 R 9263 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22958 9264 0 R >> >> /Type /Page >> endobj 9259 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063004-08'00') /Rect [218.8375 586.85 381.3955 597.85] /Subtype /Link /Type /Annot >> endobj 9260 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063004-08'00') /Rect [178.99 534.1807 396.03 544.1807] /Subtype /Link /Type /Annot >> endobj 9261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063004-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9262 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9263 0 obj << /Length 19 >> stream q /Iabc22958 Do Q endstream endobj 9264 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22959 20830 0 R /Gabc22960 20835 0 R >> /Font << /Fabc22961 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$\ endstream endobj 9265 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=786) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9266 0 obj << /Filter /FlateDecode /Length 4943 >> stream x\Io#IvǯLǾ >s*O2uĞsxm\\" I/~,Z]S|,vQ̪TeQ|%\syY|;"ygLRqtep,7ʀ*ڕ}JyJ <{I_=k8P|#ng;J\UìJ%hu%0Ծ\qh_F!u`0$<{'^5F`ܢ!n" ""5Il uoQZ4DuՑ.o, KI,̗*zYR^G1!&a A"71r2ί)'mw %=7')]m"}j<#q;|Y`2k"sXoGO j wl;N*LA;WW5+kgziPx˓]e^RL(QZ@i \$~?kmlja`,^JxK+-i^*ʀPk VL2_ʈq%PSK4k)ˆhX5/(&%TQO el^;+*Bvf"8xz_q6 añ%e_GidYuɬG&.Y`TTQeh͢/#>.BnkIʄQ7lo $͡L >)v4nS3v 6Nw(X 2ӔB21/wt].+;H^J @L/K!Uu8Ċt.聲W2 Z㨚l|cu%rSQeqc- =!C9z a09-?Nn'- ` U:R+˰10#  HwAA@UROȍNj 9"d52>bx4D`LŜk{3775mF.ƌ9'T^H¤}%phCb rx0\ R{fc['UJվ܌C2(5RqN 3^Я0FȰJrUn^KeVjTS̰Q^,((wvٴ!>pw?"ۚNs([ Ǟ`NƝТ+%bڌN_NTV\`RS5c_+݃[Q%[NeְD;E)EݸslրՄGfFl8ph0Hמg14is]nf;1`Vf]uXGu׬䱟6 `+"51uM@v=#F v\NE6!a2˰hh7cf`-<~2R>6LwE %!;@ ZM;n'VԠ~G}G #mڌ}?G!l "us60Mz3&Z@JR̐_$"Dskkܩf$[`o<`(j5B:HIE|gJK$so̔I1VocS%oo) _CjpOdOlkoujR\u zb !^nf~'`ڬ Cj =kHVTϡ.քkl;Wϊ-Y*GSiwZܸĜH*}B;w>~QVlSb0.Z1v鷂{AP^9U)0tVČ s~H>|Xs;u{(P?J}&f?ktjApI)u \I*_22ǴzN E{;45;D j,PDֲe-bj`7GIp7&?s*+9_*뻝^6q]_vF2pWj}jLU/Q=?wj2 j/qb$n!Y%y|jˢtMO׆}wr^+];ۇYk I= R'c5 $knkmėTn;ΤwQ ˢ&Z'aNZoD7f/MPޖA}xلR.wBJxHف1՜ł{ -í[qD a-Ё}?Ώ aɅYH‹QFtx1aC3^y2O1|\%6AAwNδ +qo{77]Ppkg/[e`}.heS}j:[tQEzO6N,p,1gطNeϻG1|-Hۭ¤`o:EM 3wSuG@ ײ̀c>Z+HKj7֤6VߺA)6]ٖ1l~|6ѯ?l҅6 X-?¢C!._<7tp v'(q^ABТloX @ԛ{aUx$DU/, ]q,C.ΜKw /f/wɎvqL-ñ0bxSpLu?(= )߱XaT5`aW\6pc:B؄;vtϚ5jWaߗBe:IM A7J3f 'WXIL YfdBڹ&;BD7`7S<#44@yZ$Gգ +g-`;hJ$V("|dMԿS9S +BƬtR3fbrͪH=)/EY?ੌsuWS&#J 5#^-ۻ¥<3|#N+GCx@ZOk]O>2!;k=%y"--z=#vu;j:'Q:g]dB;uv=/E2$1{[^ ΉTV6 AFj:1٦ە0X.F\w|˶[p;1ohKHsW7*$lq7!.H @b>j8' bIb޸FEIn? |d bV恠<{ pW:ufi,i]1s4_fG s!$#@gݓB^yB|]N_nI>!׼Hy dV]\?㽀 KZ _rtP9X]UxҚ'ձU)8x5,ƢV>J3qRb>CuT%> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22977 9286 0 R >> >> /Type /Page >> endobj 9268 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9269 0 obj [9268 0 R 9270 0 R 9271 0 R 9272 0 R 9273 0 R 9274 0 R 9275 0 R 9276 0 R 9277 0 R 9278 0 R 9279 0 R 9280 0 R 9281 0 R 9282 0 R 9283 0 R 9287 0 R] endobj 9270 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 451.5346 199.3617 462.5346] /Subtype /Link /Type /Annot >> endobj 9271 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 435.3346 208.8492 446.3346] /Subtype /Link /Type /Annot >> endobj 9272 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 419.1346 195.1267 430.1346] /Subtype /Link /Type /Annot >> endobj 9273 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 402.9345 198.9272 413.9345] /Subtype /Link /Type /Annot >> endobj 9274 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 386.7345 205.3787 397.7345] /Subtype /Link /Type /Annot >> endobj 9275 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 370.5345 214.8662 381.5345] /Subtype /Link /Type /Annot >> endobj 9276 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 354.3345 185.6062 365.3345] /Subtype /Link /Type /Annot >> endobj 9277 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 338.1345 195.0937 349.1345] /Subtype /Link /Type /Annot >> endobj 9278 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 321.9345 190.4132 332.9345] /Subtype /Link /Type /Annot >> endobj 9279 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 305.7345 199.9007 316.7345] /Subtype /Link /Type /Annot >> endobj 9280 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 289.5344 207.3807 300.5344] /Subtype /Link /Type /Annot >> endobj 9281 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 273.3344 216.8682 284.3344] /Subtype /Link /Type /Annot >> endobj 9282 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 257.1344 194.3402 268.1344] /Subtype /Link /Type /Annot >> endobj 9283 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 240.9344 203.8277 251.9344] /Subtype /Link /Type /Annot >> endobj 9284 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9285 0 obj << /Length 19 >> stream q /Iabc22977 Do Q endstream endobj 9286 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22978 20830 0 R /Gabc22979 20835 0 R >> /Font << /Fabc22980 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`bЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?T endstream endobj 9287 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=787) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9288 0 obj << /Filter /FlateDecode /Length 2502 >> stream xZKk$B%-6Fò'ٳHe㕏jukZ 5UQ#h [O/:],2u|y(}L ެN?vغ6Cz$׿ǿ\3k;c}5Ƹ~7"'WbmV'aзmC4ZV Q^c- ~NZ}qMuWԈ?o,6FZ" fݝЇa~Z)ⷽژ5m} _-Q)9@r ?ThZ*[e2W2P\<b3ȦQAͩ8Nc<$poG9Sɧ0Q[gh[1/Ą4 YڠpkM?;|"R:sC2y1^7t -vf|qrZ \l>`Yt׋S?oնZZ;6b4&wc[ > 9`JJRz+BgT$Fi7UNN C'],RFq`JNa'Abj((i)`þeŦ&Q?h4i)Ceknpl&M|h9Vj(ѕRl Wԣ*snB--XMm6u-o[ǿIjf]'Kz 5AYK=qP8.vYyJ)+s)0]tgt]({mԺ-!4ZLW+T~ZO4~a*F%(Ga7ϗ'ӑ  rkv_s!&fK l֘C[B,&7cbqtVWv{րL.hSÊT0X=kV˄~yuwh5/rքi;kEw3y50fgչ(3޵:k[zó]y:73ma7=|^*J~48$f&=aixi>Hyj86b0 x8lg/]Q4 @j.b>mqkȌ5=7)!^KQzî\^cH> ?7 7E}3y+63x3ui%*2B` Ri\B"URՌ`q%-E e52 ai,]&S5:ú42*(+%#(hآОY 3oHצ"bxG3&%uAv0k0c[V(bӷ4ԔFR}ی5RgUKYE o,4zW ?vTWӯO%g0:|[UYS1A?q9ϖDIND>|ߞgcy$OHw+mZNv   y堌;3 {RGWgNj BcFsk;=$*?N-̂"kN< ΉGiokrp^ *Iգ"s8x<'Bm&ߥ¬Oz4#אȦA /x| endstream endobj 9289 0 obj << /Annots 9291 0 R /BleedBox [0 0 612 792] /Contents [9298 0 R 9294 0 R 9295 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc22996 9296 0 R >> >> /Type /Page >> endobj 9290 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9291 0 obj [9290 0 R 9292 0 R 9293 0 R 9297 0 R] endobj 9292 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 286.325 137.278 297.325] /Subtype /Link /Type /Annot >> endobj 9293 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 286.325 175.096 297.325] /Subtype /Link /Type /Annot >> endobj 9294 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9295 0 obj << /Length 19 >> stream q /Iabc22996 Do Q endstream endobj 9296 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc22997 20830 0 R /Gabc22998 20835 0 R >> /Font << /Fabc22999 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwO3Rwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLOۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}k endstream endobj 9297 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=788) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9298 0 obj << /Filter /FlateDecode /Length 4741 >> stream x\K丑Wl |K Tfu S{džQeƋdPRVeU̩=N""M;RyunJqZVY鿮qnļOƇ[ZUZ|{lnʭݥ]w]Ł+x73}抓1Mv\`xH*(m4`:,I+W.piD5 < ς]E/k0!J|nvR'(KD,Ah3qF;b__, ;+= lNŎ̸tO@v{P QM DtNFw7_rRjnti"(lp[J61p|^_ .Ua\Ps orU>N5X] W7>&#tl"6sf-q]>h/Q"fM\| rк[4AE^,]-8=Z#=x)>@'@Hī4Yu7 ZX'߆%)*Юqѿ&a >]n+U\+X]=huh!F2S^IZ!O̅ /bLom☾CFMKI1@-cz aYŧ ^\-Wf&Bg{22:56uq>[h H %EŽ.Vf!}V YFq%TUta07cn10>20firR Z4Cmjv3Tu v"[X-aw2t0:~ Uy8Bhu=li1X]b_Ʊ>@QXQ-UCܵwzQWv NFa%v*DEKjU+O '(t/Qkp2#kpq2A22(;t@SExe]p2;pRdn,JbHUNbQt(}_S UӽoF's#:MQt?:mt>(: DwdCD'NFc88N<]2jꋧE9?W &[09͚Qp, oNՅ1pcᔇ'>͙<9~qj s..1d@eԹuMNÃǻd{V*i^_/-ߵf2zʛ~yt4&@P $f[_}wwe/z^oMg6|W#Hn$vD@pu/slFT\{m\Bz/J»S2 Vu$Pc9,G׼fߵ4x\Uvtɡ6"aEF$f [#3($Dwp7̳:Opה7' 4)MKGK3C^4@ 4\U(CHv6rY{f= .ghtCn;(t,eɀ.)4'-KRULຬmd|hꄻӮ` 'z<66@}ǃ(Fk <Y*I 30#?aR:$Wq޳QjNi]rN c9 lr؊TV*rJ㠳NC2+mYR28KURHnC:h֪{t+#huոEbh# ,XBˆIE7'<#g6_IS$O;)IƉ[8l֧=>pyѼ}`Y2c`@NHe;?ۧ|F1tGw{kU p2} 6j:ev n6w4rTMgck!=-thqD{xW>z7jD<:() N|"V-r׹A ęgϦQ%tϷvȋh&Gs`WAqvK8juC|l#nz.0xGM Hfc bt7qʫ2\ECZPqA)iFְ#5k B:n LŕCǰ ]zB;(ǜ'ړWz#g]SDF f >O-MqbA*ٕX|K+7Ӣ[5]Q6)>. = Fcl9չnQe ed4 `/c(0-lo8*kc#w6ćДP:|^s|qX8_OwhR|zOMGǽ_qǚk/K_օ}Sm@؜vHۮ9\asm~Pu ?\C:A꺔Wj5Xfѓb甝|B̋i \P 5ڪ>4_"qaup! q ӄ5/2pɻ+iCmMVڵt[6ǜ=ݜkf湕UܖܤvrxL3{M9dNaLKdSnDϒsmE9՗7ݐ6"8D2%rtt0H DN~ p=]D|7oJrIp#.θkQQse≼4yVK6Ga|+gj|}J;lU \.t6:_~CsqRMo|quNz킳?U@ztt<=;qfZ;Wxm9, .Cr$V֙&x]$l0sĩcs ZzOPqUΩJIF7TcS^xGBSh߱χ3"▲#-QaOK^Du0ɨRP^*d;Jw] K/6X/SM@Ϧ}csM&GKOM$/RSdC ӒԽ|\&G9q_:_*+ElކNۏ !RBQ{gه[L![HS}L-çUԜLSL?P?9kt.XiOW3  gRm uz#Tuűg^p.ez˟kϓ ^jIO=>(dT\*ۯL'*nA[{@#%?17(*qz\#+!|&{NI __pBLF}#ǓPL2C`l5|i endstream endobj 9299 0 obj << /Annots [9300 0 R 9301 0 R 9302 0 R 9306 0 R] /BleedBox [0 0 612 792] /Contents [9307 0 R 9303 0 R 9304 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23015 9305 0 R >> >> /Type /Page >> endobj 9300 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063005-08'00') /Rect [218.8375 466.5577 381.3955 477.5577] /Subtype /Link /Type /Annot >> endobj 9301 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063005-08'00') /Rect [178.99 413.8885 396.03 423.8885] /Subtype /Link /Type /Annot >> endobj 9302 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9303 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9304 0 obj << /Length 19 >> stream q /Iabc23015 Do Q endstream endobj 9305 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23016 20830 0 R /Gabc23017 20835 0 R >> /Font << /Fabc23018 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo1 endstream endobj 9306 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=789) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9307 0 obj << /Filter /FlateDecode /Length 4855 >> stream xڵɎ^_sѵ/@ 74K~?oZmf}#_HB_rO-.Q-IY,?\͢6UJ+W'/Rj$Rz-enB?=޿O_}{ZB's~], )z|d4 <6Ki.y2~ய@;&lڎu y n >?S9M}љם#N-'؊cؾ.Xe9Il0gW|'˼.ꮥ 6Uа6p6uvھu,b/h᪤hS5L"0" `0 '|Wh\lFHp+<;C[/TUk k '[u>LkPzᑔ|ԙ`)}4h!SleaVt^Dh0=Mx]WgJq<:TE/B.W8!V)֭^ px*kr;dHVQ:$Wxyt|j#H?QOdn0!n9 U }$? R)Y`S @aqg"L ɸ/ށE/ ѵY8LTKAUvaCc֔`2P%ӵ$,~$\| eR'IL֞2FUeഴ+R['ɲNA2}B3>iυHM~cAz):?a;S W[3YK|)Raj|7ӟQ>IaЀbuʛ+>:c^~&jױۏIq,A:"mߕvc´ D ,fEOy4Ya5~)ˍHսCVFh,D$:GIT8bR`I5u:Ro,eej{`z,jCCy9vrҺv Ĩ2 DU9t3]l7cIeOKϓ4d۬sfO2J$bAh7v &nE CV,8L|p;3D'c@sjTedwak+T 06Ѡs8AmeK0L |?ȊqUe~ gl|%a`OhP-!ϩCum$ 8":p:UBRh-mJN' \(=\UA53Cz vٹl"sf)\T8p誆SvъY'qåbϼ- '1P|V-&X!iG9|PqQt5+Y43jƟ#f I;P[`Pks/F7-abMJۍQ/5LI/;@&J}L^2rp4l=в#I>RBy0Tq] ܥ5xQ}l#wEVXC1r|vPwYⰋM~ME,ce2ǘKsZָ†x!פ:3<3RH<M zMP9Rht2|N?zf7*+$P5/Bci.g1F.6lwsjUv?h0ڇVĽc?c?{K{,`1Wˠ2 9n'A,fT61Wr a*9˫K RoND/f6^R k~9{Hn=Z_[}Bwv#ĊL xY7(;.uS> A;W;NǦUǖ;wϱ&9o u/U[I)A&uv@s!QLT Q ԍ7X2DëSh/N!J.}b꼝U`twL[>8dIX5LseRvi ؉A`U1L1ۜDkΥ%@[2kOA~8n%'Nᩚ>ˆXUDk̴82v5*  !Zu:{"}yBIӣTD`ʍ.t #' zt>GN](>^>牘S }Pfd3\GtHb)F#~1 u P%cshD ,LDiRaѹM<|?QUїVTV?ޙJkbgΕ61SUv˳l'vPc-Y+"a^Sw1ViLC~lSj ]rS| ժC Z6qzoGIO:Xê%UմGR*;tXOUZ-v1чXW^/,, XQXBGl~/ۆ )/6{}+ء]ho 1 Otg1&g[zJ6i򹩍U+'*9I6m5{lT؝|p\wiziz,׷=IݜĜz01o&J^b >6;aвy! U+BOJǬ c-UjS{n-#TKLj75Z* \iWG /f߉ބƧl6GkiͶњ^wVM)d?ކϠ"h_kOˏ@+HzTsFG@?^;{L.F^f7wˏ9Mwx6@|bXX,ߧ{Xܤy.|\4 "/IvA{3pA(2;)ܙzE9 }06ԅNKcV (eߖ>6MC.?G+Phu9A;i/PYCHNG54N*S@']O]E Q;}pȄ)Uы@c)ŮQgUX-amoO3L= zwMU45T2wiOspb'6Ͻdwq۴ùP>S.'0h'N >7 rmZ]yl} ×:7xnR6vtc5ͧ0 o˿ 3馃ȃyҘjp=L.xr`~y]-Wvή r;%-}*wڎ@|]S{gOOL/ Ӑ%qʏ"㬟TfĵSovXYQpq[nA5cwl JjOC@ .y7C-2s[q. QN񭍑m<5qݰNtڬK||-ZS|] Ʒ|8BLmBth+a|- {_3o4܎M{mos)IcfK_s1$T_>-~PʰEJr;r  z{₽DN )h8},}*^]ׇ\Or?{iSG p$-`pB+ @yLmކ7r^A?_1~B|H.y׷|/p~|"Pv{?ĂE虭^]@N4c+S!eti|^V{~~)5GeБ/#i,_F ly =ߞKL%F( H ^ endstream endobj 9308 0 obj << /Annots 9310 0 R /BleedBox [0 0 612 792] /Contents [9329 0 R 9325 0 R 9326 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23034 9327 0 R >> >> /Type /Page >> endobj 9309 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9310 0 obj [9309 0 R 9311 0 R 9312 0 R 9313 0 R 9314 0 R 9315 0 R 9316 0 R 9317 0 R 9318 0 R 9319 0 R 9320 0 R 9321 0 R 9322 0 R 9323 0 R 9324 0 R 9328 0 R] endobj 9311 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 347.7423 199.3617 358.7423] /Subtype /Link /Type /Annot >> endobj 9312 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 331.5423 208.8492 342.5423] /Subtype /Link /Type /Annot >> endobj 9313 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 315.3423 195.1267 326.3423] /Subtype /Link /Type /Annot >> endobj 9314 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 299.1423 189.4397 310.1423] /Subtype /Link /Type /Annot >> endobj 9315 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 282.9423 205.3787 293.9423] /Subtype /Link /Type /Annot >> endobj 9316 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 266.7422 214.8662 277.7422] /Subtype /Link /Type /Annot >> endobj 9317 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 250.5422 185.6062 261.5422] /Subtype /Link /Type /Annot >> endobj 9318 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 234.3422 195.0937 245.3422] /Subtype /Link /Type /Annot >> endobj 9319 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 218.1422 190.4132 229.1422] /Subtype /Link /Type /Annot >> endobj 9320 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 201.9422 199.9007 212.9422] /Subtype /Link /Type /Annot >> endobj 9321 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 185.7422 207.3807 196.7422] /Subtype /Link /Type /Annot >> endobj 9322 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 169.5422 216.8682 180.5422] /Subtype /Link /Type /Annot >> endobj 9323 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 153.3423 194.3402 164.3423] /Subtype /Link /Type /Annot >> endobj 9324 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 137.1423 203.8277 148.1423] /Subtype /Link /Type /Annot >> endobj 9325 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9326 0 obj << /Length 19 >> stream q /Iabc23034 Do Q endstream endobj 9327 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23035 20830 0 R /Gabc23036 20835 0 R >> /Font << /Fabc23037 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuX$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwo endstream endobj 9328 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=790) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9329 0 obj << /Filter /FlateDecode /Length 2945 >> stream xZIo#W<,Kȭ9 IO/6.UU[w;gݞ9^>sXZlҏ6@X"9Z0%{PǿQgjLg߉M@F&䈬-'kتxYk`Zi!qSK:ho嶶{ݠjsnJJlc On{=3hi&,A ɗh BҼmB1Nufc`3%dMvy#3rwu<%y`p tvDMA$ć{{e UTߵ55&˸0}4$.?0v vL(@k)!"}&؃G3{B&`.0  ?~tҚFbEnx(2ze'  O# JYH - YjI:4СAfr2kk,&'7;&h@9LAjN<34ʂ긟Csx#$NU`3vm7/(xW nN ;6?ؑj4lADNitnh|=4erP#d@CfFdehyL"q'UJZ32E_g%T~D ,{A_~%4]E؎Er`jMX^eE ,&la T!זZC?N9feOUv,LHTR뀳r4I[y{y=im1vh/pL84@nHJh`LϚzHN0?ƭ.@Q&l%xQӭb0-Kvh2$Ì۲|I2>&zM /Q%&Yjaɮ4* Bmlg\~WkٮQ-D<='槬ko\⮕;G Y4_-жmtK^jXMoɷ4jF<9s~bRgp2x:!d Y(b*81DE}t&3%-UTMOLw7ϣ?n|-єass{_Z>ވ>hluZuf ؓ* Ai^=`!Ы 9EM7.ZNH@2C^6xb_1f_x.#E?9Y8hi;xl|no<78:sJ/U^boɽ-lQ:M2::8}YasӍ?n^E0nL&4{}LMv* }o3'+6%Ji֢l,!kI&k/bc'c][1gE'g]X0?:( ?>/PSJM~YDlB[BzWIw~(rLK`@ɫd MF.ٖuuD5E=ii']/؋yߠļJX 9N&&6McaM%͜W̫-,;^:s[MI ?鿃]:M ?mN3zWq'H`@lsԱ!Q jPh[pgMLkYzj)n0) X/4H Ne$+%՗ >sZ$2m{oTY})5T5뱿: ]ge@ĿQs? 3bj^~8b7^s񁉨&Nۿ *`i، VYvXzeeZO=xE:HV|b{7v @j3W#@QN]~9) endstream endobj 9330 0 obj << /Annots 9332 0 R /BleedBox [0 0 612 792] /Contents [9339 0 R 9335 0 R 9336 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23053 9337 0 R >> >> /Type /Page >> endobj 9331 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9332 0 obj [9331 0 R 9333 0 R 9334 0 R 9338 0 R] endobj 9333 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 286.325 137.278 297.325] /Subtype /Link /Type /Annot >> endobj 9334 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 286.325 175.096 297.325] /Subtype /Link /Type /Annot >> endobj 9335 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9336 0 obj << /Length 19 >> stream q /Iabc23053 Do Q endstream endobj 9337 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23054 20830 0 R /Gabc23055 20835 0 R >> /Font << /Fabc23056 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ D endstream endobj 9338 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=791) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9339 0 obj << /Filter /FlateDecode /Length 4419 >> stream xڽ\͏亍9@9 4語z@n/`&yY,wy"%Q;L-Y(?RMjxȋ?u:=[2R砝0吲ߟ / ,f>;Y׮_}^;rՎr;;{߾e48o:O,M_fJ d.iVӿtt|%/˔M"2ߞu= 9S3ƯTpu Q/?kWЍ{yVte=ȿ K#@q-SJ΃^,E2e)OK)~_'mƲKE!A)ea^kF .0 Ma~Q޿;HAGX"a\6_l1,x?:5YWYw-i )z0/ X22 ;{(hPogZtX:#vmɌL!\? ),`J}AT;6JT@tc5dW[V" ?~?Oi{^:vI{rәoEs]>|\Ϣ3Q;1=Nf VGjΊhlܑ-E4rcCE(ͫ2XK=˃XEE.*]7wbkX2$ ݕBXED4hZmf*,dL|¥n~w{>e6ٸ*r2SEU*n[+'bڭ?3]n L'2FWV/EHa ]l[`:Z +~oTb (t.,Ji5ozWi7 >v*2'}0F.?DՈmf=}XI%F3olO YXcezPMq<0@:x/[XB /Ki<^вYօQ*CA-EуRgx=2 fAGsد4zC%իchԸATx9WX?\Se6OQMfvաW*eJLuz'z/ԢƍA[A-4ڽcxYϪl#jRkRkh:vcݵi*lbm֒0dSa cI &|d@c[h22Bh>ڂ^HzUƊn^KW;= SZYrÄYm߶E0$+%*Ω2+.*U'Mv)8RJ!{S깛 ^$^\5Wcǒf&KVZ!tJl~.6{'ʬQ 1h麪TPL]WR 8w7jTsXޯ$&c 5ƭ-5nm1U!HEu8Ԣ”lS+ѷY.9 XT=BT27v,i5,Z][R FjVI2qUӇ &ժVT8wJ0-PCbg@I"k@0ʥ UnP@)XҬPTG=@"F@BH;jn@ "S=>еح|t*'HCPꎍٛ (}_RWc~$Fb~(Fc~*23@[u_l@dA;sJ+ f *Bd.I50q/=RzIba )߂"um׹e[mG_S=8(0/ؐ]o SwcUys@hk,SZGjb^?윥ݕ̇{xŷ8!\SFS$KY߳bl} Sw5||F[dD7ŶWu;P>kWV3XQ|V6-0>'&i6`ge%N5;"mLbD%kOpk `^ 78~;6L4„ h.Z^-jˠMGm4`[ \r`h_^+b(&qܯ:RfB\„>Ke>D9"H [Td=,4{zc7:sO.\tW2L⪌BnQE%oHq+`nzjЦdP$*kW@ ᷺d _*Q[&b*F(ilI;ZG3fBUlԫ\x,LtcKjo`4] HQ݊}4=Rb|^C>bZ27eV_ޮƭ,ruuiJZA'ZgN8CskBGGzN'*C)>WܮcOtK+PT8t& *EK\%.1W8_p,ZKo\RŰSRKGTbbpƽ?&XƳį %Y\vnex1݋HvV*BT9k֕ J7|,܋u֯P\ee;.?HTxkzEՇJ& OPSdoUŵ_;{s_կp`i 8?jd0fbȻ~L{3~Qƾі &6n-xN%vөZZ3k']Ԓ|ᖖZ %0,D*Ju"eux2'}2kgQGʼsGN*!'ˍ~ fTlXpˌ*x0X$]Ń)C>JhM)m9QGs#~(T#=*vcT> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23072 9346 0 R >> >> /Type /Page >> endobj 9341 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063005-08'00') /Rect [218.8375 510.1576 381.3955 521.1576] /Subtype /Link /Type /Annot >> endobj 9342 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063005-08'00') /Rect [178.99 457.4885 396.03 467.4885] /Subtype /Link /Type /Annot >> endobj 9343 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9344 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9345 0 obj << /Length 19 >> stream q /Iabc23072 Do Q endstream endobj 9346 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23073 20830 0 R /Gabc23074 20835 0 R >> /Font << /Fabc23075 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9348 0 obj << /Filter /FlateDecode /Length 4878 >> stream x<Ɏȕw~}RR(çtt]~K$RVv7T /^} jmUO'$~u-^_˗vUjsjҬJ1_׿VJ^V+|*?X%3\&~Y**{0.w{`Ft1ܻ`_/K2BkxWE_Vz'S%˪LP%Y&fc^͛ !DŔdτ uf`̌&(+FE|($y D3 F$0ЂĘ∢{yG_VempU:䄓6i+\`LRB;v52W˴ s41FާH[?q\<6xoy#olq5eak,@f{.=,XiDJ)22sd)-M],% 鬫+SjQ6KY2bd`#ʾm,M;H BW.* z(E 顭IP@'2›! IKPY٢LWqi!|w *`UD*tS: Ĉ*˗)(mSB'%>kOiI/|P#2 $,*wZH2"V"{c\nI@/([a59HXso0'6 k[Qȏb˵BBYK˖I?ˋ]@)F؈J}G>R[yB:WW}Q׳x6ut_y'bvenp;YRQ y,ldog4"|b\G?$$p7&R.U!#< jӨNb+"0GrH&x{R4iߑMY0́zNC̱uKLm(285P9 BeLLn;ۉ} w+׷ˢimȓqʪۅu5:+bZ"~Wꔻ5r_ @Xc\up5ΪJ0)Lk)bE Y),S~h 275;u#F&#}ndQ DF/V5' ^7 5b5tΥ8sC_=r[Ь X'YjdYe.Y! Y8aHܖw/i-k$jtw[xJE =KĄnӽaS㕺j[&&ک.<.JeEv|l9 SDR0V4D>Z"}*]қEH1Q )'"0V"W(~ R œw a2ݧkEw(G ^hj*Cݦ$o*[Ey\ՠ_ʟZyB:)a(Qʋgkw*$lr˂3De,E(P^Z`_9/mrϦVrRSN0f*Bwp/:M8S0M$CCڇiœ)*pbH,k'͠ esr_ |r2=l=^^)a勻>XmlŽcCFo-M(lȤ׸vMӉt`7rjy9x!;e2SNZQ*titגPAH۴j/A7 TҵNT &QMr ɹyҲs;UV7'06[, DR9Jl\|}@*m)`KEEW48cۘM>u TrΓSVcpƸ^"$[K'Unj Etf5Q!TԵmjNԗ}wlzȿ]ϒ5MuOP&C\ѕARkD LeXLR ,o0*fڶSA5z/O*,} c7"چ59AO5-M,?Y蛴sms#JXҎmd@ԓQ$1uR X{+k-^7rL`Wm~>:#/$LyaY!S:ȋH~In c ^8NNZ C`&m=jO$ᖩ !Q`Z8R[nνORu^U$ݗYHRRԘbK/-ѻ$ 姚ڭqJQik ^2$b^qDk]z#s[dyrJM׸\nr9T) QȺgy ;T9m7*: , Sdh/Z;e/6罢zieS}4ouŁ(Gg} 3X9*cp!<Sʣ k;mj{E><f$@`n]0f,]W7nzNCN|ewI;oe]..$c;%L^ԧ'.6VŨdHnD&rDg0úfH>j7+wv߈GYJ9_YNؐZVh] w,Q +K _-'iȩ7,X=A٢ŧsߐ '<9gVw/u`cbb6Ge7`> EYk2Y'dY]19@ߤW+w𽐲^G{A𭝩~5- jNtP!m-^uno;S<{sT\>NӒϞG=K,Ijw䭝b5*ͺdHjEKSc&y$g1 9 }XfOG4:T#כY7 ubS>ӵN 'ugO^MfU].W&N5AryK&} YދCG'G:ew b!BTc|Ŧ~֚w^A&W8WCB/uU,:TzRU \>ޫHOΨR\g9܍1ҲV[h>Ck S:49D*FW7]l[)rQږ?ͱњ^wמRfۗ7ou x￀_\2c^?a _ ]}>BZ]t}?/Zw>ޙ\5wW mp<;z_b t˺g~P֮c7:YN ;"!ϓaI8DčWmDBȝɌ4e*.]xe0Sq/D`_A`89'dЦ W˿_Pv94A;aH#BHNGzjtN6 luv`6Ĝ"g3H@c)௫ bxxN1 tķgЍ]`&g X3 z!@dD]FPX X"rUZ/Ekz5g¿jr*0]W B,Du%(?6_Xw5K4xI< D\X2aؾ^Pp[Fn*i(HWxQĵͺz<2!;aI^~-y^)O|ksd[8nC^ -ݨaVu)/tg]?2 X YOe[[1-m, tlM+XЃ.|ͺSp;1OK1<6GnUHXAoC2BE:c!HgՍaʺuM x+KB}<',d@a4}g V.xg-h!:s yh% KZFByEWF.Ww,,%{O kޤѼ 3me8 8@ooB#~ýA0A5g+rb3AuuRfX^i%޽_t\*繞'IG`䟍e8WЯme+_A葌@S'J,>\!SgvR/e6_ endstream endobj 9349 0 obj << /Annots 9351 0 R /BleedBox [0 0 612 792] /Contents [9367 0 R 9363 0 R 9364 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23091 9365 0 R >> >> /Type /Page >> endobj 9350 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9351 0 obj [9350 0 R 9352 0 R 9353 0 R 9354 0 R 9355 0 R 9356 0 R 9357 0 R 9358 0 R 9359 0 R 9360 0 R 9361 0 R 9362 0 R 9366 0 R] endobj 9352 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 347.7423 195.1267 358.7423] /Subtype /Link /Type /Annot >> endobj 9353 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 331.5423 180.2547 342.5423] /Subtype /Link /Type /Annot >> endobj 9354 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 315.3423 179.0062 326.3423] /Subtype /Link /Type /Annot >> endobj 9355 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [104.1732 299.1423 209.6797 310.1423] /Subtype /Link /Type /Annot >> endobj 9356 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [104.1732 282.9423 177.4827 293.9423] /Subtype /Link /Type /Annot >> endobj 9357 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 266.7422 190.3747 277.7422] /Subtype /Link /Type /Annot >> endobj 9358 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 250.5422 184.7812 261.5422] /Subtype /Link /Type /Annot >> endobj 9359 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [104.1732 234.3422 179.1767 245.3422] /Subtype /Link /Type /Annot >> endobj 9360 0 obj << /A << /D (unique_288) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_rxs) /M (D:20211013063105-08'00') /Rect [104.1732 218.1422 177.3012 229.1422] /Subtype /Link /Type /Annot >> endobj 9361 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 201.9422 177.7632 212.9422] /Subtype /Link /Type /Annot >> endobj 9362 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 185.7422 180.9312 196.7422] /Subtype /Link /Type /Annot >> endobj 9363 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9364 0 obj << /Length 19 >> stream q /Iabc23091 Do Q endstream endobj 9365 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23092 20830 0 R /Gabc23093 20835 0 R >> /Font << /Fabc23094 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lMH endstream endobj 9366 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=793) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9367 0 obj << /Filter /FlateDecode /Length 2913 >> stream xZI篈@c_hE}M0'5ƴ bˮEȌx-weԗo=*U,>2?ˣW֪cOxjt4Q=}S1AG`w1367W jP QwOpIddȚ|1V=l0Bo4]+-$nqja8®߄۪n"W'_TTlJyPt$yFb$̫4o9m2[5:3ř2dljv:ٴrwۺ<9ydp tvDMA(LJy5W]]CxI!F :VϿ jğYQ]9s<}bDD1{thfxoXE&cgAB=G14<*rcDæ6YyDM\MPBRםo5M5W'U@fX[Nȅۮ!hssFe?9 `n9e5l.\* qv3'%qx!,=ׇLnC\_X#zE&zMڕ/&Yj1aɮ8^z63,xial(ԌfSߓ)+uD|8;)kQ'AAz>[oh[6tzz7_j2VjzKmѦxS3șm]d<ȇ)d͇Z졈ŲwDw㼌!"|/ձ)orjzg2>9o^GJu*Aasw{+^c-(c;lEfʥF#Dž;ч>t-U;l9=Ό<*̼V(ι&߬_`Rjn ڃӥZwxB_)d'@9fHi d9UrgD(; -')k3B_LtWb+z0Iaf8:*,w`slnt13׏oԫs!5uUF58R/k( -8n,=i)K ^UuJc] ,LpQp`3rp%xϩt D6#g*snKYSGF|qHf>/+^KǑʏ}܍Y"8t4j5y+P U7Yg_֛A01S*6G{I .=&v)=3 %0wEƂӤX82x_Lt+7ƹ+9Y"|_:uFd.XT|<18i,zTRe m\C ti1mdz^?UhM79DN}r jCfl}ean-L/(${F4bMy 5TdUجLGl[W׮'ew,w, Fs< PAeNJ-~,x@.n"n^Dy6N<Ѝ{D|D"ӹB9X6cUNKYG }OHT;*[4B5Nc;_FЩ!N 6H/ơqGtrGF :e endstream endobj 9368 0 obj << /Annots 9370 0 R /BleedBox [0 0 612 792] /Contents [9377 0 R 9373 0 R 9374 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23110 9375 0 R >> >> /Type /Page >> endobj 9369 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9370 0 obj [9369 0 R 9371 0 R 9372 0 R 9376 0 R] endobj 9371 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 9372 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 9373 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9374 0 obj << /Length 19 >> stream q /Iabc23110 Do Q endstream endobj 9375 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23111 20830 0 R /Gabc23112 20835 0 R >> /Font << /Fabc23113 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo= endstream endobj 9376 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=794) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9377 0 obj << /Filter /FlateDecode /Length 4744 >> stream x\K$Wl z ̬ ؃S{NjEݗK+/)BE#C~Z*w˜!Kɨ1K%o'oEff+>ߟr/`rg;iS?}Y?޵ tOOyU||}_sk#ߥWh:$"RGjRVF_F4 _I:VQ:6LJOӬ|z},\fT05O˳f{ R}. ogi9%0CL*ꔀf@%폵DmBvʴUpꕊGzfLOb!Yi<eU/5'VjrɧT'Fyk40 Gm{_6)9ܮZi6A~o۟䯨I ÀQqJOٙE`5ݗ/ȷohGw ΁Dpp-, sѼu,B ^(Ɠ{-=pƀVh7_>j~!ʁ Vˬ/:;ea+"ZQ2,nEq+3(v1z\m#X\?/IѿC$S1);%!ߕ4r?g0d he&pG9z;o=Q4鴮ÞePK^n+r <&%e9M#qxw8Zt v˭/B{>:^PUGDa" }&:Ys.מ'vURlNvq%(rϝCPCOʼnFlE0HȣDfVx9m^}ga;6mh& Z ,X: ;%ٗW'`=_utxbOc ⽽Rj|os=?-v"u[;#Ts^EL gr} n8Fzo;X!j n=^2YG2d A1bz%7Y oB|7Ko#c;M|A.O q A|8 %yw+ȴt"JSAi,#U EC.a?Ȁh*c53>$@"0LzX$vSq(ZVz9HZLÖ 8,e:!1!:*S^㉳>25/3=lN.7%lTP@m=ZAV1UKU4D4,`\p޺13,f%.{G5 %Pӭ-FNVsA{Liaɾ7&t~W}7 B Y`ޣe8S[dVhĶ΢/\9cܔإ aO ]@ DiLe"WbXqO`5]Q:'ZjlJfBZUдkV]W6 b@73ؐNW̯ZP$n|j|N7ʱy.leނ_weEܓ)uQmEwhvQmDwD:2;ǭ$C;U1-$2SGobN©Vtr}YjU NNm?'g؉N;؊NXF'u8}&:}NwD'':upAIt1IY'~'ӍixxzOGtpZAA؇!ZAHnb j CXBI݄X1%7~904 7p$?Blרk{G3bHl}xC[t l:G$>?7n  EbЃ Яd.K0XinJZM\́\:򦁲,w+jX*r\g6 &tx0@ns,K걠* ~CW#)h8.cO^{3q^hzԫE}-Q$4}(W͓To[ ;AS*͢ʯVvsh?W׉dΫa2b!oC]3"?p 놙v=Ϣhp զuo4G. ^_߶ 9\HVQb-ڲB.p$9Ģandt%E2uTN /ri}g,-TSuCͭhqb\MHZ.I>%2 ܵ&iVщ!>BG{o] tRtO x~ 6AF.tS!gc d r *-YƝ}ؗji"v/.TNP!!Fqmxkp&h? HZwAOͽ'yl(jQ~FI;-kt9_Moz-&3v]a~1Lzw}5g7k6Dn;~4W60zsnL@Kh)B> ՂΒO+XFg\E6l6 qѼcaczȃ)Wo-V=_[]b%Rt .6bd{^#{/B/S]_pwuteExl#b 4+G#挓rE|@0׋.ٕ99agTds)6[%,|d՜|ab8᥋޷f e:ue9]`\]<Cc8x* b;X;ht`9ɥ61e6tV&bK;(130:cym1^] y8ErHڡx'a,JiyJx<&'*!ɛJ&qa1`>qBԗwWӜ~Ihpji#]4Ҟ 0Na svIsY͹Xs.'>0/O0OowqUm.-6M7=Q3'F␰BV4SfS=|y @4$.˴sO\F1uIWf6’8PC*2!\uC]LtTA<@`&79 qPYL8,OvEtiȉi HRs%>{>q[ lZN v`M'5֤5T \ygyo/h./ts(yK73BWqBGGGN}IrE&5YUI?P4;o;sxXA9聞Iyw.30YNRbȡKèͼI{18)^4#ʕ۠{Cۮlzs_RQ!u Y0X$]Fx 6u8V7M$j=ogS~'=Q!Ύ bwyi5Be 7&LN0:^Y uY endstream endobj 9378 0 obj << /Annots [9379 0 R 9380 0 R 9381 0 R 9385 0 R] /BleedBox [0 0 612 792] /Contents [9386 0 R 9382 0 R 9383 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23129 9384 0 R >> >> /Type /Page >> endobj 9379 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063005-08'00') /Rect [205.8685 524.3769 368.4265 535.3769] /Subtype /Link /Type /Annot >> endobj 9380 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063005-08'00') /Rect [178.99 475.4269 396.03 485.4269] /Subtype /Link /Type /Annot >> endobj 9381 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9382 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9383 0 obj << /Length 19 >> stream q /Iabc23129 Do Q endstream endobj 9384 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23130 20830 0 R /Gabc23131 20835 0 R >> /Font << /Fabc23132 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7|:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭ#x#0$IԢxy /sYsshf^2 GsB&s.S0Erձ*x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9386 0 obj << /Filter /FlateDecode /Length 5882 >> stream x<ˎ9r;"|&-R3d0߫\=ᗏjǚ՚5H$ߗ˗J~>&^؂Z_X,2BXZm^w-^_ Dž1ڂί_,aUH~o0EoA І{7h?a !zS z\8*$(J=zᒤ/,=<"w! &7Y<񛳊%$BAGaT|xFNx /PxY= L2=_jBآ1J?e} ew״|80V-,/ aU"@@ ش:C9jw!z&w_zov R%dQHyZ Dn6t0B"Lyr&~m?^Zn\| ĥlbddfoMoˤowdm:eIgн,&&ju|lτԯiTx ?xזL&d*:&){B {16y"[plVƝ@) Z ;!Bl%<0I8pw$#f-RD4mG,v~Ø $5LHt' a{Xv"> N <FX¾~N%tE)@].}>H-#G|?~QY8J IkkS#EDE1n=.f2pid4#Z%xTZ.gd+wO"'QؽBd<#Q/T Zdq)8}/K,bS"+kSX0$0*o no yFJ̓lSE_R]U}I;6|O.}˓vuf<\2΢6e̤1z(/ L|:*[˸ vAAYMvsQDz!D" TNf/ 053O,)֢>ڑi '-Hƶ<=fve/Nl"Hvf2zi5\[6DoQN@<0"Vx(*y[g,b9iks{s+.<<)-?BJ[$w IgKy %Sdee$сb\5BjfHQ(j-3kZ||ЬlG KynjC$|v`6LWoٷ>ZQ0~[fYl~kwuLl|խ!=%{րٙXTx ,JE1.ҁemIxkӤF(cʇ('"I0|Dw[~V A뒢PzCqd^D ->P@(4Mt$'{T\;/JMdd2fͰ (}Kӏ";_K|j ynYX~hC2={-gb?&*͑w[ec:ߢ[T$® ^B1Gb`N&.,w<<)r {)Fshs] f 8<}6|5=N{]NI1 OQ˙4]2d鑰)Μinf9pku =kir\Z*@cR!l9W48Tmk1XREU$`\$`vS@ B]ucKު0 {l_fDzv3Ngh؍bI؍oōs7j>Il7 ߳w[kf<*vׁ&G) ˕Ǯ= U}2˨LQ,'`z;$9rIXJse%Ax#jŘcȷn-+I@VBhlf]i#."$s4Dk)z5:i*9Cv^}Wc*B^.*SxJ>](TW? b?Y]JyG5|'2CEⰬ鼌Igc^IQܢZ]*J݇k,kl9GMn.صj`(hc5 ~iX cQZ:KևQok}Zǽ!ok}Zǽ ok}Z֧喷%r"cwnC,?(XE Vע-At12#8Ί<ϳd͂0*ݦ<*ZxS?}bL/ڋ7on'4 s\eR~'l)HJ~ooUM{h QT.iװ׮O\(h΃y:"_Bc$n|H>ܱ{d ILvW r80ڵM,R@~FCπQ%|1,۹w-VnN Cz1h\"A ]jҬ?a|˜k"7NY Z.)N ]6BmR<Mf_zƮ}ޜ9`~?37I|D%h*=:4$WtPUYV q𔧯G&^~)ţ9IG9oijN%\E{R>]ahr%x{ xϔȾ3axʀ;(¹V g=u%}%v ,Պu5AO9"Wtx[u/Kvģpezk*b1B`Ztcb<8W%٥ ͛.̤z tEDk}w?{IXxYOnlrq$ sȖ.r~5ufjeOzEk~|r?xZͺFoy˟SP_X7CK;5VK^#:t+Sg-(E ,M!B(Kk\xx;tٲ+MsG_2K>P_@n59cEqg݌ԵHt3/쥷 AVggP(;b@,A,ݑCvO 1G 2Fj&}Tfo2~7&1wRWa[<9m f=.W&J8ÄIX3l:8՛Ѫ@F3eL @Y'UNh)glМJt6ɱͧMT` 4>01D%ʦL_׌ &ktXߥ?2n)ْέ|ǐ/{ׇ!o_FOz&qcxSp>)O%-ϱwEM&F%rt@7v&'-eNb3Mw`YQOJ^N琡"UTOUF` f|"w7G0P'G( t$mxwfSRj4;sDT/ >g rBlmZf W TQķ!YK2^r}7o-@*VI`W*˴5㔯I~Wސc>+R!##njS3{BF>Ri8GĹ #HS-$7n. ͲX♩0U[)L/i=jzM_ҞmD,Z3NO&' .MBVe*Q3mi_K票 &p_i/6.ud$2Ol]p:Zo{nʼYS63ץk슋+/\B2F5x MSSz5;VhʷwrA.b i+"RUzu6>ۤgz}63\]鞡 'UU{N 2r$-`` Ո@7LhX:(L++嗏+| F#|__J*-)ZŸ]{'-onlv9`_ '7!${lGN?Ѩ6J|y;j6fr<+͔V/rqyC: wmxZg6i+=_# 8sPi$A_` endstream endobj 9387 0 obj << /Annots 9389 0 R /BleedBox [0 0 612 792] /Contents [9398 0 R 9394 0 R 9395 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23148 9396 0 R >> >> /Type /Page >> endobj 9388 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9389 0 obj [9388 0 R 9390 0 R 9391 0 R 9392 0 R 9393 0 R 9397 0 R] endobj 9390 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 397.7461 195.1267 408.7461] /Subtype /Link /Type /Annot >> endobj 9391 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 377.5461 192.6352 388.5461] /Subtype /Link /Type /Annot >> endobj 9392 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_softmc) /M (D:20211013063105-08'00') /Rect [104.1732 357.3461 195.6052 368.3461] /Subtype /Link /Type /Annot >> endobj 9393 0 obj << /A << /D (unique_329) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_softmc) /M (D:20211013063105-08'00') /Rect [104.1732 337.1461 191.6672 348.1461] /Subtype /Link /Type /Annot >> endobj 9394 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9395 0 obj << /Length 19 >> stream q /Iabc23148 Do Q endstream endobj 9396 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23149 20830 0 R /Gabc23150 20835 0 R >> /Font << /Fabc23151 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?A endstream endobj 9397 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=796) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9398 0 obj << /Filter /FlateDecode /Length 2714 >> stream xZIׯ@s_~40̩m12߱V$zQ_QJŪWU ^eS|c9< |3s⦵SFoA&fa1h}0>='\JtVO:[EYVdU7 6Ã*N!t)Z3<'q>yXl߇S}b04x2puexaRpa:j}N>.ϴ"xK W[W:^ɜTNȜȏuKyD"+wSog^9@[(Ī_1"1]$ݤI;UB<ԡ4P1.oPb]H>٣2IkPsaU34i=c_D /ji7{^#D)w}r5\[5{=*0n{KhbB29[_J )EiT [!z: 12Ŀme/OUvE5rj$=ߚi4IʙtxXn(܃7 #C dfOoA2 $[>ǩBm1/+kaK\J-j%|HzI쁇G# &fn;ܩnNỏ@klcnBwZ9ˏ]ؿT͑emf#!S+yķHݤK5 Qsfٚj[h\5X߆MaЬqc 8&9: T\b)0y7״ӹ R~5Fق+G^$u:ʜ\R%{O~Kiw^x6d vrV`'^R9TB΀c\/1"rn}B#m͕P*WT™7ɩFjS!k, пp1rnj9ftgh6 TFBǧ^ 텵້=$6pK.6# }7C,t'VT9\JAgar8 l#`H̯i-Q#/K#FV1$+MP{^6ɤ,LB%68cYh7Je+xЫ%:iU|0>? 9)V^ᜉ|_>>8aBNryCQ!mh* 3VdVhmX`r+^ΑW+]Rr3%^ Rbjeq: 72K45T 5'L:A` O0@G%@ iߤ>@8PB@Bl@eDo@]*PMcoY /Z_h+&'Hzdwa7o@3'vRl|辯ԏ;˳Z;6+~ \{| < @N6 ?*G 6Ӫi0[}qd0WL!;֟ xZ [q؊-x=hO:ŅF[Z^zQi ;&e葰@}.!g04B!р&*:O$}Ηkջ9O/̫`WM3?`wަ Iڑh Gx-/|㖰^~v;vC ѫUCؗC {ݖ-fqEg% ݔHJx}L" =Vh{2LLCc\-y,$ъߋ5enf y |t9BݒB(=ɍ<~Ǚ[̞~.^V3KG${^F h);3XMJH'Z6PfgI刞X%VxT}xʧlNp*l0sĩaTYȷx"u:mhIN3iJ/WIxȘ)Ttyf`j_. 3-QSPϗ3Kߣx=&|G3a;wRz>#mgf!G6!43k/n".^v+ foBRn 䍒Ӵd$m.6D9AMg{lyn3x=Ѳ|oMsOOITKUtY40BLN~瓏FЩ+>N5^ZEgk` endstream endobj 9399 0 obj << /Annots 9401 0 R /BleedBox [0 0 612 792] /Contents [9407 0 R 9403 0 R 9404 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23167 9405 0 R >> >> /Type /Page >> endobj 9400 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9401 0 obj [9400 0 R 9402 0 R 9406 0 R] endobj 9402 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 369.525 137.278 380.525] /Subtype /Link /Type /Annot >> endobj 9403 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9404 0 obj << /Length 19 >> stream q /Iabc23167 Do Q endstream endobj 9405 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23168 20830 0 R /Gabc23169 20835 0 R >> /Font << /Fabc23170 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫Bӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9407 0 obj << /Filter /FlateDecode /Length 4116 >> stream x[Ko$Wl`:| ,H#Y M ^S/{WAuU,VW,6IM[m 8EѬ͚ &}3WEd,r*T^D-GKXTg5jݭ[J{d36GBoCҦm,3 iqg˘l-t\v$f`Ճb.F8}w/\o!nZw N{-uZxO_ -%|x ;,I~s7-qGNё~SwyE C Z1?:`b7mۖk)ذnK, Th5R[.c/?l;Q كgЫ_'=Y.=Ϻ uk| Mܩpf뒠%x/pD۾ݮ۵ym$ sƒy;x 8V 5Tf<#4kCsrrP[CTI>{55D({+|fyrekg['>QMx<Y~AjB$rdجw<k!1eG8AVػӇ--5\aUc.q$ m g(2xOBRcþgZ|8sBdPv8iizm}gcVΚ8,PW 2O#vEEDc;0ޙ0*Ʈ-FxD~ӒX"~a'_ ,V"c\1GY: Bc {  R\/2DM`ѪO܅nt^Й*>1|v>ObTnjNFUdͨ ۅ3v|J[҉R6\ƴUq(M y6QYn*d/vsV6;Cpp*BȶN>!6rC"x%w*hK%jM\(Y)%&+R[PT3(R\ xb+~!Oa)dq~`ÙmJ(r݉JZH;_vM]'ns2y̹so|$>1?<2U3e)Wiw{ R( wQ v_ CGLӒt]b->Iw6!' ~o&C[O:HGY_JMo28R\T}l 5nMvzPT18Wf<>ٶ0]#3+ؼ\!NB82lv>Ȇk(ŘpMKqc bP\>dwLC4l5o֎![o\Yc\xC?\0>tP.BJ޳r1pO{ey՛)ÍVyߘnV;DT:.q: - ~+x~`!^eRQ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23186 9412 0 R >> >> /Type /Page >> endobj 9409 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9410 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9411 0 obj << /Length 19 >> stream q /Iabc23186 Do Q endstream endobj 9412 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23187 20830 0 R /Gabc23188 20835 0 R >> /Font << /Fabc23189 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9414 0 obj << /Filter /FlateDecode /Length 4906 >> stream xˎ_~#id7 40fx/ԫ(Fk-MvuuL𒊝^LLO`_SOӏg73}܇8k z:L54O^t:Oֶ?sl?Opz s&/wqs>icV@W}/0I;[w8 qNaǞqri*{7ga?Z; LeŨs(JXc\M@ OaNK'rƟp /IWD83(\゜.h= n0WI#hց1{ҳs I^~|E| O7?н2Vj퉱jOaQ*Y[u 7I_Wl+>D%)M(ϑF ;ѮZ7'& SQ΅hZx>Ok弳a6ł-8E{!݀D%i{=;ZT/zDOnƻ5G]S_ZL,&hz|RNz.>Do=5(4a_=}aBH4 ]$t\Je*v)`ٗ4Wh=;KPcP̿7<R;v^Gug&ƵE~bhYw/ <(';GѭsO@=#m@N<ʎv蹍Nb]ʳ3pہ%aP}w[3M \b>n/cm % ^:A+k:M Nխm2Ȉ=IL_f3ضzT KO޼f@\y(fȸgvC{%,kO܄.!Kn vFlz&"̾(:k q^S+ 9% p P7YLA.ɟ GC"h! ;F'<rhyq fg-t.Y۠p$@3GExN,nD,,ZBqF 4.젰6y4 `,Ën!չh"&W4!j^ EP*9O^VI~@Ld}:56hB;9 oz+v) ];tw5va֙Ή$os@ xier@{0K;(JD"g RF=L<4Scύ p@8"]`TXi2#Mx?V =l` %. ŀM0+:>n,y^ SEG|/3X((_HbY +Jta-3tZ~TC#2s ufKA  o=`T}?e*KZ-8YGR@%AJvx MPx!2;bpJ } )x^3eFF.ס3pԝ)"ʃEziQ$q%2>aҡWpwH0 ,G!%T wDQEaEB96:՞7KKȐy CiBi(lǒƉZ>zÈ8#y{47 {gjÈD<7[Zp@G H#c(TF 쎷])y/cж "0Eq@r xc{ FAEɴ(m:m8{ }6tύr#YS&đ?}e`=1]/3ck0Ӱbi>\;8- ha2ѸYb$iAAf9Li~A'rBct--6!Up@r`Brha2L:5Hۈ.z qV>8;Yok y2y鹐䀒jAINޛ X]IEIsG1ΈZ3ʹUniax|tږHȄ9VT;rճ-*^t-Җ<=tgƙ=^ tC bLK1 :sOZ~|IiJt-)?o_:&h!cɣ*4&v:nLe uCYA^ng~Wm̐땒[=-2kwDnSlll 6v0PTmEY=gcTa m7q8QztK#hq8|Ftմ-[n8/['N;sZoC rdwzW>ZcPldLB>\pe 9~!4xUlc᭸dsv&mۍv<4%=)r5hQʆͮ66{6Gd64%T\dNJȧuC? ZyUmE֖@x3W_p05I9jLJ<ޱCk?`Y>9 sxI9Fğk;pA(H:FCv][yKJ}Pu].=e7[uĐXf?WR.(1F(k Ňx )1D/Xl!uށٝK/ x DG~#uaVZli~Z,LJŅ^T2|/bd$-jܫoI؛uUl~QϥM.[ t*m+1tOF Iwg d / \$P[reI@V!!.k1{B6_(u/5cGIU[L1¤s1YS+\c^HNWݜoUpo}PhΘr᤾|FfD5bT́(xxi;{ea㶷wQYɅZsq4=~9|? GBCM.ZJx7 IvښoۖZ%+T7#_8pOʌqB`I[QU',cuVǬ5TV:PV0\M&e) TŹ&#՗"n`h^ы!Yn~z]O߀52l˲T9Wnk5W,J|[(rPI1# JL<z*w07vv3Ϲ3ʡ >|{p+qKok oz* y,<BpdO~ $,/j p lQAQw-bKC<8Qy6|c%j=U\bGWlbegW:{ܟ X< !/ֺO&3>癏 HF+>M5FG=g3h!K j H} endstream endobj 9415 0 obj << /Annots 9417 0 R /BleedBox [0 0 612 792] /Contents [9431 0 R 9427 0 R 9428 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23205 9429 0 R >> >> /Type /Page >> endobj 9416 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9417 0 obj [9416 0 R 9418 0 R 9419 0 R 9420 0 R 9421 0 R 9422 0 R 9423 0 R 9424 0 R 9425 0 R 9426 0 R 9430 0 R] endobj 9418 0 obj << /A << /D (unique_239) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sysmon) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 201.5122 686.7] /Subtype /Link /Type /Annot >> endobj 9419 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 197.6017 670.5] /Subtype /Link /Type /Annot >> endobj 9420 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 195.1267 654.3] /Subtype /Link /Type /Annot >> endobj 9421 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 180.2547 638.1] /Subtype /Link /Type /Annot >> endobj 9422 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 185.5512 621.9] /Subtype /Link /Type /Annot >> endobj 9423 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 182.0037 605.7] /Subtype /Link /Type /Annot >> endobj 9424 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sysmon) /M (D:20211013063105-08'00') /Rect [104.1732 578.5 199.3177 589.5] /Subtype /Link /Type /Annot >> endobj 9425 0 obj << /A << /D (unique_346) /S /GoTo >> /Border [0 0 0] /Contents (set_hw_sysmon_reg) /M (D:20211013063105-08'00') /Rect [104.1732 562.3 200.2747 573.3] /Subtype /Link /Type /Annot >> endobj 9426 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 546.1 165.4707 557.1] /Subtype /Link /Type /Annot >> endobj 9427 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9428 0 obj << /Length 19 >> stream q /Iabc23205 Do Q endstream endobj 9429 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23206 20830 0 R /Gabc23207 20835 0 R >> /Font << /Fabc23208 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd>3hf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 9430 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=799) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9431 0 obj << /Filter /FlateDecode /Length 1403 >> stream xڭXn#7+x `!'RIYrFA˫W dWҼ~zѽuudǨmjڠ55lmTZ~Gx~6A{;}b(Zrǯw4y}.+HǯwDw;ݠ΀RsA[z)\2{K98a_W3&Rg,KRH93._[U~ ?5~MkDIQ06Xy+1JҰ۟_?USJKҨI،!ykRvj`爠؍X2^)8*VٖÁ/:TS 28I Oeb]P 2ѭЊݥ C2Wf>O9yyliW t2fL5 н4|egqh,,U9zwHt}W(ذX-1\pX/`gl6'_O/5[,]HiN? .1?x g4ZnT&\/E<\ш?J4MmCK[67An3x虜͎ΤKRhʶ)"BIJS> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23224 9439 0 R >> >> /Type /Page >> endobj 9433 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9434 0 obj [9433 0 R 9435 0 R 9436 0 R 9440 0 R] endobj 9435 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 295.525 137.278 306.525] /Subtype /Link /Type /Annot >> endobj 9436 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 295.525 175.096 306.525] /Subtype /Link /Type /Annot >> endobj 9437 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9438 0 obj << /Length 19 >> stream q /Iabc23224 Do Q endstream endobj 9439 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23225 20830 0 R /Gabc23226 20835 0 R >> /Font << /Fabc23227 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`aVthǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZNu9_>Y#rU %uaT(P;b)G+QO TϬ>JGܱYIZ)6{ik_KiR'Sb:tD'W9FF-I U4sm.\gy4~m~oC25h}'2g("_@9 ?ɜ F0.\05cDA ^Xb^@wevbVC90ڢ_Ћm߽? F endstream endobj 9440 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=800) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9441 0 obj << /Filter /FlateDecode /Length 4832 >> stream x\K6W<@j 4074Y //AIYUSîN"M;ϲTܔTVY8y7}U?ļktJJ\]owϏF>ܮ.u]'jg{gq)/FeTms^ ܲ .,ݚ?Y:artBk:/6UOSp~".6.졜[G6~t^VX[VXoxzOxǻ'Îh D3ć,d9{Ȩ=Eß@2n^&,[x|+ٟ>`> LO)0+@.&?+~v #g, -h9.E@}SQ6p}M~b k)-f4@lg!H؇csA{|]$n}hc7,)[agta]2'YVcև)@xi T^X+T:$l6 ^M I n tXiuJW0'"qA hv"W͎-"g[Ϋ0>ϥ$鶌kH&{%re\kX6 iuѩEƀZRT)b5Ziѻh5^p^$*mt,WLUE׋ s"Zp˜M!H%:j aJPyۉlaia;XCƎ5EaKB *M0LlNJjBH.]Ыڕ0PVp2"`oE@+Bi*^U4V:ɼ^8)oD{]*߂_eM ԈUGQ&'c^*ă(9"s#ft'TWCNpRDaVSFEUm}N8iht27 EۣF;EA8aQ>ܹN?NQ~~xz=]2zJMm [企o6o%-P2;n@)+"!p hA `s+ӰР0̠W4`гߋ s kčݡ\7a SXJ;i7aV]&.߁t96^]Fv}>?ܖRヶL]C?+w|3$ǍE 5j{sZ]8.;޹#UIx8 c rĨౢ (Rgи`hZl;{)UNDZj;kPxݘ!JKە_E'4aFfxSqZ-}wrMJ^URШ-s*v-'L֦lt5t7ʼ0ʣy!3iʢ~|P 4v[cVtkWC,c{],}jltDtWّTp^av-WV$G\-p|c cc0i9OoEuFTYEҋl?NZEuo =`d&y25 [֞Qefn"wn=.q(M1ݪShEcj6Śޣn󪺛q4rx"YJۺ&c%%[3UC^EXNrdXPFycuʃLArxh4hCL糸-Ԙ\0=1"j"(R,WbzfC@*'ݥ]BM2\{X%-.S\qq27IPѬ*ܰrUY6irMζbl/D;o|z)1TvOO"ĊgΔCg3:wg{*QC*N q>nY7bz#k"}Vw¼8GxO8!r);5$zIa4y#0] =r-%)zS&(cNT cGD[gVgӭފ4BIwV̇vVVlMyx<Mw08`hb}0QF *:+X@}xPt )|2,Xኼx7^J-d/C.FbHnBϛCII3+)˲&_PKqm#);pf1 ~R0VmҼ5AJF-~-d)jȧ &{'?;.L_'\Һe+m͕!҉8Vڵt[c͞n5ye~*ͫRgnyI (SfL MOޤ9dN+v/U,ކ.2V QnNvHH^h-w:5g]Qy @ XPT:3qasr@YofquurIp#.Zkb^i5tSKh`mGÏ|7ϼwآ\ tL ߒ?_\T)k _j'낣?U@zt<@!x{t|9 4iR!bݱ0G$ %Nr4S%e.N3G:/8~[K_5T,j9U)ITBwljU4çGJaqK(Q1Rjj;{Ψ"&JVl]yVץi2_dY 86Hqv2ePjݶ\`aZ _eQNy|B.a 0e z|a(dT0YzUOU `H.$HԏQgOԷDTUDQ^ׯRwxr}BdcOPRg}o=D 841LE(u?`A"O endstream endobj 9442 0 obj << /Annots [9443 0 R 9444 0 R 9445 0 R 9449 0 R] /BleedBox [0 0 612 792] /Contents [9450 0 R 9446 0 R 9447 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23243 9448 0 R >> >> /Type /Page >> endobj 9443 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063005-08'00') /Rect [218.8375 276.9654 381.3955 287.9654] /Subtype /Link /Type /Annot >> endobj 9444 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063005-08'00') /Rect [178.99 224.2963 396.03 234.2963] /Subtype /Link /Type /Annot >> endobj 9445 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9446 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9447 0 obj << /Length 19 >> stream q /Iabc23243 Do Q endstream endobj 9448 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23244 20830 0 R /Gabc23245 20835 0 R >> /Font << /Fabc23246 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 9449 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=801) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9450 0 obj << /Filter /FlateDecode /Length 4688 >> stream x[I,Irǯ@|_ )Э9 :=[.e/Y5=&_nng^b6kW֤?{ǯU6ڼǏo'y5Rz^{V*zמ)^k.CU)>k_4 <0{6Nx^pt2W(c_/\|ƜLtEG?Pxc\bt$v )\p=7\71:fwp[0T LVAW^/w&݅L z^'fstmv)C 'J ѹ +,b^U$n.r"f~5J`x>~y_G/ ϟڮfUz@0㊤ "}&?/4/fG4r?ຮr6:o69A9>  K:6RHӽ<JNZ"S(dJXdWЌm$鯤FKg1>dYZ221D~vX|f^nu6}2JS^LGVXlő}416"PRK?=Ub,cd84 'LiJrI/'Xs*ŠU&re[IUjk3w-.ԘeAWqN\R $s"rYA83~@H-|)!_ִ9sxo@5@/ynd,e;(;o)BdvTC3($a~hb\&HSp0,>ӹ !arP8`Ulz57cw.+Z~kRguUS5;*8 3 рMnP\?ś;5M#>2 l;ATH0'u-USd]CA|ˠ%E"D[t*rx@q xyB;?o5fk?cj_`Wc %s %zG cz/^G{FQj3O^YP- "h`;Y"y,6WTޙ隑[A!uJW ڣ9Mf#T8> :/K;)(fڬ)gbl9fd9!}lYz=ܞJg 6&s#~ 2F;+]>`:%N0J1K/Ȑ5ղ˸NJ3$cJhm-TY-++Pͪu!~ &N4jG2ܝ BcQT1Ġރ&EQ=^W˄$"HsIPvq[θ^//[/H :/D;*-ʘy֩YpOhQƮbv)M^:sbܒ -&TmE" "y!`k!(\"pEEu0XEԭu?]MAQ6/ %V$ *]-r'+X9f%Bp22𮰷&9tػA&H˟2cU 9WV@sK+ҺS$f)\ȱj`ɥ#޶?>̩X):}ċS K/m_ cy5 ư1>;Pdr29w6Q,A~A·5 W$;=xxa]?߰l C|PW]QUpbW\/˼ʍCUTwǮUnLZ;w*1U)cu`HH5CFUntl&+: |;v5 G8ޣkcOתPӸGIW+U-/EE>i1ȓ'5Q9nyB&cO*}X7p *7k.~WsQ7j.%uRs .syVnQuC}\m:(he-*1%=GוhޖOph"%g騉b481M5 C$5~=Єۓn|"2޴pmcBv=5g,ӚO]Z]1S>]T^'wE7]t EN>y b>y^&/Vװ7=tpVHѭ LуJOTI.@׳1A_ݸ;2eT}oL$kT &bNER% ^"z\QGS7d?6zZ~8evR[ {TrΓ3g)^# v -o66%26[̙MxGM[s&rA66[N'{2VzAF=lac}Rfqp!*roayr NR1ISAe{p wT햻1>-CK"axgp#w/X [ۮe:)r<96إk^Eoˮ~'ES瀳]Z~'۰UZ?И~owԦ)dEbL9#:k~pd{@L=ꓞO??zQ!u6xVZA$BW!f}eoVmWKd 'KЉmg1DV7o}Ə!*OtF :}Vvdd;]gfM[EN}/Ҟu]v4{:pX"V0zNgpu*R)JԕLrt V[ ܘսۺ}RQs4m7ka9IrspM]dA4 i(I] AŃ6Q>)w f^|4 ju|A-2}H^]@%X5E?P]tLGY^MZ:vqUyBXX[8ĥM{/4Xjwf9^i'<%<[e&|#\.ST`RׂϾ3^BI,;ʥ23|#N;ʷW G]u@ZO%z1ʙܘq,QJoJ}{Gq)km*S.Lyyfc?]d~Ydefoq>VGK_*l\F?BLvުzG/o6'`Nb.Mp~=3hB4N1[?N IX>%Bqnfz,du`0=mą?ԡuc fJm~EU:/4F~+ѳ%2g !h 43=g0jY+OA{#~ b96Hkx es63@@Tp  T/t(.LBt&c {Q=lWbjl/4>\.?k~>Ku.W Vf0/}f3#6@<-]jZdj endstream endobj 9451 0 obj << /Annots 9453 0 R /BleedBox [0 0 612 792] /Contents [9463 0 R 9459 0 R 9460 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23262 9461 0 R >> >> /Type /Page >> endobj 9452 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9453 0 obj [9452 0 R 9454 0 R 9455 0 R 9456 0 R 9457 0 R 9458 0 R 9462 0 R] endobj 9454 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 148.7425 197.6017 159.7425] /Subtype /Link /Type /Annot >> endobj 9455 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 132.5425 195.1267 143.5425] /Subtype /Link /Type /Annot >> endobj 9456 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 116.3426 180.2547 127.3426] /Subtype /Link /Type /Annot >> endobj 9457 0 obj << /A << /D (unique_293) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmon_reg) /M (D:20211013063105-08'00') /Rect [104.1732 100.1426 201.1437 111.1426] /Subtype /Link /Type /Annot >> endobj 9458 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 83.9427 182.0037 94.9427] /Subtype /Link /Type /Annot >> endobj 9459 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9460 0 obj << /Length 19 >> stream q /Iabc23262 Do Q endstream endobj 9461 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23263 20830 0 R /Gabc23264 20835 0 R >> /Font << /Fabc23265 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%T(C9PmтGŶJ endstream endobj 9462 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=802) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9463 0 obj << /Filter /FlateDecode /Length 4076 >> stream x[Ic_=s_--9h #IAsO-\ߢfAlh$b꫅B +$->}O gET%O76YJ蕳N}E$v7xv".|&լxMF` <-:앗|(5 M>.Q .(jŒE,xZU&RbVѫ YX>";ǿDU3w *b溿kjRUA96HPDZ[=[XY̷2R9ۦ66c2niheEN;2sQwuComg4 gg#=MMɢg%$8p><~*jB K0-/I-PgAEEb6j\@#GW`}lR,=D*xLzR +["zJtiVRPzv a*gyA &D*m`] FY=Oe4m*<., g^nk"j]^t,4X!鄊[QpT=q o-Tl/-cwhmb2bGO&_K3'zzLmZMLRKv2=i;[,ze6MN$w>wO, @r8ZL8͡RRzv/;VSbY8fM> `r=&)VaDc\=,N>`wpV$is-g* vإ0?QMGT?*@oVfʳV׌&ZZ|g9ܕeYK,~Pt\(%&ΫjzW>Rvhؽ gxu-=4[{kҘ9w)ZG6MNᗷ}P1 }ES|I%+*YbZE8, ܿ"x =Z <gve{q'×ů@Z6֖Ĩ+/'ʼ1cg7":a g 86J1ѳܟ,}L ;Hz\) 4Gdm&A i?ѱyeЦosµm #_FMc5 ػ9hkl,=U_BMY#TFb7kr`/?W`@{&t(TѮz€ ]W;t`p 6N-pelCfsraN踊Mу;Gq'x;EZ=huQ2 i@L^"Wœ/EX|1f3xMIΌ_βEZZtCD0 )vJGhnI=ַ ",+ᢪL,ZYtS.S-7}DqfѶ:UZ1kUER,*gYe㚊swWh݋M%'bI>-i=۹C.WBTS:fqEj6!{zT{u;ՐH>5ڨap@ҋ@+_Ea kD͝{@S q^YB;GmʽK~7zY* N)8SWTapx'K^E]CAGS5ې 7uEsJH5Gql)&+pf'rb#Xrd30L^FJ-ԸwE {/` 'ޫ<;gj0|ӓް\VeV삋xр:pDchhǿ!P!%{@_(5/X!%hXN 5nW^*G[N8p1镞Sq ö7ǀ! ƬF͠α&KD޲;|{)<)5_,x`gt Wfr7oGkhk,GW5Xز|; n! =j{VH-{},yL/pt?򦬴RK37PAAl6}@փ~4HFGNBF@uxqAuѰrc17k9d^m} t  [7Ļ<ɛ!_S& gTDAviWa0di͹xd^|CS<ՁDUhP`YgI'^hDϖD, ,p/ZGqE9j"U#:d69T1*^H[KMYJyej|"IDV6'M"IiI^γ[Ũú8 5R:?'ALϺeenLhM01%΍znytp^T$XqhLwLLn= < 7B_G ʐh-sU xI^ԘBwF0#wAMx oԵwW h`0_8(EQX,`撳><3B.xK{ϰƵUJCh!^ - w蓄Do |SO M͛ ]sΟ]PAdIMjX2k@st)S \Ed]pܙzx5,:v纞R> cQ+W;J{\%湡@g'eۓ$|@ rély (=dj$|$uZF_I endstream endobj 9464 0 obj << /Annots 9466 0 R /BleedBox [0 0 612 792] /Contents [9473 0 R 9469 0 R 9470 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23281 9471 0 R >> >> /Type /Page >> endobj 9465 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9466 0 obj [9465 0 R 9467 0 R 9468 0 R 9472 0 R] endobj 9467 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 205.2137 709.9] /Subtype /Link /Type /Annot >> endobj 9468 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 165.4707 693.7] /Subtype /Link /Type /Annot >> endobj 9469 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9470 0 obj << /Length 19 >> stream q /Iabc23281 Do Q endstream endobj 9471 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23282 20830 0 R /Gabc23283 20835 0 R >> /Font << /Fabc23284 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dr2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪzkEŐL$E d_ )2̼d9 M \09 `FcU$!oU櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 9472 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=803) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9473 0 obj << /Filter /FlateDecode /Length 1212 >> stream xڭWMk$7Wh>[琛a 7ްSU[=L[ՇzvojC^?s:E]\SY{gs 6jjJrg]|wy]4n._wf'N鞕s|tFJe9d<X4eF-dީ7H2ƃPJcVmVDlpV=8q0*WVI{oOooz\/k# `SƖ5ivVkS Բyw%L0q66EG$.yX'gx9[WgHbȍD@+NfF$:v>wXlz\4|C^]׿@wفϨ3[ܾPG'Nm̘#heK;X,E 9r|ګUl\VK 'ke8LE%Kp7=Mm nn M\)jw+Ҹ%ҌڐUD)Z?ю %Sk~^3dVfDZ5)]I>QO|HBk!ڇ" NĂ߯#[>[PL1Ķ4j% endstream endobj 9474 0 obj << /Annots 9476 0 R /BleedBox [0 0 612 792] /Contents [9483 0 R 9479 0 R 9480 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23300 9481 0 R >> >> /Type /Page >> endobj 9475 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063005-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9476 0 obj [9475 0 R 9477 0 R 9478 0 R 9482 0 R] endobj 9477 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 304.725 137.278 315.725] /Subtype /Link /Type /Annot >> endobj 9478 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 304.725 175.096 315.725] /Subtype /Link /Type /Annot >> endobj 9479 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9480 0 obj << /Length 19 >> stream q /Iabc23300 Do Q endstream endobj 9481 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23301 20830 0 R /Gabc23302 20835 0 R >> /Font << /Fabc23303 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہEC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ  endstream endobj 9482 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=804) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9483 0 obj << /Filter /FlateDecode /Length 4734 >> stream x\͏:y[24Օz2`=eŢ{!)JlW'STےm"#)[u2o'.kmL:}Ro;NA~be7Tus\2\ҳv%8ZD37e?fS(gI*"iptǧ1@':䵎PN_'e >Tn\H u^ zjOpzRyi /]:h쉶 e:?Q`1cP[p~?`}ol&͜&,8}_O((\~n8=BϏ I݋Ɔruz^p>Gꉞ~2)eY?^ Dhled.C#ڢsniAǗ?lQsad7r&C+ׁUZ꼘Y/].c5>ڧ.sbi*mGmߣv P,%Dz_lg?u@=8fHDh:`/HRh NT+ <{/*2Wi#DumT:fQpR,jFɭ5ϒ}QXe!k ދ[ x f"w[ q٥tR[X vʳF\w!L\~}w.JSﳙϷ[%s^bsEO/W̪5fз}2';3{NTk4-Pg:+ *#9CEKV) V [PT_^\B|||^YH??iaH}1}t,ɽ*nrt4I2Ou2t^'{'fFيfy?<ރRA]s0Gnc,qizϭfv^5OsQd tY穣rAXjs4כB: -LIkf)st R[.|&V)? W.j*+2\QyyWxګ+pmt(42`\CuJ >.˨:(V!-']i%rC#iy k/\3+u#[ rc=%+T^P*sEk%/UfD 4f;t>N'Ԗꡣwq}w 0[ui+5d LYJV#H Qd(ǃke";,{X{SjɎ}eIYȥ^S2GE|>i5KnS6;ܦ0Ǽ /T5<cCICh!b J˱vgr xw5{2LeGX~h^^#ˮeg0FSSB8*;i1W6KzTW>w: kE+acgrVZ v2pS"ZL$^1&酻Y{ twy9%X)mȰo3Ya4j &,'Dz 98flq~;[tgU‡m7cI!ΛkOdƟמVY4Kdx=bn,e_e JC T()s!u(Iڟe-s4)eKu^D^"N7du,M, h; /r8=w.l_y:al 7@(sƄ-I@7KF95{iaOc0e8gwso&]uUR;'[<%/IV(2[>6-+ZSL/tKڐfm 퐤%!ڄC]żw?ip<J_&.UKa%,q^#as7FLkzv6\8=hᶒJkߓK=,S-dm+9OGm;tootyp8VúG52uuBDGܨt??gd; bveʛ1ឬ 0?n5 m%E3EF@RWR%&  -ʷwM| R\46Dj(?0Cj[M*,jclMJ@L:`35:JCsӳ>dWތsA{;c x\w]&j0o?p3~)ue j2x%nWҊHJ%*ZL.L9W[Χ9՚̗]]zi=TisѲD-@FaۣP*EGqNsekJO[.S1| _9}7|fYsI p^ugжF A ԫ\ @LtCKj`V瑢hzPa| S_R. c3dp5n-ZRN8A!aN(lei9K3u̔')b/'>+4Ҥa4iqqn\h<-hNey']/k6;Lki!=oc/`qJ[bxӋ hH[v0;ݫp!%^g0[p 例<ӧ#~k#oSm餳bw+:ΑvQhcio1ی`t}{V-mcַhph2ˁm"vb;QP7qMKJy# ooP!qGr>}iwL@G)+~p> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23319 9490 0 R >> >> /Type /Page >> endobj 9485 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063006-08'00') /Rect [218.8375 466.5577 381.3955 477.5577] /Subtype /Link /Type /Annot >> endobj 9486 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063006-08'00') /Rect [178.99 413.8885 396.03 423.8885] /Subtype /Link /Type /Annot >> endobj 9487 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9488 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9489 0 obj << /Length 19 >> stream q /Iabc23319 Do Q endstream endobj 9490 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23320 20830 0 R /Gabc23321 20835 0 R >> /Font << /Fabc23322 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoC endstream endobj 9491 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=805) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9492 0 obj << /Filter /FlateDecode /Length 4889 >> stream xɎί9@`0tt< AOKz1bhb훴E'^kjqv /,J-_~J W[EJm_MJ ^E+ 4&W% 24N_l_={@qkI<6͙#x@Y‹~Cnip<%,wt0e DC]Eㄯ)T4>QmE%A]4΋.ʾ ( PuQu~}!S]!ψD\_Țz+f ۞hz9zMۧؾq p`ο2eFh0ՋTxx#g 7RE;/.jʬZHX W=+Q%edZ2ynI9Y;Agr!.l.f$er@CFhY|OH$JI$Lq(^PVfݡD!{YS%/l-N&P2W@ w.g-F|yq%3SF(Uv6߄b1VNb>=WtaCVvv**Whh YAҳiG(^O@##:(T3LmW uVK#BE 6'`0Ȅ!SF9|NV~@M( B}}uÖmF%r5HaR̼%zd]A3]w m#X NJk<xIT#36rYjYߖՕ}lLU)6]9!? Aύk*UL"ӏI0>M?qd ,fR;xz0I Ŝ,>y*ɺt]lC]-?K#dZDq2f"@ np$t. ~t2\@hJPOoR{CLq7bVsn[%,OC 6VLiU:5*{F03{]B3*5Z|~#@s"ayr2]jjg L#t_Huc`'7, Uѡ煾k, ܎ޛt2ơ0e*aCxtGޔ )mjTϥ~v0슊,8qHh &,) 0x #euyHcF&*共@(KqƢM 刊xf\[yT~O!Қ>8(!H"SJM63wzOnڰ2]uE'jp2zu4_l2Ȟ?ZZ*T+Y!9e(KתTteHIJ~{1~I-0Fs-,SΆĒY蝰@ŝ]z!Ct;%X73f2 sX]& Z&rh? fUjX䌁2H)5x2ُ%@ɭrTY?6BŘIjݤX9{˝Y J&`iR`I?44ZP39MZ 0%mq9Y7I.]b794<1Z (7'\~SYkh j?,^OJL=M^2[Dm#u~%;G$JӢl=7K/ ƪ:OVY=penUFpṔ\cHq!btc>q=;TVӁ3 FV)/]}U6JUU{/Tsǭckɴ& [\мE3PE'~uQ~=qGZ(ArM{aK0CA3"ESLcqQgt34r2$PV¦qts75) U L#xsv;kdl@i>2zfX0;)=UƴU44)b^=;z~}gMz>2Rekb[lƨV;=slQkyH<{y)=2&ʩ7a׋nfvqWQ 3^l=7ہ/H~N8mkCcUgSgSNK6g[kzM'W;I6s=ftqJ5u4Dj\W^ggwtmM>Y[3wkkkv`lJ}_mQ`9[v0WyVv>F[rvcB S8Gݸ|W7Nkue lkx<%c]8;ȍOD$䊻/8 "|,LC64]ܱdc}p\˱yL3ULj$4W>CUaꆳ!@܉ lR-'d j'i]X֗#N5qCY[ v'?S940>$:vؼオ`rGÄISc44X"30se;>0ng궠)S+/lA("U?U&p'eg̦3`̌ŭr 5_h§UFQ3TUXņC=ǸVIF/&w:{Xxs&RJ.3\yK:{Jhq@?ߚTѯ&9i&s劷4"_]IZ{*\ku|X)./=oS{o "Gr/q&_w&R*z"~&Y3+|*z5"Gx'"}>kT{? &?,~y0;{h^zǏ˵>dPK W[=|͚.z r| 4ͅWcp !cl:4&Q>c`Q3/1٩"8{Kcݛ<(` ˉ> K.(tɠ2_2ṭ6ɦUFG3;G9J뢡c;`n k V2d_&]`F||[ª -?l j2y & N NUZ ԹC![ȗ!(`wNЦ5΅ pO9]'OCF;qJ7 6,dVn~ `.P4w2veALسf]B埴&N馍ȃy҅jp@?Be#T6^y[=ʰU8+0z.W屗?5"g\8!PtX%K ԶvFη 3&=ï}_(ǚ6i4m"K 2Ϲy xTo/A>WȡGFb%=ۈWlnOeTA!2Ve74.E ?Cu9!\_?˓[_`zD#얬8-E\),` mB endstream endobj 9493 0 obj << /Annots 9495 0 R /BleedBox [0 0 612 792] /Contents [9505 0 R 9501 0 R 9502 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23338 9503 0 R >> >> /Type /Page >> endobj 9494 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9495 0 obj [9494 0 R 9496 0 R 9497 0 R 9498 0 R 9499 0 R 9500 0 R 9504 0 R] endobj 9496 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 347.7423 197.6017 358.7423] /Subtype /Link /Type /Annot >> endobj 9497 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 331.5423 187.6852 342.5423] /Subtype /Link /Type /Annot >> endobj 9498 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 315.3423 192.6352 326.3423] /Subtype /Link /Type /Annot >> endobj 9499 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 299.1423 179.0062 310.1423] /Subtype /Link /Type /Annot >> endobj 9500 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 282.9423 182.0037 293.9423] /Subtype /Link /Type /Annot >> endobj 9501 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9502 0 obj << /Length 19 >> stream q /Iabc23338 Do Q endstream endobj 9503 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23339 20830 0 R /Gabc23340 20835 0 R >> /Font << /Fabc23341 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 9504 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=806) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9505 0 obj << /Filter /FlateDecode /Length 2855 >> stream xZI$WV-LЛ.85ǃvFXk3$p[hm{;IilIu/x&u[u=hz<#1|BUHmV \ƪlLqUݒlx<=5ݩ&ON|7Ǹ h'/ITDJTk/gMhBF K,( ? jğQ]sx~՘#0qHc.843|7,d"A1 H!>g  aSy/DM\\ .0 KJ5<1&O*KAamd6G8!P 6ﯻhGD# (a#p+8H_Չ,v>U=Lu$gM0/K$ \e5-1{z7~%4UU؎r|+U ҆(ʈZL@0C:/528b+[kdaTDIE讅i8#Hdȼ?˸I{m=G%*w'y'Usg+_kXrİim$cV`-(98vpL.f|UttZ+-ج4܂.] w;`FĔBxbU>?2. 1wۆ2-vx6>bP5de܇ftYݷ،{1dd#@nu Sx;xtdgXO~=>>5ջZmD)|rP+jYeInS &D8 NVVlƵiߎْ{c9Lڹ>uku0g=bl ǘdwT1o=A㵻~?i7>tWRbNas·'{Քp}o2,@%{_YCosOeTN vx8XN6 ܠiQ?!d;y='' %>Dܚt3y"n`@p!8bdtcd|AlMælK=kZU@eL{mǠ ũ:5&+j+w|OnsȞRTl876؂'Z7v`zPQWZΏ/7~"/9V5 bPM}hgǒ PWʒjdm)?֔o_uX.Yj2qSr9|$>1`gwPmY]ZUo_FH+yWppC`COn+d`c̟}\jPhpg0LeuL%ݔHJDNU5H!3R\w]Qb( =d#SݾPU_ `G }/rĸݒ\( Fx:8 [HU3R_T5"u+[ gܲ!.AmɓE_^V^ h* ?;+IU5튇FPfcyFu# 2B{ OxviuQ'q:N`SQ d!lcыvp#ZDK3 tb(`l< .ьLmσLFTטC$^7!Ѱm;) Ǒ3h&5ȃf7 F/ L3"ko23I?y<#RY$/)Ek._}X=Wؗ_oi)KOi`@X,C^:>O9xrOs_;! ~2枤 馥VP V*0F*dɁd1 O'|G ,ώ@PYM(trF *3 vi,x@.]tDy'6N<ЌZjtP(bXad'ډ]VYI}OHTi*_h`X=tx;G5N} t*E_[4?By endstream endobj 9506 0 obj << /Annots 9508 0 R /BleedBox [0 0 612 792] /Contents [9515 0 R 9511 0 R 9512 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23357 9513 0 R >> >> /Type /Page >> endobj 9507 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9508 0 obj [9507 0 R 9509 0 R 9510 0 R 9514 0 R] endobj 9509 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 304.725 137.278 315.725] /Subtype /Link /Type /Annot >> endobj 9510 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 304.725 175.096 315.725] /Subtype /Link /Type /Annot >> endobj 9511 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9512 0 obj << /Length 19 >> stream q /Iabc23357 Do Q endstream endobj 9513 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23358 20830 0 R /Gabc23359 20835 0 R >> /Font << /Fabc23360 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`5thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XLf^_ |Xl˒sKhf>eV%p!g%`NS0Q8F$!īiWm`;!xڡ0 '^B90I NЋm߽?& endstream endobj 9514 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=807) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9515 0 obj << /Filter /FlateDecode /Length 4368 >> stream x\KW%{ 99mbL݋~ŗZ%X)M[m/sv.4cЋEWgc>LsHϯ z -.rw>?(yx4Piiz?ɋ~фvcj?䟵R^~9YO?gڎi2cf:u>Ot s0&AzNY:>(kg2m1Kf偣{a0~P[HWh=~,(*O)97i"H- TRF`(z!Z0A ;.ѿMA=A)͝YЭAgMaQ}_~N?G+?A=~ >>j:8c]{@CHoOLSp769j.H銔n"\e?*2&vAW#$;/Lsu.hc,o˥A친_>Ǫ3Z?lY]"fIc cA6RwL&Ys_q-zG#wFue'o'/BV\h`,WZonQžvx ^ *j4?T(2r b Zk26]BK0F{~Z< ӱlgq'lMR-ǮjO@8Qȍ[A@#ō`~)dcMfq`!9B5~M&!qE S,%B'X<Dw d{Zf)ŭ6%ߘNU" 3e@MV["9%(}u>_^%Ys'a5YC[۷-0irgiK l WdN(6N!iRI1ʽfd&RkƊ{<=: EUatYKlcV=#h\odEՀM9Zu*KQ+'zt$N;B0:#XʕWj}׫y6;8y vj2>xa:+X,0 X-X<,\ m :5>Q:SR VJꦪlJsNtѺ2l2 ;8)Qw''T87p[ɭ᤮. '\jr@&N( pri=|J@ {YSG'^N\éҮI5lc0EN]U4 ꓂EN_UIJhVm>Md[T).x+סwJ['uV?u? Oo ?a1y%~ԕW'?O?tz :0md2'`%nq }#m@PgƵ2"fm%%u7!ir >ծ ud<9}R_w> rd~7tDvAEkٻ;o~w.:QMϼz9ÚlV`/nZ ^0uk;4NwmF 'U*nwytSĊ-sSEM86z9`/.G:nwaʀ>w'<Ɔ[LP!J`y̓ޑ%m+mNZ4\2 "ot$S6ߝ_|m-;듨;ل4|,xU9?pi\谭h4=m+.Fjj&+ 4*H-Qs]k"P  Ți`񧦋ˁέu/\yBT^8Ѳ}#ؚ|y`2IT\"bSUߑ#NCH`4>+P=(FpuhRQl L#QOb#?43OK`k[־ۘC.'r\!B):#bt y!S+C|󐳠ɢ=;U1Q596tmIzȒ8uuR֨2)'pK˒ Q mss[At57p u'4AV랚_QnV*h:OH_v"|Dah/եZN|Sֵⓜmq[s]VU.teQ|ug=t`\`=<9wXo!(rck9͋;?ͩoPtV YZ̙EîǣҜjcθKF`f&K{T~j,iբfevP/T}q|~~PNMΎ7MV.+#]-}20uJXX&ogĚG9VthmIS\L^yW2kB2-T{}Ig+<5$' 3d%fr)$T (!H3D|#1 q.] qech'W48S,}TJ;I.Mqi5;+i)ys+J|t=|{5x9iAʙzO=p|EwB&[SVWf `=F P2?pn'ZxRϴEjN-lpĺ,N`HR/JERPEɥkvEKR3%k3@ԞN|Eݒvne"(I㥕1b)ݒȼTFUjd A^)ާܒV֞KS\e F_YxvƑjMem )d[ߙ)4߮Sqݳ*loD_ԗqz#b%U\ {e>wʏpD }O%v3JSIxӡBx;tQrМIx:((r#E~4naHä;LR`@ 5~~q#NNQק+?4`q鬾[':[&%x]~(;/g =?kqKJzշ#(s &vr]Nosn=/LJ=ąbwPtY[%5)IQ>D:fөn$Aӗb' endstream endobj 9516 0 obj << /Annots [9517 0 R 9518 0 R 9519 0 R 9523 0 R] /BleedBox [0 0 612 792] /Contents [9524 0 R 9520 0 R 9521 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23376 9522 0 R >> >> /Type /Page >> endobj 9517 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063006-08'00') /Rect [218.8375 413.3616 381.3955 424.3616] /Subtype /Link /Type /Annot >> endobj 9518 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063006-08'00') /Rect [178.99 360.6924 396.03 370.6924] /Subtype /Link /Type /Annot >> endobj 9519 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9520 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9521 0 obj << /Length 19 >> stream q /Iabc23376 Do Q endstream endobj 9522 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23377 20830 0 R /Gabc23378 20835 0 R >> /Font << /Fabc23379 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(ED endstream endobj 9523 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=808) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9524 0 obj << /Filter /FlateDecode /Length 4691 >> stream xڵn+>_1Qx@AN/@/Kuϐ;3յW V*1^ӫwkxI?]>ՆM)6'{^3| ;+OJi el#߳0L}+s̍y5 oeҙj$뷿.?]BMퟄn(Z|Io8~^Ǹy+?/UM[A,#8QC8K y4~,y^=~:HiAt~^+wS8dT 5 ̸0-NF$pno'"GX;R~^qX&6,a4a y^S* VDoJ v ~9׹k|3ھ&I!)y,QL.:>$4BR&vJ\XZӛ9xI|*aH$7u ѨuզrrMZI:]P NDVQmߡ**G!IRPC?O&ج4>Lu#|hVX aMuƦ0#%bX;93&1t>}g^Ai>![}P3S%i^}W:_kK~&LM|\>!<C;뵢Jzu`?KqUUz}])M_ľfB(o=/JJK%U!-ޠųТHQHDH=Y ZCaO2x̕PtFEi6Yi8PU :"y؛ Vْ!,Uhz_`2b|4_ܷȰ ;}I ̵֠ 8 ՒΥϱίXLzNBo{glayۏ.γLpH a ͱb D"F l<y{ճXҳ; }!}L:bs]?bhnII+NHVaF^XIV .!M4Yw~չ9ō@o^HPw.Bgٲߤ3и y3¼_N놰!Π]fAR>IiCeFM3{Ec#G伡Fpw2P,@v@+ t,Vm& _|?]*EgLzyyA&:kܱۻ)O^ÀlԀja0"x ūLi-1ɨ/(O"*>'W΃l叝o!A"iحۢMCò@`(cj92٪mBœ`]W~RX2Ve$kkzpIvKOT^LQ6@I",C&eܝjcJ(U Ddp4nR4蔋\o4uV`!Y<_,pwQr\eTgOx;v;LKڷˬ2RܪTKגQ7-*αHLei 9c88Zսf3R-Byו0b%~T([zӵ*9 /Z,ؒ-N*~i#lf)sK`]έT"sҩJPFr2.~qQ3.ˍ˘nkWjY +;H%ǥ}(ٲqgyav_B>G_WAgJͲr :N} {w9Mc/g97G^&& -!&Cmy=T0_D/,2{M]G(P ˢ~/2ʏb[cLVu1DwUGz*tt4ӕ=jIRo$cɣUh.z)bmJV֦r=w[6e& @SٸDztH*Y@I}7@_З1֒M4+(l) R0AƛAUߡ~(jQ)U]hhլ^{{^匑3P)-uq09GJ~{>Ըvv vo'?#K=|K9EE5;&Sƞޱ<}tfBEsb fIg5R!-QFx4coi\=TiK=Ot6z=3{6=Щb+ZسݏYWJ~Fk[@dTuqJ̵mf25/{9ui3_ٷ7݄pMfnj6rVE}e_*nDŽax(7LDg-e<^=P湳Vg,Nź`/=nEu}ޓ\27\{CZY5{^>PגH\E ݖ;<$.+9|8vZt"مAhOц[fl~f+G|=qH}{foT٠ݬ;֎%Ėh)|=)'k7P95]}xt|Tu8ߖ o/^7V^PW :l:zdZob$zj`'3UAqdXK{xx#7TMƒڦDńEE| NnqX4֏5n&XY> Zh,#bC@/CL &Mi^r+I wSA#L5 r2*.}otaRLD~G6pzGX]ƌ/D+>G>Du1"F6lKre <>\b~يhd)~};Sҷ,_8&y ={͟;~^G'}.Yl%%_KJ:f !K+CЏ R+]5uِc2wQ:!0lG P*(πo^iև|,­|1> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23395 9539 0 R >> >> /Type /Page >> endobj 9526 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9527 0 obj [9526 0 R 9528 0 R 9529 0 R 9530 0 R 9531 0 R 9532 0 R 9533 0 R 9534 0 R 9535 0 R 9536 0 R 9540 0 R] endobj 9528 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20211013063105-08'00') /Rect [104.1732 268.8424 179.6442 279.8424] /Subtype /Link /Type /Annot >> endobj 9529 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 252.6424 197.6017 263.6424] /Subtype /Link /Type /Annot >> endobj 9530 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 236.4424 195.1267 247.4424] /Subtype /Link /Type /Annot >> endobj 9531 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 220.2423 177.2792 231.2423] /Subtype /Link /Type /Annot >> endobj 9532 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 204.0423 205.2137 215.0423] /Subtype /Link /Type /Annot >> endobj 9533 0 obj << /A << /D (unique_318) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20211013063105-08'00') /Rect [104.1732 187.8424 177.4497 198.8424] /Subtype /Link /Type /Annot >> endobj 9534 0 obj << /A << /D (unique_334) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20211013063105-08'00') /Rect [104.1732 171.6424 208.1122 182.6424] /Subtype /Link /Type /Annot >> endobj 9535 0 obj << /A << /D (unique_335) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20211013063105-08'00') /Rect [104.1732 155.4424 210.0042 166.4424] /Subtype /Link /Type /Annot >> endobj 9536 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 139.2425 165.4707 150.2425] /Subtype /Link /Type /Annot >> endobj 9537 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9538 0 obj << /Length 19 >> stream q /Iabc23395 Do Q endstream endobj 9539 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23396 20830 0 R /Gabc23397 20835 0 R >> /Font << /Fabc23398 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9541 0 obj << /Filter /FlateDecode /Length 3584 >> stream xɎίk_3rs0@Or .6ݚDB,۷( OxIŪOU}fT*|ߗ)co}TAYAk}}TM|:x`wqqjΙǥs]qIǏ70V m^c.V>g;Pʀ eL2dq K~.62Fx^%DZ+Zk A! [y3Ē;@Oc%VT]J/"'&YSaf6!4*YH0Y?4RLx~\jϴL_2F'9:!À9/&oX[`O65.](8NF?heФx6YlD6A,&O) )C@,PUuU^U6ιyb$"M{s:6[+!z\r5kr /器P7j.ʴ] #}i\ aq|H1AQY&JSZU5':WW0TXς5LdDkѠPGsGpeWt]:Sqi|wU%sy3< LbooY؊rw/=L"Ȯ{!2¿'͑ ?v&k%ԯ_~bZ!/R~$`' AB^K9֒uJ%K X f4eğo[C9LW [Đrf+ 0+=cu2[z&K'-̌lX7/r@^ϝؠ y6Ba4G7W.T8bУ+|$9l_}l{.63gpjz>atS$:Sw1bVb)˷f~Ƹ?c/2!;Z>LӇTIGvsmtTK3x/!?rf<$=t0 wad8SyHjl z^s3fnP g hҬ1) U!+u@KdZd~v쒾.ATvJ&a ~םZ/IMמJ4^,DҘ!˻nQ$O!oJВYb2 ˜~'e#wM) 2+7HCk5x-Tfoc ܷVRǟΞ3+HKZ5oJ[ʝ'ؕRѦBkhK(bBಸ(m"JiƚpkrD 4֕8,z >{s?еqKfjKtN=`$kqweW`![%kwn >D -ᶝLrgUf+e,__eeCptC+f[FLQFD|6N4VP܉݈ۂg04RDU7xn[_P?^k!ZR)Xw?Chj:.Ԫe,eV}G`޶zmMtvجve/&\޻lU]}Mo#Qrg suɫeTOVGXb,CF xV]V"d|,B)4N6srO'':yJln3{oz6խ {|bV#yGaj?1q~>dPoWTr9ÿ'--/b^{RRiRm]n[AbWff5Mk [eьٞCHm5+˝kT`x-[24ߐ!~%#SVSd_pjIUJv>Ҟhc]Db[& r+\vi4gC)^SQ =$08DyINgkⓏ]m5]\ݶQ,EwVw;oR+)SqhGO.Tl+L1|s~!fZ%##m3\Ʉ2brl/R6<:㨟}81\c߀_Aɿc Odjh&1̄'6+꒽1_ 1ܲ7Օm_Eptngyηgì.=\6{0B:4n"ʺ1u,[vhfxUMP[4D`c*J;>6b;MwǶe>Q/t#8ƽmL[Cʭ s;7|Lg@+ϟ-ـa?BtukJ%L  $(O *Fc`i&o-x $NuSjYy5-o@ڄ "!d~Z^A!f04 BvnҪaM̗=ݘ'-Yz}uƶ&,<1L<#jkpY>jOw~8vLe[Kk D1QB ) bREgAJ4h,ee IPG9W#m¯9ǟak[>DL ls;L}.w S >-lR`"]ApLy4ҿDmymҔZo3i3n, kYȔ2IC/=3WOKroHg$ ,q= JVPfh.~c/¼ 'ok%8E5p8ME Sbje'ډ]Mل͏/'B9z`w> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23414 9548 0 R >> >> /Type /Page >> endobj 9543 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9544 0 obj [9543 0 R 9545 0 R 9549 0 R] endobj 9545 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 304.725 122.505 315.725] /Subtype /Link /Type /Annot >> endobj 9546 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9547 0 obj << /Length 19 >> stream q /Iabc23414 Do Q endstream endobj 9548 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23415 20830 0 R /Gabc23416 20835 0 R >> /Font << /Fabc23417 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 9549 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=810) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9550 0 obj << /Filter /FlateDecode /Length 4245 >> stream x\Io;W@pg$}s94׃4}yb㖙%U~1R%`0"ߴ+gu zeA;>6a!e|ZSh]+}WRjwƶS'[[؂-ҽ/F89 woC/!,PdlIV7Bq)e-YDzḑ{۟﵇y?wzYzW鹒X=؞9/SECCs95fMԁЙè|D`|bHEhR,4PYRrLH GԢa|(v)!ZJ!>iΒ5]zRʃE[ХV((ZFmvw pzadOƢA$OO|a$>%ѿhY2+ƚ^SEdhw&$g]e-R]6.$'〶jZz:n5QtFcyU&XTvv":1C6b D!(>0_x!N%&9P!cFVDPDYu a&%`]ѣ£d lF%u]%QKTn`nrsJ6ze=yx[#^GwN3v6l>3() <);F%]]8OZ=UEA李2ab4;Cf\|:^\TsU 1zkmYQ%?CoHV \-'wk"p؀/w7Z`#МJнHʮ\7PM.u,uXm8^cb5-u^O%`N[ ;aٽzBϸJu,b<)4kc5HfaCy7 DAR)EiRg*i +񽱪 z z;ӻF.~+߉HVf%jU)@Νh뜟 ](Yڋ0v t6S`6X{LF"2}]w|mFs n4;* l0EjbDRv Wdbȴ\$l+ id zd6TfACk84cJJg xԐGTX#/1:TC23T{e:Γd4 v/ONhNcC;߹'FjiRRshTkЉPU.~)%$ڬ`B Mч9seXdKƒ*e=)LE$}՞HzYݺWI6sA{Licq4!lmuG)3A|BeZY2&.2U'v MSy2H96.Y\JdT} gNc ӄbDԖf&$ΈΚZatZcu#d"jTndCd |&Z*WN22^d wTϪ|V&'LP9 pI5aS3jCP-,(.-~. T}W[X 4+,0E(3&daeyT Xj̡+ZԵvzSX^Dg9"Wn^)EXp.e(^loI19$&G19(ԂvH9iziˎNGD=୆@br?QrI}9F$Չx|W_k,U{szW%/}4hlgiP:UH){JUc6iϰGا>cC+Q*#cY!ܜEg$ JW%Y&ɛ9:X?Rn>d~ԭׇT}]e;xr|9x Fq; p'F.@9nsES@D34OSt5K "=-+` [F|WQԡGR0*#>M3||> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23433 9557 0 R >> >> /Type /Page >> endobj 9552 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063006-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9553 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063006-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9554 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9555 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9556 0 obj << /Length 19 >> stream q /Iabc23433 Do Q endstream endobj 9557 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23434 20830 0 R /Gabc23435 20835 0 R >> /Font << /Fabc23436 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuXT"|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYMޚGFx?1$_0Ԣxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}L endstream endobj 9558 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=811) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9559 0 obj << /Filter /FlateDecode /Length 4975 >> stream xڵˎ9@|SLwO99mb:OUH)gX,AimֳO'&3u.ݼjL/77k= aQZ-k_~qJy:{8vopO1 /Z1\i̲/Jp3Jw0HI0@@iW~,ci⩽z #‹J]pLjEOq6.sxV=Ϥ1u&~@ůZx#X^C@t1=_'3"3tc\Vj-WF7Lש7;"ʓyF-!SOD5TMӭ[]w ~9e%srA H\}5YQ N-> _سÚkZԪSgμa(iiͪ.6" gX&򷬏Hæ1UOc}40\irД"G@%uME$N H&,P'o)X$Ei@ iRQ$P,%kQ=G$yi?ŢY.de Jg '/'w T К2Heάa@7RuɑɍFJqn+-"v$ނ"ވ>ȯU %bqm>AԕV^šnL )"&RC syH]3sCIYIY/{D(#ʤz&/Rz}ECB8egRIC*-1fp g\ӵ1 w+ZY!$Ķz!>j/t1/}狥{&i𢊭x,d#n+c rJ 2, vM{3rpyD(^ŗ'i/VXh=,b"lŧ{V\=YV 1t,hA1NٵD!},u>)l>/K#Vuϸj+HsVЀL2$ttjD|dffبc"(dҋvI&ECDG_Zd[|ai2!q\^6 Ƥk\UVSKɁǁ@C:;7ݔ6 *k3׫ihXʯ,dCa]04 9S_\ٓbߒw@hĝ8rɜBțb7\p"鵔4rJ\nrzˑeB0cTQm9re, Z yJYQ"B(I@}.y=u#0In0T /#n"'EGmCLVv۽rtyŠqXoNDJKB2 3 K}--|5`fВeLY8F|QHZM[swzHW>g6'14iPnQ5ouhbjV`jોQ+THqO]!pZX$HkDTx];E+t"6a"OI-Dv7z2>*g+g*#lE w@%B޿,I>6U*+ݸk`sޞk3cWB*ПjYC܅`Qtmul_$`Pp Q9\ w)tr)m|:(}|q''T!wJ9uƵXʭIF(t#A;,& /Ef.idO LWeէvJq6!A\{eBg\wZ-HW?}!hCv˾&FG6;2DjoFI̴g󟶠;iفG=o㳲Sւx,H>ą'ݣs?Bt@e>=5v"i\X}[1^{Y=&=a1m+-x^[$?TVNt>*A: ]NrJ(DzB{۩g7ڤ=cy]yNŜXf";kqK_:9iRZ(G>,$&ޘ E+gOg)Ц $r8~ĩF/[2s<8|XMJC!NCPb5rɂ?gѫE'7=qX5O}j=[B+<(R+1NƼE7ےu17z M;c"3a m}f,.pK dd&H%}0~SA ɭ6c z&+_g318m w-1޿LA֖'~|]-lxM?4 ,g ,q.EAW|EAˏ|+fN]Ir+D?.~8m{+ܺ8n.y'goBG7Dcs)GGܢ{|nt wx { ep*5.d>1 '& 8퓱.8ə8FP' vu6xjUpSdtڭf*{FV~]5'c=8Dպ,և%Mr픭9hHMBℼBȒJ\桘Wn |Qv'\{ʯH& ڜ_Ѽ-ĝ`w|][p+o O|{/;dU{e!QabG ]bl,q|ؘͫ*R6v\/kJHijad)-W˵d٫:T=c ps{磖}m1]ell>ō^EG*k*BC+*\>RۣL;nnQPZ~ *8yG,W-w/~46cʰV*B'5c ;fr~R5+7,D)3 ?},.fq;SJߍbR#D߭Hx)h2  j^ ӱ0?ҟW+uRIGѣ>.|>*|mIk|D`&_+kz4sk>8kG¿tN@Wr!##8ĥkܔOlwb)!$OON|k<O"%f,NFF0پZPpo|:*|L'_RuX{< 'QCldr1=,'㞩|^)O|kcTGS^& OaVOT/ s^N:YK1M,$_3ɷ7^1OmYd@2 6&ە'zG/?54'`N\Zs܏esטlª@a-z8}ss$ ϴjGXL XLnwS:|gG\虣3 ~VtqyѳQh!܅cM# -'Z:2AH̎"Vg{C&%~#l> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23452 9567 0 R >> >> /Type /Page >> endobj 9561 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9562 0 obj [9561 0 R 9563 0 R 9564 0 R 9568 0 R] endobj 9563 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20211013063105-08'00') /Rect [104.1732 451.5346 181.9322 462.5346] /Subtype /Link /Type /Annot >> endobj 9564 0 obj << /A << /D (unique_508) /S /GoTo >> /Border [0 0 0] /Contents (delete_interface) /M (D:20211013063105-08'00') /Rect [104.1732 435.3346 181.9597 446.3346] /Subtype /Link /Type /Annot >> endobj 9565 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9566 0 obj << /Length 19 >> stream q /Iabc23452 Do Q endstream endobj 9567 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23453 20830 0 R /Gabc23454 20835 0 R >> /Font << /Fabc23455 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 9568 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=812) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9569 0 obj << /Filter /FlateDecode /Length 2231 >> stream xڽYIk,Gȳjr_iE2 ̜yLtߟrVY2ʌ"2ZV[/Y. OE[>Ӌ`Gm#dNSPlx=%q,ۋņ7WN^S$FgX'h\ϸϾm1\X_5͎aE(0 d">c8GNЏ F0 겗xA=[#fkvǍF|}aE:ZF5:5="ho솉-f!5(*X ޺]s(%a?P,Lg3.63%zNfdvŋ||b&djq3%>:m.DN&jjtص0!Dz-u(C, ~NZ}sMuWԈ?ol6FZ T8̯]";IKXs' @-e$1KtJ"6`jIr8YX һ!s:O;I}IwR'=oHז"bg87.9SJŒm١0ۋ9NpRSgr~NIe3H~bU:[ +pS LB#4dXl}*k*FsHM 5-~E n FՈ(CF`zAmSᇞ!בgf;B SCY\dݾSPp]9Bwi"s_Cwn؍ VIHYG? xR/ӅL(_¬u!9 6vMűh A$ endstream endobj 9570 0 obj << /Annots 9572 0 R /BleedBox [0 0 612 792] /Contents [9579 0 R 9575 0 R 9576 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23471 9577 0 R >> >> /Type /Page >> endobj 9571 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9572 0 obj [9571 0 R 9573 0 R 9574 0 R 9578 0 R] endobj 9573 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 295.525 123.253 306.525] /Subtype /Link /Type /Annot >> endobj 9574 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 295.525 161.071 306.525] /Subtype /Link /Type /Annot >> endobj 9575 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9576 0 obj << /Length 19 >> stream q /Iabc23471 Do Q endstream endobj 9577 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23472 20830 0 R /Gabc23473 20835 0 R >> /Font << /Fabc23474 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$P endstream endobj 9578 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=813) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9579 0 obj << /Filter /FlateDecode /Length 4343 >> stream xڵ\KoW@+|w{z6k l0sٿz%3U,V")wmoЬI:>뿜vV?޿ÔBL^?|1&<>3xݜd]}zzPmq ,YSOg<>E~_w!vMh7V~'? U).x\]Y9,<~տƼ<-KZ)|}=\ h?5`LrYԄdkaQ3`߰.0Ox4* EfRQ[c/O4c˂ͨe,3妐A^W~N?@k?@#t??;9͠Ht@OώAA~%%N|ѵ]UuE~7YKuٲȵ랟B*OmW^8[m0{~04[_},oUyDGc61rbC<@}[f߬ڲyh)ÛB-%1q CQ{;r ]d!޹)Ճ⾸jiRPtZÄp[&wvϯ(+2=1eyۚK2 #+1^1xh>VpkYq[Q6p&(*15@eVUiweyٕb$jF`Jabmyf/ąpA%]*јY+y1K(˗f-X8_SfS}3ӷJCwb*#`Ex.zO fU¦;6`9Q{A |!L{^,[(yX^BSD#AzZk&igw=߉y{]{+z9 g>Sg! [m1ᔻ2qX'TAe:NnmɫWqj4* fZf6B̭jz&1`)@=(2Rudߒu)d\?(ڢtUM[P{d-6Y`ݯ<^<qx5 _u^խx { pϹޡZcMqUoC󬻝|lܫWL%L $湓!:5~ŭ/z 71#%KF8/i Uq9^iw{ZNݬ\&v ,+\hp/@\dl+{o߉ = k&J9M_Rơj}pґ7 ×7垉A47C !*B5~M&!Y㆘ ]*Nx铋j\ȂCwZV)Ž6Xom5" {ӝ">ݠ9Iݰ}~6F(V1J8FMҟ1J]b(1JFR}keL7}wcJ]SҼ^LpԵ˨1ޞ݇)uż&Lk}`J]5SyԝP0G`G"YH )syl#\yO<A:엦NohR]:m #«.1Y}$8n-4 OU֑ƭ‚z:R steUD9򥛎'fX)a  G',,ll=͒O)-3Z3} ƃshO`&jyT<\\%Pk;Z]uya <Ì:|[nVEO\qJxNsy ䷝qx5i$t&ݻmDȜ [u\Ks>p?05ʜ>,b17{YR%њ䀒Y XR#2F$籤:={[$uwO|KeXLtz:A2ٚտ͡Ь[ {(ёN[:s̮CtSB%Dҩv.0 y ll endstream endobj 9580 0 obj << /Annots [9581 0 R 9582 0 R 9583 0 R 9587 0 R] /BleedBox [0 0 612 792] /Contents [9588 0 R 9584 0 R 9585 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23490 9586 0 R >> >> /Type /Page >> endobj 9581 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063006-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9582 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063006-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9583 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9584 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9585 0 obj << /Length 19 >> stream q /Iabc23490 Do Q endstream endobj 9586 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23491 20830 0 R /Gabc23492 20835 0 R >> /Font << /Fabc23493 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9588 0 obj << /Filter /FlateDecode /Length 4919 >> stream xڵɎί9ڋ Z-9 I \y[$R۱inۗ"f=+b2_|MͫKt2psPղhogSñ{~ __x>3JLc/8+`(uߕjo/P+#]X^'Eq^e %7Se6 ,"gһR?\2m\20!F{Ϥ1e&~@y YWt T x#踽<1=_&3"+3etc\Vjѫ= e p3O~ٝب%$`&ԩu`uKtANqx)8CqNYn7!k~1+Iŧ3:e^8eNʞ&E:qb*<Hs27:4.^`o0>~" 2SƨW=}FLgDASM5e~\*j: X>HD2- 7`R>|Er) $ 6"/CJv`&/Y-_9Z&a}fu@ f ' @].51He.a@×U)2YƷJBqn+"IҽAY}`E_L5dJd}ڿ#^)+<%C'\;܄4 *zCj u_*->dATP'QF$22!O:jx1$7/94+S:H@|&4ڂyqq h6k7ku}5DAkf"k6<,IVO:@4cGK'5=;_Z?Ⱥg+n/ĊWI lVGyl/~@R!O')y(45%Mi xVuVhHx=Zq`xY&]͒(|KґNBZGf x*^Į%6҇RV4bU&;,Bd97h 6~'ݲ1!"Q &Mզ3,^z.IQpHFb`n ٛc?& 9MH/׈l7 ~k V"%(in졵3hK r̎3ה*{֮د0pح7V A -(K~q&br̢C|7gO8)2%zFɄ$*nsx56!.>Y‹:`$MNTP+EdqYHyLO.n:K𞣞,EZnߖ4Չb>T#Y::>k;9ZL銪?.=' euv:)YbN3׋hXʯ"dCa]0T5"N.IeDXЭ̽Sr@#ȑw7 :st P, .Mf٭ȑm-9UXJ8TK=mdr;x6 X[*&G僱;ܱC#A/^wA="E<&$Mܕߚ5d}< ti7%od5vţ*:(u6Jnmlj ΣJbJ~O6NhcylC; +mPYi xen+MM&T*mgELAEj =3rMuln@1iga- X%c F9*3e,BZ%B(r$*Q@F;itnx1tGL":m_wŷȚ>Ț?d㶶-{vug㍯"`Ge' {l e$O}熘<ʴg i݅F~g6rrQ_h'w_[r`D3%;(N/#^M^6tZ:DH聻c"<(bG,pHC.۶b[?hC'.q[m!A(-p]|x4lkwojh67Gs3n&#$]Kª/o%5 .ֿ9`Wg?i ߰YoꖸMɟ†i_3w.]@IO?.~8[LO/z'hة?73D\0]@]kM:] La}q19 V\Z!AK'`?LH8 *J:'O:PN1:YgQb&ü {iB_F,[{b, 2ROG[͛^ОKQ6>Xb^i@S7 t}DZM j CPQX݅gƖ8ߺpsÒb.q{ A"55|3muD.0Cq>C]xWh*~"q#^E=dTZ-jH4K][5~|!|x|L8L{U~ɖ\Ď K8v{P(u <P-|94x0fǟ/hz L#0ijLO`'j=E KWLيU)%/u,^FBE_g@wo6Q?Cf "PȢZ_ Nu=hv>;o#O[1owO#'TtmZ!,Lp@1܇̴@i$ O~Þ ކ"Zr{zG9b!k5ie5 WaBfzEx@ʌ'@"Cw8(7 HXfz-%p qMC`ٴ~^9݀>%eZU̚Т韯(.Z.QW>8kZF¿&OBGG""pK׋qN';j8)'<%ktm̥J+m~,0odUٔHAzfFRu9MMy0To_Wo7Jjf937:/}t^p<ٷ})h&܅c iW! UPI+_S9 ~忯rlx"hY8 8@oqk!Ի "f&hF\aq"})O! ;x5,VAf{x?Gc$LQ3ioQ),x x7z$#~b#@4" h!K jC endstream endobj 9589 0 obj << /Annots 9591 0 R /BleedBox [0 0 612 792] /Contents [9598 0 R 9594 0 R 9595 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23509 9596 0 R >> >> /Type /Page >> endobj 9590 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9591 0 obj [9590 0 R 9592 0 R 9593 0 R 9597 0 R] endobj 9592 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 335.4385 164.9372 346.4385] /Subtype /Link /Type /Annot >> endobj 9593 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 319.2385 180.9312 330.2385] /Subtype /Link /Type /Annot >> endobj 9594 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9595 0 obj << /Length 19 >> stream q /Iabc23509 Do Q endstream endobj 9596 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23510 20830 0 R /Gabc23511 20835 0 R >> /Font << /Fabc23512 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7(|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9598 0 obj << /Filter /FlateDecode /Length 2987 >> stream xZIo Wy)/6s'/í6=m ؐ*UH,Y->}QϨU6إY;?.nZ;etP_NĬ54~A닅A=(8!V[z Ym2,ʰ%R?50\T{ Ky:>u7퓇aE=uXէ-SJ+Z3Pv:᩷\W`u%V?Ov jBH#T Í'Pߓ<_4aɧO\h!Vdew%m&jJ4z4F6vi㤝*!.p4P1&oPb]H>ڣ2IO`G'֠0 B'tfhR1Ҧ};lyM(Wr9nƤnx:їp/ Hnez~n+%T*IE SwL бUƕ,Ouo+{TeWd @X#c´]38-H䝑qq]Y֢zˑr8%-z({JaȫyKRw#[Ry^v"d4^h#bpTLqY#jДC .Rӵ8D; Xm,!C4.7uesȝP"X f6.m6wwI zT uO[ʾ{BD{&z?ksAԪUW6cw!dgܡ١눯H:q+5Iٺ\K3(AŎOsM*bd3ō׹dwUQjRj>5Ŭ^ݴGO#eGlPx˄f,Qh5\|;^r 7Xb'&`R%+`0]hըTc֮U8hEixcZ(@  {;\00ť1tZ,CGu$yTI:ZV ;2{IL%į=̐s;!ƗAeVRkN-l=g~;#`pi(j)94`tUSYYj Va?OAav_p -ZPG~?Q5bXj=z~ƒ ur)v~g:y8Z&}Q%B4=u7Y&(Q0R^P,)7h! -PoBgK̶XS;1B@h{!=ƞPu,zLl+|:! x)'(=ZnɶmǷòKq(0qC״K `Ū0@ݗ]6șb10Ď{,i<9&诤/D+AKX> 3@~\W+>B2thSo|/ـpݖR 6Ө1[=ZvkNjF>zEcMIci1Dd[$^92j~QDf^TG E}D>N"':rMH/D:l4QiM4 ysnG˞y(=𾼩uUZ`G쮕c>l֎Dm^ >qȦ[;np_A׀9+F)s5qP/\e˴Yz}QZf&r// ">/|Ė uiל>21=+%5G4bҷY lt9Bص$W9<ҔNsdlϰeԽl52q뚸q!O¿ =~B2Mf@C >Z Ŀ6* :]eE `Vlt,<̦D,K %VxTʫlNpq:*l0sĩaTYȶ"uhڢihIV'Ҕjot#𳬴IQhҠ!Y;ҼCӾgyst_Xf&Qcs6lKYyOBχԴu,dh&~bs훈KZ/d;Aж G X/0}*< R #%|xƐ%OI(bTϩB-qGG4AཹQ)_Ut%<>R~Ϲ>re <J= VpOh=GcՏtҔZ3iɡh x箾%fYC/OW WgB:B@&`:v@ϐZ=CT_ v!|Fw]ys…y"T"6VڙVbq,sS/G'|*j!V s_W0zT#I Lͷ endstream endobj 9599 0 obj << /Annots 9601 0 R /BleedBox [0 0 612 792] /Contents [9609 0 R 9605 0 R 9606 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23528 9607 0 R >> >> /Type /Page >> endobj 9600 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9601 0 obj [9600 0 R 9602 0 R 9603 0 R 9604 0 R 9608 0 R] endobj 9602 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 295.525 123.253 306.525] /Subtype /Link /Type /Annot >> endobj 9603 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [128.566 295.525 151.4185 306.525] /Subtype /Link /Type /Annot >> endobj 9604 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [156.7315 295.525 189.2365 306.525] /Subtype /Link /Type /Annot >> endobj 9605 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9606 0 obj << /Length 19 >> stream q /Iabc23528 Do Q endstream endobj 9607 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23529 20830 0 R /Gabc23530 20835 0 R >> /Font << /Fabc23531 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?I endstream endobj 9608 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=816) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9609 0 obj << /Filter /FlateDecode /Length 4461 >> stream xڵ\K8W<|S 3a 2x%ə3)*/"H?+/Nxեlп_T?A;?ÔC^ŘI\,W˓.֫P[KOoO=9 woC/Liᖙ>÷Ko)<~8~&c2L"&';_r0&A9v/]гTP% ?3C N' ga˔AjEQ(Y>?MKR?al;ee?C)0,̌e kf?ߴw1_0K9_F AD|yKb3)M yl+,Vwr{ȗpfWx 4-1La:J-}p^6諑Q%ݽ- 9Y 1{,e,1;D#ĎPJ$E 2CQz;|`B|ɓNvATA QŞok4SźP4@AlMfBx5&Hs!yjE̜,N$;g;CI2 t7>6Ђ-_cՃ;LW+JO圙5gciScQ9SU !ҥuibP*k&~2cOƪq^ i!WT  }e:f>*^5G&ar3h/THO9HG+O8@#eĭ J)8.:J%t ^F$UD-j\rQ⸠PB늷^*48ZwKΫNw+Y]EӋ u™jp˜*@qeC)CRQAuDְ[vi= 6ex@k|yEWqOkXԺz1PŶ'b5SIak*(o닩i7lv%l< ;8)0wfP!TNo*XZQYNN7XмL]*߃_weUɔ(;'^]q;QjفSGFq8 $5^p] U8uZ݋NCY{NժVT Nb (u#>G㓺ڋOI6O@|RA#''s⓺c}6F(nPR?&FωQj?@RRc~8XR?:FψQQw)f0Աi=RG1cRGQ1Ô:݅)u$SPwԱ(dL2Xl&O3VotP~oe)&j H=# o-="EƭzX-hW>ނzw? )0' :>zg'}Uawk@˅GԽ>-\{oe/?vG|ۚ7leöYt+t e0olՎbn}=b!ϮqYk#"_!|[]ш&w Bk'ed+̝Uߖ ٴu1mi'f9sB/>’_i®}apq^r!+vƧ^ZH(01rQ1z]|n;K{h0^ZyOuX&; Vl֌qlr:E ^_r5O/KZ%(=C:K b:]ػz)}~LڤԅyJ}Lrc29]gWpts%UXRa,K[PcЧyFB zX [,-mfͿ~?r^"o6cθi!IV~1t q<Ւ+xKL[naCd3NxOhxPi-s03ϼZ ء!9KMi"ґ6ڥt>j˹>yO|`^0ϭLǻzS2-7i;=i/:o&;e0EO\0 v嶏49Oi'E|E5 oV5dULgih(HpGHG)-^3u,O"/QE+5jvBޫy/܊vP\aE 7K /Uo28R\Tml v;5fUv*zP0KͶ~_7!LO,ټ!s^ҽ8+?|4b~Wl?'rOL>NUg9p'tW;Ne&'E8zH0Lh+F|YC!|0 2>߆>OAz9KG\7(t˕0dT0XpW_dPF<l|:^cqgN3ADT, T/QΕrG/s\{ y> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23547 9616 0 R >> >> /Type /Page >> endobj 9611 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063006-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9612 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063006-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9613 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9614 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9615 0 obj << /Length 19 >> stream q /Iabc23547 Do Q endstream endobj 9616 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23548 20830 0 R /Gabc23549 20835 0 R >> /Font << /Fabc23550 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`hЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ D,o)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ- endstream endobj 9617 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=817) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9618 0 obj << /Filter /FlateDecode /Length 4963 >> stream xڵ<Ɋ,Ir8d xӓx-wߗmFR=Xm7XU'YgznM)o׫[^ںڰ)eW|Q8]=\7NSp5"ۿX.4fK6~t_muJOӢ 44 ,oѸ[!ګhϯ0 #? W\tZWq Zui@IcH@3'tTx%>5qDlHFM(Yϯ˰ *Q|χ2 !SL:\En6dh-'ndaǔ8w&s8Y7P Nm> kzk~is4룥 jSI<8nq@0MUl1y58 7,a0<|"u[ʢQF4>Z&*i8 ?H..7I?fM,b -X g #ӀF楢H+PK#a߱QDoԎIX_xj:QN0HY;z杈TC2YD2L  _+RRt&."ۊ{ASaoD_B %dqn2??@ԙf^١.nBEzDTZJ 'Mjy-.ATHHae\2<;zXVÓ!)n?աINf DҐHj Ła īդ9o 8&藍ے+|; pKo>(<  t|imA*ت{{֢X-jNF3Z]NKhO|M= e]t*xelxtgԛL5&=Ԣt0M|8n^u.0ƣH q˗?5.y.S\>Ņ:~ ny- 0P z )hlw~mV"ũin3(-tq9GG~[HU,k׊pV%;-N F 5K~sIۂ>/asf!B['_Z5fQ#b l.c>JI| Oֿ ExQ0ɖ/e|JT(Q#L 3Z_yHw\D3tVx=3*CsOi8 E;<yE .,6x1| 骨?=' eOdv:ݓmbՕU ef}~8\]4K1C[eB)/XwЈ;FŢ1n`gL:3]Hϊ mgjhvEO&UքQP+79n9_֡ĊIe"-N}d};Ijv˝Cd|btZv޼oى P9~!nlt$J-\Ǖڱ$>{|׬( 9o-<'fp풩FB9/"Vg&xsJQX1QyrB.i>Y Ns6wy~K رd/Y&_<ꚧ1g(]iQ3LIƮ;V _#$c59IAԚ%[^]j IJ< lϾP{pmlCsyRٰ߯%?,O6)[3'rE 0{%R2}ܔqtѦ X g*@bD<:=BZ)Bo|HC}jD-7, "tp0{ Jͼ0QhjhJhFp]f_SgHὒDeP%@ZŪvV*˜B3P宮p=5({}}>L]x_}O־PIc0zn O/2r /nWxr𲎅vG:o tz{lq-"Y= n$ yܲf78͟vٍOoVngy28V.xaڐ y?Eo_^qkD|#qǰ-a zې$.08ӍZ=n3isO?AB2AvEe=t/H(ුuZv~ wWsuu)4g w4͟++Vsɸ>mqy\ y_ hٜjw-m֯F,=o>Ra! rϑ^ ل& k>˺U2/#>85L;$٩Vdge,^} I9bghzQrswĩJhԍ/{2as8tm1*M_ ^Z s.956Ǿc<rtI-ђtR;$ RӽWE1dNaKEAl^P-s(Qv h]Px罹U΃*;6>TQ]zڏo k2gYg#ٛ5&m.[?o`Wlzg:?{//xe _쎽hKāh6x['<1?JoR~Ò7şmώ!MKw}x&tF.B VIяԗsz; \&hr# đȵ%_Z!A$ i$MH ?,M=)c2#czNhI;H JUmwMv}ḯZò+.4 [p 꼖 E*&xk֤bfK0|Ҏ~;ȝlvIe'ryމ0*9|WA>мNOÄAvT&")o;' P\O+#텹+q!Fw=%Qcdug#=9o褹'3ӁaRPlSYUe#v''nNkv6)c2=7[/g9#G IV[y;3]@\j! 1\no*9tPǗ|;}u/x^[FuZpN+Ừgt8Ơ;=F,uKp{vՍb#n15^%^&2{@Z>'qn=%/L?PoPhL`{#,! lc&QvE%!tCw hPnxheTɦ4Y*Rt _ݖ=LuˏUMcȅ?__!C -01b^kG|M̗=Ә s+ܥ:c|Fz@T0<@'t/"Zbz6{zPzbd!~뚃t} YX&x"U2"pD xAi`'@"p=_8e @RrOIn9p?pWwztx̷>`צTtmiKDfjB#hyLkMMyտũ<5g*0t,pt 8 ?iKB6srT߀i!v7<$ߜU5 D{X F0լ_p.ɨDMݴ/T;QQv-..i>6j({cFXƣGһ"2=p~fe<;Rke*LqQM&G 6UfTF2ەLjޅ"4 w-`Jd9猣m)f&1ݨPgar*>楠{.\X ӴL.lvW)}ކ?>F s2G 6w{~Vt^p܏ƓvU?Z.CU hfzLdjH3O[B|E?37E6OIHGEX[HFGvh* Z9B\, endstream endobj 9619 0 obj << /Annots 9621 0 R /BleedBox [0 0 612 792] /Contents [9634 0 R 9630 0 R 9631 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23566 9632 0 R >> >> /Type /Page >> endobj 9620 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9621 0 obj [9620 0 R 9622 0 R 9623 0 R 9624 0 R 9625 0 R 9626 0 R 9627 0 R 9628 0 R 9629 0 R 9633 0 R] endobj 9622 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20211013063105-08'00') /Rect [104.1732 362.3385 188.7027 373.3385] /Subtype /Link /Type /Annot >> endobj 9623 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20211013063105-08'00') /Rect [104.1732 346.1385 216.2412 357.1385] /Subtype /Link /Type /Annot >> endobj 9624 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20211013063105-08'00') /Rect [104.1732 329.9384 196.2982 340.9384] /Subtype /Link /Type /Annot >> endobj 9625 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 313.7384 149.6802 324.7384] /Subtype /Link /Type /Annot >> endobj 9626 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 297.5384 146.4572 308.5384] /Subtype /Link /Type /Annot >> endobj 9627 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 281.3384 164.9372 292.3384] /Subtype /Link /Type /Annot >> endobj 9628 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20211013063105-08'00') /Rect [104.1732 265.1384 159.5252 276.1384] /Subtype /Link /Type /Annot >> endobj 9629 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 248.9384 180.9312 259.9384] /Subtype /Link /Type /Annot >> endobj 9630 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9631 0 obj << /Length 19 >> stream q /Iabc23566 Do Q endstream endobj 9632 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23567 20830 0 R /Gabc23568 20835 0 R >> /Font << /Fabc23569 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7h*ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 9633 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=818) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9634 0 obj << /Filter /FlateDecode /Length 2777 >> stream xIk,_@W/4b!aNNބx2?ߦԋBlURI߾i nϯY. ~M-kb,D56c`5sO;;@ץ&~9c,ɲd5ҿhvY`;]aCښZIpɄ`ݩ_!/)ZW(4 Џ0)s0}RA|vgV@u@0Zǝ"pLIdhQLYN|9ZSe!bA6o`xS]nlXbM650KkDDtcc'4GFc MBeN#̸Gaw`G[ xaq݁ DI/"vQ-oD)d7%-n(n/prax~RklPmQĭiLȂbq2*Ы9X_,Raa) ^*ÂwyFL$kFM/-#^Ih\wh"0ހN=qhp(4xPe{I&\5BmL/W .פ1+c- $q-uA%\{Ə ĉn d7 ܩ`ֺ̉;(z[e7ǥU WOq*\ƟXRp%~KR|sm\$Mt]E_[5`j^ۺ]û|d7eMh#cZw0 1HufA6#ZYc7 c񿂪,s"@D(Χz}&s:rnCXgP+(55m`zp 1VS5fw. nąijzҚr5"DHBÓIhBisI6sО9`)S3j)6P~3NL7e@p񰴭G|'YQ둓NiA͎59rƄ {x1nʺP6*1#h |5{dtzŚ %_eѸ=W/Jqh ZKC*:qR8D栧$YJ%T AmBcVw度sb;7jc∴k{BB⌘y(UH"\)"5{8T2|(uAԣ?4uRCsJy8X'G-5cKNNGgXf{Co_6Pl 0atXx9j7EIyCүZ5 `A/%&+ ܧg9@09m)?,sA)W =,V/ں@輸/+X.i2"P$6 m%Ô'9*3Юޔ:S[zQM:Lh 6z^o*b~_40fzuͱ]b{#%v;Շ<ðe6Y/?j(w#*=%8UM2h̖e";Ѩ \)n$ъ mʜ PW2AS` ^Ń*N(7 "Z6'LQj9*/dx"0,mD۔HI0$7fQO~b`FV !972Ⱥa|>^>D M8:eQt*'IPN8|mwE&d˪7a^vV?)- .u%5"x%;闞 T_#|VU$O{{(W"foKa/CYB#@fpwן`eǯO;a'V#x,V/ď? ~x?־Иyu(XHRJJjr`sFC!s^ }sx<@WI d2AqA ڊn*rt;ZB:0(;r߅UyrvZ$9UU TQsjlf۞01܏U=ěDt6g^Xns`G0z#אHXReVN_!> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23585 9643 0 R >> >> /Type /Page >> endobj 9636 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9637 0 obj [9636 0 R 9638 0 R 9639 0 R 9640 0 R 9644 0 R] endobj 9638 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 332.725 122.505 343.725] /Subtype /Link /Type /Annot >> endobj 9639 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 332.725 161.9785 343.725] /Subtype /Link /Type /Annot >> endobj 9640 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [167.2915 332.725 200.4895 343.725] /Subtype /Link /Type /Annot >> endobj 9641 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9642 0 obj << /Length 19 >> stream q /Iabc23585 Do Q endstream endobj 9643 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23586 20830 0 R /Gabc23587 20835 0 R >> /Font << /Fabc23588 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;7o77 fڿc}Lhj[ߕ9pZ [n`IEӡ~1OEҤYȵ7-$G5UJx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9] }c7uc.@Bl(Y|zǓ|"j@͠zfQJ@lIHd%Wj)\Όl iVGۏFx|1$ɾ d_ EA2T^2 'LsBV9P|sɨ\U#URԫ`ΡLH9.]@p94CZ|=B/}KJ endstream endobj 9644 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=819) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9645 0 obj << /Filter /FlateDecode /Length 4266 >> stream xڵw(KȨCmf26y7W2`7DAWveY^qc~рe #ܟhk5mK7; H)dAfnY5[B^ǾM;; 0=@X3pBIwjs6+PJ-Qm_J ~0%d' n27@$aVs /!ׯ<~v9a T>6]R<`\˔#*e &DF0߰ {Lxa _TU`PܼO.`%GtQ9 շ,[ _Ul<z-^jA1qHPb O8jR¯mZӮƂ:SUl _̶tT\$TtPԣJF\Hu+)FRgxHM(^’J/-n |/<?7GjsB'3sʺیX!*kb+ 8xY-ƒa[\n14 [OeB4e Q%Ugv̲{V^c⣓ ("6~6ά$왭RlVy29S$gE{7h@.s'&ujd$Rhϧ-p`y[+ap <љ c:뽼ohqa|ag#mp¹҈8^?fΎ1~`TZCL\s' q]`4i6welH3H}01lӂ0V-xpwn&L !? vg8mՆ325RO0"3wGmC>`ܹ[_VF@ ASZ%s"sfZbˣJՁ8s%R Xq)TpzcޕC}w B bDyfM,R:[ݛjus8>2[+m@&˪BŦS1uYQ>R΅Q:ݖ+CE u>5װmr9ŕ$!Pj6rvu8ہl>,meBAwY 0۔ŭY>ml0cNݲӠ?jPA0(udP Jm J"Rz~A":1(_dPj1(uѽЧ!P?6+b7 ^iZa[3 ) x{*wP<6^ A 7Z?V<,pSׂl)#jFqP}]BETPqtV bDz*2'K ?aZsINe':֭ʧߏ$PZF@e"\a&!ք<2%F , ~-C GA(ú3LWxC.N<*L#*HseZdwI-w =>bH#sQ /MM2^*|Udlڝ0PMS2{a"~'÷ 1{Fu*Z+W0պ>洔Tt iM[u̥WMMEO3oh D^`#i-Q;1yX|x6}KG?-[&;hb5W%!5t[]1D{+mֻ9{lѧlY-g,I4룷 Mʨ:դz\ SaUX- ]7>>H_[3Neç`1hk4|E$6JTY>0[ޜ 6oPdKa k/KN66ZqDiby\7B0~;)ؼx{1%}*8? >d c~~yP0n qYbru@b|jC"۲5`FxlL8 %, 4MiX.7 awT?hr6es^A+av]KׁC/ǘ=fGj乕pWr- (݁bx 3DrV\‸j3 I[9JjgO {;)&p~Yd6Sᙝof ! \, P1|@Nn aze?SҺŒ- "|)5H XQueX1q.#pOjpNzAU/`P}OOݫ|-,3%Hb>+j55eSfrp[`\@S(ȹ.nC+VLإxH.SaO"5|e,N#GZ/H қPE2b和Lĩpƣ;HhpN+?䳰[Ͱ dXPIk-z޽`TJVlC9C<#ǒϽ`*`Y6lY\xkrHpQuRYe;@rb ]R堨aq _6YQL}8 =Sh󧸽l p6.,GPC .a7V4ܴU:‡z=H2-Ջi8jx,OmU)$FF.(l=]Q!,dd 63^%W+<'eyLN`M?o,7xQ D+n1`Ř4 Cq8\+; ,1ԯ!=]K{nfj֠ endstream endobj 9646 0 obj << /Annots 9648 0 R /BleedBox [0 0 612 792] /Contents [9658 0 R 9654 0 R 9655 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23604 9656 0 R >> >> /Type /Page >> endobj 9647 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9648 0 obj [9647 0 R 9649 0 R 9650 0 R 9651 0 R 9652 0 R 9653 0 R 9657 0 R] endobj 9649 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 161.7462 173.3797 172.7462] /Subtype /Link /Type /Annot >> endobj 9650 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 145.5463 138.1357 156.5463] /Subtype /Link /Type /Annot >> endobj 9651 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 129.3463 150.0652 140.3463] /Subtype /Link /Type /Annot >> endobj 9652 0 obj << /A << /D (unique_374) /S /GoTo >> /Border [0 0 0] /Contents (report_ip_status) /M (D:20211013063105-08'00') /Rect [104.1732 113.1464 182.0257 124.1464] /Subtype /Link /Type /Annot >> endobj 9653 0 obj << /A << /D (unique_379) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_ip) /M (D:20211013063105-08'00') /Rect [104.1732 96.9464 157.3967 107.9464] /Subtype /Link /Type /Annot >> endobj 9654 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9655 0 obj << /Length 19 >> stream q /Iabc23604 Do Q endstream endobj 9656 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23605 20830 0 R /Gabc23606 20835 0 R >> /Font << /Fabc23607 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`ѠЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9658 0 obj << /Filter /FlateDecode /Length 3598 >> stream x[IW߆UCR(1({{I@҇јxm+ ?:q L+x:N~h rI|8H$2U,YNq4I}'O+~E<}CTA(jyD^#Dw}C)˜Q Lmԏ&ֿ)"Wɑ܎Kr^cxsO( 7`9[[ܪfPYޢ=uE]+qC:} Lޠ~Q}ʠ9)jLKZ^&q;V=yL~ȽɝVaFߍPMc)oD<V=nnrF0v`D){Gywsc̊?tS&V e vn*>-OYoyjT7={!8ew"KArXʼI|g{CzzSb8.zˀ@ݭ} |֖Al!cNRWrůr \nJڰv?s):t1C|6ECrb zko:A71X~ΠOc&{J5G[ G;.*qcn:<9`g}z5bq#GM}qѡ󠛩@u+1c ԸBz())^+ÊDV&3JG"hӮ,ؿnjǍ0PGlK#[1LQFZJ]j`vՊƯ+8exwOC[ BC37#!F1*[Ji`+Xe#"m)iP8pV-YlZmk3nFﯗT=x@NXҳ߷X0geB!V Hk=G[BKuI2~!v2%E& 3ӕOkm^ݵRlxx P X]WSxzoz.ЛO/~ƫZl&% w_71UAZ/*Km,bח#VWRaBn<+ܣ`^ v/ܹX_ːh^^gv~0: _Q>x!W .(-])|fSų>X2x=n`#G(PLgt8^`F{n7'7YM9;'|+[3hFZ8%D][׉<rsuɹ~yf^yeM34_ qvwm!N΍D{=<͸p+fnztk){vSTo mOCB" pac,gDM `jA.0sSȌ5,-˨ r3h G$ш?5OuB)g*,6/L煘?}O~Oߎ@PYM(tۍ*`9Z2];X`}~3(;q f|GT#s? YҰ+L+JoO=xI:QS?D:Ò/h F :<e endstream endobj 9659 0 obj << /Annots 9661 0 R /BleedBox [0 0 612 792] /Contents [9668 0 R 9664 0 R 9665 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23623 9666 0 R >> >> /Type /Page >> endobj 9660 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9661 0 obj [9660 0 R 9662 0 R 9663 0 R 9667 0 R] endobj 9662 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 265.125 122.505 276.125] /Subtype /Link /Type /Annot >> endobj 9663 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [127.818 265.125 161.016 276.125] /Subtype /Link /Type /Annot >> endobj 9664 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9665 0 obj << /Length 19 >> stream q /Iabc23623 Do Q endstream endobj 9666 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23624 20830 0 R /Gabc23625 20835 0 R >> /Font << /Fabc23626 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_jM Q?wG?{`Eb2j[&!#(H!xs)NF8%*S)!^\y"/)b6M CZNNٷǞ5" 91J,:6(~S׭x飈fgѯOMɧ5UG)zr8kb/mTߖjڞӡ'4yCodE#25J\e8>϶f55ۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"KvPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9668 0 obj << /Filter /FlateDecode /Length 4423 >> stream x\KoW>ć=@n Cl6A`OnO!1ӖHbU},RQVwKZKab_OvV)1yU1>8w܅$wOէOJv,˟/q~udI).x\]I9,4^{m^0-K^)Pn>?W| fc"SlAYBZ}{KAS ~R k$RF*(!>:uMb\E֨skBÊ eyP/ oQԴ%Ω]SSҘvQg34c,ςn̨K4g*ꡯ矴_o:{E=>3sԵd_Xh]uO78z9??+6z$ϔV;/=9ۺG \E5m?33gW2 K mnX+Idn|_>NA?+m cOԾUB)du$1 E!(>0_x!ރe-`xUrJd~o _Gr-7;Oz޾Y(٭ D$D؆Cn?6:`nm2W< tM٧bîKЃKx{)1@uT7[uDC؉#ΘG2=93sC)M8OR-E\2e]f-d623@v]%L^&( W+q [vst3ګ%~ U5 $}T?U& kdgyMH`FAbsym%'qhUQEbGgIIp\(eC=@- syK"(tOBIC4}jKaGzfsJk^7ά6~ crPUp܂TtQp$*x&B(@(cq}]u~)JCw*# /)࠺oҁy Jc~~ґX?d2A :8uX;y+ :[ ǵ?#+er']lUX:I|Ku fRO|K[<#s(f<vQEXGbot9\=aq\sv(eIhEӈTGN ׏`o'M|'qζ؞8٣<0?mBUqȪ~&?} G|aCc*vE/GĶnn|iN:oZUM ~u}Tz@g$iukΏQ\!OuʼzB[uNg`SP82NlidO>v~/u[wĞS8SMD8x_Y&f*OW]_y0$~@OnbKs,y׆7ƯE_(nckD $Wzۅ/+y\&v ,*\蕨v_ _s E~sFO#;-6yl ~S8R-tƽ]kǁha /ϙp:L%t VF$YD-h-wA ͉ZsX<[7ؤ偺H{ZTŽ6ܘoh:<ZsKΫ8Nw3Y]FӋ u^sBAq&2&}Q;`kzX v  ,,\ }`v`Q]:1>Q6's+b5SIa+9ȍr{r]W6Xiq݃[ z*+ɭpR&Nja8NpR^wjعjt?8]'>p xwIN?''NpӥW}nԥnԅxnӥ&>@_\)սV'+p5V0 B:ꍸ![u&Ǩ<oy>NjI %![<݅J(s8"I& Ŋԛa3R^рz?T`z?o;5 U 7u+(U)RG}Jt:vfd^OJKVUZ(Pd'wWeZtzs RU RD^8ǀ>; :au3b#bdUcH]SbJ&%[:/ݧw¨ȷ0s"r\tη$t|&cb̈يcj BɺbhE V0PZD.BDwL^b6iIN/ټڱygʗe~XO;:%Ƞ $up3r#m.|+:][#R rTba̻]~r9$uLsrVWHw˕frkr sWGkq@S vUWL%`zA1ǖJMp8ȣ:  E'8qjy|tIH;(nP$-S+I=1V%?~:"? |p_$哈[΍ 7dd)I9KcZR%xi2栺T&kSN2䅂}ʧT\ ,:p8R&#պ&Ґn}v )t~`{͉~V?;p-wFxXjS~p?Fdg:WUɅ@L@+g52׍V~V}shآL p0L`Xs!]0u6`ʛXNtR@Y6b Da%&Z}BoD5Ϯ~En,/ {9bKŞR"* ֲK:/s$bY؛[ w$! endstream endobj 9669 0 obj << /Annots [9670 0 R 9671 0 R 9672 0 R 9676 0 R] /BleedBox [0 0 612 792] /Contents [9677 0 R 9673 0 R 9674 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23642 9675 0 R >> >> /Type /Page >> endobj 9670 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063006-08'00') /Rect [218.8375 596.9576 381.3955 607.9576] /Subtype /Link /Type /Annot >> endobj 9671 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063006-08'00') /Rect [178.99 544.2884 396.03 554.2884] /Subtype /Link /Type /Annot >> endobj 9672 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063006-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9673 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9674 0 obj << /Length 19 >> stream q /Iabc23642 Do Q endstream endobj 9675 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23643 20830 0 R /Gabc23644 20835 0 R >> /Font << /Fabc23645 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$R endstream endobj 9676 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=822) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9677 0 obj << /Filter /FlateDecode /Length 5869 >> stream xڵ<Ɏ$w~ETŀo=0zx.}%Y=Fՙd&`drb NxI.ߖZglV.+Ma|0ʵʕ+֗İTJ^o 1kI1r1[d_]KOZkk6!lrRoA@R Zڨ _4 uyPgaP@B+h6Yj)(iZ,Ën!վԄh+TLkeDD7{hpG/y B Of,ɮy9Z|Q3Ż1Y%u; @: fPݵr ΌwN)>(akqHٵ -hԪ\ 0J/ q85 !Vp/X+]5p@d0hX  H34fZ|fj "72t5@Y F*l uyx"nޔB  Se_/ok"=Xt]X ]bfq@!¬["J\` dY,BF,n,\~#APOyadE®)UGdR@%ArVxh EH@ePLL3h~/zvqdʊ4U0As%"ʃAziSCWN8q@Gnt@c"ؒ/`_U%(Dj(sQXj!8Syû-a7.)= =c{jW =D.cPJ}|]tDT vm=YYW4T~Yw7h]5LZQ+c, :=j^v.;x:o힠@'FmA L &t?O;ցь<2؀'hlRǽ>b9c#q\\I]!֗Vu':7=ae渜,VS!3u>1E-^kF +C%<0dl*" څEt~Eh܌hաc F1WD\"]ǧaұx< Oy|I"ZIiDZ>v c894ty'):Љ_|fNϑyoj"8Lـ|,Gǎ@5li)v܀ :y_XA>YTuRę6YӳӬ#ӍD%KSw3"*#sD$r'8 6X *h !t$V_-=fuHß)Xԫ!XY}"DŽy'"(;qQifWD2N X-zw;!Ż/9V:u.蝔~SȝH31!bMn%C'>mp7O}{luo˫83hx-ѩ|D= gn#+}ǴZ 1hMς|P6n q,$Fƃa-Ļ9o[f Y/cH4_PAskM6<=\xW-UR&:]nS%$sw0T{NGƮΖ"zAUCJU3#ni]$}WЇS8 F @g/(HcpܢrF5鹉ʧS6l1R b zPl\=9K®; UrzVX=)_gmègvQNkq =1qu"AK0l楰%`Xנ:SL!S-N2wI,AՁ1xل&5wvِp8]M +H2+$SkQF cy 7瀲m?Ga,d\s'yx&]3%фAvY_EZt\&I&nû&4V'ݪŎUf :7Iٴss ))\708 LA=4;ו#zDqF,BNtʬOAޮ.(ziG9![7h{YUUY%q6P'IAm>-䦫%tSFWTŔY7efCI7 -]̫QeMkZ~<"ݽzjqK.!20a?sj0q6+`J7]%~}Aaʲ?nnkQ,qv ~hWf\p<`TOc:nx "(@8$4O۴٥LbsUa1YOΖ-@HJGK`s~/2@pݬ?ffkW$-ūQ2*;Cr$ YrэAc;"M۩M/`?fۥbTZu;+弝qJ IKT8l@u2j$VZq0LGUWh^(77.E@k_nzdv`|O@<13œt־VxgWxϬ|5vfPcP&@ZC`RjNpkE>SdQ}kg֡nFY6ԏmSשIQr O -@K,/+K$vd-$rH;nËh5Qދ3Ѯ&y;'fJcMpB>6q71Z4l,ZбWxaxx574M|zBmaSa rݐ$nzm0Iʞ7t pE~)1sǵ˥3ہ-s~>3a/<.+Rb W:ݴy@kv.~F\"㞈n=FRP\y/? }>\q!&Biuqb%[ʂ޺88-WS{e~lũ/%1;#D_y8>•:W1nCӸYXOGyu@V{d. V>Qf= Y/ M\ ߔAL%SUK_¸xܳq ֙H_KW~f+!/y 悟m`uYVpNj(}wϫp/n/z&+чgkp4="xfS:⹞peX<˄M@NNA,CDpFN&  pLH&\xuP+9)c2K?Mjg1a<o}7xHnޯXCU*S7uo#~bޖP5a@׳pHE3/42- M0&ҷ~o&;;٥Uu"Hu9haTTs:*;j mS(B0c[̲qR%c!dm%/]E *{d5d,MnH9H, tP (i;8[t"99~F#{uQ *cucG1ܪw7(,xܞًx%;@oU'!k~dOԴQsv6%wTg0&:]U@n{!KE4;X>Gp%R3(ScG*hEbz:pnunGՌ -=nRn }{DOَ@%4rxNU:+q+r\,-a/[[)C1lRʚ; mF'ˎ;V*h7 D_JcPޑS6P(3i[1a[RGr#5݄S'oyGo8wPtdj&`x¿NCjkzgoNHKGaZ@ WK2!#Vnc4rXnOwɅ<> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23661 9682 0 R >> >> /Type /Page >> endobj 9679 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9680 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9681 0 obj << /Length 19 >> stream q /Iabc23661 Do Q endstream endobj 9682 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23662 20830 0 R /Gabc23663 20835 0 R >> /Font << /Fabc23664 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9684 0 obj << /Filter /FlateDecode /Length 4931 >> stream x\Kk$Iǯ@@ֻ{ݞeP-t_gfGffX77{huֳ'lׯsӳwsԥ6]L_~cmXVW~tHJ'~c-MX3J){įZ^a6aθF0Y0EOS%xsH3+@PG@5~ς%n:((=̂Yc`Ht dYche_Σ e#k~>Z>;*eM`5;bu"`f P`0Yy} K(RYfFD/l2>~䵏ca/šqY*h+`O&%F$A5Nj,vaOK{ &~B0 >,@z }+?YE+WӞ^)3Ne3 w,Whv}O:׊M̩QPi@ܠš'ŧq۸9e`*+agyyIڌJk&b''gu\<QkJZ U 2P]0LAʄ_T6Z|G)"t[V:*ne:&m!kj)ƽcQE=90vԮD-cu+}z3f)=fP21=v k^D20慒1!BdJvlƖ. m׶ lX;iVKMuPeV|h% #gX\YB);CW-/yZAB՗<&ju=\)3/S:Z/p1~Zo7,icHPM۰rxnN}5$Q?[, ̟C:QVTt:JZah=k%cu/qZ/ʆ`ӓ 0vdx2 t .oecO3# !n "B󗯫o1XeDv@d;lN%\{ |$DZrEAYN3~uM„\E12rJP&G/2<P:ތBzh21zV9 ilbfzD?tҢ Ր:E%;E'#^CA͒F_)"` C$꟨@:Bw"g)zpRR@sbyu6CXz [b fSxߓV =axk ddQ l0nN@Jꌅ:'XF.1'R@Q.Ċ3W,Z&Z#̒Eȑt$fF]Di0#$HYhHh*Q;%DIèw8 EZ$YHxB(n_h:LR:f/N'6@^΀;s"Y`q[{&։GC`1ot-Aؠ'G*Jc%Sްzd=le/(ɹGhzSyH a5)'K RJڃr'dV7y,˻=27:{_ǴG9 `bM"dH#)i>WZzIߟ%P$r/Lbk k̋xjKD{?N<D!BhYo&$otJZOi44?dbzLǠF Q7K`ө]MYv$&ndx ܌f>0+»! iT{z?șp\NJmTAsxZE{*ϼFҧ1=G!>Yf -{|Mo|cMypezUhŚ׼/:>)o3[) Vw|iXț]E+U/4Xs)qqpNS%=sٜSmFiy܎̡Oh-ruquCe;H+`}#SP?wVӿ+5MF K Y3\K?If7.z(?ˏVHow4naZ^n{dU,pgFn6<x;[lS`_Lزq4ux(K1Mh|Ʒ8f*"v`ekacŪD?simc )f)ƺq/#|9O+o.6_OR<BLN }^TqMBy}6?3'kGO Q|=~ipYE#ӧU9$'yV' m^d\/#~Y5Z-8 0"*T.Y"*gn#<˘B'a3טWvӉz=B.X2#$YR+myAt$,&7tVf:EOz"#hj LX">ՄhԐn7  endstream endobj 9685 0 obj << /Annots 9687 0 R /BleedBox [0 0 612 792] /Contents [9698 0 R 9694 0 R 9695 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23680 9696 0 R >> >> /Type /Page >> endobj 9686 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9687 0 obj [9686 0 R 9688 0 R 9689 0 R 9690 0 R 9691 0 R 9692 0 R 9693 0 R 9697 0 R] endobj 9688 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 552.8 148.0577 563.8] /Subtype /Link /Type /Annot >> endobj 9689 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 536.6 180.3482 547.6] /Subtype /Link /Type /Annot >> endobj 9690 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 520.4 138.1357 531.4] /Subtype /Link /Type /Annot >> endobj 9691 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 504.2 150.0652 515.1999] /Subtype /Link /Type /Annot >> endobj 9692 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 487.9999 180.9312 498.9999] /Subtype /Link /Type /Annot >> endobj 9693 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (update_ip_catalog) /M (D:20211013063105-08'00') /Rect [104.1732 471.7999 191.3812 482.7999] /Subtype /Link /Type /Annot >> endobj 9694 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9695 0 obj << /Length 19 >> stream q /Iabc23680 Do Q endstream endobj 9696 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23681 20830 0 R /Gabc23682 20835 0 R >> /Font << /Fabc23683 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7dethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM1V endstream endobj 9697 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=824) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9698 0 obj << /Filter /FlateDecode /Length 2991 >> stream xڭZI\7W hesL|$rubY|wJvωҼ|t:BY7u~߿~u- X 5&AZuŘڒsς Ó|#F|^y{7!IhZB_I6h[{X_:( 8_xK͜vnᮋ ]d0&;$}g=2QkbYDp|*4YV9wHfKvSWΛ6UzL}&bC$J3Xx 0x<nEo%!Bw;#wI"sPm.Ln0 ޷ WlX9ZavK5 g-i$rE&ngӝ|( }Gqj2wS&͐uϯꣶhȱoN4M h3msqk:+A۾?h_?gygEagς?C|$V@ >-VgimX!\|farzzb"}x‘tDc'x}>2h$ 8I'xAxS ᝿x*6I Ѓw8*).GCy٤ُ+ ϟvz3- BA!K")As0D&̄pª9.Рr9R6D?T39"/sjL}pÙ*%*D{5Rn,IwZҏqXɐxֈqaaD.:t2WM p xBas(Ra~*YJJkB-d@L"%YV8a#]˔чhDN5 g~!%G+Τ/eMLxp-=UL gd&P.*<҈"MUV7ljТdPSh+IPAGCK/pG* ,l0ҩRnKno{ FHieCf,4ɪJFOe؆Qq}։GʲLtvِnpd畴 tStyN %_4I$dW: JqI*F' 1U:C1t\UVvFvZi.dy;Y`V\QIN ȑhJiS?Jvʞ=' +ű8i T_QѶSfoT[>U};'~c¡ B+ӕB{ػe8x 6fшBVѸSD0v 2C/bz-9IE+V;]][6m\pʡEs $ţwΡ+8aQz >.wjxT V9v5!&X\dᾁ_r%ikKwIޥKXrt4r4ky5~Jh]_5T lYq x28ޙX;1x YDiP$^HS#3Jtu贁u@Lu+u \Rz~ uf}&KZ!B?Ҳ \cZcz/fid7VgCN/ /aZdqަߺ ]y[I5asSck؉MRI۷4HURt1UnĕtB.5"ҏNA~T,%I߿N6~'ւ b>Nz:XyXϓ9c:|?aS:ҡQ._0C|9L(C`y#gESx3<{Q2xQ󛂩9g'{lT pe03L!9C6pcyW=SMD:!ڈGX9ĥe] Էē,Dل謩OdubaqAOfMCmKFѢ!4$j(I18 endstream endobj 9699 0 obj << /Annots 9701 0 R /BleedBox [0 0 612 792] /Contents [9709 0 R 9705 0 R 9706 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23699 9707 0 R >> >> /Type /Page >> endobj 9700 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9701 0 obj [9700 0 R 9702 0 R 9703 0 R 9704 0 R 9708 0 R] endobj 9702 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 265.125 122.505 276.125] /Subtype /Link /Type /Annot >> endobj 9703 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 265.125 161.9785 276.125] /Subtype /Link /Type /Annot >> endobj 9704 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [167.2915 265.125 200.4895 276.125] /Subtype /Link /Type /Annot >> endobj 9705 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9706 0 obj << /Length 19 >> stream q /Iabc23699 Do Q endstream endobj 9707 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23700 20830 0 R /Gabc23701 20835 0 R >> /Font << /Fabc23702 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`@ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛK endstream endobj 9708 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=825) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9709 0 obj << /Filter /FlateDecode /Length 4527 >> stream x\Ko亱Wp)w>@v' IN[/$vטiKb*h ;%/N%l1пRoA;Wa!e]rw>=+yktI?}S?d&+WC?'mL[쒬/߅f+k;iYL9t\^{9Hא1 9g^Y~@$'f|V8Œ#5 {;6||$#a(eJy?بE]nxG41-!Z翴O;eiEAB)x,ߌR^&`|/7FAuvOT8=BгGcQD'\qk/tͦ`%BlrU4Dq$q類g[=;6yl[څ~ eYTOtnr;;UUc~Hw<Ĩ&O;d!QE8;Rk\'VT ʛ'G |Ȕ^Y#r@s&O1BJ-h MjLA?EQh6JRZZ#/ l䒀P片y ZEXp'[%,L%Йv;Wm-0[ _S3j s}(z,Dy"Pk2b JHHCN}>$ YY!WiQ݇4zAC1Ϧ^T$$0"Y\][͏2g'7mֱE<261Ծ]}rfZ Db%qTV颹UwQ@WFw# KDȑ0]kdZ(nm_ cP0ޞFCykW>Yy w8"5qp]GW̦Ĕ\h$(2 ]`'Wa+*=preY/ovoZ Nj5E<8]}NjN'stg/7IN:>'>NjN%~ P_P^~ P_Pޭ O_xOu .~rTZ)LVTo j6ga$3t2 oqC̜`\{;JBen$6 l$.T,XG$W\Y$CXz3,w|@j+pQ RYgBMtF|nEհ ;^+tIxeKz:x8K}xUv$;9ںmSq;g݇7:e/L+-[x]6U#5BA1W5SQ>|EK FAs[)K7=)4A" r8( (g)?e 7u& #gmencM"1 Ȳ?Z[؛ žeVmøkkUB0<lKǂg6PXڅ;4$Zw4=DR*+AM$)܃pRO'Gu=zEY)Ca,H-է[\2'-Ey} ,nFLh|;@;a˩cU/W>cs&Ku&G>,)g.kN.@3 wXu*B&AYUxU+¶VUp!zL V2 T[drEKfיH;DXjYcQ+T6f'˽|'NOep6@xc>>M@W-V46Grs4)h}Z\(z-$b-R@J|OS+ɏG3d' C47;wkcTo`6ݍRެt$싔C00 7VΝ-G!oiDb*I'6^7 9 9Pt=5tcqcm#ՊD'Gl)1O +CJmjps[}9%O9ԜU3 O]sDtr_܀AH-b~ıŢ,# ׆OmԦ*3"#AG7afikH%@0w0ϥLǻzS2-gi=iot;&. 2P'S4eXbXHTj;IGsD571)t&]]OH{Y/ܑhܪ# ]LtTA@ঐ,(aES:Cida5l:Gu%,0W&ɛ9ǔX?`pghzx;O_0>u.oDS-pi#uGb%tMEYPĵjrCskQ7턋\u WDlO3a&9qG3'x(,{S l0sĩe}zwTQrbL$Zp/X g{I,▴s#- Y:JkN)ְnI$^UjdmI0ROܒ6֞KW\a%3,qNTIHqQ62ڭmW۩AQc,' 6ޘW@CL$S x΋_,qO/!H'Yaw0"` C;9B g7>Cw!2H"&v(Ðx=@|%/Kuh"t̃'ِld~ mםGJ( zn}<,.S:`mf#?k1@TT/YRATYܤSUhWWyTczh-xO2KH$SQ, i;`A/X1 endstream endobj 9710 0 obj << /Annots [9711 0 R 9712 0 R 9713 0 R 9717 0 R] /BleedBox [0 0 612 792] /Contents [9718 0 R 9714 0 R 9715 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23718 9716 0 R >> >> /Type /Page >> endobj 9711 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063007-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9712 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063007-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9713 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9714 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9715 0 obj << /Length 19 >> stream q /Iabc23718 Do Q endstream endobj 9716 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23719 20830 0 R /Gabc23720 20835 0 R >> /Font << /Fabc23721 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7dжYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLZ[/? D?l˜!E_@1,s#9p!g9`Ni)QXI*d~{QUh^@wP".*x Pڢ_Ћm߽? endstream endobj 9717 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=826) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9718 0 obj << /Filter /FlateDecode /Length 4715 >> stream xڵnί90/ @#i99~~j땜EmcHNwuuU]U ?៘<ӫwkHZ_?_ܪ6ՆM)j]I)yp^:G5 ?/Z \i̖l q'Ly0LӢh 4 2,oѸG!ګhϰ 0#<ߕ M`e^ӂ{&+zvcدb6 lPW У4?/Wq"++tcܒO}~a4qvtgd}>?/.QY|χ 2,!SL[:MLn6.dkvI ?Hܻ}=I'6B5=5?5fǚ6tYw4MVy2NdnVu4v9i@]<^a7@y4m)L^4ַiL+1Lj9Z&*i8 ?.H..WIm,j -"Xg^H΋ ӀA橢H+PS#a?QD/4IX8ŢUn2HYh+zᝈTC2T,*&M ą|YEϋLQ)6@Voۊjݱ"Iz 87hkUBdl8M;:(?>΋Z8|ۀPRCsyjOt /yQ3~Ž{&kJx'?*7ld[|KRt 69_Di/qrH %`"2#-Z4j ǀP ^DXrˊwa.jmDyOWq @:2HH,uA |c< صN0X|R+|v_|FBqV(,& >!8&藍ےQeة`%P}:7rM':>ȶ S l5½cC"=6 aՄӉ4qx9`뢋G'{TQ+1ڟeS/)KЊqS Lk { ,"D5xΰ%6eOk^y9s)/ؘS^vk`Ч%`s%f(N VcK#XhlJ)AE5LSt3f٥G)n1fK9;RY{Vba W8+%`,%'oK;ΙMnɞ|uj%SdJ4F̈́$*wpx5>!.>Y4MudH2#$[*1VuqvmJ~P|$Z# # ~LD%뙼KK4F$$4q> A;NJ` KS\&92C{m~hM]5W#Q̜>>)Ԥd20[MwD9 Ӥ2xT%x<hi <ʗ"Ig,S<4JΥs |F]B;9+udLS7rف¾h_w5sg!6f3O r(S*tH6ҾZPq0 }ydP"YAh_Kt +M1!A7\JpŌq R I iA1銪FQ1\sTu`, Iqذ|aLcÌ,';?S}o$tTSwÕn;HcrmVVg/ntk`kٚQ6kMwz&G꛷9YRw`{\Co}or7`{KdIBІW|9$jBPr4۽{ S3$Snأ>N[N"R$N~`ŵ܉i|AeVi7؉{VA?NEvj§oC mvfug\uv(cb&#mFo:1\t=.6;S/"ܓv4K_އlc6u\*蕻1/S-5atςLvHg{AOtCn ~TO> ij㚮eI)g-Kg +HvɹB2`Yw֡MM~̾GnmJkge],M]ڋit'Zrswĩg<{ړ go%וD45i1>kՉw) {KLs$얇ߠ>Va*4nْZ CT5B0]*"`tz޺z*f95Mp9.ÿI"=ݩ~߯rd&H%}0B>uM# yz-Sj-o4{ԞVӵ۾ ~eao?o\=Y͊tX{Oؽ:ۙyw.߲& ܿe5Ĭ߽v{^Z:K]\߾hl}'qD+c/Ds#H o9 g{ IV#kK eCdGHqpj]]3s 1bXxKYB30;*BJPN?`%Mp-{r~9fՅ'aw=ͮl2s%S1Fߺ8r$۸3#;]dgVIlohڴQ>`x ݃Ld_N s֒(ߓ֛/_[O?l# P "ZE&\%ðymojWnڈo:(M.@Nfsa/ 2ߌbR+W3DoyEA=kDQw~t52jq|0~[6;8:<4nBE^wo-qDc-`.VMhѿW-4/N噖𯉎2Vr!#V.ĭM<' K#`zKIJ̳@ĵo % };BvJ2|%JoS G @& Glr˽1#, i'eķ6GevEe1z5m]"xw6ۥ.־}K_|M6GK*lH&]ڎMv=W;~XG\Z%91x*1ahj9|9E<#ϣd}mՍCHs\KoG' X'K[ʯ HFTDBܶ endstream endobj 9719 0 obj << /Annots 9721 0 R /BleedBox [0 0 612 792] /Contents [9731 0 R 9727 0 R 9728 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23737 9729 0 R >> >> /Type /Page >> endobj 9720 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9721 0 obj [9720 0 R 9722 0 R 9723 0 R 9724 0 R 9725 0 R 9726 0 R 9730 0 R] endobj 9722 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 242.5385 148.0577 253.5385] /Subtype /Link /Type /Annot >> endobj 9723 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 226.3385 180.3482 237.3385] /Subtype /Link /Type /Annot >> endobj 9724 0 obj << /A << /D (unique_370) /S /GoTo >> /Border [0 0 0] /Contents (get_ipdefs) /M (D:20211013063105-08'00') /Rect [104.1732 210.1385 153.9042 221.1385] /Subtype /Link /Type /Annot >> endobj 9725 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 193.9385 150.0652 204.9384] /Subtype /Link /Type /Annot >> endobj 9726 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (update_ip_catalog) /M (D:20211013063105-08'00') /Rect [104.1732 177.7385 191.3812 188.7385] /Subtype /Link /Type /Annot >> endobj 9727 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9728 0 obj << /Length 19 >> stream q /Iabc23737 Do Q endstream endobj 9729 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23738 20830 0 R /Gabc23739 20835 0 R >> /Font << /Fabc23740 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ3 endstream endobj 9730 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=827) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9731 0 obj << /Filter /FlateDecode /Length 3502 >> stream x[Ky1|?4@n C'' /SU,>x$6[dXճB^b6QiHo)"7-^>6HJz˗CRd6J=KPZǧr?Hc~J=9.7Yfs^`{QP'ʨ-: a>  ƒ8Srd}?(EY|1 ϼ}JQA(Eܴ z`Y1Ԑ* D1zZp)U6ꖸ/ '|| 6A(MIEK@Bo1Eske}dH4茓"=VsA~Gd!U@*` g~NqZ[i?77h!"gⲏ-rXUjU,i3qckuǑڤ`dy2&mM0Iڐ anU2SI@" 0 A"uU᝚V(parqGڑ4uU﷌e$*ip~a]#\}b p\a]s7U;K4mJ8toXJbHcs^5@TiQUj&@0`n-82CÑ.UO!6(U[d9Cd1J81xj?m,6 [PaWrH{FNU6t}> VBH0]m^X^sz9G/FCcb=Kl'5;r 롭pP&ݕm8C@0s⃢zKNf\kcTA3()i ڸ1MtK`MCU{Bq~vb[r"tTA)F5$;[ $+%.5; jߊxcWl*V~n}nV CpގV3coG^kTlxxƤ{) NRǩ,: _(*魕lc%߭ۡ .k˙ &8րPddJy@`q0Phi /<=3U"YSwo5qڱ%{ͥgcU!}6cJ9-dJƖ$sHXp sb%jBX).4[֟~kyOC*PԽfѶ]oewҝ S8Z/,iÌ6zf6⊯lsثUjU޻cvVvP+)p($]<6P@ LJs)ovnTl.%$,K%Nu̩tIէ EêźX{rx~؞yܽ<5_ՎzL4ζr u8\ICoO'g>%}oŹ?AdYJHmġ65P•W⾖}GO`VƘI4k rd?DHoi`k1Ȅ< e!! /Ańĉ_Qe^RxC”W\.e Xf!j#g0ԍF JnQ*rsnGʼ,5ݕzG*4}3 !ί` -aACP,PfC Ãn22Bn"r'_8p\o"'>oj#],Hنui?61= %-#q;&ۺ~!u `^~ew0ݣt'ay?8u=n3Rŏ@6"u=[ gi]pɒߍ~BxiM0ʗCJDU#Pf$c*I427w"x<>(?%uJjV#:09Tg!l+Wa#Zxg|"Ij˛8eLɔM_rq\i6zg{kTߘW! Q x4l[eihrәh&>kF Tc5|B&֎~ ]I2/=@TL3a7~t큨b 2Xj )\X+Kd*(- 'bm |>rHk!@(v@tNǍdfPgBs+V"ZA>J;S5-Q%2*nS9=xm8?E="Bn@3Z?] G1Lmvdʊ=U]h4 endstream endobj 9732 0 obj << /Annots 9734 0 R /BleedBox [0 0 612 792] /Contents [9740 0 R 9736 0 R 9737 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23756 9738 0 R >> >> /Type /Page >> endobj 9733 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9734 0 obj [9733 0 R 9735 0 R 9739 0 R] endobj 9735 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 271.125 122.505 282.125] /Subtype /Link /Type /Annot >> endobj 9736 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9737 0 obj << /Length 19 >> stream q /Iabc23756 Do Q endstream endobj 9738 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23757 20830 0 R /Gabc23758 20835 0 R >> /Font << /Fabc23759 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7d-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}KL endstream endobj 9739 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=828) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9740 0 obj << /Filter /FlateDecode /Length 4802 >> stream x\K8rW@|KTfumçg @eGPLeVm0S"EE('gRgu zXe]vVMvaC1E\1_]w/*_]j]h*BT~S?hwMh65MT]!2֏윬/?2BW׶v5]ҿoW (lȂM/yuCW_~G?3L SDƼ׉c=]ӋY Kd4lX YXuU7X3sm[(1?ܽ:=si+t]G?7Wpta Q41QL|SaOnsMcUb;bzVX\Z cioL$F _y 0*k*->r& lHmhփ.m~Ղ_4FLr"쉇Am0ݔuviTԒPPi4mB1E$ || *&&ͷ)m*|Oz4e38e1~[KOQJ01%zYK\-틚WX\^灼'vc";g@Q#:vUqjZemQ?-N[aEQYap..y>mWO3[T}S\LlݮWO\CdzNz_9}᫧o_=#|}WAZOOBP4TUJ2c noO&=~0@vZ!$vj77vOJNx%/-cA;C27ȞU7J(Z ˮEՆv;#c+Y)cK͜6?hp%TRA#Gֹ'y3qYvC3ÛL5'V{;lm;_uжj;_Kߦ3r'?2>n$'>T>N|Rߋ= (0.+ v6+ L9uHb9jQi(Qy Py`I K@պJӀCaǮXU0 ,\hZlV]ɺh̴n){Eu QB!+R%.ԍu@nK|Tj{]R{OJ\xTֺēRZ8wRjwOi[IQ!YAȂn0r P2PRd@)/-Ger[,x@|{(|f!]A}^mZSݦU> RmV/cB8@>+Z]_oŦ1n1ysCܐ"Cӕol2wym0-%3OnE4 t zѣdc=hֿfHʩG+Rp }atSKd" q.:F1OyD)EV5MU8?Ghe%k mjّ7gEߩ4:[dS9l"", nYgB+I%$i#{8U:/wUcV/CwĞ7{J%'2b6^x xNɺ%H/="Nl4MQ+P]OȀ%vleESjJk&yki>$ !o zd;*}G.[ץ)QHYiH`)|O!VVN–sv 4`弭UvPVZ9Kј',$YՊ2DjZĖa˪zfIjح ?co;_#CDh7NsDtp)E5-i(0i,auIEM2,IjVXڐJ8`8V |iR?}e6Ln->gxz~9Zupi%|?Tmܵm {6nB~k'\}0c 4+n\z̀7Fk<GBZH_QڥO܎`s\\WKs+#xW7üK (=pM3D\8DyI4〸i6 4rѓ)rF\6C,}\=.q%h .FBHgYx"D],*  rrCx~O8((M] 83#9-kИ-V2HL4cKjk`/_qH×zm+u{T* =5Ĺ:*SPE8K0< FO{x#=d':|m ~W~_ x'pb19?Y^Ȩ 񡯾N*x0X$]u\|4崧/N$=՘WgqP*3^ݵCxyx=h*G;zt8w^ԘzP~_lȊ= ?_%A? endstream endobj 9741 0 obj << /Annots [9742 0 R 9743 0 R 9744 0 R 9748 0 R] /BleedBox [0 0 612 792] /Contents [9749 0 R 9745 0 R 9746 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23775 9747 0 R >> >> /Type /Page >> endobj 9742 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063007-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9743 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063007-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9744 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9745 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9746 0 obj << /Length 19 >> stream q /Iabc23775 Do Q endstream endobj 9747 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23776 20830 0 R /Gabc23777 20835 0 R >> /Font << /Fabc23778 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`QUthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9749 0 obj << /Filter /FlateDecode /Length 4979 >> stream xڵ >Y}oh 0]K1-IޭWҷy0Hh4 VXY >xk`>p`D|ҥiW퓇MøMMOq?]C6t(@N7`;G5D瑏,Ό3CTMi! 5h,Lz}VUV͈ O~=5]`jEE}:-krp($#Z N2)n!$ "U v"4\ ͨP"tpR,DlJQ/β[PLehN^֭ͦ* W ﬏HT]1Ֆ>i`Ѣ3")U%KgQSu*HcAdU[@N`RP@G"9mRا"BMO^F E[sD&aʤfHee ʰѓ7;1A5E UT2N meA>+'th`WmM}`Eւ*ވ>,E_?`ѕk9VNvb+Hg:(?1!Fr(M81hKJ{\rpPyIEI^nYTP'{eL M9thmpv /T80/"k$-6 @x#HAxLZna{m+ZJ@fV+! G2i+]<ݮOՊbj]R"۟,oXJ1u\Jˀ]3A .orH -`w䉁hV+N,#XquϊacbDyOHL H, A|c: صA0XW4Q_}AD_qVh,'cBpM$f[ &#DWbvɐ@ Y/cR4E8#l3[cf 9MX/huy8-y=]$52zU!7uqexn BԋŖTfI(V !xE!w,_"BT2W q#jQ&OˉN5S^h q&`쎙`85`cjP:a3~{'V`(Rff=vf崦X-=N (0sOgZ: `@؂ͲV={oW5{ ͩL<)l6Z&T=#Q]D1/XOhZ@L\uɅMxޔB,2ԿOǹB‚,Uvi \D6ngJ l{zd4iKN+bqIg\LJyO>\:^a/.^݅I]+7ulµJֱ]S,'Y0s!-k_͞NzN}6׃"g֔@?PLX7 UV}s X Nli_#99H,O`&Yi 6f75"nvXI .`V@@ԝ#q^Fq] h^fN3Ab fQB tz+¬]e >KqdT)TP[[BC٪nz5ū h+Zv3(1ugM# 83.`ƕ?,uqu%yΣv6/w 2?MhmVٹkld0K~W6g`Rsf+ʷRIτIVd޾@V|0}?VpW^/e*X#K}P{堀G`hƷƱ.~]E^oycO=_dNcK)cB<L|6kj=:]Maq19 DZ3Rb=K2p;LX&\J7P>c6K>_G](um!be}w|}[҇P$~k7Mo}11jڀF'ᐍcm6sw\C/Ȥ߷dS~oPr'?ix09aTs.;Z! <myТHd>E +Tک!%exE9 FUV޻, Z wckd;kA YHJ:5.( Wכ\`od! .&۩f[u ^Q}d#7PUVM~)w(&sl195%Jua8dMw3FhHҚzbP V 7T#߭)M/GHG0rHw;om^<4[LZ /k͛KY1tV_i'u&V C=THB Q5)(7]ھ+rZR- &t` !+&dޝm:<2 ojC;Sz`wA\] K/\V80oUmb,yaԍC{>zvB1WZyUނf'E ۀu v sNގoJHYgY {09׮׾׎i~"UP_;7[7v'n&V.O*^ ̝ξ=6ƷcYf)/7TJ8;`Q rxxogd`R*B~_fs˫ĕ5FZvaO69붞7N )iիmGm*۾sĽo/ƎsQ#k֍0 F3 6SRy]JoF#qDNT{xlG -]؂`5f Zr>5ǒVX~]~9 Q"pوZdX*zv_7GN1W{̗1oW3􀻺u<),||K&vJ#aA] Nx&J|Hy\bmXbmaԄE~xdl6Sᘝ?aBfM&B \<tes'\x\1pPʜ0Xʌ%;IA)a`N.iyϤ핎q3uDH?Sp(u!r7G/r}^O}V:I|/-`!/b25=ʫ.&O{vNBGGb"pU8/NJvGg!턧䓋ʼf#Ks w ҂~4dP$3wTCV8nH7z_r撰[έ<>ޮ'~1O,)p1km*+QV0OURlE4KsB9'?ں qpAuRYd;@2 l709V`HaOn=ALscs=̥w &s*P][G=keA:p߼r#O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23794 9760 0 R >> >> /Type /Page >> endobj 9751 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9752 0 obj [9751 0 R 9753 0 R 9754 0 R 9755 0 R 9756 0 R 9757 0 R 9761 0 R] endobj 9753 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 356.9346 145.4837 367.9346] /Subtype /Link /Type /Annot >> endobj 9754 0 obj << /A << /D (unique_476) /S /GoTo >> /Border [0 0 0] /Contents (get_libs) /M (D:20211013063105-08'00') /Rect [104.1732 340.7346 140.7262 351.7346] /Subtype /Link /Type /Annot >> endobj 9755 0 obj << /A << /D (unique_475) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_pins) /M (D:20211013063105-08'00') /Rect [104.1732 324.5346 160.7187 335.5346] /Subtype /Link /Type /Annot >> endobj 9756 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 308.3346 164.9372 319.3346] /Subtype /Link /Type /Annot >> endobj 9757 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 292.1346 180.9312 303.1346] /Subtype /Link /Type /Annot >> endobj 9758 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9759 0 obj << /Length 19 >> stream q /Iabc23794 Do Q endstream endobj 9760 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23795 20830 0 R /Gabc23796 20835 0 R >> /Font << /Fabc23797 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`QЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMXP endstream endobj 9761 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=830) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9762 0 obj << /Filter /FlateDecode /Length 2615 >> stream xɊ_LP=LfNmk۠md֢j޾FJV;O.N:gu z8eǯhk~'~yc^/^ 䓼^_ P CQ̱wOUm<@=0创(`3#73ڽ.gI - ~W |2&>:{e+tǝc66LN^ 5#@a0qCr$\tt8$JSaLj0|E˰HETd*)B#Zӣpj 艄;x"r[Flvt:رhf:9Fc 8gx@ug@*e/tmuIم76eQigJyXo6F!)Rh]WdL]}BhT .Ǫٸ=CG?P,@G{g#ػZh< V7Z&QXgbI67Cއbk2KVBf%z6V5ٴ*+wkl&{YfhlB{(1h5*ƩU~.0o|w} 'aa7?UGX;E\۫H0vOD5QYr@z<g) T=GG7'l&Ag-I`2BıH@ĉBPȲbCGWgӐ&bZ|@tpjZإ5[Vk%̢tjX :P b!9(XSB7'M|'MyR+YCWcVB*{@gF?5y:Sw #6) dMV >Ho6@,xVDQꅡ^W h\kK(|Y3Cp.Je^YJy / T^ /?@!;]9"І4|GGB]ۗAercX -;|'Bb>pr]wKY 0!1y;CJ '.)T."GƹHEDKN6 C.)=l8*&IM6lUFԃ<缺՟!n!Z:ȧ򓒾`}R.H/Ii1^O1/懛z  :7D9?\#_]`Sx9.9&+ Sg9@ͫ0HMa.y:j^Pظ]o`z͖Me$B bIxpF`hhE@vnl`M%aG+Ֆx.3ЮJ-s (}ܩRxfs#vxTY0fz6Nsα.Hq9N5D!fa26Yo7(w#.yV2#&7̖eE4?F^+ -#1Ѧ̩_hU3VYYOm3HMG5NU]( HN8sRRRh[jf Q hK*z}E2Mf@[ ^esO.* o9@%+((^lgx}x0™pW[N4 LQj9*/dx&i.uDǔHI0$ۊid#cPU!- !qn 0"vF3#}+2qu *'$('BG>wE&daGM%ŵ[5Jlh¡kԕT_zRR}YoI(/|穗P,Qaݞ >O`ˌ?Ǫ?$꛸I.č&> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23813 9769 0 R >> >> /Type /Page >> endobj 9764 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9765 0 obj [9764 0 R 9766 0 R 9770 0 R] endobj 9766 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 277.125 122.505 288.125] /Subtype /Link /Type /Annot >> endobj 9767 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9768 0 obj << /Length 19 >> stream q /Iabc23813 Do Q endstream endobj 9769 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23814 20830 0 R /Gabc23815 20835 0 R >> /Font << /Fabc23816 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$T endstream endobj 9770 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=831) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9771 0 obj << /Filter /FlateDecode /Length 4693 >> stream xڵ\K8W<@x Ȉl`n=S{"sK%%ȪAwVXDQ$?,RK'F~w2i$)ǿ7'_M[ ʯ_rOd^zch=rui4myݮ“/N'#ߥW_Wѿ@TKXRF5z Z7a䟅gw{քzԄ˺5J.Q$/@3 P Qϕ,#(} "9Qc'Jkl ͙[37i/#EƟb/iH(lO_' ȼInըJLDȊzm4$=È0қR/ s-_Fo<47@:4񂘦i ѭ+l vq}3Y"6$w0fO{]Wѥ Uذ#0U$y!XF55a^S#du1b#o@vGV%3N 8p]=oǪuWx _{yc,:@]̺#`H/[*P^gYnG7i!81Y`k DQ!_GPQުwmzƜzSVkQbQՊQ{Ts@@1{KK03d.2df 1Dg{{rLQifBYRߊx.4{n&S}}u 7_|ߦ L>I7VjI HwIߪu 5+Î#~-zn*cz&c.,;d֧%#(wE|Wi[F&'ro^ϖ:ʇeuQC.\6$#^)x(hHoQ=wUb.X~ & =~zko0yef)f7ŋ_{ UwLHt^lEYYSFsCݙs0YDieto[ڜtF0gjzD=ߙ_G<=AƊi4x|2yuuɞ54; f2n:UC)4<eZ ݣa:W`οC˕0?AӍ}9mĖ<h7^TWv@4ui](?\ᇶTUa6ϐP, y˪~P0$OT$zSeI}JGny(']`f3Igr,*PELAܼ'ƋwE sc;d{~YUGdRkUU/vYרCf-1q-:xFbʰ%T);ŕƞ, kV%B޳%#(4K7G)6wAf4ySy}=JP$+TXxr(:}h*{oܐxhR|Iq 5&elPATbZ؉(;<6Hu8:+]4l-:jF@:W[^l((D1`WRQ&_f ]b)#tƅ }o`vpβr2qC9o&STeW>x@ z  `!aa,'فEEv15(^Ŗ`cYNv NcgNXat'XW łG|NEeK[>1ۢguCyPKi(VT.'d 9 ´DΠS97z @1@1YPsblzi@P#> (Ƅ(-:= kpuǎ]7s>X'n|39O|j'?O~>G9l'|Ô|0?󉽜McPGRJܷzT➅#w.w!u7 A%YH*B6c ۠-nщO.d_JmQ'HTDy-f:)iIezG6*o-_,.; RU[j4[?ui*'ϯ $n.>V2Iܵz).>XAWP7^> O5ϧ@iJҒVcCy9[y/eԎ_}ܲOЛR6Cs !>&xer DJѹr<|os{4μKLNMttz&(Yf P4Ȉ2/<~ɃJԆEۀ9c=4ͭ I()'1YtT32/ĔIIGlv#{w_N՗dTO}5kvj| zCerS]ӨH-8t]VKeh[Oto8TiVIT/EKFZx-4Wٱ]'ì>l[Ikv]S_߄^ȍQav/(fmp@ӕi/Ü[sչ1ōO\ 1ƃEUb5!mt OSxCx[zmq ^vf܄髀nsV v21Zc1;}\WנI;C;"+f(`Vlf#y'4=h@L$y|5& f2V(.t?>7UVmRԛDCĥ.] e(:̬?hJ6*vB)ዏ@<{;X&븷c~'Lq ZoP?b޳*71_N@YiyN:[iv1p#NhraiO ihs=0+9#͋Q:EZ+z=ejk.kb"n b|8M@w8vryzyq臱Y|~ D~kKI O,W]~1'Эͼ" y)E1L؇1|&s')&*s/,ozțҰ `zlO[[Zi9awiWo |E%|)||yUZ UvͲ{نb%r~--.w̢s2j(bs,lދ~B]Kupǒn9Ax1 /?!|ֳ? {t0mXi9QEKa^|m=-DCmmJˆ7P非6:V`Z{Gy6]OHCWn:ƥ9LNfq1p=0/[ZUG Mmw9ӈENsLb={f%~,zC&$>|Gx5iAHg&jK[/B&[SloTićrScJB@,F\+ ]̍\,;jSn \8[)ی3. i኉~>9ImH Oʍ2ɔ~?3{=E&PvGXvgi/ z#X endstream endobj 9772 0 obj << /Annots [9773 0 R 9774 0 R 9775 0 R 9779 0 R] /BleedBox [0 0 612 792] /Contents [9780 0 R 9776 0 R 9777 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23832 9778 0 R >> >> /Type /Page >> endobj 9773 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063007-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9774 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063007-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9775 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9776 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9777 0 obj << /Length 19 >> stream q /Iabc23832 Do Q endstream endobj 9778 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23833 20830 0 R /Gabc23834 20835 0 R >> /Font << /Fabc23835 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w* endstream endobj 9779 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=832) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9780 0 obj << /Filter /FlateDecode /Length 4776 >> stream x\IW))u rrq?,QzKĆX,Uz/ ?sg-Ci:x {SclͲoOƸ`Ls+#Ñ_ d@ӹe9?M9F˿!-ɮfدqZʒ]-F<; 'Z'Sʻ1tL޶m^R!Fy΢q'G:}/n5S B{t!!N|Na#JOݜ52{~a6*B|~6̂g|ر3K*@ r-ҕ]a}X_K2~ma$K_0}p7HU1[ N6,$I ԧ`j{SbV[̻t]W16lKڀxB'oT'dv-cj^irG %ku-UWNWW f MX`k,ZHϫ"%׉TEHTSnXK(j zI\wEcc Dʲћ|h05M"2d,A,;&|pr.&qz64-dUFa*R[Sdl8Mg;(?ұ16ȫY:T~ۆ7VHJH*9C{wh.S;#s(vQe2NBt=3LRhO$^ $nUx gӽj1na{DM5\elxI WO6mGi]2ܩ&k;y]Ex)*߱⏗DJ6:A\.%Ee(8嫜 B*VDx}Zm$HĀ*,^|챕]kRt5HG6C%nDpВ!XĤ7<.\>0Y! VA .:!8kI;D>RqMuyFY/vf'J3^vh@8ԑmA9愵D&u{su{`DrfӔwM _C)Xj`Z'[#:;>^y?-Y"bO:I(;#xL}"[&#~/p^KBk<N[^>y9s!/l\RLμ&!فK:ͬJUP>q][eoFUQt٥Gk^r*ݗ'cv蹧T5jV_aB89+%`.%Aߞ!Ŧ n͞b j5SdJ2 Ո*KߦG.C3ǵ 0~fw3=k] 6 G@:[./>kWQeCa]0S*s a+u/9ı'wL+U3`w 7 Cq7ᡬCe6P%*FVaȫUln hXupqm"j$>M).71ٮly.⿝]vɊBj9d[bSkbFkV~f!\({x}?wB`1cb=bW{*%}wƫ*hnmm+9UHe^vQq| ^}(\4ekMk^LU1yEgQo?k[ {qQ)B4prAbD,&'jfJE,sv1%/-W!F&L1۩#)͘ F$, GUU3UQIv5}zD+W6BS,+: 7I߿s_Sj7qeA[3o-&O33 }pp,uQq[0EPҦvEn/rOWȽx(эt#q+'ĺ5R` JΣ$TץLa%)ΓnCþZQB*~@tQ`͂bm a[4rZe<:qz}#M:lS׫m$Mnp؃dbWl<U xd+E|/X999R;v;tL3)ULZZխ5&f\V: cy沯IGm \QP՗[ gsv%mEN%IFTEZ1T;k Ùhۜ_*99H-:tɸiKnJ37kK?|v\N-@HU ZϑBZ]WI)4guiNhb|u+qRu3) SPZNʈcz%u HVq3-azx},Ϧ}j-_R)4jiTce1o]GY̆o5c״enqw[RRn̩.}o5nH։vXWyd`Nvo {vAbE:zhf7k};!^%u+2U__ k+!ZBq"~p6xϦ?0, }cP0͐:W,~fO|;n``YB[t~#º N:c%K#hB8ܱB :hǕ>]i ˙T<'w,>uy{A|p&;u,J̻FRf RKJwiI/./jƀ>Q7L}fXYŌK0Y[ cC>/Y9 RyatTcBGmС:)L򫷃Cb#IJ!!?̹&a~?17X@A%?7\Y@>ޙԌACe3.>w:1nwmn`U> wo!Uo>%2-E?_Q]ܦWyֿɩ޳uG4tt4<>hSŅch|"bk{`%ӎ>l.K8ɣLz-Y-miȺЇ;oK~kgt#I]ue z!+:e( %+K/yũU$OuD/ճt|Ul0~4f8l.!@6=v+wHQpO s `wXOGJ8pSVW J~\I^Y Z[3D1s;Oޣw_OãU8&@7 0bQ.BJCy9_^x'_rx `:g9u dEmݔdI,5bKYh'Qtr"ck_B4VJ ģO[Ԗ+}F":ZZ2VՋIWPz#~A d& Ykz  endstream endobj 9781 0 obj << /Annots 9783 0 R /BleedBox [0 0 612 792] /Contents [9792 0 R 9788 0 R 9789 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23851 9790 0 R >> >> /Type /Page >> endobj 9782 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9783 0 obj [9782 0 R 9784 0 R 9785 0 R 9786 0 R 9787 0 R 9791 0 R] endobj 9784 0 obj << /A << /D (unique_476) /S /GoTo >> /Border [0 0 0] /Contents (get_libs) /M (D:20211013063105-08'00') /Rect [104.1732 362.3385 140.7262 373.3385] /Subtype /Link /Type /Annot >> endobj 9785 0 obj << /A << /D (unique_474) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20211013063105-08'00') /Rect [104.1732 346.1385 161.9287 357.1385] /Subtype /Link /Type /Annot >> endobj 9786 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 329.9384 164.9372 340.9384] /Subtype /Link /Type /Annot >> endobj 9787 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 313.7384 180.9312 324.7384] /Subtype /Link /Type /Annot >> endobj 9788 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9789 0 obj << /Length 19 >> stream q /Iabc23851 Do Q endstream endobj 9790 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23852 20830 0 R /Gabc23853 20835 0 R >> /Font << /Fabc23854 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo1X endstream endobj 9791 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=833) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9792 0 obj << /Filter /FlateDecode /Length 2626 >> stream xZIoԾ3C@n `D Ҁt^=3) VuUW}"ϯ)ᬈ$rz{T~MJ#ܜt RbsvR4ww@0I[f_R#e/KN p@DpdJRG46'hF_}D9 IpG_"_n,~fKU*AP% j" I j2 [Pa1SU5w)·fpLdL7yGi!u[9Z#pz=Рrh0PᶓLz5PCmka":gz)ri~ؒ*+]ݼD\ʖ-4<`imH6l:"wNmooNq%wZ5gN JW!9^&^CV奛ĥK]&߿D<̞^_GCVRoKͤ5 qȨf%hע2ZP:+kIAD=e#kƠ554f$To4sB GX9ROq\zI8u.ke~A\d$hfw{ŜUG)%꜎[9 DQu:Jv72Tb rb,|z(u$XJ\$t7^;ȵuE}b,εgu/&Q5I*Vo1{+ `֥}rYS.QǷv˅"\8 y+0[dsYPR/ )!rDwyxK^sTs8x|cE;7W2:m`4 XwEӬa&E ݐ]Gj۱r=>4dT9.g ./\:E_&rVzίv >.|u=&t? -bE?s><xs 돞Kɡ.EDR͠;6\ nj0we)>hDA<;W^ۼ\~ $>nl&_vi0vw>WBFk55[r򇫱. 2Z%ujxS}'Gy G}$C'ZLw (&7a< o6d?#:I(\,9|f.WR CZh.f&YS}rߘ]3֢F2( ͮqWJwy6#KG##q嚥.ah{jfP%S vbO~}&Rèfx/k]S$y*@ ,˸ P;1<[X,3ҷ Y^Iޕ'ku\+DվV'Z 8T^ض)K4[ fEi]1s~&WҨɱt{a3 F% }yz> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23870 9799 0 R >> >> /Type /Page >> endobj 9794 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9795 0 obj [9794 0 R 9796 0 R 9800 0 R] endobj 9796 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 319.925 122.505 330.925] /Subtype /Link /Type /Annot >> endobj 9797 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9798 0 obj << /Length 19 >> stream q /Iabc23870 Do Q endstream endobj 9799 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23871 20830 0 R /Gabc23872 20835 0 R >> /Font << /Fabc23873 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 9800 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=834) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9801 0 obj << /Filter /FlateDecode /Length 4221 >> stream x\KoW)6fA`O=-fl%XP^]hVǠg$COOU}rHOƄ}prܝO/JNk~֟7E98 5E?k3eGf2 Wgd~RN!< /CC&cܲLMA'p'9kڳ]+KF~C1CO &P, @ ˔^=lmE*u {qZBxI!ߡ;ee_o_P @J 3iYA<7DF-uv;@G :sOGHlOu,Py 鿰<8 h&Wd]M4au62z৐ӋcD48q< ˲umݜXKѓPwt2IzWsE_g38,$[F_>@ᨆ&O{.a]l@9? +\t`NfNq&|ĘЍx$) fSRbIVFƤXX#tMr ", 8ƄGg193sC#I@8l(Bcjo5b}a ǎX_ar.e>|/U&:dݥ2Gݯ}zwGw)AHe @qď\]jLf v^BX沠As/9;LzIյ,w bAX TiIzG0yBQ)֐Re٭Znq+.(Y2J<jI(Ӡ f,R^ZS-AYy- ;L?ynW]i}e^PYXYglGǪ}2ٝo ׁpgĻOq_'D?T=" aD ZTIw9^mSj;aR3+8g1\avAi>wDbGxjyi>!E\HF'#tNS+/Nݰd坣;lG{@z%ǐP#F`ؤ(IG|ϊhRGI*^* u:n2Vz6ww~=֞o\2(]!}W] |Ql!n5b0EfjPdDP}޵3n&ύ~Mă|JnҌeK"/?h|Wqv9^h+)F {Z]:xW ^MEFpV DKU~{0SOHw/OG!a%T2hDӗT{PX5R۩ tƽƍǑxF~g"2 n2oQ @Uk2 酸E}&LrAs֥B6Uۼ& -ܫJBQkZKV9]#Cx:&f)uRl>ęa-9K*R-[BS@-V[!ْFQUym/h/Rܹʓc05YXfd49/V\UcMSdo+2H,!%rHS*1I3BSAfi±Q*jlY EzGg=J3=2k}lFYǀ D+m_(p+GknUe)yBoDiGhvBPWkmo10ܨqN8fy8遜iCN!vܮUMpt=,Pv   B_`<;XXdWZ`%l`+'I'ƧۀzN@1>A#{?b|R=PO@PlO68zߢI~?f SZad2?qdQ/0n%`-tcΊI@MZqG49>jW:,d<9cR_^I:-Ůde0{uIQ׾Q.6q.mߖRtB̡'G=WgW8 iPJ yBb[ e̓\ɝILΥz ?k\^v ]9&,Ĕ9|s;|+P/$(R1Ay31QrKXY(ԝ?0d/-]E~E*`"߲vNTri-6:cy&T0Hy[zvv-VdZػ!6Iq!O.ͻD)Yg>[" !s1%Kkڟ|3,/B0U I[.J%`!c>V’kZ@IeO8aX9r0d,/l풕5 L]9$Qv %MUI@{j0;;&򃒼IjVqˆюQcs,Жs6|^ig=4LtZ P&=`VABȴmc%w&0/A2#4Hcѻ9# `ET|y;NV=hXDqZ_,wCWW`pem78Nm)v-ڤ` 3.] ,UxgVΔj>ӡr%qfQ2tVxhC\^ q⛣}Qt))-zU:kDn C$^[i3wI-c M(6 1'ZHb|t )1O K`V6+aN74{W/fYfr!u8P%wo0vT5Axl\׏g2sEt4o7|#>~ ƑtgQ6+"j7dMKTa6'cYX&L 2ߴr^" f,kŰf2y_S絙T}yx Ki<<-n i o=')^,`m p I_)".K:sX^BK%«[]:KLU^G imOdț{Yܑhݪ# _,t\AM!; sPP".6C"H5R;P^STg1[FJwe摢YOi8^^cw+~WOZJF3-H)="bLW_PhVju ` _#h9eo'\OSD/ƞ<Ʋ&9[q\M=D? r79Cw A9t)vjaJB;ٲR`/& :4rAh`5d<5YX:x\ Q>Cc{q8O_  .QRMAAzڡYȁF!It'9؍GlʩO9KǽST4\R>WYy} 3i=,~P~ t]Zay  endstream endobj 9802 0 obj << /Annots [9803 0 R 9804 0 R 9805 0 R 9809 0 R] /BleedBox [0 0 612 792] /Contents [9810 0 R 9806 0 R 9807 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23889 9808 0 R >> >> /Type /Page >> endobj 9803 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063007-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9804 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063007-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9805 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9806 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9807 0 obj << /Length 19 >> stream q /Iabc23889 Do Q endstream endobj 9808 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23890 20830 0 R /Gabc23891 20835 0 R >> /Font << /Fabc23892 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!W+E0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪ l?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>\ endstream endobj 9809 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=835) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9810 0 obj << /Filter /FlateDecode /Length 4761 >> stream x<Ɏ8vw}$Ȭ6 S݆Qm2py݈JIA>>}bcի/'f~}ݚ4>Rߖnzkjæ]R֮__I)yp^:G5 ׯ`*p1[1<)`»Qꊟ7 ~ojLӢh 4LʰEVxjWa>&"ŒrTx,jޔFq[6n-<-Ѿ|Ϥ1m%>@} UVC D|'Z>C@tʸ"BdJSٍ08;tgd}>/.Ѳʋ_6j YeXz!B\2}61ݺ-t\(zF ^xmD\lwTۗ~3 sY'Ξ֬XԦyy99*O 6Қͦn6* W+,ȿ>~"M[*SƨW#mJL1EAS-SU$. 6HV"Xgo)X$U@ iP$UPN%kQ G$xk<ŢUn2HYh+NDXC2TZT2LP4eA >/`rfrk'D8MXVW[E.G x#? Vj)TJ֍ p|(6V(!ED R{\Rgo8}˂hQâ#*"U uywz7CB))n?~$LwJ*iH% 0@jNq=>{zV5DAzES+V5-)RՋ Qtx5#_,-OՊbŻ?}F}O@R!/9{X`ikڗ8rH0kߖhӨ'ZS<lb*Ŋ/{tEWkeJt[ґAB#$ x8kB0X|R+|v_}FBqV(,& 2!hwmd(4e\Ԯ`"(ΰxM,)đ@ǧVdmFX>?$2[c& Z!}yFN{zHk8eMuuգCjX+1*MM%]BVarE ,"BT27{G@q˗>)/B BIٕRAv`L3k U՘^s:%X.`UQd *a1{vfŐ-=N orOgv~.A.8[Y+oOb_r̦C|fO9)2%feB? l.c=iEE&s= ǟO? EWxY0-NTQ+EdR,UQfH$nۙ:[^*MZd0K΂b,frw3|8M%]Sǥ@a]Jg`{R@{z3 -7Y!w,PX }BddNQЩRٓ-9ı%sT+UЈ;rс#7v34Yjp}"qi(r½ЎmL>BF:@m&ĸgkZą)7-#H,BX&:'I֩X:>6 M- +&eš(Q5FZMG#ꭾs.d=>k 9o]ļ'ƴ9kE*H揻"ܯH&%Fyo@D6sbfwg࿷[ˊ g*.)4YRcv% k[{2VM2hj|Zow᪖T+ *z+e_!5rpLsE`nd cG'n86s&"w膢8/կR|e7qC] ŅhWzӺFR_oj3sAi(܄n`6 ulS;$a`o= PR7}.uRەԽױԽIx(Ԑ;%'IolKP;o,k m޽Dd=Ora5m9ɇ@&2[iM'cǻh CsQ݄>q[Q[6^Z]Тo(.<&^P7ḡMttrбUe#\"p[׆y\/,ofq%nxILT49T}$7mRL7߈R &-C<)w6r{cFX֞.-q1km)SL缼.m}يhfaGƷ9b_VYd;@2 6.ۍ\/4G/ 7ߊn=AL"GJ䱹ʣ$7N1LeGe `A_A endstream endobj 9811 0 obj << /Annots 9813 0 R /BleedBox [0 0 612 792] /Contents [9822 0 R 9818 0 R 9819 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23908 9820 0 R >> >> /Type /Page >> endobj 9812 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9813 0 obj [9812 0 R 9814 0 R 9815 0 R 9816 0 R 9817 0 R 9821 0 R] endobj 9814 0 obj << /A << /D (unique_474) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20211013063105-08'00') /Rect [104.1732 529.0308 161.9287 540.0308] /Subtype /Link /Type /Annot >> endobj 9815 0 obj << /A << /D (unique_475) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_pins) /M (D:20211013063105-08'00') /Rect [104.1732 512.8307 160.7187 523.8307] /Subtype /Link /Type /Annot >> endobj 9816 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 496.6307 164.9372 507.6307] /Subtype /Link /Type /Annot >> endobj 9817 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 480.4307 180.9312 491.4307] /Subtype /Link /Type /Annot >> endobj 9818 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9819 0 obj << /Length 19 >> stream q /Iabc23908 Do Q endstream endobj 9820 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23909 20830 0 R /Gabc23910 20835 0 R >> /Font << /Fabc23911 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM ` endstream endobj 9821 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=836) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9822 0 obj << /Filter /FlateDecode /Length 1964 >> stream xڭXIk$Gǯ!ӱ/ *$om> sLۘ@KJ=f[^/j >ruU1c␡YT5N?<cI/ ^ 䋼.(gXN/XENYf]߀k⟻']d8r~~ 9-IWMwR\ѵZ>D7-kz{eGJ'ko?:5Fy6t5\/2&_A .Nj֜j(IW x2F[wF+~a-9q`cI"30m1c&v<#ºf6v6S룘r%-6&75E;}a#xFBJ[e|pcx3]^QC5 ޭ*"5BÆW[K)hīo¼ptfy:8â}+{$${bN>R.5; ^AGQ¥)+Z3VL<%H} Ȩr H#f^gOp1x@"'`xHGՌ:C-9/ƅPl{ ;.ZL;@,FqbV'X9[j`pRm@yc6Q`O^0R~u$OxEC-UcI]NK+txyQ &Ww6f  3Rt䣉nzĂaJ D.u@Mefwauoꈋ$"ݖE/sG>Jd7_.W)\3)PWIwMu4 qunfw{^*JA\30sstp۩A o\CB=/^Ǽ~?ޢh]o AgcИNȌ57);!_KSzǮ1ъ$2F|`#STAXyȰ#uH'd=q{HU{RՌԣmf^ i hK  h)_6fy'w\x vid@`|,"~"gvx҃UL,2Uh4.#-Q#M-J` a=nB-YE۔xI$B}tJɞJUɰxFV!x8eXurQ {ȼ gjhL좜̓ q|ޑmwCE@5!ŰǙNdC:Vbfl¬sRSWr~䝒nH~}#ȅ}8^rДbMI}mLK endstream endobj 9823 0 obj << /Annots 9825 0 R /BleedBox [0 0 612 792] /Contents [9832 0 R 9828 0 R 9829 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23927 9830 0 R >> >> /Type /Page >> endobj 9824 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9825 0 obj [9824 0 R 9826 0 R 9827 0 R 9831 0 R] endobj 9826 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 304.725 112.8525 315.725] /Subtype /Link /Type /Annot >> endobj 9827 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [118.1655 304.725 150.6705 315.725] /Subtype /Link /Type /Annot >> endobj 9828 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9829 0 obj << /Length 19 >> stream q /Iabc23927 Do Q endstream endobj 9830 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23928 20830 0 R /Gabc23929 20835 0 R >> /Font << /Fabc23930 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM: endstream endobj 9831 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=837) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9832 0 obj << /Filter /FlateDecode /Length 4202 >> stream x\Ko8W<@k&vze{< {2ŗvw',DU"{<$%woF@is\2ҳv%[D3_³eȭv[⬵Y9P?Ox?yGYDw)wtBOBx@&5=eK2#p92rTXY|SDr'CE;sQu`ߐXA~W̋oѧv'l2i´ӗߧ?~>RSf G/q$>ܨ?Nvz&IТ# ^z@Ir=5S3迱q!D[dl4b(;{2Zf[E}'KCeQt7M>Eg4[՞U?Tnl x_*g;*NJ3ylZc9gTjICHeӈ-<Շ5@HB> -.ڱ}sjsB[<{K닅X۰UYOeV:i3 6 A*d({ &AK諡sv) &麀ʹ6(CH{^G#A}ғBܳV^SZpÆbXkFv#B7;b͘lmX|'e61+W {RYa*wM]@4{D*R}m-DuDl<4_h4x{9 ӃXhmmb}j qd8 2e(S%Zײ\pB,J Q7yYS;xec~kReZL8|usb$j^pIah_h nh87< X3)%S81s-"U댓+LZfӷJCb*-NTh*=?v(XY xE1d{ 0i`ڃG\vgA# /%-xij8€j<_[آl3uV*^qX% uPހynUuɭW_+e㡎xqt?m ϩO"@Z"0Iu|?O^V׏"YވpgZ=`j8w{a]}o(.(za^'|it *wse^'7gBLh1a=dQDݧTq(-?NT:rVr 6P@<\iq#7H1fb2&쬳9VR+ 5J„@0 !rbD-K %}P+Ypy^}VY EqoLR[tU0Ҙ(,a|ɐ"z鸼@c[h 2"ZjK=[:WҌ*.ϝ+<9#.+تo_$ T-}r}h"{+\90' )CRcI1ʳ% FDZg&$)OB{Q=6{dج(FA*W>YQ D5`WVRʉ:wӎ"p]c`\eR*S휰rӪbb祃CICB츝 ^uLְWd\M gװ舻< ,0EnWؒz6{Xj8V7UfVUE>u@oZWƕMs'% f||S8i`Rju w+hD}A2]G'5i`o8A2^He^/cQϮ; NagN3]IHW©J# /jlSgաw2{@P=kd/zՊ+7J `RgoJI?ԥw@P7·w<O7ҷT nB@z 1@e}h%R/:$?Gkc![(b[Kv;Kf5i}&T*t_ag!~?ق߆!ȃӡ[ q Z6M?iܻn1o}MӸD/p%P/{1Wԍ<'ywDlwA=Wƪ3~в!Mvv(M9s8͛շn6 w`]"DC*]P: YI>є᯽E35tcY;:ʮÃ]vpzz +ZN"k7P ͝/Đ,`a:ҢΝ=cڳmfЊ7T/FJG x`[+W#gFqklubpJAǾf %qmN CۻC  #: KܷKPty7dO6xr OUmLcLcmu`steUDOC;m6Yai(a-*o#405> 'p}|ܜl\C&]`v>dǓIy}f9+]p:eG?/:'\L"axV9,n6^֊XZ)KǞ) I `5T8ȕk: 7ɚ9.p=VxӴFL 45;+hys+e77"3hbj>mP709'vy`.KʜYT6C{1&њ`6ߚ:T+3zJ81-:{[w"nw[5x9iAD[q߮上>!)+[? HI\!::Z P2?f~qMnr^1EjA^eYP8b][ bp$muz7TQ2w͎hIj'T{C-Q8maHYhOQMR.%mKsE?+I㥕ѭb)ݒȼTFTjd A"h)ާ\jIU+k) Ȓ'8'^ݤqdZSeC ufͷ\(cH{m{oLQ}Gt׿zLZ9-n閿=87 O/r M?Hrܞ?N\a~'&%؝r;raHD;R`O 7r3-> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23946 9839 0 R >> >> /Type /Page >> endobj 9834 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063007-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9835 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063007-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9836 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9837 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9838 0 obj << /Length 19 >> stream q /Iabc23946 Do Q endstream endobj 9839 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23947 20830 0 R /Gabc23948 20835 0 R >> /Font << /Fabc23949 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7XTthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5o)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Q endstream endobj 9840 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=838) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9841 0 obj << /Filter /FlateDecode /Length 4873 >> stream xڵ<ɎFw~ }RR<(`>Ռhp]m0H* Ur/޾91Y'>kznN/)oZ_~mCmXVK 2N)_f_ 2}_V+ 0Y!_tw7 |_ Cta :MJcAK4nƧOl4 ЗXD*7~0)<+uڸOuaBXrIcL@ 't T Dzt_C@t/qF| 1.'Y28: 3Q\^.Q|/2L=!SL:[Dv ~ZKsrW"v*k8YP N-> /s:9{vX3ǚt̙74MVy0dnVu4v9i@]0 >~" SƨWk4JLgDASMSE$DMCA H&,P3w/X$Ei@ is( xn$5(𕞣e7^_X4%VI4k=y_/JvHRf\D%à 8 _Vd#`4auVW[D-F@~ R(l- ǵCG8RW>?ZyQKOpJ" $Tj=a.37|}ixmRQC5 "E equtO=VË!)n͡IN TҐJj Aa ģ٤.8o =]أ Z%k%Q0X/HGVһ<2K]P4 'v-> V>)l>/K#Vuϸj+HsVЀ{\hw-d(Te\lN0>aҋvOG-->T[poȸw\1QlBzFF{Hk8eMu<uţCj(llxn /WY[)TKkE(&W 5?Yٽ dq `o3Lv{@㚗O?4/uNC^>:ynyM 4P\1\'Soo*Z5EJPQ ݬlңr fn)U]+_aKwV A -(K~qIے?/isf!B['_Zɔ=ffB?Nrx5O>!.>Y^Mu dH2#$>P8W(aU`8XMB\eAi}"r;Cb\g KS$JK_؍>W% gAuXwUgAj3w3|8U)]U@anJg`{R@{z5 -QUDbۛlr( ƾNdB)/bߒw@hve*= Ev p .%lfheF&SԄ]A/dHiAEe *J2F@!+>i (-ЋpUR?"!=i2 >ī[W9g:h*5-+.Չ^+bl{ 9/Ÿ&FD/z=|3h*kZspqTp˱p;sIRZ;n?,&(z ƪ*@aaE ]2D&p;2de*W ~ ~an =*uZiW+9¶zd2qjN;~'o,ӶǦ`_K]b{@<.UmFhXz]ؑ=XrZ?X5ɸ@+aCwT;leM&(Z>={=m@O@cRr_8mN G_&>j"TZ:%։(% JxK 8kaK-:ǎUtݧv>%!;)f*g_X4(5ݻ.l),6{i`9fWVzP76}]#ѲεUFC"ț!16@VegZlf7FGs3m#eaۗڲ￁o]K0׿Ϳ4KbMV_8PM†ߴϳ|+fN;F]pݶ9߇b_#F2+1goBWܴDs#|6IE:6t 7*=LXd#kI >eCLGҚaBIHW]V/V>}26 f)>FP' Vshl K^r P.W1 EWB[+nr&ge$o -fb]5_fvwԔ35zH ȝCzZaK,Oos\LD6 i.dsn FTWM\`c = a3=P )߰aȧD%>^rz(H(@1lJ;utc UX&^a!.tP2X a:^8(e F,Hx##9^:bzI>WޯϦ v^n@E^(QkK*6^%"5E?_Q\\&^ӣ|p*״M՟  \%ȅ"DZ9D O,;jzSIn%YT \]$h0rfkAIFg:i|L'_RuX[HcșBn97f KDx _ķ6Fet;Ee2ԝ5i"xg6өNRLA4 -f>ROUq~jKemdC۱5ٮ`r<у>^?*Kp;1/S1V<6X1c 8l3h`ar>n\Ӵ=U|6ʱLnF6A.(r9(<y=Gcq4"O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23965 9852 0 R >> >> /Type /Page >> endobj 9843 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9844 0 obj [9843 0 R 9845 0 R 9846 0 R 9847 0 R 9848 0 R 9849 0 R 9853 0 R] endobj 9845 0 obj << /A << /D (unique_746) /S /GoTo >> /Border [0 0 0] /Contents (create_macro) /M (D:20211013063105-08'00') /Rect [104.1732 321.1385 169.0347 332.1385] /Subtype /Link /Type /Annot >> endobj 9846 0 obj << /A << /D (unique_747) /S /GoTo >> /Border [0 0 0] /Contents (delete_macros) /M (D:20211013063105-08'00') /Rect [104.1732 304.9385 173.8252 315.9385] /Subtype /Link /Type /Annot >> endobj 9847 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 288.7385 164.9372 299.7385] /Subtype /Link /Type /Annot >> endobj 9848 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 272.5385 180.9312 283.5385] /Subtype /Link /Type /Annot >> endobj 9849 0 obj << /A << /D (unique_752) /S /GoTo >> /Border [0 0 0] /Contents (update_macro) /M (D:20211013063105-08'00') /Rect [104.1732 256.3385 172.6537 267.3385] /Subtype /Link /Type /Annot >> endobj 9850 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9851 0 obj << /Length 19 >> stream q /Iabc23965 Do Q endstream endobj 9852 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23966 20830 0 R /Gabc23967 20835 0 R >> /Font << /Fabc23968 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9854 0 obj << /Filter /FlateDecode /Length 3041 >> stream xnί2/@C{d 7r0|d?oMEV7MTU9W~]oOuq)zz&fa>[_l%E`?]EYVdUg6*pO!t)Op]UayX~QNi ɀ ;p0p{2 EN@, _p:a +zڟyta!p㕌I`hI/Y|YZS't3 yy= ג6gu5~ %Xk=\Z#B$+}8qR:h4_Qe HK{'>{d&1$\ijrM,F$+HKZ:͚j H)}!`MZ cm E~Y\#Gp+`u[)B5XN(-d*1A \^Q_>2ؿ`>Oev,Э2U!f0,xN3`$Y2n2+gYW~rdhPހݳk58u#sUf%#T]mcnM]_t<,_3[\5P20oQ_b͇i@ # Off3D7i+\ŝةb7sDGklc5ބpEj,]%n%(vlxi(kc[;ĥo! ˫Aj $T!֔ykͭ yzӃvk<1 Wf^,.1֨״ӹ R~̭ ʍ0GyWJ.f`Iz9?f6+`uH =3-!z5emɒ[:GV 4C(/j]-HIB0(eZ -#4.2ߥUƖͧ h{"QMv2qr-}=1/S?`$s!\S r}a=V }!gUBӒweʩys"֤&B5Ũ! wQF]`qϼ2D0$P-yNZ+jH|_*['gfS!CZ=G6ˍԔKJm! #Ko9zܵL#ۚE Њ:NnJ?.,CP:x, 6`3~S*"`[LJ}^ӕw !R=pޑt,cyXlYqAu TNؿX3LLU7Gc.pqnv6jYRC=OzcO\M^(;6DZ{hة!^ ^o@-Re+۠ ڦK"F@B`.Z<ǝ{԰K1.C?&JbNzmTUz(N2uoY1Ӣ<MuL[bfR}VN תUuj4ʹfMj`Ns Vk8S#M-w=r!ٽz5ߠT->EۯK!'s@[}A#[Ud%xZN{X1X}zPqk[Ndig޾7N"x,.y}E杁|DwTc%MGչ`$f\w2f^?1IfVwYg?qӄ7}4CeZu{sD>\ [Wm$$ –ul$p"  L:}шun6t[f 14;iN?̼7Y 8dԄP#\.0#w,`O2{ D0aṵGv(+|G.MC[ێB&hig8^܄\\Tɭ&7ɏ- F^Hax* R@#%|f.+K~ @ĨSq~Ȩ-HJg k$RU߃?4c V>R%Zrd>QkwL·s:>y^ƥ)gҐ3OzYȔ2QCO>', p#\I-,!A 8*̠)k efށ"Zv!h.,pw OZ=*"yk` SbjG:SMZ}Z>OIXKe{h`X<=|G6O]x{i=A@5XkHw endstream endobj 9855 0 obj << /Annots 9857 0 R /BleedBox [0 0 612 792] /Contents [9864 0 R 9860 0 R 9861 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23984 9862 0 R >> >> /Type /Page >> endobj 9856 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9857 0 obj [9856 0 R 9858 0 R 9859 0 R 9863 0 R] endobj 9858 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 360.325 122.505 371.325] /Subtype /Link /Type /Annot >> endobj 9859 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [127.818 360.325 183.115 371.325] /Subtype /Link /Type /Annot >> endobj 9860 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9861 0 obj << /Length 19 >> stream q /Iabc23984 Do Q endstream endobj 9862 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23985 20830 0 R /Gabc23986 20835 0 R >> /Font << /Fabc23987 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}^ endstream endobj 9863 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=840) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9864 0 obj << /Filter /FlateDecode /Length 4232 >> stream x\Ko$9rWyJ*k z=c}Nj,R&#`0_D0ɜb NxI.ޗJ3KK6H/,M_-է(ʌr 캼gh:qsK2\Lj~|~f9lo9[cuHcD>w3o˚sCش^z+F!5z ,i C.w`TqjN O.1Z]9zB᷆pQ e:U0\qba+̂:uP[> 'y Fj]wMz5c[u}d6'dzsg`v@\VS$Cc8I{(AI½neqi҂7ɓv!YQTP˓Fr WO^ ytF P⋨QIKbLJ`,wZxn2E^lr"#WP/}*c @)DMiwR;d١IA-T?&걤zSeI}N@8Q̍G6P@<\q'BPqL= fu!D%|^Yί$w[aBkK?6PĽH}fUţ _kZ3t"Y Q,^f.Gf8'pYfed25"!أ(coQ!؃(co9J;8F8 ]I [8#pSM68 :E's&E' Umnm'TH?ȱHow2039 7}h%=o($?"x^>B8bG A@Rw#K+v ._OWk_ZaH}l?`:nսs]#ifAv\Ŧlxcw?89~ +BݪNzuz 8 gX`|{Q%9b ?ɏ}7IjyνqP^/Ґ{9fusdE% RagHG0t(@3+qx2 4V}G#ŲX|P*x&=٦a4Ҡ0թ؜#H?6Fui`2Ⱦ"+eLN{DӎXDcIL`PD ;4}`}w~@{f (X\y o6R!%u4~y8F|4=Ʒ|b|^C>`> e3xWg?\i*79UwpXWK ! #Nhf˽"f=ѫÞI+p\8ɣs62cɥ^T\b,~cs͛ &.>T6ΐ\Fu4nMfbHax };('Gy6lf6#9WٳѺOKl5c;Y\2/J/-CVN_5ar{YCÇUX3eJpzd@ y䙾{ /$b z}_{˃tV|k$0rȨ`Tp)Ҷ) YE{xj??c%^**mO5pnuPg4&|R?׀={|*`z#̡#5N]t*/nY xo endstream endobj 9865 0 obj << /Annots 9867 0 R /BleedBox [0 0 612 792] /Contents [9876 0 R 9872 0 R 9873 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24003 9874 0 R >> >> /Type /Page >> endobj 9866 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9867 0 obj [9866 0 R 9868 0 R 9869 0 R 9870 0 R 9871 0 R 9875 0 R] endobj 9868 0 obj << /A << /D (unique_213) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20211013063105-08'00') /Rect [104.1732 425.5346 217.9572 436.5346] /Subtype /Link /Type /Annot >> endobj 9869 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20211013063105-08'00') /Rect [104.1732 409.3346 185.6227 420.3346] /Subtype /Link /Type /Annot >> endobj 9870 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20211013063105-08'00') /Rect [104.1732 393.1346 168.1327 404.1346] /Subtype /Link /Type /Annot >> endobj 9871 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20211013063105-08'00') /Rect [104.1732 376.9346 172.1972 387.9346] /Subtype /Link /Type /Annot >> endobj 9872 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9873 0 obj << /Length 19 >> stream q /Iabc24003 Do Q endstream endobj 9874 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24004 20830 0 R /Gabc24005 20835 0 R >> /Font << /Fabc24006 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9876 0 obj << /Filter /FlateDecode /Length 2227 >> stream xYKo$ ׯy`4ݶm99M2Xf.G3&AlI)J6_3zڜIl,~e9??=nYeL -7O/owֺz4.#?\#b*<κPh~Èr2kl'N["eֺax ^F'sܚ>.rbkf5xVMkagK3r\seB`fyCLm#ÒKx!=[#f kǍKV}}T0#Z%5W&5GBH՛d#awY ,' {Ǔ< ؟c(|g3WxI;@H~' u32Y!PjI5>`W֚k.D';jȳ}{DAVѬ붺Rg Ta~QբuŻfx#0mJ6 {huF%Qqٙtk)&*o;uȩJ$,'FJLx`Ƒ>X ɯ[(tc)`(cFmͼs'WqI$d1:.6~33-eޝa:^V(uE+2~b+!'dTgO' 5LZ6dl&5D*٠f "}Ӄi#3q|F5?Aャ!桜'd{@!p@,^A@-;zE&k࿩jO%yZVv4Ǚݏ/J-3ȘNG766#k{ ?bBLvBmU7*XڵJln^ں#n&C܏rIe?HhOs-P5JR!G>ҖY\7].;S !]&7ۺBe֗JpRSBFJ~䍒n]Jt)]P#[SKBM`%j ۝ݶawGl3|ħ!*n,|21tkvѓG1E<c/zh n]i}]I816`KÄr(Oս=?^3P;No\v.IryQ]9,};@\l*ՈJ;$Y=Itnhid.fBg)vD? Q$_z2#ljlSu=\# Pt[ endstream endobj 9877 0 obj << /Annots 9879 0 R /BleedBox [0 0 612 792] /Contents [9886 0 R 9882 0 R 9883 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24022 9884 0 R >> >> /Type /Page >> endobj 9878 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063007-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9879 0 obj [9878 0 R 9880 0 R 9881 0 R 9885 0 R] endobj 9880 0 obj << /A << /D (unique_77_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20211013063105-08'00') /Rect [90 304.725 154.724 315.725] /Subtype /Link /Type /Annot >> endobj 9881 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [160.037 304.725 192.542 315.725] /Subtype /Link /Type /Annot >> endobj 9882 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9883 0 obj << /Length 19 >> stream q /Iabc24022 Do Q endstream endobj 9884 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24023 20830 0 R /Gabc24024 20835 0 R >> /Font << /Fabc24025 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 9885 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=842) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9886 0 obj << /Filter /FlateDecode /Length 4281 >> stream x\K8W<@j**g a1',U ؗ/AIYiOkt%`0"CNn /eӗשܔ8$YۿK>8K>Nq:ywKKEo4\}s.9=ۍylU :>}Oi>mzlvP-'?L~j@d.6Pݚߌ?O0Q!뚦Ŧp fNkyK6C,_>} u.& ]Ӆ'rIpyZp\x26 *JF'n@[X 49>_(bF"4@#ט^r,Sȓn.}k/'T04sQ(v/kMOy?@3( b︍ަ+z4as;Sm*h1.lC_Ukz ֖[ƞ9)P'q>.w iB߁(r,ٺ 1~-2026ǽ #{/rطֆ .2 n- G+ ILԘPr6Z]: >& @kہŧ օm2C%:U꽝#/m 9ӎ zV'aG㨈gV1yY_eCHCs & MxдPDJzVଟc)fq&f&4'dzt6r˾5_$n!T3ǭ% =2Znu+;.VikB_a=Lpº"LwQT O+h`)U՛- g!:WARD-Ck~ Ǽ6AЏhT_^ RL tc(d-X6&^mlmE؍8}f>C;6ӻ>}<}/XH=_]G[)@Ν|)^?jTxݐ=/yhJvv22EF,L5 FYd=`uN>_Y(hSgni ؚ>T-i(!w 6~c \r{l;S z +-o (Ó B)=*$(Y5bqG÷N~Y8,Uz䩠"mMQǥjAbTiƳ?2w. }efp+>'5c3ۦU&=UMoZ}{F[0meSe:A?ܱ8f{0Lyr|dLHqo5m^Up`,Wڶv1žVlx^&ɷ&hg^Qd jr/@t¿BzbW%Z] *,c%8UV?8 tƽ=ljxNpao`b\ ?ŃU7h^* uJ҄{K!raBKSk`LCZ7O+bvk}o,Z{Fz ef-)9.G°(%W)̋-)+h{o I&nnK7ګ?+Wxr#7M;߾mc`HV̳'8K_th:ch*{ܐ9'4 )CRsI} HLRTcÚg&J{1f16[#nQ1 QC@J~ B4 ,U9\Q?$NE \ueƭ68D UN%*nW.C4(jXL>A`W -,Paͳ[X4Z.bOlZ8675J+NTMѼMsnMʼ0pVp2`oeD6ĞM+ի6\8wF4(F#{p2#k~,ed(FyxQ2&88)6W,njJ9" 'f|0:i e6:wԼjÕtW;e@+ӏse_ v~@1~{߲P L; }.y;@v Yrހ'#Kz>$-8gXkcoCP]xk` ܍.yL#|?fWvV2O=c29py J\6Tfpӕ2=TcV^!;?tɇ6#wec=lM)uvl9x#?5v"[NyM}ܡzߝ?T'K8QJ>O-g> 6 uQN:;yzO)7[gZeS {>E/ 7tE]Ut?׎utľ蟚ϐ(;e(N]>HզTh6*|԰pm|4/FjGJʼƚ\FdMs>Ñ%D<8+)( k1Y9NI!y;p7G-]m` }g../>^u s)k 5-SI߈a:%E 6:.O]KיZKnO7K›woY%yS,:Wy;=U yk|>ӊ ސPb Wn<Xa?He-Mɏ55I4*UҦJ>ܒ_&U90%΀a!һ6 LArcW!| VX~Cr_rj8{d*w˫&s.7~`FS}ͨbEuyC9(U=3?빁3 }f8cv[U%G13jr%eSKF#)'TڲvLZX$Pat*%Vx@U endstream endobj 9887 0 obj << /Annots [9888 0 R 9889 0 R 9890 0 R 9894 0 R] /BleedBox [0 0 612 792] /Contents [9895 0 R 9891 0 R 9892 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24041 9893 0 R >> >> /Type /Page >> endobj 9888 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063008-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 9889 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063008-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 9890 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9891 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9892 0 obj << /Length 19 >> stream q /Iabc24041 Do Q endstream endobj 9893 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24042 20830 0 R /Gabc24043 20835 0 R >> /Font << /Fabc24044 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9895 0 obj << /Filter /FlateDecode /Length 4866 >> stream xɎ9"v9@n4C0Nf'%|$U3A6>>}<:~Ս_5;?./e͏֎_~C83LKL<~eۋ1ؿm_/\ܴ)_09J_0t>N.a8qX)9?S ut+,/f]ߍ7j|-i=<= a56}&+3zD83MaV(@.@GLxΌ3C̔MiZ"7FG/n LlסBpGT&yLq5+L=!Urux>)tQ֯ HpNYn3!kd y[P NOa6:_KekGf2]yb*<̉dnut4)KpIsWiؐ4FjilӘ 1)Mr4tTp^5QPcA"ɬ J[ y*,Hֽ|jz$3y%mF2 +/X4%8VI,k=yގC!\$@!9JNDq_V0 &G&7]*! X*ms[$ɶeF@~k2Ֆ)Yk plx|(xmpJh4*zOCj u+-N*JZy(zْ(#E'WGluJM9t6npU T`^.X>0 YwZAn:!8hwO[!&#.sbvJt:e'Wu':6 W l11!ޘ0QlBzFJ{H9eM<u٣Cj$l gYԛ,-%ݵll /Mbf ,"D%Š0-6eI%/~׼9tyyZl\TTLˮμف:11C>l7 ~kfEJPQ Sݴك3hISk d f)Uڮدq/++N0K[}{7٘ S(N-gJLfQ2񟉊uv6c6b=hEA'}=DԅOЧ94EUx `$ NTP+EdR,g\KE.n:K𞣞,EZtb7loK~IbN,զs3|xESKɃǁ@Çuv:)Y\)*.|`RriC:*Hr{2έW H<פ=OF,_TQe d&@]xo}.-Z9s Q^ʹ8 0ƺ3?E_g 1D:Sk)OQ^6ɃC2`![N5]Hj\rrDlR'on,AU>Œ5w 3 0KߢsdQ]jg`k;iL)z=MUOUH8+}4[D0]Y$ySn"x̶﻾@uW㖶6Ϣ:ѻONJ$PZjOASV W^K26nhޯin|˼~4Z5vliω^uזpA\WQ$ N-\WS<~6V "5K35đ ۚc! b:Z˸rw'GŗF,{g> n^`l`LGM}[AaOB$+56`(F]pw8̷uazujnww}a?pw;&4ܐeO{`[=a؎y0Rߔ>Ƕ>i],넻kRK?C5`;{X#\$R#+n>4٩J"RɞA/TMHzNAdE:5o6C9SWErUXe›_ѧg~k61|a/w:+ <-έwcэ_7gw_]KČB]pS7jez7 Nw;-5a<z¾1 (_9gCݓ[=_k]1, d& 'pT\ӂ /%`;LH8 m8*a%x'ce]Z  zjM!i}. b䕻u4si Q&}Mޒe!}m89*fօ;1#!unVFj9l2}%l:)Fߍ䛝.q&Hӄ$tŇ#Ie)$~po ڴI 2Φ1@1pgBEx¢5nd17RrVBY –Ȳg&c?Xo9XʹOYDCٗYv6nxyǩٖ2OnVnKѼspZ_yK`K-Ys(zNpֻ_j4!NQ~ ZlVb `zV U !DIdbLߊˍvkSPV۫ j%LCگӊByű3IfqZ ~pՅu`k=_o Wթ]u<ҕkGpyebMӌF.z;G}2avɓ{% ebSiyGmQ1*2663E ޵_”F(`uU.K V{V҉yʫn Ս4ߕڵùlfRrW\x=ވQ\:ܛ#«űl\ٲ\[$G11Ww챙o0k6U4dte;#8}7 f q~rca7хjN$@f V~`L9ͳ1RխH'K{!Y3d|уNi:Y>ir u>d 3"fpj @x˜acT/yQgS{bB{6-W+uK}=z7>Wm'*kKDV1ZBҟ(.V.QW>8kVF_9ӡLVR\F4pq+SOqaKedYW.`r<Ѓ!u/|zA?k:f!uЗ-mZq?x%J5K h8zE\ nWPP_&,7:[ڗ0ofwUUW3 >px 8&Gse'!.t=&zRLH+_^.ƚwB|j3||;^x^N-0|y "*ȃֺ55gX||j3,]{0Ol:>avjYF3#Vy)g< !u 5J0ՙ=|G2/D>MHKm*(-dA/f endstream endobj 9896 0 obj << /Annots 9898 0 R /BleedBox [0 0 612 792] /Contents [9907 0 R 9903 0 R 9904 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24060 9905 0 R >> >> /Type /Page >> endobj 9897 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9898 0 obj [9897 0 R 9899 0 R 9900 0 R 9901 0 R 9902 0 R 9906 0 R] endobj 9899 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20211013063105-08'00') /Rect [104.1732 490.1346 239.8747 501.1346] /Subtype /Link /Type /Annot >> endobj 9900 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 473.9346 164.9372 484.9346] /Subtype /Link /Type /Annot >> endobj 9901 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 457.7346 202.8872 468.7346] /Subtype /Link /Type /Annot >> endobj 9902 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 441.5346 180.9312 452.5346] /Subtype /Link /Type /Annot >> endobj 9903 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9904 0 obj << /Length 19 >> stream q /Iabc24060 Do Q endstream endobj 9905 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24061 20830 0 R /Gabc24062 20835 0 R >> /Font << /Fabc24063 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫çCfٮc8c.@˼ĵ1Brt~AѣDI`2R(.Xc4ECIHE+E̕'R(fZĀ0dDP|}yԬ9*{braXt(~R@F QD3Guœ}"juZG)zr8knT-=%MW4yrCodE#2yk))sm.\gy4~)/? D?l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPB?4Cj|=B/} endstream endobj 9906 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=844) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9907 0 obj << /Filter /FlateDecode /Length 2164 >> stream xڭYIo#W<@U/ @%Yց9ure~ƥd rYJ߂\ۜ1bqj{ZxgקY`l*ƺ`挹uʄp,ֿ}*AQOvQdcE3{-LS{fr+GeAwa#^Dˊ+C M;Dɱ6CI?Mҿ:i䆏TvP̓:Nw,aMܟz< h#j _.I;/Bږ͡G|g3]bAB8i9y$35;4숰;`FΧTAk9|Pՠ >ε #$<Sn oŹ grzz'cR!8l6ѕguU9g]hB_i=E_4Av"(5|WE}Y '6ՇdWq7H8$'%crWc>|e4^oJճ})[ lb6\>M&צ}0]e_8A[, 8ww b$)R>^v7 6N_)nsWF^Jԟ⬋[@p 8Fms`EI"FWhn0-sn6OV:Rpb,'xv0LZ].L-xK&".|aŖ4~偾 EM%7 Cd(Nk;L3:Byunm=Ϲz˹43yuϼ*3>:s[.QvcWfvpS/"u@1ГMqZ3~$>RNmSc(ๆ86L_~-8zVECpO_$c%.apfKQsc$vL*H)` V,`A)sj)̤U VS` )Ԙn$*2\( leoaydjoaj`q#-yW4-eKLj4420+6K2G`QP^hVd*,8h. i͉a#N-G%8 6=dLFM2 tc:*J79.)nd U'5ٶ֏sޥd'2䃒n >v!HH;+ bV/JOkﱕGnf0c/]2YVlPeC)Ӂ:ТL g鸄>wc~yѓuRڕ40t?u!B>y }]p=; v?!CPXQp6q;B z'z7C+v/䃿ExgVN]H9*Ua56JBDH<q'#ěDt6ʯ4ZӠ25$(;Y[ :uw endstream endobj 9908 0 obj << /Annots 9910 0 R /BleedBox [0 0 612 792] /Contents [9917 0 R 9913 0 R 9914 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24079 9915 0 R >> >> /Type /Page >> endobj 9909 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9910 0 obj [9909 0 R 9911 0 R 9912 0 R 9916 0 R] endobj 9911 0 obj << /A << /D (unique_77_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20211013063105-08'00') /Rect [90 286.325 154.724 297.325] /Subtype /Link /Type /Annot >> endobj 9912 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [160.037 286.325 192.542 297.325] /Subtype /Link /Type /Annot >> endobj 9913 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9914 0 obj << /Length 19 >> stream q /Iabc24079 Do Q endstream endobj 9915 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24080 20830 0 R /Gabc24081 20835 0 R >> /Font << /Fabc24082 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9917 0 obj << /Filter /FlateDecode /Length 5005 >> stream x\Oo,S9@W_Uavd !N^|%EJUn?g/;uIU(?R5}̤NާRg`:N>ɚ79>Ak8ƫ\W'ck~TiuOu獺B96]sk2B-WqU}RD6ۅ_:29 ҳv%ff/N3B?_I+n2V\5NaE5q@~ ?_){ CڀL3> u)%E@刍Y<>G 0/Ѭ _p/D;>#gĐ}˭U%FSQ'a?LxG'K$!hzRe#5R6/ Gr>6sl㿧4&Ę i{B/ 4(Lvz:|aGY ~_} m=獅)ۖ:>G/YٛvDclA^2M!7TbI \sgi~Op\U7i*Mc?]{FmDGUjI箤ί&oCyKTۚ60$wgI^5(7 :\R}~+X q?b)॓t6DN033  zF/!*yq)F%&lƒ2OvbI ~Zƹ" F;yn!`8=ͼ=KQ'ꐳ.-p<*7pQcyTS>8*EQYa*EQܬ5pfRlJ?Q<_UeٰBI( ;Y-Aؠzw )^f;8h$9_s|5pB|h^E Ͽ W3c`ggm|S/fd8 8F0Wfk5 VW<Viyey,y9y۞usɸowzzbh0V &B)aPTK1|᭳7@fS/lgl.p3iR_iĒt NVDl`}B/y-7ث'v(".2NMJY N6 ߻Go$g,&'^/٣ 7S-hKB΋~o12u6(?"/B|T AD)L'O oTi t_Oষ E\«4a0/@[([8U.Ka 2-X&[i,#Uɽh *MW;>e>yq$[WB,~R˒jO Vվk9iOF/p oLÕ fp*dRX^,h{+ӹdJL5z'z„/l ҵ0Q/ŽO}}zW)w#FѨD幾T[] Gt4TuR㣟M "l,pk| MFf\^XF XeUo:"[FiJ{b5gaJ%i0L#?_mody8 g,V\nTFlWd!ט0'v)\RcI ʵ0OcR#W}ǒf&3M:MؔȬ-Y;QzDF5ۭ,VppG뮣Uy)yԎ@f%TT40aP;/"-b N4(䐍ISA>S*PxSY@f  +`1 X M Z'Ġe*If+b5S%$۰"d{- ].+e'fnZddG8YFOӾ+';I}pR=4}EpR/j"8aupR8.:}wIY?":uł$p[pRCk܆6IT^%',pu?'Af N矆*Uʻ$H8дl|t$ɴ)6diN?d '{DY<;ч{eL`7]Rl0ؽǗlV/1vuzAV<}3G$&*$L K-Ï_A+(.q{(ʒ|Eh$|ԫB(YAfەF|Ump]jXe]k]6n%Ou+F젳m}/]N䧲A~?~Td}Ivdf0f۴-=;~fH5wݹJ ENmjٵ% \3&azuƤZlEôW\Pnծ {uZ}4ey 097M;*LoSm 0^ŖBZe!|+KiIe76f%y52?}yzJ U0ߥv`P8ܦl$JY]av6Ê';DEM0 C,-qf9RioLHFHo?i Agr@],* Ơ rOduG?<(, Meɔqr t puu~.pKkҩ 4ry"7|2ѳÞI-PT8t&  EK%.195_p,k޸DUaP=·,vG0|S&_K.lmOKSGBYq[)O6ṷ[rJgX\{@47%ZQ}-lxe$N7\V\Pmd`v 5fUf.zP m֜Wkø#6^Q&@ebۼp O*Ǚ>zmp2ZGL}IX磅 -?yӽ\^>7XDqJ>Ee#?g ЃA7jDH#_X ^c_#'Nk˧X$QEA&aQoF< If #ke+y!S Łp_OyNY(!+4r<ةNxT5  e<01>d>eVLY(˥Y h endstream endobj 9918 0 obj << /Annots [9919 0 R 9920 0 R 9921 0 R 9925 0 R] /BleedBox [0 0 612 792] /Contents [9926 0 R 9922 0 R 9923 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24098 9924 0 R >> >> /Type /Page >> endobj 9919 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063008-08'00') /Rect [218.8375 563.8654 381.3955 574.8654] /Subtype /Link /Type /Annot >> endobj 9920 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063008-08'00') /Rect [178.99 511.1961 396.03 521.1962] /Subtype /Link /Type /Annot >> endobj 9921 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9922 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9923 0 obj << /Length 19 >> stream q /Iabc24098 Do Q endstream endobj 9924 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24099 20830 0 R /Gabc24100 20835 0 R >> /Font << /Fabc24101 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛE endstream endobj 9925 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=846) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9926 0 obj << /Filter /FlateDecode /Length 4778 >> stream xڵ\I#rׯX} pi>7`4d#-ʪ"-= Ȉ/~l圞St6Z~mڰ(egxS8=vg7~kD?o d@Ә%ޔT7|.Xsw8ؼHى)E2%Un 2d^69}Ȼ}6ї9w4SxS9(~Mf>$tq Tz8R3PH:$yx8+(9(9x,4!.ptbU E @XV?L_g [DTɾgh<Ћ:F #h |s[`"Kmϲ '->5UzcnY!PEBevT6EWֈ4>ć;h(R( joQ LmfbZ+լW*+dDw9M}M*YK'8Ds hdnX`'G(iK;8p``M/O K!n ŃEomθbQ^`ES 6pjs3wcHbڎbfU  PL.*QG*Ƹ$_Ĩ/gfPE=cXIx`GFe;2 =1fʴm@RhpaBEiOӈ8LwtdݬIq $`g"v]Vo륙-MIZTř71d&Y͆v9`p \=&iTqv%cXƇ`Yo;k<+HtH"}md1[`nH>~o枛,[ez WPm!x8""E:ac AS{\S!)d,AhoQǐMUm+ZW[T v&5CE}įUZ*oOtP }3uDu(ۊ7D"Cl]{.f%8y_AHy !W𨶹Sa3.Dx!]S/, ( CIu0?Y2Kmb$~I5(- ΃ӹb5 DwZo[h*uMݔOIz""o,&Ƀq$7Y'Ukm CIRab0!X 2(mpyȶPp#o[.brz[N+;&%,HAqe 5jϲzʆڵ !^&v!3aŃiXB^97=pӂKsN/ C-epҥQ5RrW TL =C:\?,:MD/huqZ&{:INlxqx8XINTQȕ!:_dRgc)f8 @\D-3*,怉9T0IR ]`>I|~OCv>d:} nIA`BluΕȡuJ0{"8Vuꀺ}!z$I).1䆥i#F}QajXa cP6_\r}AcO %9w,:Į>Ue͗ ̰Q_k'V8u*"(ؓo8JI2>+6:r;zPapRVvongHܖE3Ogk,Ntxj:\l4?oc?*&'CjkɁǁ@CiSxfsM 'Ůª+]CxϫS5q>aE%vW{RЖS>vJL{}=*nJfI_:9[w-XBiUN6E[/4 \Ib{(L5xgfLLobu,!kZmkRNR&0+0ad<סGI/2PRty_:9V!I37_4s^ ZKeXc =O8x u `Z? H߂ttQlClqqsc'?$w7\"yu]xJv%.ukwXi:Bjab+QtVP>)~oUc-DҠ7CLViKǬT QңuW1- o:>>>dSUUzHvỷ(p^o$7"56C>lg?#P(3lF~5dRu{AU sMپ hu>s _58wl#X~kz"Mfi_FН# huȶklpZ,9n5ô~ox [T39}[6HihibX',(-m.7'?;Vakw_KBh'oz t ~1oMGݻ~e]oʣSXlPs.\=s(wCr|H4\[X7!;6=D)i| ȫA3@XQF]K_hh`},\ 6Y[v^Wp} |\ J/vYH= a:.V{Ulo?ጙy*/ZDG[ tѶl~_}pzu\ó7\^Rբkryy:G B&gs!r:J8 iˆ.׏'u7u}1 S?26)d}/@`.^zJnjwX%>sL˛?k,PfUo[AЉ"-: wAWS_)Ŷe- rՄH۵o'߽!olB͎ߡOh,xD.6]b(Ht#vW^+Zχ$8,r0՛W6]%TM3mH`K~.s\LDc"]`9`‚e#gp+H{}~.1q1 r>m9_'b~<ߥ:ާRgnG 41.4=QzO2%^"ZRz>irzAbYVW'&Ff1(K&0εs BÙ[1#M픒7'`t\i{>&3:{Gգ؟WMU6hK \"s0Y[/EiZkW;8sZMtEhX*y\(HĩklsN~OD,+3HH=!$YOT(XU$l0sĩf|&#p9Nti_$#wT|}P8bE|26(ccִǾ%g?3 ,OC$^OQ֡ A|dc;`L6d(-x>QM&G 6UVF 2U@L~Otm*Sb $J?$@t\LJ|-9O{Y"yp4Mf,k"'WH/+|>=/)gDmx$Jn^\Wz!,(w[>gAat)s T$!EtxRnz٦ YgX*hggkѳ|_]gQ6C]DLF06㑯(F.LeaQV^꬐ʿ endstream endobj 9927 0 obj << /Annots 9929 0 R /BleedBox [0 0 612 792] /Contents [9938 0 R 9934 0 R 9935 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24117 9936 0 R >> >> /Type /Page >> endobj 9928 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9929 0 obj [9928 0 R 9930 0 R 9931 0 R 9932 0 R 9933 0 R 9937 0 R] endobj 9930 0 obj << /A << /D (unique_435) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20211013063105-08'00') /Rect [104.1732 299.7346 226.1467 310.7346] /Subtype /Link /Type /Annot >> endobj 9931 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 283.5346 164.9372 294.5346] /Subtype /Link /Type /Annot >> endobj 9932 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 267.3346 202.8872 278.3346] /Subtype /Link /Type /Annot >> endobj 9933 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 251.1346 180.9312 262.1346] /Subtype /Link /Type /Annot >> endobj 9934 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9935 0 obj << /Length 19 >> stream q /Iabc24117 Do Q endstream endobj 9936 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24118 20830 0 R /Gabc24119 20835 0 R >> /Font << /Fabc24120 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM endstream endobj 9937 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=847) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9938 0 obj << /Filter /FlateDecode /Length 3044 >> stream xZɊ$Wy C/PR9ۈN-Q-6_"2h#<ܖgfVwKN3c#CNOע_R7cl}6M|z*(gX?a>i9NF!Q;C|i*sJbjYDL gcqlsᘍ Grg'ث.;#DiȤϣq|E,3_IhtH 3BaPײ &x$VD4k\D#lX|3d5Eljz>k7$Fmkz_GϙE]7EW6 a<($,DvTu;O0&|6) ʁ5b& dzhĖFVSpmvvɅyIfOĚ!bp ʢS$nǦل=E|}$sSY{NwYrs4=K-^,iFXM`}ۀnRk4j @XlzՏ~0]4 ZBsQ3h5ѽ_S#Zwܢl6D?" V]La~NݣZ%u} Xp*GSrp ;h\*@jO5`dhD>δnVPh洄 J׻|#rC ϻ>V:e Fb :nsڵ(n)2,3W̌>Ӊ+&b{*NA/l)[H9ZI[mZe6V٤C)e)p:mru+3m\B>KtulmbuzizE;kj_MΐEG-fl}>CS~>6J hdq3SF-PKd+}\8@u!x*H~vJ Fzbimz{3!!&+9@U8LsEE̙}S+rƎӽ+iT%h@]T3.&ρ2r)UBx+YoRFˉƠwv'AXѩ2vtWV}L hq0(@zQm[p/up vߴ?5jJbSjoq? hMƈU%j.Քg:C~`[ | Vzzñ/#VF]58ϳL CLv3궓g݌2U@ vy?dPݩ GZʫæ ~kIQ.pșuA׊EݸݛMw{"rk 5aMBDCPqT,O7K y\P0->Eg._ҿ&T)ijxXhp\ /?kx]|UNw6IWl׵F:~'q^c~cHx em=5 S6`*!uh-ШB+ɯM~hV$KL8\O~~S1 .Y>nPy 7elzU=t+ܦ5"w9G[cNKuO}ͷn ȹys;]ĔoNvvNo(AANd$X!yU2Xfl>@D .)|yIqºW/ߜpM č#{,!>Hg#9'4x,8gƼ[@k:߱6_H B)ֺO\¯@3mZ{]ɉ[ph.u3e.R(q] ]nnnzu 7^'>PN2#zU)x>b>}Sw~~`t I2_rLA,%ڀ)YO2P}j }_AҡbO*EMC%ȟ~x_WHF!Մz%Q7mt͋)w%WwK>_ Oϳ$zU,-wi{hӄh ;DoCE/Xqp<} %>ک1$<%L!^~)iv2~aMK룗沃 J۲C!ַsޥa& e$ȝ #|!H_evP%W-:VaoqY#7RP3{O]c`ge7v0!QĽHJBහSޯ{-? Qd̼<{ZZJjrx t[F,P!qG9]{"OWl*\Ok#;ޤw *o0 q@6%x<R!;gQޙO.tI{Z$9n*wflnۑ8KyO#ċDuU(li`Xk䏃s`gpzT#אHbX \΀? endstream endobj 9939 0 obj << /Annots 9941 0 R /BleedBox [0 0 612 792] /Contents [9947 0 R 9943 0 R 9944 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24136 9945 0 R >> >> /Type /Page >> endobj 9940 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9941 0 obj [9940 0 R 9942 0 R 9946 0 R] endobj 9942 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 306.125 122.692 317.125] /Subtype /Link /Type /Annot >> endobj 9943 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9944 0 obj << /Length 19 >> stream q /Iabc24136 Do Q endstream endobj 9945 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24137 20830 0 R /Gabc24138 20835 0 R >> /Font << /Fabc24139 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 9946 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=848) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9947 0 obj << /Filter /FlateDecode /Length 4318 >> stream x\Ko8W<@y  0 ŞzE@"%Q.WUݕhH~fj5/NyՕfu :[$YwԇiiUƘpwUWq*Jqֶ ^Yz\ӳZF88 woS/ ͔ d3|;$I9yVџI;^Q;>N˒YeM*r wpou;#z|zTכ*śV+##8`NnDLb0R'/^il zD?>%V$PEO(af K)̚bw캠=IOJS*>kQߨ%wMָiqU/fP<& < :Uuo~l@Jw|q*(gδPg))-˴5?ǎx!“\5Bt+?D2h y=/Z~R>M_sIbۂPNܮj ]'ur\nqƩb)֧>%Bmw;]:J>w:lag_Qg!T_f}pFƻ xXU+0~?_*Zf[gtt>RӣW'0ky ^0rzWQ';(l"k׺0 2rxP/@9Wrzxt^G!vZT3 6m%k*N>?U:rQ PXx:N~4Ls)dVj0Q1cj$Tebk3XT/TThmK!D`՟ =GfVRhRku PU/~Z&f- 4EFb&X̶8KR-{>-/V-XT4j>_^Yʓtfto?}3@\)8XbQuf`!7dNP\)\rI26nZ@19v"JRKX,u:E5!tJlbe(2buJT6 ,U9< kt,oIŒN>xƣ69j"]N% n'.Zw Ա_¯`VX-X }Ay X XTd7P:Y$X=*َQsPuy]e8 8)V0w'S`486pSX)Ξ_(uPZ@~?P:z>PjI8@MPj>PJc.8=)uvRusuRoQڞ)ujR9:H+!NQښvƔdݷSjߺL=K0vvcJ]Ɣڿۏ)uɺoeRcJ];C]qb=F"^iu}naqZ 26~r xf3K<]@]_ 6ER誜b;"27@%HPVO#il!ݞKXmNTͫV\נF5*+C=H⨸ #Y*Ii$׼6j΁ɜj` Yz]$s74A:Ҳձv.>ct80m\yr =O-hWZ[묻Z)/'~82?v(=dUo,'tS3 _[(Y|f7?pb.|CMzBSW{$j)^ rUá;S>^G suk8Q+/K|1&㑆ud5IL,lIR[zؕzU%;eÂ/@T(Z[B^n\`_cA;bɚlppYɤK#"wfiGv FDL(5U3_IcAwH7眫ہn#DPzj4B Z"\ Tyȏk*۳]m抛&)w$L`Wovaz].Άeh; B6mqI0Aؾzꈪcm$> 6hi,f't\CKTv\3#BaU>5c|2 (_%i)rvדgquFy-^mjaYATlZ>7MSoj߶vF>W$jLoɱn歟HĹe9MizY֠;x#2Kl_G* tս1 +o1W'ut 2raO_%T<ŀHZd3n2P}N3ndKrXfe|aZKe y]yit,uZru,-d>+ZFȮ:I Q$/t*6ɔ`Jo^FXDuXCB Tŕ[9M3hXo@<">*K3n0mI4QxR !3n5s/cP09)(n_ 7jfA:Vm@%,},X]y,LR1%5~(n%>Χ8jX+V/b\l]տš,sG<\nP^K.qV .*rc&=QV.p[l&*GK%), W$_p,tWK/\Rb)V-qϥh15(<fXƳã>zKgV7yȖ_`F5^z;ֽkg.Y+LpsYs/YCqUL@V1T-E*4C% K^)tnS*Ž]G[kxX0t?dq p6qX|?Rij;\\ocZ_LְhϚ6Nf$1q.k'"s#!絤-r$^a.^V xлCW!qw6OĹb$s?abx+It͉Wh{VToڲTr~srx/rSQ"Higk99pVxpOjQfq:.'jEjz1zρzuԩ_B*:eÖ p D>. endstream endobj 9948 0 obj << /Annots [9949 0 R 9953 0 R] /BleedBox [0 0 612 792] /Contents [9954 0 R 9950 0 R 9951 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24155 9952 0 R >> >> /Type /Page >> endobj 9949 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9950 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9951 0 obj << /Length 19 >> stream q /Iabc24155 Do Q endstream endobj 9952 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24156 20830 0 R /Gabc24157 20835 0 R >> /Font << /Fabc24158 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$F endstream endobj 9953 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=849) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9954 0 obj << /Filter /FlateDecode /Length 4912 >> stream x\I$ɑǯ@@ef=n=*Щf$!9ߗmF 2ܖ-U ;WfZݚ46EWi4ƭ[ ѯ-]?ֿza":l-caF!l9+ל7ڬwxFz0$iɄMEXw&M) prnHi˛/ߑ[ z)r-@FErOGsh8nJ@OG+n^JA㷱&5m)'vb,=M x }9gdl8{V䋷[6MMA@gdEzݛGs(yC "u蝶Pͭ٨K0h:al8~t sx~C3ևBY;>z{RNJnzgO&E ab=D J@b &UPˁB6l$P h'V@w뙈^pسATgʡsY0eZvG)~$ \#H5|]jhIFhMC4Rwk5QSrs .z!LZ/F+]r6spe:~c釛%1';E(2I-xGr"ؤ_4 hQ+eEsb (YZ!ϱ+p[|ݙ *HpmwA9ǀ$hb~v585eaEAj9g-0wq?`$Ҍc}f sBFo eę-{&x CRXzLc:Ed&+i\'jg:{?.C9di^t) \r%jcPӄq}A9y'uQ_E51(zPngY Z%>D*y3OO~ UsA RȤΝh9P/PBes,]D1l?3ǡЁ=2 f ̣tMq}0NpD0Y@eO",sYY1' fp -lf.HHDn)FuON5: N*CI?DcKr/J5md>*v!%>2.ڔ-bt3h];${e$y ڣ7>% MGj66 R}I]2;x{gh+3W"#"*F uw[@c(_;!q`qB%{9.Z |[Ek{jBOVB{qSP:nXp\= #FϤD)K"dguItV%V|՜wѤ>A#@/c΃T)g!7*F"kJm*t(|& -i1aG`ܱ"0Whz N8Oˀ]gNLj3ZMit_`A·n;ƀcf<Jl["hәmaͼ3b;龔tM0w1qhł08,\sM|fzvr5xyD&P ݴmKOM Ny>*g(&b.[дO@U3梡`"KbP ۟ԉvi Ҳ?Aep(CɞaC]QwӼaҩ-*Tw ~-zXF&(WV(פ7xɠwLNLշ"xAE &JTP,*}\fS*-1'áoɀ SCP'2M'%ʝ%*ŴT (>S # QLyF(֡X@vskO ٺ?m)8=Ũ3fL] cn>syqD&F}a|YyܰlB9B0 ν8psYPX4cw;`ZŨN>i({KaSĈQ:ʮe _L,Cf}-Y?]LT^~|.(Rb(нԊZu9@ksGܼ>Sc`G [A +Twma[oz_^4KF<ޯ=\RL2oNMvÚkjۙ/ZDh x{6K[Bh`fSf!:mT9E͆JttuBqQ?N'%ys7Wܗ$tÆ!Yn]xy!PR'6);ģˑ婖a\8s3cjZ`?Ąju3,CirtT9.5楉V"5u;/TI 1ݛW,u-n#:^>P1fn?lU V{!2 ] HG}oq]tʌhPǭ3c1%8ۯV,\t@Er}UA$psg<-8M}7=ڹW}a) {OS!͚S ΛbMTEnL5ObHLp`U|Lg# c f糆bA:=U_,bw8DsG/h)eB5˫5K5p_-kDC5ƄtvLCu:,pZ6f%% :L;cd4e-%| ߧz5mHl!a־ܭj3NUW,XUmϤ"'3;+[GyΙ.L٣{>ev@vדc!K\%I ο A}/Lߋ }䢯Tʓ~I›Ji_8럆@ uL%„wh1v|qŸo&0f×+ Ikɂ>EXA '+R;}<uz-זTl?5![PVKӢow)Ҧ8\ұU#V~nwsDV1s4Wz\xFmUnXM+a!&c>_h*2|_SEZËI- |J  *rM.zQA(᫿5|:1l6旿2bT=(d^ oc Hг/y⇄uXe,Jy潍x+=xj SX.h'Y ,5$ endstream endobj 9955 0 obj << /Annots 9957 0 R /BleedBox [0 0 612 792] /Contents [9964 0 R 9960 0 R 9961 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24174 9962 0 R >> >> /Type /Page >> endobj 9956 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9957 0 obj [9956 0 R 9958 0 R 9959 0 R 9963 0 R] endobj 9958 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (reset_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 100.377 187.2892 111.377] /Subtype /Link /Type /Annot >> endobj 9959 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 84.1771 177.7027 95.1771] /Subtype /Link /Type /Annot >> endobj 9960 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9961 0 obj << /Length 19 >> stream q /Iabc24174 Do Q endstream endobj 9962 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24175 20830 0 R /Gabc24176 20835 0 R >> /Font << /Fabc24177 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 9963 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=850) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9964 0 obj << /Filter /FlateDecode /Length 4400 >> stream x\Ko$W`|?A d8 {WUd3#Ĉvd6@\~{1b_?,Y1קYcS1昌yx?x? u+? wnRVlQ/ͭvxgLH7Gc#`MӴjK"lޣXP@AhcrU}L 57 H Aޭ+V 'y@[UnF }_=6t#јsojGTºC͋wd(CXbM6u^,fD):q\"ڲ*upaH{wx!`Nj/.'S]Q0P%hHŜFY5uN҃[;RJEm8{}]aKtld5yk_VkjC񙵚<{Ur (sfHk%U]mi6",|nsBSi'l[dk<伕{f96eFv/_!AM5'oDt'cn6|pSHz4( GR{ECm=~R_j^)v..5!wϴ$w;lfV!Nq!ϛQ;+z-bğۺ֒&=J'3,V_Ea.TG=Ai{,<8/ <|+$u,儿_NR[uqV X}ϩUwOZe<%cB)/NwqJ"3\Tv! wAs`v^CdD%}@R&M7{J{UOn^6{ݦû­:;7=AZTF.{9ܩ&T6o\FBvɠbyсu:'֥X>J%ՅR-ZJ $RR2ب6SXgnZԵZ:.\>%e]u!5tiԙ0lGg RŪ>oو?Z\/,^S :.m.[w]^ѳYv=g fep웦Gj4ZSީF}8Gj!&B>RQ*irAa25OWRw4+p)%;:x* ro'z/K;HKNRǶKCh'0 t!U˱8x>r½tr9rK}nzFOѫ7kl?0_\P׊2ES=QQMc" C0҇$'Y AJIC7crɭs5Po&Q!D}Ɋ㹕a˚]:Ai1n3N4:hŠ#RÖ+Ѻ !KS՘7ȫ=(3^`",Qzy)@)oT*"(YuzmFN>Ⱦ&Nf 5p4sIWdpjKQ4 ,Eٞ_-!Tp4DRb5α w.6HoUJ59e#( MO GeeLRa]ߋ=wsTΒ2LՈKYIT眦j=m=2ؖH0 tIXg.Z7xge&I)a4)i6#m:]BJk}'=2Jxik7CC#gJAzi,TR[)~1+m^_86SJÌCx9ed!>}zsXMbe"nKϛ@F54abTL>Pe Ob n7 - !u$!7s)ϛ>>aUZ_6W@^rUxzolt>V='?<MRnRp={\+j>o ? e؇ӣʥMjϤQ30Pa r ??=ydnfO"" bJ`lp3WxpTtg>Ngf(, x>Ccރ'˷ Upq`Q¹[_!ԈZ$*ͣ>LjC¶A ۀnd(=<5$i#1Dj֐X endstream endobj 9965 0 obj << /Annots 9967 0 R /BleedBox [0 0 612 792] /Contents [9975 0 R 9971 0 R 9972 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24193 9973 0 R >> >> /Type /Page >> endobj 9966 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9967 0 obj [9966 0 R 9968 0 R 9969 0 R 9970 0 R 9974 0 R] endobj 9968 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [90 265.125 122.648 276.125] /Subtype /Link /Type /Annot >> endobj 9969 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [127.961 265.125 159.8445 276.125] /Subtype /Link /Type /Annot >> endobj 9970 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [165.1575 265.125 197.6625 276.125] /Subtype /Link /Type /Annot >> endobj 9971 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9972 0 obj << /Length 19 >> stream q /Iabc24193 Do Q endstream endobj 9973 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24194 20830 0 R /Gabc24195 20835 0 R >> /Font << /Fabc24196 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w1J endstream endobj 9974 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=851) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9975 0 obj << /Filter /FlateDecode /Length 5646 >> stream x]I帑Wl@2wR@!| 0S큑9@եM[rLD # )1<}̤jשԙ))ߏӷO~f{K1_wl5^r\=[̝O{z^Cw꿦o~Si48N/Sо]?PI/qpK/ך5(;!4 ߦ_Y:n2t\\uº$׻)¯;M~Y OX/phD*sw \Fx/h}GNӷP`z]ƒЛ}$VHO_M#b[H$P/D¿Gh|`;sXnhX6'B{QB3[LwY}0}j?5m4a?2Ę:V=e^5س pٿ2=`(M+aD604@M ^#bhS ZݯV|->_AlfAh.}&&CAdyPPo{p=iUZO@؝ ů`BG "r?hLH<#<M}%j6UѱJ{[!Ǘx|lm,cp|T'6su^ Mv}86E3ZRD"/incT"x{+DhW[ paaC0`7ugp%c!R&y4s֩S@] _KsDēE`bV/hUzO0T̻:Z*Ů6L~6ly ؔ+7GC\mJ?f^p|X{l~\G?ut#+V@jJVP+hh5Cgz\}{A'E3h(v:0yߛMнDvycS')^[^m8^\q0xLyFV2h Y51X% s+'\ uȊ'Q$H~6Q_:VDAr&#~|zJmdci7β·h~]ov$fw,V-(=m>L1q,ISPRjEe:Ʈ̺eHV%0 ,NOEֵΏt3wj4@ ^J\w8V :՗rWXPG⚿ VI973K (=ODYA;8U7MtKC^`|<J]dd{7Ιޭ6FA6.s5`goy(9wް.I}:41Mos54 L ٪(vV]3 G --U^?͒usr3f5X6XF՝uX6wnzwo)JC"z7*M8^= %i+ =lDPy ڏR2REs/Pi_}_@( ەIv`?!YVBf\j-BUW0'"qv U@ O&ڱ`-huh!J*2S^I^Zp ] Tc] }@zW)wjRxzn,է:hE~118?VfE2d,p^:* hyMAf\^DFKXmXg_5~4J sgJKkeζoe*b 2XqyPch{{"s Tc’ĥvIi' r2>Pݒ,>M(JJm;iVlB,u/iFgclNޢǀLD*m_(J5@Rʅ^;+Y]EӋ us6Wphr mз)SUL}+:m l`nvv@,, k]'dɜJyXTumXZ#@+qeaIž8#8-鼂+Nv 'u[dVZsXy NjSOd '8ٸ>\ Dkbo zh8aq Zw NaN#8u=%ҍp꺢eP_䨣7é#jhV MƏ+YBuZHK|MQh(CJ7ഃҥIBz !705 PPRI%?CGH;cHU5󛗭( zVR!(-T?;]D`9tMZպ({ru9Xir8/\>Ca& <:5`3rA{v pсK>o99YrJdMiM<GĻS),fqNgvN^RKO  NT[m^] Lvbo[jƉqlBԿ7Q>1ئ{05#t4fkK{Q$%CLLoM0C1DX-ń6KE~%kwz.xpmߪAWA R1+Y'gR;G~=6s`|N``m{r9CY*t ,+L/l5]Aݺ ,L(} _Xqp[./o`LAۜ/--G׫>- ;FX&-3t’j`(nPkAYrBRU8@iiuyiY؎|Xr+l-?62޷ A1Zإ '2&`g%]5nό=HZJPMљ$M?7:z \?2,Vc69f_L7vU5 E뺮8tc&i̲RZ5p5X;5k/WCj MgY H016 ,SR>%zJ׮kWr̟Ɵ@?Y۠I$ ~mݤZXwFb _`kP-mLp@D, FQk`q>˚2`J_HjB6$ TL@Drs~e߮G(XVHr$f\|WAmvu0d)5Lim`IZ#v)tUs^ rN=`)|DytOA^[HCKgp4Zaml [(܋S :z]:0,2V Z*}ma*AjDUW=^ (Af#gMPJ7h|7O_Q.8L Gl@b҉3Z(g'j=Jh,9 l3?ԊTWl2/pOyi!X2 ТiaW `F $DTaG"" w2bZwT q5pj*(SsWqΔQ+a0w(5Ռ!uv@0BI<]586` sɨ:Hvp^mRW)m'`=% E ^_o$ܷOa9Sֵz3؏#{k_͚lGkO6GƒyӱVFǜSwdR5jHC_}bƳwxr%dl]rSnBcB[S0Bb*Aep([ 6}#mgsQ#"vM ێ̛--SXJ2(n*c@[I I<؈9$)C,dZ \}J.\Rx-Y[;^%dfgw}ÔWNZ9gǵNo1z2ШL=2Q9 x*|KZ]Y(ߧx+4myTPlaE57ſU袸 M$'.]&۶P{6N b_xjH iBva3iB8紺$W10_avɰy[{+wk/53';;^cjDIC"|.)6}l ;Qo#`XtYi6 ˺M!> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24212 9982 0 R >> >> /Type /Page >> endobj 9977 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063008-08'00') /Rect [218.8375 369.3923 381.3955 380.3923] /Subtype /Link /Type /Annot >> endobj 9978 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063008-08'00') /Rect [178.99 316.7231 396.03 326.7231] /Subtype /Link /Type /Annot >> endobj 9979 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9980 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9981 0 obj << /Length 19 >> stream q /Iabc24212 Do Q endstream endobj 9982 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24213 20830 0 R /Gabc24214 20835 0 R >> /Font << /Fabc24215 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ? endstream endobj 9983 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=852) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9984 0 obj << /Filter /FlateDecode /Length 4792 >> stream xڵ<Ɋ#Iw ?7D:̭ M7?[lww-Q$/7V N^sjuv OIǿYZ-5^H gpҭ~[vR۷5Rk⇴ {[<ɷgx盂&y4Aﰘo9@K}??_ƥT5OXޠ B)TAj@͐i9|˹T*VsRڽ&O@U.APؕnRwv:=夬0uWm <>̵B8m;4[ť<#)>iY^3*^/Q-8VSO^},xG-x ;_"&XT)?ԀNcx$Zf*Xxޗc{}*BB#E*Du HI݀#PѾIA&Km ٪>kv'Dհ}LU5G!7U8Vf]YzGWӸsg~E=(Hh]C]uRP2#&3 ,s+e;-:J<;nUmڎiUbgۊ{ *fA3mQ ̦*#*Uٹ^RZx !,TsBɹl} ,eN0dEL~^&ުdҥBmU[rHޏ頜© P`'YS5DlS@-_qK;0jAN(dSJ#+lzW)7^eY,J(2K;p݀/wuPToR:$l C1VQ֍T!x#ٰsigG:pLGq6̡:KaKUvQT)F@[⋤ Bћ]аcK ݡSAp!<ֶ1p $}qֲo^fy= kZּj^h7{F1^73Yz⠚} y udIc8R"rV$1BFXgv!Θk%Y}1Y-[3 y`4mxaK{κYў_=6aWa "TM<6,KnY0]k僈2B6rC/Kk樨E M:-wJ$ƶ8a[C"?~6VP%&xPa'd ,FQB/MۄR Lee/%bSS`-L~-M()%k3o %H RM @{)f7(G &-,! \5Y_:h5:g["#a&^lz7Q:;壒zq$PFl<ڥ ȗx}eJR,tϺ9FbPTHK)vܻj'-=H##+MC^v tC pp9e(PǦo:'!kJ xSF=iZ@ R(' <NhC,C,? __,E-߱mx2΋e1_:ىS hf2IM5ڥԣ, " >:݁% 2߬花Lӻ ꉥ+· XVA:WZFkkbJծmoǁkVպ>g_:.^V-VƫH]F>a5u)NHmYA:Lp QWn 8hN g ]~Ԣ7Vvi)f (s/#,*ku;ɖ9^ tYFgnSFpݱ] R ÕjOZo}{>ci 8C8\ӂdˢPcA&[[,Xm-Er^/A"QKcX-b \Z"YSF%zNɾhc/&^֪*P-a6%tJB!SkE"r4U㙧JUC]סHphq^ǪݎyH]amf`wi7zKI |Kby6hu&QE a,uQ W' 9:+D҇(=h^ح<5MIT6&A⦀t_P={Cr=i AϦ)^Nx^N#p)k~gvK;"w/Lfx4 ~BmlPP0+q*0:'e닁|Y3W߻MR'U3ݤf6ьF_YǦ"R Tpǹ7.w-Fy0uzu?>[2f#Srw#<:حqJ;2 33`,~ FA]A5lT6"3'#I`%O< T\Q1g_]==N 4NuOiٍlEO˖ RzS2Uk3Wkƛ8T` I"J5#HE BrΛ> ?Ɓsm ߭9vXetnz`]BpR>''EMaqrĺ gBZmqmll+X]s66G|}5^:mSgjwbnX2Sn15֔xj}=QX*}`jQ\XQAizZX67]n^@f'׺kjvû>FVhKsDx2eI4כC'olH-LS;FI36=M /9@M6'3buRj=u!Z.# m~\Kn2v oP:y Kˮi(_cr*ᴝcM3i*T#{,yna:VHa6$COԬu]W ]ϱͽI)?~qo*dyKAa|eΣȽ^\QB" 1enR޻$ 'n =K! 5FurN 0[^jˮm*a#~u9s<ޭ&7[g *Y/z86&B65 &IQn}x7tn07u2*BFp~+nl$/B=0;jS! B<ܨK1llbsW91kcP(T0.fn\9qwQb8Ј|# !/y֭n9Һ[nyb[i@(ߖ'goJhӟ_O(|!A+F!9A8$h^_B{>G>Xý 1H/D #Ih8M(/Cf jErU * Z݇ t v (Q`cط[1_Oc_f/ w.D}X(/{*ıdh>4thHyRe_V|ŰgIB 7jҭ5"jͻ0Lx#U3$pF\<4e;a>_8(e E, [,][MЬߙ|-o疶ULFj:6&ە,_F\w|Ϻkp;1ѯK1dw\*?:֙ǐ a@ _,LG _8\Kvx[ qfd WV/Zz5q}|," e:}\!CQ g:S$PjH;gA&w$7,'__5ol<ne8Wxo!T(LTC]swtLE蚭#ѤAu1*S2;x7"V>?BO{~}^'^I&aY7 X~'[~;=hjDO$6`AT_ endstream endobj 9985 0 obj << /Annots [9986 0 R 9990 0 R] /BleedBox [0 0 612 792] /Contents [9991 0 R 9987 0 R 9988 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24231 9989 0 R >> >> /Type /Page >> endobj 9986 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9987 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 9988 0 obj << /Length 19 >> stream q /Iabc24231 Do Q endstream endobj 9989 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24232 20830 0 R /Gabc24233 20835 0 R >> /Font << /Fabc24234 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 9991 0 obj << /Filter /FlateDecode /Length 5305 >> stream xڭn$ίsɀoN!Ir݋?⫻g$ڋ dXw|]̢ RoK3KK6إ߷/n1fk55|m?. <;kx\|D`jWzOk.}i)iu]khe00{Ij` lZ}aj"|rd]gN׭8Oadu<)w|6!3>w6@E&\Bۃ5qO ΋!*q2&ɔUƋX$Xlwe]m(?uJylOŴf.@NG3% Y nMf""H.arv!Di5wįc6Jc H {E$ M =k#_yr{_WmEŹn҉yMe.n@K_.l!g֒t~p>H vEb;qʍRS)݌3ؑNhո: j5=Z웊7XƆͨ@fE=ޖ&ɏPa` خ!~9 '̒WOx.ưskƙ4A|ʊ}j=.^?tnȰjsCRnl?*nTHu\jK]` "LέQKQru}! #x*D zd)zL>+ջtQc)e>67T561)3G7Tf>hwG =8zY7ifޞqxLs9v '((43Zd}(qu滝F,̅4H!V9Zpeul)8Ty잺NJ=zK7[]0kڡ8k֙&3 hE MW7D'+_˯Z~4Gyˏf2)yY'Iq_~Zw</Wfg\`W$z e%hWd4c 2`9FlFt7OD|afM:&z&Ӈ~{˰yӕ0JkFX"<4m6PN{{6}>^;^? 825. k:9oah>z|cY|KAaMVda7ބmQ 51@ms{တaf vr<&$|YdiR.[gK/v{ݡ>7\ǝgҊܨp;Σ8h_TP۸uTZw4AEfDc7*XP,1ŜE >MHYIڢ#/]C ]X 6dG*x27Y:AAw7,&oɔ9^̑M!:u<2Bag*>J`"'͓7{-utH4bא;dGQCM\ JZr$\=9 -zy@Iȯxm4'M<>T^- UO.T+̉Kmc;m(;^IlGbVv>߻g7 ;=md4NG{t8@ ;.0ڭ06L 8 7w*TX˃i zN0`Vc0k|m ELDhkŢv9dN8#Y}em™A<]zH` |yz]rz^~wc7[ 9gYhؙq]*vC{mYsUvkȧ>oӘM-߽?K1ˊ e[Xcu|_~0>[(sx 2 s+ΌeLf ۷^ ΍G(ojTR|a[kwfrxEǎΚI^r5v]:ٻ\%>l.Wk)D1&zx+]8=t< ~O޺Pn_0I^yXobn桂EE|8RA~{r, Oʼ]jz|{ɗT_-w;>H%[hTl|W4Ү5vj+\h>˸baGu$j܋Km@ OIxacQΎrnM1魻ŋ(wt\C!4M/Le-o l +s0;RAeV?Vx^ }*?lU{6yu&a0Kӭ}g3ut+v5VL#a<*_ul/cׅꏜѽ wjwaKlߒެ /֋Okd@@1>#&7񭛳ßa aCjelQScLDD I=F7T<ʫkJ-V0 SLD^&Eim oj) pk@^3ʷWЊ@5ZI޺ ܤʢ0W{̗c>W[@Gwu-u7a}O{vJ3aAJA0Uc鈕[;T4f &϶bؤ5!ALTBY^4lD߀Jfpʃ]pA(saLK?Ȱj_?;MN _icRG\QMp rP}-0, LDd*M.ڌ/ytYP>Wq}Ff_cV`Tj@&p~$O@~r}r[V,'zuqh8ɣg6GevoZ꘵f[J <ƖKblV4A2QodstpA2v2O7h䷢!YX㜊+\eěSYߨMyz k~͟_lv\tm0_t`kHjuB1 yEU> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24250 10003 0 R >> >> /Type /Page >> endobj 9993 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 9994 0 obj [9993 0 R 9995 0 R 9996 0 R 9997 0 R 9998 0 R 9999 0 R 10000 0 R 10004 0 R] endobj 9995 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 529.3 144.2737 540.3] /Subtype /Link /Type /Annot >> endobj 9996 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20211013063105-08'00') /Rect [104.1732 513.1 144.3012 524.1] /Subtype /Link /Type /Annot >> endobj 9997 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 496.9 149.6802 507.9] /Subtype /Link /Type /Annot >> endobj 9998 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 480.7 166.3397 491.7] /Subtype /Link /Type /Annot >> endobj 9999 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 464.4999 164.9372 475.4999] /Subtype /Link /Type /Annot >> endobj 10000 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 448.2999 180.9312 459.2999] /Subtype /Link /Type /Annot >> endobj 10001 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10002 0 obj << /Length 19 >> stream q /Iabc24250 Do Q endstream endobj 10003 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24251 20830 0 R /Gabc24252 20835 0 R >> /Font << /Fabc24253 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ R endstream endobj 10004 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=854) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10005 0 obj << /Filter /FlateDecode /Length 2956 >> stream xڭZKo$7Wz?[6$ d.Jv֓J)oJlv߁^yuuos׹4dcmΚKZ_M'kc ~C|TPB d75\/gZᝰ&xe %ՆoLg,: pe βsuĻМ,c w2VN,=4!8!BƱ$Tk=w;V}%Q[?fq .2,˝*~D 2ᒆ^N~tTV!vmb K*4q00kq)ҲMh+l%j h8O?r5l;кHOmM+2_~C&LG2d%2Ө*x*̃D-/xz'<1 tlC8 O4o{x{kKqݐŘ#Ļ.#uL{T.SXF$L" UǮ RdQ@D7 sC{{k *Ȝs7S7 0̈5@#^u*t~+Ƅ9Ʋ0&Z|&:ڂNX1Zrz! BO7f jbmG6muF#6ق 8&ӌ{0#a{X!d+Nq!O[APniF$9x 9Db)|w!LƉY;gfL/mv)M,Waie$reVO%6{"WkD>\Rθ0`&:I'*pL5Ul2oLIm0/ۚL#B/S0){q`)<x[A._<)_\tnLC">.J\QlvWiWR*xBh2Kq$$a&RSC2SjHe~!M#ۓ6T(@xMp8RG@j؜"\ш !\B6v ( )Q<_hd"g/dUen I# {;tT'D 8%ݢk4SMaۃ&utKhQqJ3ʲ&Y&ZD{$؅=%~2rWYFDhn_8{1BBAOE$k06SBBE!" w8V/iĚY\9# ,l0ҩRnKno{ FG}DTڐ(,.IVx%ۢpe(V:@4ʲl!3+iSkO@ c7iAJ &_tzL -ׅZr5so΋+zĔtqOŕrquG:#tm %b;ś6kKYf3NWe>ٖ0T߮ۅv"PwGgd H3rΟ4źo$gr?%l+v;D{ԾgtlyqCAM}GVCPܥ7w̾EEIE[Q2Eq&̀UP.8ݨ~ Iu t2M}P~OrVrՒw«k7/""-wi!'= oӌ It^Vӕȡ狔nL\W1yj62ײ(R2 |5+ObWjQLFHf@@Վ\&e;|/U|lNF=-d *cfǩ_PjטūԒQm!x뻨ztrSFܱA _4[Hm YonYJC"D:ÀJ@a{ {5m_'16҆oLLv< ,}.$x6:ňBw]^hХCe[sf-8]anOh4P e^iYa\ceq_=A'3FĴBS2KQ +PӋiBف~,SŁDYۯ?\6uuqt!; 6ׄMqa'JZt"-WUR1UӀt};JE:ki? kin? Hr̿Sm~1U;@\4ظSv`;tp'sOzWc|)gL]"_snқvu%|QS0tɷÄyK瑅?=A>{<{Q2xQ"cm NԟQ2C,LKCr߅> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24269 10014 0 R >> >> /Type /Page >> endobj 10007 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10008 0 obj [10007 0 R 10009 0 R 10010 0 R 10011 0 R 10015 0 R] endobj 10009 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 138.325 111.681 149.325] /Subtype /Link /Type /Annot >> endobj 10010 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 138.325 139.8465 149.325] /Subtype /Link /Type /Annot >> endobj 10011 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [145.1595 138.325 177.6645 149.325] /Subtype /Link /Type /Annot >> endobj 10012 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10013 0 obj << /Length 19 >> stream q /Iabc24269 Do Q endstream endobj 10014 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24270 20830 0 R /Gabc24271 20835 0 R >> /Font << /Fabc24272 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 10015 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=855) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10016 0 obj << /Filter /FlateDecode /Length 4696 >> stream x]o:y6H%]ۛi`9̛"Y%E˖+vU=,NbEGQRKN1F~wrHRߏW'_on]!{y'jJW~Wm2M?zʇgW=J|^r'@TC'J j;§s/#g_C+uC/H#@A3t )z+eu $V{t3hЄȸܘ}A/UzJ̋͠@+ֈi4ת!yW5l8}H M,~ଆIڍ1Yڼ k܌~?+2u+uDǹ^IPȺT/i؃*pR# #u PJkM}?]R|=Gcqٰ_. _ʡ8j97vW`tP )4:i`aAISIe=&Q4L{&cbc>&>1y|L|c򘏉-U_sP0gԓ'`=DA;x'phO8@M`0s@é$^ZXHB.e >03}6pWh˥xί9D[%8g̊%f3א=׽3E6wL;=\ֶ@KҴV"BAx2]jBP۠|#~BMRP+Le5 ˎ_~'^c)O/( eb{WyIry:@ VSm9޲c6޴25s&m#Y Y/,/iʷ#}YVHΥܤ nW&Vԑк>v?('uZ묑oFo -$390͑k,nxL%R2HaʪБca\Յm0ֱ1"Kdn c1#ɵřk]nLQK+2n~mPOURZ0e|a!\G OZX쫫"ui]u]K /y\/m?߸&spVCYU̺x&jRvh!ɣ;m%Z9*x~nüQi&a[;=n٨L} dn4ڭ?f1w=Y.}"Lhx5J;︥Gq9 j#nm/}|W^*ԛ D^:61IPBG 2rYXQ@d K!0YYA5&'$JSbUHe#s±J[nkVqB6`xDt0 X0 XD|VI¯ĤK(# W Vv;Zi>RVUEPT-ʩa"Blu`kR0.Ao]Kŕږdd5˥I,Jܴėb.fwA\iɾvf, X}dyJܗq!¬Md/7gd>RF)B89moHvAcs,DJ,jyflB1֩љh"f|G(1QF@.f0Q48QkxeYr>xQ!K$Vbf!Xi{uvh ,+8=D-ڬPIĊPUdW_*NSu X`N0=X r`a:HδJ .bMl`%pn**T5@ Ur^Ydh8Wp`bN'ad8m8,dp͹NN|D' 8C$ v'"=N{$p=[I7r ѩf|80 NbQ5USE' <SMNUwéj**0*&5X\(V,>'- *{2kn^q]ƲpHn6t$zF'L~NFO6 ~O?$wAJc %>] Ri8Hf@Hz[7C %֐BJ,!%)QCFmPݠj=. A%.>N*c:*g:*k:*oڿU5zS:T ʴL`u>貁PQEÈwjGbvޥ -E5z P#8ӏQ.07Sj۹<b9wRϹ rn Of3;|G+<1vA^p졫Èy4aGzQ9vg'"9OJZq/XK.wK^3oK S-U.j ($tFL4IpO3ÄzHE}:t|zkn'bNwⶢ7=ॺƭ`țcI?E#"nOBd' n$Ouj: YaF'|=4Q\RY[611B)~QJ|t#\o4)=OGx=uٷ42hѳRBw ٲe52^~CLnC|Si3fcTUG/8B!]HD@tM\B{ۑd)P-*ɰD)q4DLIAEI5E% 緩ΥSUR)&XKr,|uOH4zyMr{_sIK"NDY6/vNZjRe&=UPǹ «|\4'E/xF|k5bM9%㛓 9 23_0x,x.N8~/ujg ?)y Z{ endstream endobj 10017 0 obj << /Annots [10018 0 R 10019 0 R 10020 0 R 10024 0 R] /BleedBox [0 0 612 792] /Contents [10025 0 R 10021 0 R 10022 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24288 10023 0 R >> >> /Type /Page >> endobj 10018 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063008-08'00') /Rect [218.8375 249.7731 381.3955 260.7731] /Subtype /Link /Type /Annot >> endobj 10019 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063008-08'00') /Rect [178.99 197.1039 396.03 207.1039] /Subtype /Link /Type /Annot >> endobj 10020 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10021 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10022 0 obj << /Length 19 >> stream q /Iabc24288 Do Q endstream endobj 10023 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24289 20830 0 R /Gabc24290 20835 0 R >> /Font << /Fabc24291 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMV endstream endobj 10024 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=856) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10025 0 obj << /Filter /FlateDecode /Length 4765 >> stream xڵ<Ɏ#n<P:(P* ЀO10AƚRj_7TRn w2E ~/Z]S2m]Z=j*Y\,~R)mys >kwaR5o,x6wgo^y](č kϻ;GFIϻx_[:@m"r @h }m}m"y 6:bWbeA j X 3 l"7){k@ FuV}Io ` X#"iW[ͻ٠\J\.LO a$L* .VU*G0wM+|n0;L |:>Lt (W6[~ iYHP @Z\]]@̒ && Y>|ʑJ`"CyԧI_ 8aL? QYȗaZ#Q,픾Bf j`8d@5ɴ)GʫoD{b=SLxW;J$Omo6߂IJ+h2I$jg14oٍZW`i]ɣM$C/>X|[{_šH?Ed|FxՀʿ]flRޔy)u972~50r%P%eQ@CwUÀđOl "NVwWmI#e0: USjzQf{^D1=LQVN5$YiQH&S`Qɚ5/k_q+g@2ՊHbo,ם>]~\7a J}&\M!t`,/Vգ.Bc'Z1SH_pI5lD9oܚ]k PȂ& YӠu9ZK.Qw7N z[^5`cӁs4m^]ʸ s4N啍nh*ߤ`̚FU6g0VN9z8b[#>Y1L€W;^fj5#.{) 8WӔwT'gc[ {|fcf7q+xBB~ eǜRJ; emGv/DG5A" $Z,Dg 5ۃ2&RZ__qtUr4RПko*^9ZH֌䲊*C ZSu_?|q.TVͫT|=5ul%ƒAEN)/82MX^ ZRRfKR2g#%U:r/I]DͪxbV2XyH*O`+.De)je(/ e}e ~;mvgjv8l`z.M6?}$17(XDRTccw8Sw]y@W@$P{TZW z'Lo y$7iu; JIuUg7tƌRә2|B-Q6c*}f?z.jg7d, 6imŷBqL)MjP_+Y֊_oJWUORΕV5;T3ɦ'-U4uzQS.AsSHŔL:PXCWn2t0d%e[W#{N-ElKrH-E|/W'> rDS) 6D7X¿y *9!`c%O/-{j:Z.:踳Kʺ8#^2skBE~̡R_;/-v=WUѧEw0r\*?ptL ѧsIdldQJny'NhsRQb40vabsR7v%w3^šÞݰsR ޭ"E-dqjJ`/MA#5sgU:630<1@:ikqlNiK_0m\gJ5[;ј8VNɕ췌,ZM}wg[GHE?/O>^ellVv6۟MGzX$(CM+-Qx=mo؃58&Ɔ.ɉt-͔}} Mglbǚ T\T+cwō/|GSy5!%!zYeQ887,<}gg6Q?HVs0QKw6 [$7 J V+rs-_ ʍ#Kq:o)8rdZCXv- (ク80U'be F[c&$vBh 0^8䪽uAQom5vI'tatbŮT ~@ĺ*:X~,U}&.ȗA38TȠp-v9:):rbƼ> /f䗿<%;C)7&BY~Kp&$Z@N\ EI :4Y_X<`g%3b`lw;IY&4 CMj4y:(MU  @0 JOX4560"\]6t>#:Y\0i;7 v"^&Q,.| _R h,9Ţ2ZoE|+q;s:/ Y3i' ?qj9˿E4$\R$/"]%,.!1Ud_p.(hDE>ݴ+TLvEXmjARԀ endstream endobj 10026 0 obj << /Annots [10027 0 R 10031 0 R] /BleedBox [0 0 612 792] /Contents [10032 0 R 10028 0 R 10029 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24307 10030 0 R >> >> /Type /Page >> endobj 10027 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063008-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10028 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10029 0 obj << /Length 19 >> stream q /Iabc24307 Do Q endstream endobj 10030 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24308 20830 0 R /Gabc24309 20835 0 R >> /Font << /Fabc24310 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ  endstream endobj 10031 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=857) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10032 0 obj << /Filter /FlateDecode /Length 5580 >> stream x<ˎHrw~ (7P@I*-6S3ƢGI.3jd2222U?GHz}-ޒߖ7*~jJ[|_EJm_n~;VBy+H~-ZWkᕗ8}~Qj}-bv&IoF]__/l/ 7-/z~fdY:1Yp&{ m0NZVD!5><1-Um.k|x()dtIZqRʉRIYa i%XȔW v6a\]u(ʾ5>K=Sgf"9 F̘^Wϙ jDL,,mw=8(uAO|Gf# TVV$@V*%VfV88̻T9_h74sYvMȻӷ6]x=Z=ݽYݛ]NɤgYKI0y*! J0=ZI%mPgBEb*YW/YoD0> IkQ{B&q/,~`eR&+f&tN<48Eh`ki"L ^'qM:n~'.X4#&'lHc+": /]]AMߚ'^mQz2*af('KD? ' >fɋOrWoC\bOəxF*|>eŞZ/ ?Zn3ic=*x7ZUe;R`&S}RXCd414jJˠF/CYZrE.O|AO he^l=SdR/MXrJՁJ^O!1H4qC[]gݨB9xymSQ#<&,g\n[<*ޝ^x,8ԃdA `9SuIaxcnAMDe] JuG^ f #:EI$e(dtf'3ӓk̦11:5ʝ7&NU@r͗-s HR,v{)XJCNm(fׂ*6zGDzXNQa;#޷$Ie07kFB9] xV6oVX'0曍F,̅4H![weu)?Px잺J =zMW[]y3Kڡ8ɫmLIc%h)owpnu ? o`W`XXL .=dk~4BaUBF &Iwo^;zhy<πlX< \Q}O%Cg9FlFWonhY,}߭fXAЋJix D%yjMO&t_(k.kku}xNzmzmL`˖~jgd{'ҳ[ՔAaM.g7X˻快 ]bڮz'{af vr<&$lNMY{zl]fspnMh q<.;E5ǭ꼾wP*2 +=)C͕0KqՠX|1f1x@&9r%E1GnMCt\X )6dGʓx2WY:A&AwW,&%kXr+y:X8]xU,TaMZr vZܟsd^֊]DQll0a9 LBg<Ä2%3%%2ҁVRV&|V~=/?o*RVӤԗNT7y9W{S>MjlJ<)}-;5b`) dGòI7`nUC%dWw;;x~Hpn%PJ9h(1 28/RBQV5t2l.[a,n&05ًg$-!X5O?: FR9ꞫA^:ax;!6Gro[N\c&p4RFDOziS!/cGY3K׹8˚xrQ;ʛt~TL?qy+|_Z*Ix0!>صRc/#KWSLzR;F/efc2jM!٭a'8֦Gjmq/4sɗyөz:$- ]Pr#gX4H"% RF;޿z~M8$MYPO!5)@FP"/&KeFE\3mxf#&n`枤Ns̨s7s~oxglyC"=y}-o[^c'<~~S U}m<9I19;V$"/lϜ`!+zEEc3gZ //IW#3VQ=(㎶?M.?N$ҧieՆsgug!~ӻ\}`QѨھ0GC+47hc'q/́.ĪEР aDh_6{ਏMz9>hi=Lpq .Mqױbcwj^tk|ѱr8i+o]y4#TҘsԨ?A6Q4ߤd7ykrIM5G}nB9>6$-|V>냣',:_@cؾCH M׊.RЯL'ow mo^ȀpK-ävZPG0+xiK!8| 4<0'6 jo!N#JKIx O^ e<3rkNXYnhD1U)Ёh `m4w NX 91o fH:DMs}*v~Ӕ^z}@;uEPZSɕYJQws(ǿt~DUBY?pX2-Y[9@ F6j"tgJ#bݷL^76GTnfj)IR(yX(Ig:Gk焊[SӋYgM!Zl_ UrSaK^cSf;qb51Ri_[3Slj{ h,Vy)|rEecm}Xp?yei_A8#uND{@eJ>4;VR콻gk g3C~fQklT;ZrϋbzILȿnx+eS`,_6d&Dٳ-9끎ȹ3coZ&%c_[UsU {Hireu÷Dx#pM/%%>_T.I& eOȵuK+y[SيsQ ġ56|hXn5z8@c6nt`6njF?);a=SkAN15>p bŸ f|3ت' <.gS8-33h;rlrWYU@UN*ݣ) eg\ =Jl@S: \X4W6?iv>TJDR/˥H>p]VyIk5'NDNhE/SD1qՠEJ=wco9.v A1x؃ Wm(_s!MʘtA״ޝjvX\r9UIQ!'g{rS W֞f@vkҸsσvI'ljO~D)s]54nTѥ)G=\ωMy%gGP;xP`DYq 0<r"RHGW:fwOuǃ=r>LJ)E޳@Ĺ) ;Š[.te{WgDD h ֓C+2#,a|)#wd8.xnXtW zYJgxW&˥N֞&HW8_|G疶TfTFjm+X@\_|ͲB=藥k!Yl l!1$8}Gw ޵>]suGz0,t-¼Dq6l*wh<d{:?W>/i4/Ī t9+@O7i=;w[C> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24326 10037 0 R >> >> /Type /Page >> endobj 10034 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10035 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10036 0 obj << /Length 19 >> stream q /Iabc24326 Do Q endstream endobj 10037 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24327 20830 0 R /Gabc24328 20835 0 R >> /Font << /Fabc24329 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-Ňn8>϶g՟EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC (S%4CNZu^lM$H endstream endobj 10038 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=858) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10039 0 obj << /Filter /FlateDecode /Length 3688 >> stream xɎ#ίـY hiA9>MbAOſqRI-MObU"߾Z6ï\Y{VǠg |U^Y[_K};ӯOOmt (֯((gXߟ`}"=%.<;p>?uM> 7ӳʄO{\p+775{VB5?)N62WlK0p46|T9RJj;#DmH4LdD:!2qa1lP G$KCt ,ҷs1O>y΅i29Ff2˸ GsieF#졪)HO[ɰ6A*/)@sOR">"B)9XT5Dےg ghDu2U Id"#U?e1GXi:PQBA[Ю.xp-|Ci[-XH7@اi6(L@kl8'4HZ 1/.E{d&1,Oݜj-"S͐mbM>XAZ|@׼nwFB)WF4#p--d'JR.EpEeQ \;dZPj+6ҧ*'Xg(%>霑kWiG(.4?FBDsW&%Swn\PJ"Aꧧvޫ2k^9n}m<,:2-!>qf2&1f&[Ĵ.Ht``qNxo9UvԋiY1pFJffo RFWj9yѕRv^`Wm(ܚ "LwߎCPj".'^,)PNgU]!Q|uփVw'ބRKlpF+IGݍ፶.d5Y#g T1S50fv#,T/rO{'N')8AY0sSi (Jg?a"tM gk3QpX%M:[2eoJF.T iqh5F>ެ+uB, 2Tr &7aS:W̃6It[B(\1N^:{0+Mx%ح ==ly KU!3v]̲#--:Z(Ȼ֨1e"XF2jyN1" I]`rOW%vtު'[W CEՇD|y/yШGi] ؁Xk˲urA2SvD]8C3}֧ҥBw-.MBe$[/&."v"gnP5Pl \nY[=wZǭ3{berOR KMJ Ncax I΍$ܩcbA&WUG-M d49M41oA"Sc/9KbgZ_x ǍmugԭjG^M.}+)ܬRU|-p6jeFwLBM0ad$͞D u\8i~Z9G7Z鰙 `Y-nr5vo?j\#ko[\F;;&CWV1~yH/R'ϫ(%0{i7n}5\6kZ%/{*7)V2c9cnhR-2="}`_oۜZFp?;CȓTր>:,7e~WjFݽnipqȕzR.UOYFUۺ:( n;5Fm҄r2Vyc˫Mh+ܿGSpXƗ_?_.\;!3>Lx9 /8ߛ{;Eڧ r"cd\/ ;0? 5|b v'Sf psG߂:IWPxۘPZﶰ?^6!}vnj| R%;]>8%`,բ$._K1d<oM"6)174^&csڞ3ͭ*nwtPB> 6q5d3/?QCxJ 3n~>c3BbYMm][7}>ڝ&w_l\ = ͓@Ux{ }R;vrt)]i__}"Vo4i콗>&~[<Zrot'_RO$n[#n}]G;ru{aFoe(ɒfÂnU}ҏ!T TD Pc_0MX#Aq&,/gXod.PEX:rKY*%Ms)גz\k.O%u߭H"($UHatb U%ԯ[`Y_ŠwZ %o|æD@1;o~ʹD7Ӭ` BgeɆ08{ Tr""{ `2AgTY@] Z7Ęɿ_ ؄ )$P{H3Z8%D]Gׁ Uk\ߍ|Z#yeM3e*WaU a897Ȼv7|C?aCOitj'CP(M!vf t0afǰ |dBeFCV+pq0@ }Tٹ)dVb`s0P:e uAǼPuexcrg 6zBU/`wO=|-,9B[B~ӯO.Vni*K_=++H 䩑BGGb\"pۉzP"`s{b&oɃDu3F6l[9Ar/qsY.&XXq5 q$: ~1ۍLM9=mD?qƜ˚"6w5⺩as@\|ѯfx1 3w31t\}gy0acg*$zkxHu#@z2r"s#~E^UtY8BRCj@("X*n{ډ]fYJ'^$ P^`|G6O} x*}~d5X!k Z࿝{ endstream endobj 10040 0 obj << /Annots 10042 0 R /BleedBox [0 0 612 792] /Contents [10057 0 R 10053 0 R 10054 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24345 10055 0 R >> >> /Type /Page >> endobj 10041 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10042 0 obj [10041 0 R 10043 0 R 10044 0 R 10045 0 R 10046 0 R 10047 0 R 10048 0 R 10049 0 R 10050 0 R 10051 0 R 10052 0 R 10056 0 R] endobj 10043 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 512.6077 203.2722 523.6077] /Subtype /Link /Type /Annot >> endobj 10044 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 496.4076 184.2532 507.4076] /Subtype /Link /Type /Annot >> endobj 10045 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 480.2076 145.4837 491.2076] /Subtype /Link /Type /Annot >> endobj 10046 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 464.0076 154.2562 475.0076] /Subtype /Link /Type /Annot >> endobj 10047 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 447.8076 191.3372 458.8076] /Subtype /Link /Type /Annot >> endobj 10048 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 431.6076 144.2737 442.6076] /Subtype /Link /Type /Annot >> endobj 10049 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 415.4076 149.6802 426.4076] /Subtype /Link /Type /Annot >> endobj 10050 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 399.2076 164.9372 410.2076] /Subtype /Link /Type /Annot >> endobj 10051 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 383.0076 154.3497 394.0076] /Subtype /Link /Type /Annot >> endobj 10052 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 366.8076 180.9312 377.8076] /Subtype /Link /Type /Annot >> endobj 10053 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10054 0 obj << /Length 19 >> stream q /Iabc24345 Do Q endstream endobj 10055 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24346 20830 0 R /Gabc24347 20835 0 R >> /Font << /Fabc24348 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM* endstream endobj 10056 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=859) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10057 0 obj << /Filter /FlateDecode /Length 2369 >> stream xڵYIo,Wl`h rrRlR~jc3͎VdW EJN[4^ts:E]vY}U砝ӏԐkMI?Y^Wx瓵[[֦3;0N{28X"=+T=ON { /y0u\RԹ޸Z&1%#i֢k5$hI tl ]=CCAW*ll+XYrL֞4}}Bɮ5Y^{ALk$Sj_4 +3qWlު^qxzmu$[ӵ =0A.$kAEZvKuxǃ-0B[P%a;1BH,PF8m9cagٙsfO` =\ Ɨ`s7ݞbj`MMUZZ( I+e\\oy`x%:\"g Ge%]9?o\aFBJoP}c8x?z2:(/W;Efgv k/v:V}"Ļ#7knذMkC ^*L[0a}#p֛FwN;CZZɡn>D Ʌ#9T+;Ǡ"`dMO\#l_xʳ!kx>BeGZ0:QMetBo@Bu0AڃT%|_$#[VWJ]cɘp uƿBs m~"gFr&CO=7ʞf ȏ50'NcZ,A iy5:V[/sF;/gFB1 6Y\E;s`4ಕo]V>0OEh߶`;$tJx*V0QK.KbZl`sa0OuD|1A\8U<—)F\]e19aBax90O>3/dXЛH+hdcih lAbo*;6xփQLSh0u(kN`08B8+.ۘzj7S4MD2Od)| xτ&}U%C♘[W(eAOӶG=9vكD]u4SoEd(/bPV(&,1zb3M%-sJZ\&79ECaJG"66+%շБ >G*i{J҂ܩz<X*@1r5 1 Hq1ԵV:؀~k dyXq50Bo#<pƻ<}Og%g%P!4֢`>yfz᷇D=Z>MbE0/!6bw>ɕ^?$۹_߄Cw6VYݓ$T[ƍēL<$1]l݄{a ~'u?$G|G3MCl*}> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24364 10066 0 R >> >> /Type /Page >> endobj 10059 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10060 0 obj [10059 0 R 10061 0 R 10062 0 R 10063 0 R 10067 0 R] endobj 10061 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 154.325 123.253 165.325] /Subtype /Link /Type /Annot >> endobj 10062 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 154.325 161.071 165.325] /Subtype /Link /Type /Annot >> endobj 10063 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 154.325 189.2365 165.325] /Subtype /Link /Type /Annot >> endobj 10064 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10065 0 obj << /Length 19 >> stream q /Iabc24364 Do Q endstream endobj 10066 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24365 20830 0 R /Gabc24366 20835 0 R >> /Font << /Fabc24367 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10068 0 obj << /Filter /FlateDecode /Length 4695 >> stream x]K8W<@xl2"+[Şjg0\I(?"^4f UQhH~^Vojx&~LzH2砝_~ !^ɘݘN}Q|WhaXy7_~֟oI6M7& 䟵4#oWgh?)g_/TWm[W;c4~ /:_tpo`Lx~QKoLxC"dD=ܟ9)NƑ2{P딤1ֿpT<0#cfŃ=0OVx7%^ԡݠ1 ӿEbXбi924\ #T)'h-;Hz57cQ o Ҿ~fz|Q ?޳ɴ]u6RA4Es%ؒ3PBx߅!?Œ'$IC;$c$Fo:>;@_dQsYlgiZa0+*^8_* h+Z\ͣV| ? P$ '%.Q%zhY1sE1b7N[FVjAqBZ_KV2'W+kZg2D̷̺;}HA~le&B{; T]3}e wgA-6Adx,/zjX:/M:@OMzx#:a]ps =6g9)x9D֋h1*hvᶍ]BH. </$QBpXPMhĒ1nkDeGA,G0q(2/9Rm %ݲ*g˪YLo;0$Psz2:AkֲgzYGE9zYE/E=,zY΢םE=,(0yH/:$M")ٯu{vY}rm` Z8uvZnexeལAL*u.NA[x76ºnV0CASt|p ٥ nUD>+쵄 {GBQqz4|F4T<$eGa{6?;AoʗhC;;S146!ЕX:1q> _\ұ&fh)Q2<<0w>4_3#;zFa(F1hHWql׏g6XhJ~~od+Gn g2aC;s0P7'ޱIܱeϱ(Iΐ+')4=)95/"3s<9FH.7fH Rҕ(! aeZ@jcS$QY4{Òg۰!BԳQ7.I0:cNZp_lkEڎFec9VO\p2Gc{esC7͜ݰ񘒯,:]ôil.iuDjٔlB(Fͺj2"&Qý+7Qmw$Z:}>z`eChWK8<0~ fcoy]C=&ht7ho*Iki﴿➣3 *#m*$izmj7 Hl*bԮaMAd P@_|o}7z`A5ưN42jCfUIuj±J[nTkܩTO<|q%X1hKc@LΌ`b>Kr$TWebGkgb{B(%4%ʻ)`")WMɂM wXdE69_*o-# a*cd#[3Ä:{ZHlrP%jK^B'@I&D޳$(qnh_>8YY̓{07u_@2\]Hp4Ɍ5֡ɍ| Ņn&%ݘۤy_KA4X(K,yflb2,wUh))ج(3G\SؑQkxYr>8 kT,IPŒ6e/1pP0fy= PDlT= TMy2Qp UUIX " /|; ,y9, -#9X,Ij%7*يSZTje2V.ZUb +JSe8]40g^5I]هr+DkbAmeDtY#^jl>4p&ϢLADe8!Q&D NbgNF 8i'DQCNjx3&:'a&:$A {xՌk]7ImD'}otRI?ZtҏG'5NI2NwiGtR!$褄=]W©0M0n]H݁$1md4A6'Qe_]vU:cBbh~d^=aos pz>Gby"#s僇bSt!quK>m/_t>c=|Z?fUOz$(=<*ľ2ЉvGwH'qMé|y;C>!7LF*yg *t{VqG< mQ9/|yK(M)T4R#)B`-(0jZ,9xNShpg:0{Q6%@~',bE _V?o{sPI[{=c@,$_1WNO#:قj@yzx]~1cE,?cӑCA7XCvH+37az7\MB0; ~^s BG'CC?zjN8J& O&7Ț wh.#tSUٮg/J~Kn`3kXi.Ωm(y%j]r\\2oWsS.#dWNBfQACp'! 0׫Äb_c(hO\ұ caIo;Nf!IGDvxvp"o'uPE 2{*b6bBp`Pu@7y@98*4s)Cj TUۅ΅)ߌŰ?* ܕ5*q߷)V~(n&>Χ8jro1G5-H9Ok">o;29:e%CY~y!5B ! ##}HSmg{Ub6'XRT$%h$IOIA%I-/Xn;*%GQg-q)5i>1}yZG;0gCJ}NpnN;2TMyZ(9{}ԂD湰D*YIx2凚TⲘ,CW8%ދݸrpU% SQo0S]tol2C/Wf~QМli ;L8L~c|jo;!%!o{@grT<}#I~tp۟]{`s3"ʉSCjϒ0i|t=`g qFbPBF'|9x>/_,Ls;Q'ӅG8У2!wˈ]: C9ҩ倎QsʤGH8$=ręU\6~I#+|P'u?5DŽrV=2ck-9SS?A%rhA^?| endstream endobj 10069 0 obj << /Annots [10070 0 R 10071 0 R 10072 0 R 10076 0 R] /BleedBox [0 0 612 792] /Contents [10077 0 R 10073 0 R 10074 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24383 10075 0 R >> >> /Type /Page >> endobj 10070 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063009-08'00') /Rect [218.8375 461.2154 381.3955 472.2154] /Subtype /Link /Type /Annot >> endobj 10071 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063009-08'00') /Rect [178.99 408.5462 396.03 418.5462] /Subtype /Link /Type /Annot >> endobj 10072 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10073 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10074 0 obj << /Length 19 >> stream q /Iabc24383 Do Q endstream endobj 10075 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24384 20830 0 R /Gabc24385 20835 0 R >> /Font << /Fabc24386 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10077 0 obj << /Filter /FlateDecode /Length 4590 >> stream xڵɎ9 `4nr{99M6¥Hn3cK"V*w¯׵ӫwkxKѿ\U?Tּ)~uY)_VG?[a//_o(,Fm>o;+mRʼ #lYSm2`H,ѿF‹v#%h27gFF8w}h˚y&s ]kU¦VrYwav @6H] _SΌ] e'};/k, ) Y`b8Ȍ иU 'WRJBqd ,'m6q$_rD A{z%{)\D58Ϣ\xLeuG\PS*SuVj3jt)?D E*(l%{.3|!(zI}\B_g۴EJ;瑪]Jdʱxrn!םT!Irr#,L5iO$& P[ ঍V'u>iR՝"R-AP%-SpuS>l] EG[y!86-d"Etȴ 69P"ҹ>oMfyd'P=ZѼi^ #fψ6&n&Ȃ } Ru@J u/-c6`I{euK mCaO> `Bdc6ȱI F>~d D[]WSF݌GS#i/EI=4gV^;sb.As~)ݠUF2K`ʜ?eµ`Ȋ[pZd@k> fY"va7⣺Km097]Q+0&#QL;|<Qt&!![Ӻ fszXբ ޏ&NAy)hΠ2 I\"(QrDS]XS?;PuݯIRn9{²dzlV|uLe3?.† &e@5f]w\68Cn0u5aFG|.<,2{nrP:EHz]mv7rTjPݯa\?'Ö# oC,I((aZ%G}lD7(B\z-&g҆{VbV4 B~`aL+lfgiBt CfD}Aʀ=}#5~)#|Bi[AhiH#HUɊ(ҕ8,%qhp$Q'J#:i(Y}VOm <G6(M6C]NEmC.*<qcz4 2|1$*j 5@SQb*XZ1nɅf(EtL=Z;EO,c]G*i|!%JpͼAG[]C>hj284erDzGgf/'kae x1*pr+5C aekkѶaBXB9[`ByWcqOV&n4s 8 ;A }R kڥ(EВřC$YPhI>7{q%b ڪͷbRzPJxB޼S z;̥d4h`-U4|E^u(`T*]2W1PYuhsuh?0C3*^^4qldJm:17qȷ#V%΢EQ~dj"ҔoAB\D=Z~ܓ3?2LnXqΏɶv*;t,9r$4;tLpI ɍkoT`M)TڋVc{!NQ^܎|$l@!H^l%J}VuҡV-.v}ز=,L&4< sZ٢֎)ڧelY2gA^mN5}%~ȽnEH5+ڛ&ZWGo.ۛT IGHJ{Qw-S MO] v iXEJ&?`j2–BrӉt領8euwOtTlrSUhئؤjLnL )7ι;UaScr[,S8GQf pX܏aI:?N`Msm>rv#i ԴJL/VgQVשqxϑ])3Ĭ7,hp˟NCCh3mև=.cjzUGl[`qn$yDL`v&V5A~ `/anwnJG.buyXVERk%^)Wfԉj7|׼WCtw>AW9dW)66!wTrIZQO}hь}ǣINv^lsvf5CN 8WlZ^*1/E'o?wޗ{ޠܷlZ˾I >rDT0νuWӿϟ.7OP.`4$A `6suhߜ<h{y,]M;77O;jn|C6;[)dΝs)qħߖ B3.^"7VP:l:za_?o+tB_ RN7 h.eXalG oz}&c[= {81[L5n&cr. ∘nm؆!71_c./3QJܥ:c|O{ėJJ3a5~9e\ǰPKKܮߐm>. u) P ̉"Njsb8kd6294MQ7⅀ҕ9' #,A)SQ@ |m`ƒX: LN|gv ĕ{fNj9H?P0nBE - _RGtbՄO}Gq62׿SL˩*\U& <i _%k&0ϕ KlrNi$_ʣ<O"%2#Gj/d4\|\FLbW*;Q?QM+NHR Ul,.ƌ/ UF{SGsT_.F7_UǬ]LA2~S*;S/~4ҷ"?`hųp|/D,rɘKD3%)fȧz.ߺ7=w0cfҾ35D> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24402 10082 0 R >> >> /Type /Page >> endobj 10079 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10080 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10081 0 obj << /Length 19 >> stream q /Iabc24402 Do Q endstream endobj 10082 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24403 20830 0 R /Gabc24404 20835 0 R >> /Font << /Fabc24405 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 10083 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=862) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10084 0 obj << /Filter /FlateDecode /Length 4263 >> stream x\I$Gǯ3Rc_VK]U]H܌F8 H>o53kk`"^{KIjb6󏲞;4R_bQZӏ/Jt~R >'>pJ3_Ur{9{YvV2=;0T MZBBO~)jYY~2XYcSQUBUWiD#y|_]&lUW*~,KlCNRXSU 4f :H% ;B1`*YHpziKU D"St.iPv- r}Åu۵I30uW:u!P8e>M4)'GI$He'љÕ@,P쩲UvU*[8~cXD$_,'qwpUvWKWA?wZ=ͅ:2 l i$Gӷ-D1A [ nXb6J5I;4 tP3쪿a-`u),6{eX-V]Y` 8|ЁuJEBMy5yi_w 3tƪ=xTǮ%}0Dy3< Œ;n罰k7t7#nʐ:9:`m.z$~jk .(C\Cp_"%q4jҒsJQƸb(a|F~ gx @  /^ߢ~q~ntiq|`S(<)Q;ك(`(PeޤC2k|vt~;cu9|"͌_ ָlq.>'O ?K9ax,Eyf:X:Xg%g\CKr;J lPqb2|;jz 0M?M檣۸ \j g'nqc(k= pea@33L>~T'Y)ۉ7!gшQގM) >2=&0sqdW+Cn\ǐm1p$aEUG.@ư' ͞P'yj TZM_ :Mdq h֬5)!u!Kтu@M'$8|WtoTl!i50llkj!-&&y/$-2kK(31+ћ+/I^p ^I0x*N1QweR5@ FȂT"hP=o!"P )]l#C `R9hF@2@{2ǢGwh/-Z[ QTTDw l܂ AK+BUĚ…|.6M q 0f1l̠N}M? OZW5.-\+:uG1>+^ۯ ʋ? JGr W! *| qmI}QْA>2˷ѶKMd Ƃ V>P>x+r%;l(wi;9^q+ܨ*&-`&.UƗ)I]M=>G2yRW*t)}UۡsOPNj% +{[Z?J>)l ݊:[W]R;h]rvU YOJ,´ge:L{bvDܲ@62B!7dBܧ?H*۾;s@Pu;Fn?N^K̭ؗlzEU$lM.3(O]%JU޶q xn?ĭU=MUaSOV968lT\Az8{ݵ~c'䨻_ڄ^\ur^-Fk9nVCh\rEڊيzZ j ײmE1lwx#mtq4uNN~ kt[P_ЦmZEFqzm;O\9~+7\[aCJ}޴2<.PL p'En3{NyI/ ޑNշ\K؝LdYیzoK}lqCm3h  ÆC,RINܠnLOSe "lybǐR8@K F[ &isA,қGf+=co^0_NJ_ w4PªLPLG/ӝƅo=M9:8@I0fT|zl iSAxl2GELg ͫ/of^p+0J7kO r`<:LF/&{5ǡW,s]v;Rg 1#M+ 3b#~^9qԻz7W>yGijחT1^]@%Ȣ3.It+K38s< M<@.h,H-?khz7W~ ,+j8?xJ>8Ke6x#\UP`RkAMF/*0 rLSUʀN<# ˱1X'7(ǟۙzǗ!^'΋ST F2㑍PSRbg8|ir+#yї*$? &u+6n'&^wsicr~) 2: \o8?Fu}n/~w1ߎ93Q1c^TRQc}C<>{ zv':nuh,|1s4_=[Ш}ie\a1V e7W,ߗKeC> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24421 10095 0 R >> >> /Type /Page >> endobj 10086 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10087 0 obj [10086 0 R 10088 0 R 10089 0 R 10090 0 R 10091 0 R 10092 0 R 10096 0 R] endobj 10088 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20211013063105-08'00') /Rect [104.1732 546.9 166.4497 557.9] /Subtype /Link /Type /Annot >> endobj 10089 0 obj << /A << /D (unique_133) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20211013063105-08'00') /Rect [104.1732 530.6999 144.5102 541.6999] /Subtype /Link /Type /Annot >> endobj 10090 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20211013063105-08'00') /Rect [104.1732 514.4999 150.1972 525.4999] /Subtype /Link /Type /Annot >> endobj 10091 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 498.2999 164.9372 509.2999] /Subtype /Link /Type /Annot >> endobj 10092 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 482.0999 180.9312 493.0999] /Subtype /Link /Type /Annot >> endobj 10093 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10094 0 obj << /Length 19 >> stream q /Iabc24421 Do Q endstream endobj 10095 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24422 20830 0 R /Gabc24423 20835 0 R >> /Font << /Fabc24424 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10097 0 obj << /Filter /FlateDecode /Length 1845 >> stream xڽXKk$79Њ0Bnr99ل0/UԭF.=zJ*I}VVTmVŠ&ϿOi6+ktI{u~3cޘP : (? `W3;UQG1qlBc:|TA[ƠtĤcM4܃D[:9wε9Tߊ`~MN</y/앚]:d,ws Mb6;ԥ'bևbWp>+kZ?P!먈I=>}>脿F4\,]MEd0yf61zcJ>m Axc hRí?r!`QW2ۚE:V:#TDiA([m큀H ϶u.v O"oW^FA.V]_łwD=J/AC1 ٧;Z{i>M+ٰ%p0[b׋cj H=C%"N}6pyt)ݹlFl +^53?lmӺAԋaUnyLk˪GW-M*7UYC( lYq $ cп4g1xR+![WqMpkA471D8ʮ]طiҨy KxԬ83ȖRKYPUJ;TJV}3 ZBbaDW~n.2nW;3nqV F \gCH!]Va&v&&Bdq!.˺5uy]vm%.Ke o7 آ^qK"u!dR}܌m*> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24440 10102 0 R >> >> /Type /Page >> endobj 10099 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10100 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10101 0 obj << /Length 19 >> stream q /Iabc24440 Do Q endstream endobj 10102 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24441 20830 0 R /Gabc24442 20835 0 R >> /Font << /Fabc24443 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 10103 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=864) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10104 0 obj << /Filter /FlateDecode /Length 5032 >> stream x\K8W<@x $Ȉh`n={ݞ"sK%E=([pd;=Ya2EHJ$H-wŸy1,uZz'*/q~:i|?j40[!{y'*+?立6<'^Ϻ<|&~$~ѓ+.tuҿ?P7D&e%h߄f?4~+u?~&_Y"Ygw@_7;FJ]oQJeH4=D}{mmە\\JY$qFryj[:єz< k,z YVi vOY l. ߙ).?Ԡ:(]gV#c1g\~d Ze XK[X4 p0ߴ8' hJ, /w Jް,a\ mg4'Z8=0+($ 8}"%{po(@ϊr„ %ّ)[@&5]3i$N~{( h5iXCk$lG0'iF6 IjC)QԠ`9F4](wlғs9L { ?;P`[ F1?عs L)8m]c_!+f_ɫGS*XH,zO …x<\(m_!@A R(Pp/P(c䒯Q.|_qh Dk*kCg;*!{Q6 Q6|O|07wz@xX=(Ū)ծ `X B.]<&vab.cS 4E|dϛ2F|Nl>MMxB'k}Lzf Y$^WqN5/-1k "\YM+Ľ+)j6[QN8IԹBp,U]P[(y&?h3kQ0iY䭓3N1Ӯ9rȝL;92Qaci7GdyhSU?.ӄܜڨ#Ϋ*cj6 VvG!bPU_E qITљŞh>$*vŘN@؇h0|uLquXϹB*+~j(#D-]L#']d.C%˵UnkSлS+q<0. }׋VɊD"nlōN,,QF9pgpuuHwΦ h@zf\:WFyAϧ4z'-)foy 90Ϟe smE?(ښ bU`QhGdM0q';g'Cj/us۰bIXߚќ9U˓F^@:HoLK||Z +_ASQ-~ H~R/}JUAIDa$[d!a~oɓ=[ ʎn\xIBU\iOF uioDÖ m'H,U:p)2`L*j"WLgP镘jO#Q_jAR> 1Ɗ{_veG`sGH[TAV~KT ui" n!k&u}e$X \XBB3* y ̰͌d rmj+b=۪tDewҔ\О),sɄˠU>bOP$ 9*\3+\*E#6fvɧ%T! !'%@ 2V\5W}ǜf&SZ.j"trl(ȁvx||:Z"*p4,+I%b+ѿb cE]68oS*Pxۈa _sl(v2X!s}ǜf YP\âֵŠxm'3cb5S$۰ZҺJCӮvs^x(38,`oE@XW) n*87)VIym(7Jy]F$z8mW]'pYveldJDp,ʸQqtGGE72DNNq8 XW95Ĩa81"]trNL]tx`݁S8=',:ułaNtDp¯:897͉eXr';4 SX_ NʇĈp8eBbq%3Ӻ yQ;l/{bɯ{b_FgO"@'IW=_ _^;zRS7P9x H)ԧ!%nN>)qk IH)W %FS/=%Y8(qlM@#kJ\8 (qtM05IxlM>ck%[x|UBjD g\ד6 64CXLl~)[P|dg5"Q) "m{F`ۼX@[w :-@,IEqhBvdᝅq|!易 T7e&̂&N;PB'}md*C 䕶-ؽ}((Cwۻom_ɻ/@l7" ';d5hU-CيZyjR=6#/=g@;?aki1Lz|G; Y'0;MUݸ^/74kⳜ3+!ƿnk@0vNAE8ܣ||G}̦_6' ^X!46*^@t"_VX6wk)ۄw԰i0='a%:`Tzr#`^nyo.˷nj-n(1 5[ |!' AZJUp_rWd6E ?e#Kvv#[9VF>z<) uz`;3;I)krtEŅdF:Gd hy={+x9sƎniMvZ3-4ǧfxЋt℡l2}rqwsWKd*q6צf-L> hn+vۚݸ!ygxh47صKF3OU_ŪfE3):dd7_/j P7!jWzMYh_'Fa&F'!:k>v灧8;bD_w& Z}/S+'8kָdtM{qY\-İ W Mp`Y:3M b%S/y^}vlX:d˃P=5v!cۣܳǁ݉bw*w} eq=>vINk&$afiYobjZ[&qiV:7&vrA][4 ,7aHeǁnZ`񅧙4仜'3.?rр2$_0E]\HDڧUB|l2\l99;Śy 橕bR'ni٥v`T8tߒ2KC8`V6t4S&Se<{ jmӂV(O\הvr`ɱ,}i.RU"ڡF / rL]w[iepёnsf59$ZںF0AiUqi{ߗh`4Agw+a;'565h.9 wشzsuujJZNRBeviZiUBl.'\=LCSZOJ/tZ$bQZ>R %NV$qBbwSfKj/8z]SJDٰ/R=$vGx xC'ŝukMiޞQt+"Yp^RkW&Wo*?KvnEQ;kPLa$q*W%yцJ&&.-Sk]eٳ{a\r[$bȯ0f`;k1ÄXk:]mI1 ȓ+lˆmu֍#xL@rg>;7*$E8K0$l_J- }EH#f̋(W<;_a3ߗۘVek_3sJOƥ'Ywx )ՔėIVG:Vϕ8ӽ=6̇UO1C ;ye~_Xc]Cjp=Cm;Gü-vuLS>{Nj0 DTÇ endstream endobj 10105 0 obj << /Annots [10106 0 R 10107 0 R 10108 0 R 10112 0 R] /BleedBox [0 0 612 792] /Contents [10113 0 R 10109 0 R 10110 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24459 10111 0 R >> >> /Type /Page >> endobj 10106 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063009-08'00') /Rect [218.8375 592.7615 381.3955 603.7615] /Subtype /Link /Type /Annot >> endobj 10107 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063009-08'00') /Rect [178.99 540.0923 396.03 550.0923] /Subtype /Link /Type /Annot >> endobj 10108 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10109 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10110 0 obj << /Length 19 >> stream q /Iabc24459 Do Q endstream endobj 10111 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24460 20830 0 R /Gabc24461 20835 0 R >> /Font << /Fabc24462 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( C͠h#bwoX endstream endobj 10112 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=865) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10113 0 obj << /Filter /FlateDecode /Length 4861 >> stream xڵɎ9@+){99~~jR^ƶ~#bY ?៘<ӳw4Yˏm RvjYCvSõ{> OOx9+*,!_t W:/ euX(XLi\CѰא%jK'l4L9‹JCp;xW.:_猜Kua>8Mg~/?iռ$-s,EKXN6ه<2'/8]{ MnMũ0hMc6HloBrM5US 5t(H }ndQ[Y@)(|ZA )KEȷgB^FE;|qM)p {Nw T."C*S0hB@rYG]{BJYvX{`³@ސ" Hc#pAB54?^\[hI%|3QM* >-DJJ. 1YCjоύwYͺM={DDSD;l5MT9f@\Ļ8+ǻڂq j6Qq=>{zVDAES V-)R6ٓSu\:ҕyɋKw2Řl̛6C2Z^W sJ`ڴϡǗ|g*K̊o kāVd0o6K͒jx/)ZۋtydDPv4 xwkB0X|Rjz^|FBqH()u WeI;dC2Uf,}˘hU]VKg5Z/--TZxHd]{7{?LoyLӉ0qjx9`뤋+'CT1 >ʴ?MM 5]@EeV--)*,ʂ 0+im;uŮq7P{n}g:˫iiLUELJ̽JdY§tf  ˖:7ثhP*Uj$Hk\bH%)VNJ ٺ+"۰QV')@&ΙEXLO]\I?+`Q C^@,v[ZVU$v+Lj_ FB>T8(z0*0lNΛQKduϐ4Yɨ"g|[pT'*1Qmq77oyc[hU5YQ76 *k;XQ6.7,OX }Bdd:ѹ;wa@/WgI܃#,Eb=q';|s Ci7֖q|5Ћ7A/D$7CHv6Q9УZ[:,rW)Wx͆7c!%׸.ZQ>Y DI$րA(iFcZPcN!gorTV !l~S.Qpf9^d'arq0VdEy3I%r}ARlgP A$Ufn^Ŧ^k"WZcRՑ5)!CdEZ)4AV<1Bxv[~jӳ9JUK%^ f_>s$>kVpmh[~@h1ĉŹa XsŶB%43եE"BZ%jkZCٶ݆2w;%$k! rc`, sMmv07@%Ih*s=PO >͐?0?;FqùMҹl>vfnĪ5 L`Mo];eMb3’{V.XݝY]1ٗMEGT࣪Aye1lڡzChZXWV˯a̻G=>2m4VJmn q;,S<]x*s7T73*Yj'ԁPXL>Kmsuvm2~^z4- )*lJ\r.RoʇbDzRwyl8OBoU)w>7&N?˖L8Oޱ+IP1ŰʬU\0EYDjMw?>Va{9[$VG'Eӽ F7)ϒu?,弗^b1'sJ&Y5-\Zd%)$Ϡ& )va1\U>̚?ڍњ4Ʒ#_%n) 7y7.Xt{O(^j{Uic䠽Wܿe6̿u鮹w:sj wk;!}Flx'^ E~x.qc7@nw96~ aX \> V_Zt'A2[CO~Z&Iw]vv{2pdl+zI.1:Yg`(613Xߵ4Jܩmt\KQK *0a uU}@ƒHH6EW`㮜u/q)w#|~ m\Й䝳㑤0h$V/A6?h< *1@1{gEx59)u,FEJJ+a/l4{f5؏,V ';@9/*Mfvw̐Ғ( =iCKvM ^\ny[e^pS}K]5"{Q|\Xk9Q{w/@ Rp"5{]vwX%rHV\IZBdF~} WK_މ&ŷxƈ~}e^kbx. Ͽ0Q AM^^=IbϿ+o>Xi~MrƸ,ũ7SNwrE;V,xo`rZuby$6yf."~B(([~c[tNg ]2]Ai!t(?q@ [jvkDR4i#vK `ZTwcbW/d:EvvU<<;%N@_79.&N\o2.[W nu=h! rw ̀!O[1;(%pnRO{*ĄH4&YSa"Zz{zkNBm-&{Zj"e5 waFfzEx#4e'@"Cw8(3 HX%˜*bJ't+T~C اca}7mw+ux̨}=zy-qDc-`.fMhѿWi(s+_3g¿&::=aX<\H/ĭE<'ob#)SjeI2#Gj/d4\|-F']Ŷ/T+WTF:peHU0򝍙ޘⅴIQm<"=p~w z[2dx;Tk筘&h"2[[1Om, vi\J{'߅Y7hn'&a#`N\Z䱹Ǻ 7^c> q8:,X.ρZC\dn]"_W^0*QE11?5݅=Vt>yN\3w!C] hFzL> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24478 10118 0 R >> >> /Type /Page >> endobj 10115 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10116 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10117 0 obj << /Length 19 >> stream q /Iabc24478 Do Q endstream endobj 10118 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24479 20830 0 R /Gabc24480 20835 0 R >> /Font << /Fabc24481 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 10119 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=866) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10120 0 obj << /Filter /FlateDecode /Length 3655 >> stream x[It/ofr|Rb6.ӳ=F,5M6Y,V")2Jbէ/4txW9fON=mbzV3ʛ9:K]{NGkE~~Z49{@WLʂ 9'@zq') y,.Rj0 lL0U:hKx#M:` "yGkY5g@Z5kD8%[J/mFBuY::bM$SWK2,yT6 v^9j <Nנr"VmoVUg7KGW== m&}]bU(3ˮA(P=c<3'R$nbaeZǶilv, n:0o̘]ݺgʞܻ8} f4! u-{4l dm/whaV~g#w?3H=1Ϡ2C> [ ̓AhJ@zŻ6Q͎nEcѷW4 s-tc #ގXqƟp]_c_.kٽ材o/JŃO~ bn<6)Q};nч^}乔My޿,{Wח/r:=KwK {Wk;Q0h2z=mu1ͫ͞xoޫYW!&u8-7y^ڍ]dO1~ Vjʚ0h#<Ş7w9 sM\ ^#5SAb#>1"ET!kipsCW,i覎e5|UBoRZX:R2h'avN)'WO19%mJG"D.QۏC,#ϾbCyhCЉj}aVvtHP1nkkκfȷډRk+A쬇+95NaC9Z,>WIt{Ll]bmnEfXLk҇tZm77*VGm-BڛT>x{u#3Yʯv|}_rSQ9#YNAjg9{.ӛhQ0Zk3!ӯ@C_yY~iOz&Zށ B%o1>91>H@Va%')7C?[[y8 [U竂UYԗ,#?qj{?_8yR+sYWYioe77D7xܟ#l47O6fVǗ}Yn'J5|[]e]r|$`~Z3><M3֯;Onav$J=a`8P#Sn8'eP?&Rbxa }ݜ-Y8goH[ADX v Olâ7X@/Vu*$auAw]3 1 6U`L<5ik`eHpW)XWb'l0sĩa|%*}OtbCLO$EP8i>6jGJ.l풖04?sһx*|RPV*HPV0\ũ ֿTle'8>7HqaSe 2m71yaHa dm=FL4912:h;m Bo1 !P D/)15'ig ? }lK4 ރ-ZƁ'"mˑm|<_1§c~4Ǿ*0Mv-h"ЭD!18c]O}'ɻI:˓ 93=8@ /@D,Nxm"#+'oʓdJQ m Ea5V2+ijQv\3ĝDtTʥj*!&#>瑏`(F+>LEg| jpDud endstream endobj 10121 0 obj << /Annots 10123 0 R /BleedBox [0 0 612 792] /Contents [10132 0 R 10128 0 R 10129 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24497 10130 0 R >> >> /Type /Page >> endobj 10122 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10123 0 obj [10122 0 R 10124 0 R 10125 0 R 10126 0 R 10127 0 R 10131 0 R] endobj 10124 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 172.3292 686.7] /Subtype /Link /Type /Annot >> endobj 10125 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 164.9372 670.5] /Subtype /Link /Type /Annot >> endobj 10126 0 obj << /A << /D (unique_689) /S /GoTo >> /Border [0 0 0] /Contents (report_objects) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 173.9627 654.3] /Subtype /Link /Type /Annot >> endobj 10127 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 180.9312 638.1] /Subtype /Link /Type /Annot >> endobj 10128 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10129 0 obj << /Length 19 >> stream q /Iabc24497 Do Q endstream endobj 10130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24498 20830 0 R /Gabc24499 20835 0 R >> /Font << /Fabc24500 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy81o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10132 0 obj << /Filter /FlateDecode /Length 1269 >> stream xڭWKk$7W9J݂e!7@!'o!?RK='%6=RJ_=խWpP<)ꘅOCo0G(dz-TsT> u [I@T|?ןo R_EjGL`Kא<,mʮ>ǴR#&%[k$uXW2Ȃ/mM- [XO ͞:rAW3P2"m:L؝$ݖ;ͥƫNZ[m(ܥ[x3& V^xw奲3?d9X R-s6ՙnoٰX8ioWlu'h\{Pz/U^fٙA[E+Leg4׵|Rȯ%G"Pǖ3#z3e=j[fp)]P+N| 3-ĕJU_K Um ]B#]]S6%q;;(YB{ri6H{C#z>*CxZmRvl,O59m7"EiwIӝ@,# zN>nh4 =ͽ::q6S9g7fF-NbehٚntlAIp“%O?$AM9[kC-ꍦUnnDzZֶ|tS ]=(Xo樚o9Pc>Ј[4* >? endstream endobj 10133 0 obj << /Annots 10135 0 R /BleedBox [0 0 612 792] /Contents [10142 0 R 10138 0 R 10139 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24516 10140 0 R >> >> /Type /Page >> endobj 10134 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10135 0 obj [10134 0 R 10136 0 R 10137 0 R 10141 0 R] endobj 10136 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 295.525 112.8525 306.525] /Subtype /Link /Type /Annot >> endobj 10137 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [118.1655 295.525 150.6705 306.525] /Subtype /Link /Type /Annot >> endobj 10138 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10139 0 obj << /Length 19 >> stream q /Iabc24516 Do Q endstream endobj 10140 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24517 20830 0 R /Gabc24518 20835 0 R >> /Font << /Fabc24519 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7F*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ* endstream endobj 10141 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=868) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10142 0 obj << /Filter /FlateDecode /Length 4403 >> stream x\Ko츱WpCYYf߿K[ΙvU,VWU?+N\guzXew￵~H>Qx0?~'gjW!źR7~SΗ'bz.WrO/߽d@_wFC;9ʷoC'Pm8Op fcogh_QN.43_Yb0sLb30;]O7&B9z/^)k!nd<0Z9ux'"\ D*YFYX>c!i,K'+ :15eAҚܹO1 }hDu߁3 > W#ikiS_܃k`DFiI =aaFc.kK|(=>WlfV#bGqOFz}}\Dtºqyq(HԮPJ$J 񄌢O, >^xF@``!DE3@Be|_~?d1bm5, *S}i-ZN4D01rbĹtX~q4QiL1١ߴa/OE&*tdoj7O8uv^Ɔ0#.v9Ԃt ~y+!>C+N qjeia:.N[̊bSY&?8< {7ՋAq6HowIUuR_ UIUTU/U%RusT_S6_&nETB>nN JcC||#S-@. #^sOQq1I6 jn [FI=-|w! D1&  /Q.^3obA(ѦtoؙmOuiu#F߸@U}9Kd(>,}:Y\1Oyx Una8UE *i/yCޠ)<; $7Z7 /0rbX$K3굡=)Ȍ3ۋHh zQi/UioR,ܘ’Ck0B#Y\cWA2üCeY .7*U% Mydjl.) 1$AN_8$`d&k%r\4 6HmZtWU f66K',Qb@QA@Jv Ru8v*dIm ~eTTaP;վWTWUBXƬQ/Wpp~8jеɕ PUy!-,`UTƗKX443,Eai 9XZ2[Xiz(3Ud+Vv @jWCG}7Z6]uOINe[x#4e#8Nk9{ \]D+L2n+ʸpB:ꭣDe!8 85dvB[I-Ht%1-ᤶ*oSCoNjVt*Tp*VJp:F@Oj'>O'Z'E}@ԁ6gS/O'uÊ!HuҟQÔ1J:FU_vRcv8\R?2F鯈QQ7`ǔz7S4L^LpiaL0}7aJn:݀)u,;~K9jzD ּ$ 2ɓL1.&$?D`*&p{wwH5r+ څ >:>L : ;8ROq=QNmU.̨Zδ6ulvq~.^;:kQ6]3'vrx[-cn=h ?Nfwy^|}#{e3 eO<([ZN{^מEB5QN1lwN~89UTQuhxXm3yXf ϜaS䡖NlCbJv6K|簪Ć.5nGMEV($cc~N03IP PGlar&@J)1^:H{Һ\abS&UM VmHb0Yf֦Җ| )vg^@*#^ҚeO艂٦ lNY&]f( Oedy"XyC9AWpܘEB; Pl N2:y|mk' 3k<(!͕?Zk&yki>$ rc7R+ɏGӫh37}=˱ҳlz\Z;h} ֎!.BC& 1K9!=y31]Y;5<Ł$֙Z D㲇0(5g[wh}`#ŊdE*͊X|4 (-|d9p9 q㫮:b:)tcog7S CKSeMh˶aYF*țb/eXD"@dcBfiRkH9@0WA҅Tf( <4iH1@4usLw|+fO4&ZO0/^:!9Zuva3NS%Zq<4@ 46qa*?$1nBnѤ s҂`zxso4ܠ 'M"ҁ0gڹO&ms\%1;V]+uv]ZxvOm>۔(o 4cb6t8)rBCȷ@zO;) MS; {YZD#<) -bvbRa "'7]wrJfqxr\=gIuUw0Ql= ̕fmM8#ش:[[UꐲآL7ƎS j55e)Yy\1PLHLBGGMϗ.-Dn|˵"bSc{GJInM)SľI`S˹*% ;,% /$zDŽxᄫB3EAҘDRve#KKR~)5k}ԎZD3A5Y)T⼑ҘjQ}ldfkћ T*l%H.^|"{;d=(jRh‹`!oD_Uf(brXM3h§o~ֽcszo]J̀a"Z0 UϮA9sO[. I_Yoʏ`H:OuD|:DOdUgWؕZ? Essߋ]*ߕ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24535 10149 0 R >> >> /Type /Page >> endobj 10144 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063009-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10145 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063009-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10146 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10147 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10148 0 obj << /Length 19 >> stream q /Iabc24535 Do Q endstream endobj 10149 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24536 20830 0 R /Gabc24537 20835 0 R >> /Font << /Fabc24538 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1N endstream endobj 10150 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=869) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10151 0 obj << /Filter /FlateDecode /Length 4972 >> stream xڵdi Ϋ<屲&| 4A?/s}EAIu&o'Oq6KxVcg6P ?Gc<,v hӡuz"=:! :|LτgeqfBdenJkYVc/&΂n LlgB7#*/i`|%3")UD%KgQSu*HcA"ɪȡ|Er^) O E"_: ǁd|h/S,,+$P5|(T#\$@0Heq@h/RY9NFqzWWE-dUF@~+r십 ǵLxGG8&DV[yUKO|&Ed4@D%%T=`.Oq37|}}RQC-*"U uyutO?Vˋ!)n?͡N TҒJCa-ģ!8o C>Xq2'%`,g/oOn͞Bsj5S2dJ0 UHT>LAw-xQO&-c0ߗ4Ib>T#Y::>{69Mv:tݕ:X-f@:Z6.!w,PX}Ȍ9S_R+Nt'9ı%su+U07:7ĵ7tSž.7g"Gw?j<pb+36{fYehRZȁdMבO{ld86ΤQõΫI ՇTax$:}vn3 :yMH9-ich2\lf g:Řs \hv-*?K^Ddupp*d24~H_7TVW&Ɗ5xkSGI;GbL0-e)t2$k?@n3pɮߪCn3r4Ȉ*\86s.M!aƭ!FE@\j)9On =Se+QG.RV=dU$gK[VoB Z+1n@z٩32ŭկWym2hUc k)/T%WΫdPv@aȴLwl$%GJs%#&0~8]ݮ+ݮYNvn;5cR,eo57/r7sNg _݅~dq[ўv>-G9J:ha5FMFf0R' e0ZG/yP# Ҕd{KUDdy{e;$lT¤`=UnO]Ua7c͡$:X *=4@:?v?;q"n~[=QKRŗ'&lvqnjtn3[ΝhyrueiE}w`fA=D6FvۙVឬs+Vՠgm̦aCNA՚9YE7l ֯Z<ܜOuq́L=IpIR3?q5=a9q/O?oJ/TwzП)k^;uPT& .99H,O`d>m *д{MT}vXI-Sgh8T@@ԝ#q^Fq] Gk^&N?zͧ=p5nd!CR$Bk LK1YɼJ\P[[C*\z5ū>63q Ү{$^Go#%IqKkQ3yX&4Jfvx;#suM^cw FkJad.H%sɮ>m s yz-[+}MPlNz& h߫nEXM›?ѧo]vfk&.?{//V4ӷѵWvʊ/dWM8Obt ^o70=dNcXc D#|6k\Ft|'˰~ l&jq"rWb~Lsp;LH&\yupNa%x;&cgL#NSc}.[xv؀ol}w+w}[߇F$2ܛq5}@“pHH&$ 6Y9TL(F?7]ҊܨGPG!F[5'B1hӖmZ0\a2i6 _,53Fу +[Y%`TgZ֍`D`l`W6D3ySsba:Z]=ePW EW;5noܶ&'6n7d;0nmFwJ`7[M©9B-Ow^\$1S@+®g(xs|yy5#|y~JTglZNaa& t/cF gv6Lԑ(&wm0r Ű+iB "2?<j2uk Wᘜ?4 M 'pdҕɫO'bࠔ9(BZK@ үuy3HW1 %-/L^=SG J݄>Zy[>>YK6%"X E?Q\\&^ӣ|p׌m-R`Tj@.py$! tRz9V,;zu2vSEneR!\]"h0rakAIFg?4ˎRIfD~G6pֻ yz%![y"cfJ}G2":]A gvڦreKT}YhfiΗ3G,T_*lH&Mv=cE{/D Xc.3yl.iiRPqN*gj `cq>g;޸wA1YƠkQv ̛.mr˟fϳy<ϳ3W:o} VApL#O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24554 10164 0 R >> >> /Type /Page >> endobj 10153 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10154 0 obj [10153 0 R 10155 0 R 10156 0 R 10157 0 R 10158 0 R 10159 0 R 10160 0 R 10161 0 R 10165 0 R] endobj 10155 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20211013063105-08'00') /Rect [104.1732 309.4385 216.2412 320.4385] /Subtype /Link /Type /Annot >> endobj 10156 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20211013063105-08'00') /Rect [104.1732 293.2385 196.2982 304.2385] /Subtype /Link /Type /Annot >> endobj 10157 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 277.0385 149.6802 288.0385] /Subtype /Link /Type /Annot >> endobj 10158 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 260.8385 146.4572 271.8385] /Subtype /Link /Type /Annot >> endobj 10159 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 244.6385 164.9372 255.6385] /Subtype /Link /Type /Annot >> endobj 10160 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20211013063105-08'00') /Rect [104.1732 228.4384 159.5252 239.4384] /Subtype /Link /Type /Annot >> endobj 10161 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 212.2384 180.9312 223.2384] /Subtype /Link /Type /Annot >> endobj 10162 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10163 0 obj << /Length 19 >> stream q /Iabc24554 Do Q endstream endobj 10164 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24555 20830 0 R /Gabc24556 20835 0 R >> /Font << /Fabc24557 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pTMЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 10165 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=870) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10166 0 obj << /Filter /FlateDecode /Length 3103 >> stream xɎίـ PhJ5m 7 `48zx.RWw 3PKǷo* o:nsVǠ)C.//YelDdl*Jk8_˿5ye?NxeI9[q@G󤝅 +3lH'S'c3\Wq5!Xl/ݩ!)ZWx2`hL9dp/ /0)s0/mĂN^ eO`X Dpgk]ч+=dL"CE(xW@s0f9hݓ*mAȆ;YO5edk\Z#B$s8pQzh46\Qe +$-ڃ|vLbщ9(H¹0gF݅XIi[Dv -h4j TSA)Vo6K#ɛ#X{[B_+`nbx~r~56+Ie $&h 1 8p{9,v3[*gn ^*ÂwyF$KF (.r&E{M6xRG& Iy6v .T?6An8dx87W~E ΕkjkМ X 0%ɀ_b͇i]@ ps]D~v@t N31r1᭼7%U;dUƌ]Svmi6%3Q9 HM(2/["'=SUoûAy}].~&uNƁnGxo"$aY 9 }O^fX@OB΢K/\^I窡Λ1%H\"@(+F?}As Xy|8աqrK:ޫPܷbKu}DtݺY9:GxGǖb肫Er -ݿ}`QM?OWj^i;ՠ΁G7q%C" py,kLbbHFvsuM խynbJ(99 nh#8ߡȹ0Bki"2Kuy=#bGͳa_dzN.A]ĂڅXcPj]e =fz43 %\&c?ʐ>n6ԝ}cQ{gg0#hWŭơn#B64{n%R,Q!Y m: 6Fh3@,Klz-YNչ;!I>|R.>?jr\yo޴-,䨘8K疅J6*le'Vȡtֻ?d^:Jt3!o;}ՃhN7@`AO7FUo<x5XxH ?@Ls5BAl u6r1=e/ g>@bѯ*~Ԙg r~a`@jlv`_ ? )QMzg vtpn<X&sa{jypWwB(yQzݩax 3 h3;ɯ`tzsl!N w; 6X! '3n9KC|}R#ECW/j69d=S^K~5 =[IFb"MS|`ۙN@x$w#JTIL( ^Ii˨9T5j34UE+nK G[$3iRZ 6gy'uX` C#(cyDy`gCB{&`EF(oIo^ŃI^'mZ6# F0앀m{Ⱥny?^=f;XvoCц`]w('BG>C׶ E&hg8^W $uTvHn%BB[ɋ9v&d#i )O >`"iܷa ]Kra>(@|L\a^5kˌ@EFHT{rJ|0rjS^0U|x?ֱ~3D1>:OE >^4Lrr`=#62O;Hy!ynEv?Õ1X!E~{G5]j2Ast|z!5i-,xwa4ZDP#߄#PEL UtSٛ~!yDx.B} $9o'>yG6O} xJ 0D`A#/"cw endstream endobj 10167 0 obj << /Annots 10169 0 R /BleedBox [0 0 612 792] /Contents [10175 0 R 10171 0 R 10172 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24573 10173 0 R >> >> /Type /Page >> endobj 10168 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10169 0 obj [10168 0 R 10170 0 R 10174 0 R] endobj 10170 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [90 384.725 201.1385 395.725] /Subtype /Link /Type /Annot >> endobj 10171 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10172 0 obj << /Length 19 >> stream q /Iabc24573 Do Q endstream endobj 10173 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24574 20830 0 R /Gabc24575 20835 0 R >> /Font << /Fabc24576 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pԬЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}>R endstream endobj 10174 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=871) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10175 0 obj << /Filter /FlateDecode /Length 4217 >> stream xڵ[Ko8W<@jrs0Sv/U>2v͢*-"jNxoY^-Vu%hg>,97cӣ>9wk=[BQgo_2urUGƍWC/_PWmTVxdpu$rJO굝R Pұ,6`Q'pPO>PG}l :kq*@@=0oDX@ģE }gRBxI!?OdeѿEC)eaDff٬ -a59xM;' _ A gR___/Ϗ 67{4湄`xMȺƣ[,HRsK)Bcc`/y삩vXajWi[*M=r@%Q]cDVW9nj?H]:ZwXm!UƼ>ԤhyꭵjNkU[kZC9Ll=[jC`ΚrG~K+N FNV7uPuGQT~;SF=wOO&P4Tբ@5n!vɷ7T.)DOf ֲPBr5~4 ! Ou]j#^m`N:kz=:*ͱ^L[wk|t-XgFr6%]kqys*C#TV >$w|NYB \md&dh'WeRmkvL8P={)3J@*s\'y%W* t;XSm?6B:{򐅂MZѫ$[c=eN՟KQ. āwFep*yxG^hYbծwA!C!H.ԋ~__so& #QS4A{ \B=2߶J#Kj85Rj0WE:SQcT8 F<*_bb&Kp\p9ԢVJgP땙OꍨE +a ]*(^F1" N=OԻ]KIQ!&)-|>0F>IT]œ%PcH}Y/ۄnl5z:bDI9u+,_rx6K=UPS咜R Gةp[@PrzЙB&ueGhx)W3">um@n{>"۔6˼5o PU80,I`rq<6]&h&ې?wsf 9>#r4Z&_"|لq)6>j P ܜr pqen!t0U= e)]< Q$r^ٹiO&[+Dy#Y[ AoьÚMg1rS=@鎿-n%ŭn~ H-S`uV=5tk٣4&ϧx٤[S!6GuzHjCe=Eg#Hnd<}bz3,R?ʀW d&'[ȼq>pF\ •x L ݭu ?(,Vhygh^&k[5J~.Fjvsu#?":\&6W] =Y\ 2L 7p# Y+h5Od|/,>Չ_YpA꼸̧   vGiE#m6ڭt͋c՞s}7ļ2?<2wuS-dWi{j qqNӪRѨN;ĝ\EOi8WKl}5v:6A9Dii(ZYgQ8U69ԲaVz%*bR IR㉉FSK-\]ʹ wdU-5xnjۣ[gTY/\GL}]|E;P\cex%΅׮:8R\Tcl q녚°.{4=(jsq{8r}U_CaάTK~ksB\|Z`>'zc/E+/Mqo:#Y3m'd>Gq?yQk'B8-Ϗ賥gߔKm|7)%f0{_l=}SE!qOXs~ybS3 ~;wpMfaJ\gQ~)x0X$ݦU/xg~ jKP:x4Fyh|ǣ|/Wg`O#/UхD8'iFρ{~G1L} dJ {[ =' endstream endobj 10176 0 obj << /Annots 10178 0 R /BleedBox [0 0 612 792] /Contents [10187 0 R 10183 0 R 10184 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24592 10185 0 R >> >> /Type /Page >> endobj 10177 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10178 0 obj [10177 0 R 10179 0 R 10180 0 R 10181 0 R 10182 0 R 10186 0 R] endobj 10179 0 obj << /A << /D (unique_579) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20211013063105-08'00') /Rect [104.1732 553.3308 153.2277 564.3308] /Subtype /Link /Type /Annot >> endobj 10180 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20211013063105-08'00') /Rect [104.1732 537.1307 169.2217 548.1307] /Subtype /Link /Type /Annot >> endobj 10181 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20211013063105-08'00') /Rect [104.1732 520.9307 163.3477 531.9307] /Subtype /Link /Type /Annot >> endobj 10182 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20211013063105-08'00') /Rect [104.1732 504.7307 153.7612 515.7307] /Subtype /Link /Type /Annot >> endobj 10183 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10184 0 obj << /Length 19 >> stream q /Iabc24592 Do Q endstream endobj 10185 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24593 20830 0 R /Gabc24594 20835 0 R >> /Font << /Fabc24595 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 10186 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=872) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10187 0 obj << /Filter /FlateDecode /Length 1791 >> stream xڽXIkFW9JЋ=C!''3!|o)d$3!F-Z?ՓdqV[0OϦyY< 98<~[c |o3Y`~1?  89s.XOY^:Z `w>:˽e-\\v}aRኲnKkmHhAB( 9U.wցD9浴!O~2^c9}T8ayr9Ź{~T'̝3=dki*ij*DwK"g$\_O BKN*PHab_EB!FcݮcA7tP hltК@t'X(;IQt)diWG xf xR`9yg(8Rt+G*t !_ow=C[W7rQ)F%XYCg.q5 ˙ۙw >ܦ^[uKG(0BFn&}@DYלt֓sTo\c,RHR2sWI@XP]y bQ΄鲋iĻjYf_lgR+|0w ܧn"9/݅R]dR?F8Gr؜,B8I "*r IwOf^#6`sB-1T.s rDӺxvm3m%JUBB&ٖxJn&T*Mdj(Rd =1t؝2a%JnZ-N_/Fo.6۾8* % a ړ vGLύ8_iցc2rLtϞy23wit,l,GlFuj~ep752zB{ˀ3\!e l)s |]7vBf/_dԛ:6 &0#Y!R6R_ Vc)I;a^*$s~CeSu @Wͤ lc} x$px?3آ;V1x1UB:hx=fCcIT%±MuOR\:&rf-epLf}=>m[  #6:Xw;3HyK ɨgret /- }{Lh*&Rq>Y\7URJ^]7nJV lU/׼+I]Y)I~ oV@G>)Yw R [}-6tOt>m@~i<5Q;п,,n:gHTWT5u㬽CΔU*ђJ4Ѣ#0halNg\, ] ~?DD R*ז^(T8YgY\l>!j8'd.F7BuV7EhFê(#sˢ{hy{ŷēlRӥMO ֛AOfMcKmʎOR0 !QCIv= endstream endobj 10188 0 obj << /Annots 10190 0 R /BleedBox [0 0 612 792] /Contents [10197 0 R 10193 0 R 10194 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F13 20878 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24611 10195 0 R >> >> /Type /Page >> endobj 10189 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10190 0 obj [10189 0 R 10191 0 R 10192 0 R 10196 0 R] endobj 10191 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 319.925 122.505 330.925] /Subtype /Link /Type /Annot >> endobj 10192 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [127.818 319.925 169.6455 330.925] /Subtype /Link /Type /Annot >> endobj 10193 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10194 0 obj << /Length 19 >> stream q /Iabc24611 Do Q endstream endobj 10195 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24612 20830 0 R /Gabc24613 20835 0 R >> /Font << /Fabc24614 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10197 0 obj << /Filter /FlateDecode /Length 5379 >> stream x\Koȑ@q*5om7çdۇ|RI{Aw*dddD| oY4;W*v>d]}:Y~y5˗K_-_^i+_!ɯGc[Wro}awW:= Q)SWtߗ/^>}Qh8ݓ]^}a÷Ed8WKmMf˯. Jg[,EWuqK(kҡR_"|;=BO:B;~,>+st(c:_Ań;&.}| P{Uy B>F h?|1߫/_ѧ i^~Ę4 q=h]A3˯]|G-g$M|OΟO? f$=|xnWw$0 6ﴉ]]։: tO(2H } Mt8+A$/DiYy/`aLp; QC,Ț m|9[F}PuLLh*e/2u\86uY>ߤkc}6#^>FЕDXƟFʁHEjXw-21dz@o Q[ZfbTC\shή؍CS79evhtqM bcO׸xh#"Id82zBpӮǀoܧYq;nNG 8t_ [Oݹ5ʝ #F{Av,G.&ų,x<+֥445uܯ@kFy1D~ycXP|G}B'=kp0}$Ax4dR6[^n84n9hr^򚭇Y%wrFa^T}h{adL%]5tND,o%>ޓSf,3}zyvQ ΢ ]HcM;sBՑyf'%FuAMXMc5iQoEUZ+Ѵq@AZ%l9s sFG\R3쇼4lR- !Z׸Gx*Q$#ax 31sfXo3rl46[|ψG$C+ޔI3ۭ ӄbDԖyf&4E>9"]q6[@Щݎ͆TM/U83 e:ttaPcn10 Q 0feskPjtN] U"[X!?bqu# vm@߸yi&cMJak@i5f5;6rW-ʀѠ1k˲\,iBQW{%;ŚP"s$j n4X\xӀ"-'_xO|T `R)^ 2V,/,T3RMQ5YDa+>|`a5mUrbK~?OcsN| :2"#8왵i n,XGv+>P $Av ^ ѱHm ICsO?hd *"`,>B={@ȅ2N(>Z;b!Wizp~2at&F3.?A֩n/{V< ieC'ٙDm~ $_-ŕ.KjHJ,NvDiXu(n>H\g=kͺjWWW>.!p'n⊽2e ]Ksq )B8>B3Da "f@VͰaBzO`zJ7 ꢰGɆfek `Wk<v YÒ/MKZ/%J :Bʨ* @q]qՖfP*xfRn62)DrBln(30'An^LpK6>%5T'=?hXoZtѳrI!>wMoy;`Sx2唧 ,nf|V3/Nd'PawM9YlA?`8,R~ C8j;ytP{*iEL.7Vs/7s>W[0gwuU-diw{k Vemg0 $;n{idPcDb|&nXmrA}ҸkN ׺v6±8_4dM6bcaDNva=3eN?"[.I0j'{Ԡ1z_seaz9an cdw<ޕ}-oz)UoZ2ѓx(ei|Es1MSY_ǩDK(ҩrQ쑟)+Vͪ:/ICIeHT)XQ$l0sĩaszzOTQ3ӎJIFWT?Cp"!H)N6~X咈[δ4d_z/{5:gن!՟Z.[.j2[di%΍7).>U6N\F5nMfzPtbHa8_('>OR5j:S}ذK2!9Wų.Tַ !6f,2zU^~CI=$>&w\~x#V=PΧ gRm K!,)E37Y>Gm>TI$Rj B,!EEiwZ'i ||zR}9S{ș˴U]6: b;I^KijѴ7Kq{/ ëN5QF,jL]dJ{([rDtS endstream endobj 10198 0 obj << /Annots [10199 0 R 10200 0 R 10201 0 R 10205 0 R] /BleedBox [0 0 612 792] /Contents [10206 0 R 10202 0 R 10203 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24630 10204 0 R >> >> /Type /Page >> endobj 10199 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063009-08'00') /Rect [218.8375 588.0576 381.3955 599.0576] /Subtype /Link /Type /Annot >> endobj 10200 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063009-08'00') /Rect [178.99 535.3884 396.03 545.3884] /Subtype /Link /Type /Annot >> endobj 10201 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10202 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10203 0 obj << /Length 19 >> stream q /Iabc24630 Do Q endstream endobj 10204 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24631 20830 0 R /Gabc24632 20835 0 R >> /Font << /Fabc24633 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶZ endstream endobj 10205 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=874) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10206 0 obj << /Filter /FlateDecode /Length 4805 >> stream xڵ<Ɏȕw~ĉ=@J40$0O.y[$%ev Jq x%Y +&3}ݼjߦvz>jâ\UW~}Rƽ R(0Nׯ17<&(d//ր7x`·Nc^xD z6'2..)a'iRzycUz rb}Pe\`0#OWE\ߧ nB ߇gzԱ2![a%ٞP}NHp2*.֥IKƺL yspfp D0V̘e1g<x]somp C\X^ <0 %Wh`V$F&HuX@ufB VgҘ2Sd۰6gj{i%Q<$gi<%eZemDODS_./So vDT&Ew'6j I%$S:5g7uKthXHHEE^srF$ D'kbV4'D而g6R\ٳÚkZԪA۞gμa(idfQGcl8E/+LrYA?uqLA F4fMbcƊm̽5S Ut:$XHD2mdA)V@`Ņ].7 6"/Cm6f5(:K)p @>O D "Zű\D%5joZ/LLnVB*ۊj="I ^`E_LDf}A V*> X6+uJSΕ<.P(T'g;(ieOHedBt\SObH([oZshV0I% `^DH<'8St>]C "LpzEf"k6 jxI9!>jC8,IM'YlMEX*)*(/߰m#HJ1_@-4.oi/qW9@0U|X[ѐzB+89mr E ͒(|Kd!;k\&(`o{HKO [҈U+ ܘ$^ B~'ݲ8e\lN0i&aҋƼqHgQ(iFb`n Y͜&k]~X^iO} O:N@SOltd*2=-E*bK:bIw(!xD'¢l dq$+'=6eMK^>uiO˧fbˇPC`cB#OEZm3IAv`BfJ!uz]a6ۛ?V+GT4D7}ڙMz%Tm~9fG~S[]~n\dJ lFY[5o;g"9{ũLI?)`6%ͮv"m l#r[m(+7OZbI̱)!xcUZŁnG b ZΥI6ɶ մ#[:fUgp z榟˞'foJql%N̂S2ܬY@뤛 %u?n>qZC8f#a[@i:"eV7Zl%\"k3CHb'guv, wZ}2II4-蓺's+ΦԈ3v .S]l uZ j+BH!>dRPAin6AffE'7=[\Nۗ63(!ԲɃvuN/RQ˦[rEmz M~se톋Cޚ.ǔ`4Ȳs!Vn*H%ѽW6ua^m 5g"y|?͍!ќ4}mUҵ$zL-9+XrmI75H_2-G#1LXS _kfW$5oը濢F8{ܺvÌ}p3IGƮGүH'8v~To:vt wT=DOXd$5Dz8Zq|hK9.cBIHWW +p>+ aKqjM30;L,luhy|^tİMX84IqriKcn(Sα wlҌe+/AnH&ZqAnLχ1F{5f kbgЮO5^Zh&l]R•{.V{E 'dK.lȼc${=jj#al4{grM9${H=. LG*쎩RZ7ӽ)ƆͽYEʺ5낲&5|]ҿ2vOnm ^boMkMs{;Gh) TtZ: a0)Y*Rjw^(%s@H˻peiCC @v@ePl}:`LSpYԷĕ-`~!wm/>2l]bCҨvGVDKWaLep7"Ɗ~`I&EӦcp*R(XE}薿ϿB tSf-h6b ;ǝ0i4-iD~$<,}z;!?maTI-j^&#.E$Qm&WeD}ͽMtvb_vѵ1V+yl_꘰ xr v:$椖R-/Ub{:yFu} _Qbt*Qb[ Ώq;?2Ww2?{`nO|2^kiģ8sp4f$], UWI+$З+|;~|__"EЏf^\Ӟx/!,(՝IWgA`t.A<$HDLt#Oj+UL0֙|G2)1-dA.T endstream endobj 10207 0 obj << /Annots 10209 0 R /BleedBox [0 0 612 792] /Contents [10217 0 R 10213 0 R 10214 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24649 10215 0 R >> >> /Type /Page >> endobj 10208 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10209 0 obj [10208 0 R 10210 0 R 10211 0 R 10212 0 R 10216 0 R] endobj 10210 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [104.1732 451.5346 201.6332 462.5346] /Subtype /Link /Type /Annot >> endobj 10211 0 obj << /A << /D (unique_502) /S /GoTo >> /Border [0 0 0] /Contents (delete_partition_defs) /M (D:20211013063105-08'00') /Rect [104.1732 435.3346 206.4237 446.3346] /Subtype /Link /Type /Annot >> endobj 10212 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 419.1346 165.4707 430.1346] /Subtype /Link /Type /Annot >> endobj 10213 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10214 0 obj << /Length 19 >> stream q /Iabc24649 Do Q endstream endobj 10215 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24650 20830 0 R /Gabc24651 20835 0 R >> /Font << /Fabc24652 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10217 0 obj << /Filter /FlateDecode /Length 2359 >> stream xڭYKo#W@w~Im99M2,Ɨݒfl'&U_h][m߂?:U9c┡oӋsocO0:`m#gx1OOWbrfX_1_~^DJ@p1v@ydƢ)e?4bB>3܀=ۃs:c66MNNpV!\*oF;`)I_Gad OE{͠CZl4 C0#o'2|$Y{qذfj؈l5k7$Nm{rCGާ3ˋƽ3rPueƃBšLdЧb' xs¹ HAE_P$]~c[veؑLOڎf [\$u]щ5Q CvEP$cѦď#,0gJ65wi ĠnF&IXgbM67Sb[^v@Z̈́@moj@:@,Z6=ԏ~!{-u(C1?~&qjxUn("M?7}< v=eNnR9ⷃڨ5} _-Q)9@p ;쳔h\*ԞN[cwD D>b3-f"9!ALⰘr}pD,~x)~+wDƙS>߃e hF6b bycRJ-ڨIYHǹĹ,*~:P۰`n% &Yl>y(,IN0bVOܕmW8`˕7:aXVW'ѩIsn9fNHsPޤ'x+tt~%sZ}'J vUCb_, uTJD JNS{x"Vu:z^ɦJ*{/d^RB19-6@я˭ZkNAOv9IdE?x/&[fhobK{<fA/|3]8?O;O6G .8zFWhf0[B3C՟\ og@śCfDZ],MM.8\Ê,VhV}:^o7)C[vvҊHG(\n>q%%WגwK>Oϫ$YںֻG.M4C\0=|^*r\3=aӚO}ajC jHBhôe6dz^/?j{hW p@7!08)jzo\6BA6j#\-l$ъĚ2}`ɪnL> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24668 10224 0 R >> >> /Type /Page >> endobj 10219 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10220 0 obj [10219 0 R 10221 0 R 10225 0 R] endobj 10221 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 301.525 122.505 312.525] /Subtype /Link /Type /Annot >> endobj 10222 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10223 0 obj << /Length 19 >> stream q /Iabc24668 Do Q endstream endobj 10224 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24669 20830 0 R /Gabc24670 20835 0 R >> /Font << /Fabc24671 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10226 0 obj << /Filter /FlateDecode /Length 4251 >> stream x\K8W<@j& ,[0ӳXT-`_OYva%`0"`"j 9%Nyוfu X$?UR]O]w1ݳu wo׿ϯ+ɆB~ф~cjE?͒bήMxV?s_s_}Zqkq]NpG'IPΩ?K/Z|+,/ȕreR@T I87|!0/٣"%N%XcP/ARYu|R=᥏)(tVƁ-%%~2vFΏĖK _4KXvI/g44R\҂lk\3-@TI; AgP'cQi᷂/L3/QF ~k,M*[,ZdK)6ˆP=@6KAPuUQs׵xX !wE;nލnVρ$d{x>JT@V5]z$@벮%OO>h`j^횷.TӰPAVBsOIAqRDAb ':) ;bghGFzikhsJJ1Q_\6o[041)(hXxxTG>=Ι+~pQ,4kubfNGİ.eq 9,ŭ̧crY࢚2q!s$!nWM=vԣnWY=CxWKTT3J0g[D}0{}`HPkLOf [sY*q8{ad2J­neomBP,2.2=`*Xwʲ{Ua˫GWD(Y2LbFZ)w5Џh%[N9 @^,R s92pnob:sFLe3}7ʭ`*,36Ǔаi8(__QeqcMo̭jǙ⩥jVqCGY$* |A(mMW+T@Z6ϐ+bRf Z۟DPȺ8E uH弋3'u)`& "SZ!3 9oأ{0*Ӌ!z*Mm AV XC8 Va[s{5jz&B|K6sB~ӐxCSG'1YJVNiX[089 u+\nEz>bGZ5<$ۑ'j?Yn B]%`Arx y؈ }GW~n;ݞ~MnRG FJ.Oh7^~~NC XpxHp=M.;x ^(ڵ&x"#WP+}E}g2S艚_Iw/ţ0'Zm*,W1ь%k*N ܸ׸T8_{ /qpBh)b-8TCJP_IH-j\ F.h.wo3 N-OܛԧJJ(|R{kᡪ^<Ě48LHa)0 "[rTPok4 3/V%B޳'ͮ(csek/hRl<Ɠ0#~sڴVCfdʴ8e,6\Ugv MSe/7d.(6.YBJ䐔Tc g. /)ӄc'Tj+ȳaTgDgF3#2l(E:W۱P D5PīR΅:Ӂ".qb`^oRzSpz3@1qC9oN%.U]p't#,Pv  w 7B_`<XXTd7ڠ3`'l.`~Ld[4)xj{Ǖt;.O{'ua|?0>IƧ;uԔO?'ƽx߲v[!#xPϚj%3&u/iE͈AGhWb5*O>qúmBͱ67u|­}`;uq\p+mESiWwQ?pv[l7Um/ mk^gCR>ݱKymw˝՗kQ;uz7ڵ$Dro ~6V7Y>*8Ik\\D zĻ\}Ozj܅G] IUgOjYNذP+¯~`/'h:+dT/u}DW a +#>6cpd{LǺ VΛջQ9QY;"̓E9pnI/r5w+B_Leyc TPHu?BQb1ͩ>otg%i؍ʙ<}t)8_r$Zq$~ԐP椀y_ej}~u|n j'j#ᙀD^ɝhG?}yiJ:/#"6.Q꾤*Z7jU%hޤ fo=)鏠G3qF юRܓYe?5:x@3kHW-g Լ -#ka`2Ziax<_$]21uc { VB|y1JW{>QRuշ1h#}V_%;?gǚ) W\t#Fmsq'wn2 CX1\ڤ1i)33iΘ]vf3&bs$qӑEt^Tƪ:\S62-_=q7oLf߶jFWTg"aY;A8@X2W[wϵ uy;=U y S޿: t| e.zrVS@Ka~b"~#40i Cu0ț{Yo# MGXt0'胺ar* 8"HuԋǾ-E 6VI^;Խ׻Tcݴ emfO 2ٚտͩҬˡoSskB@FGސ˷ kQ-Osh1+Y<į7Ʌ<*lrĶ,I`HR‹&%bbՒL/ D!g$w:EJ>pCNJKo{ƈJ]VlM9!ҹǒϽZctU1YasM:Gw]3o`}.*gEWxr605Fl^h\a,~>Bi>b2Z %@"z> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24687 10233 0 R >> >> /Type /Page >> endobj 10228 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063009-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10229 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063009-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10230 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063009-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10231 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10232 0 obj << /Length 19 >> stream q /Iabc24687 Do Q endstream endobj 10233 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24688 20830 0 R /Gabc24689 20835 0 R >> /Font << /Fabc24690 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10235 0 obj << /Filter /FlateDecode /Length 4736 >> stream xڵ<Ɏ丕w}BN$a`n=(çj]EU* eOU_WQ-Mm|Qyek⬵SFKLΩ/^^Ts /՗F \i6ܬtJ>,~8 Н/I0;>rc' />GVdAkEZPMb JR FI]'ebKJ+)Xgr LPblq) '.3{Rȁxdn1oy]Itfw)>k~e6jpUklˋք}WLsNh{98AsDbX4qud"*B=@SU1{PV 9+m? Y)mh>AP7z_cfzn]mecJ1 u1}6국쪄iU  b]ce J6@A52y2,CnA-R#Լ_ߚG|@=799mf N?Gev6n"[f#_.[W?Cu*SN+RQ2_Oܸ7HW~aY%.TjJ'b0BX>h K[jz/m;s"UĦl1u<*)"?Ƅ97"\Lk֦Z d;+ebEnJ]W> cn, L~Dp'֮םn*`E[{Wح\n-q\w]VL!T|qy]>a00ػR5n2jaP cN\O;EnK>Uof[j]{SKPD\މ!Zd{I3yԗEOE;-!:dv0φM\lFHK"b˧Z8$A~ 4ѴmwE7k;&Mv0v_-N@!]@⹾fS΁X+b3Q`pEu1W^=5v<>)ۖ *1퉳IC޳fK zݥ?-n֝y\1lPX`S/XPդ9Q&m%>YW_+%'6]5 wn4;$j3 bY')7悮%R}P{Zm@O@cRr_8myK&}I;XcPIʺMbf5JтRTe[ҳ~zzرj[6{$VByWf Ne0o1MFmR5K]{L%TK?o}Gxc5wSk {&;59D* hmv.3@]z0?ٍќ} A:Ŝ@N%z2Y[O~ |/1Q}O`_>0h~þXA?X&u[?S&~8n#2~ϐ{Nm-1g_B_8Wܯ$|w}lgѰ  '"׼*Y-_\NBB. _X އdX|?O'#mO4\mc(w-tfCI^t!uj[Ƕ pI !z˹9 p .R= !Ctu4gYs kFvh^SAX zj9!Sra;<+$HܚL we3d)G*AH9tOV`ui5rKYPvp%.@9NJX/}p/lzz_3uY*}׵‹)cm'ϔWgP,ZM~ l\1U.jn3Dwv? 2|0- 7ekß E peFݶ]u/xjOջUtC_;}TW~{UGfgC@LCQX(nцv4Df]S'봿c% уct/yTn /1stg=UJ]:lvrwoNhnrM"J֪7r o(鿛*-Vm T:?f:F8rVR]dp7"bqYO+7Y\mecU<DtSw_[ ݀kB?AئBo.:`'x]@#٣1aq5T_^ɟRC_LC~9R4}8j6|4ۄRM .ds&!FTP*1p^9|bƼB~W@]3y·0>LtcJ#aa:e\1Lґ(8ɘ9.Ƶ2%& 1|:I7͋eؼ R53h7,DŋJSk>2XV+ Q@ #D[%AkhL`LC+`I; W@yRb/w(z|\>*|mI|D`Z/m5\'rH&O[NBGG""pK7q^''L<^|qG x*q)cq49԰}$or rCL_RuX=cHk%!\[y"c>|)o|kctXFK^&>LUì^֩ BYCRd,4A$loo8b\RYd@2ulM+ObH{/nɢ^ɦQi?E`_̞g#֖}$c1k;-dA endstream endobj 10236 0 obj << /Annots 10238 0 R /BleedBox [0 0 612 792] /Contents [10245 0 R 10241 0 R 10242 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24706 10243 0 R >> >> /Type /Page >> endobj 10237 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10238 0 obj [10237 0 R 10239 0 R 10240 0 R 10244 0 R] endobj 10239 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 285.1385 164.9372 296.1385] /Subtype /Link /Type /Annot >> endobj 10240 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 268.9385 180.9312 279.9385] /Subtype /Link /Type /Annot >> endobj 10241 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10242 0 obj << /Length 19 >> stream q /Iabc24706 Do Q endstream endobj 10243 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24707 20830 0 R /Gabc24708 20835 0 R >> /Font << /Fabc24709 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p,Aӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePZ]2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ1P endstream endobj 10244 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=878) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10245 0 obj << /Filter /FlateDecode /Length 3104 >> stream xj$_LǾ@!EmaNm6L_زẗx-PFi]U:gT*dQϟ^72z :/mbV ? pe+-Z=6wYeYْq W_t`N=xХL|S)C N4P/2pI $楽=2zt` p.LYRwb&#lg싈܁%-fkH5?xoOJєڐq &uGmm E~[\#Gp+`u[)B5X(-d*1A \^Q_>2ؿ`uf/#ev,Э2U!f0,xN3`$Y2n2+gYW~rЄ919^gc`ٓkp }#sUf%[:ǩ}nM_t<,_3[\5P20oQ_b͇i@ pxSĹ!p M#tZ'tqx%vӛ9r51oBWj"PrGL'ީ|-)XGC~+q[Hr7vZ*,jmx^nf t}DuH,\;HYVӪgeSō M!( HJ'k}kِSx n|]ܬr5LUu V T4ͮ'ąP {xؤLQJэUnaƞ"mj/WtRUI_pPkmʗ^a2921<\qy vقXnq{FyL Xa=E0oE2e. 3I&yQ|0x>R06(3Q}ӐĘvk=3x9(! w Y037,"(#djyj 鹪(@ٍ 5!ȋfRfNŠ %nGG.`|9D'}HV Ndk?X- I#M#50]C3{rmCUꫤ Vaf5ͤio5ˡjZo~j\=^ V-k>5LUU19ӀrV;g g6E )`6C?}Kcǟʙ٭w5b,zv!)ݡ2|ʹF9z ^z|m8mRPaRw궔JVM؊]p(Ѣ1dڎLо[jtE\EA--/@(3ً M \Mց f04 BvnҦa]̗kջ1O/{7 ϫ*[һ@]+azvZnZACG:qKJ3[լ wۥ6X& Wa9˰m2l&1__Կ M)S \Dbj7XSṞ2! Y&'p]$#Z{-]PLV3T2On3@e3v[$Z Ŀ6* nj@%# Q|e]bGV0 jo#GJ@ 6=hh"\i8$ʘ)tzf`ho. 3,;?gGew"zM0:fQQVa4 mn;2 ) &_o>1y&襪lHn%4ѸAL~mP5B û؅Tly#eZ4R%O0Sq_)ymA4wOPK[ E3~  )R{i,j9j((5l> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24725 10253 0 R >> >> /Type /Page >> endobj 10247 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10248 0 obj [10247 0 R 10249 0 R 10250 0 R 10254 0 R] endobj 10249 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 345.125 112.8525 356.125] /Subtype /Link /Type /Annot >> endobj 10250 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [118.1655 345.125 150.6705 356.125] /Subtype /Link /Type /Annot >> endobj 10251 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10252 0 obj << /Length 19 >> stream q /Iabc24725 Do Q endstream endobj 10253 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24726 20830 0 R /Gabc24727 20835 0 R >> /Font << /Fabc24728 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nਬЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePD:y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10255 0 obj << /Filter /FlateDecode /Length 4254 >> stream x\KW9:| 0G @ANNv`&}ٿz,Z3xW&ŪfӷM~::78-,9~xwӗus ӗoƧ-ZTVl<^Sj:rʏߧ/o4/O/Spd.6Pۻ5??*4+LYNarBSZbS}~2|nr:X%ghN ҙAJ$ KH}""hG8f(\DmHh-,:X?O8C|hj^crc9NκLXvy?LQ0@EQ +h! s\oT&?}j~N0#:3|s9Cha>i6v >@ϑ0΋z ebh ,ʲkQ s,)\ ?.kSmOhfigMq0C-I픺6qcn!|y*)]M1w4@xv'ry,_t&'3[j/j=UƊnKWګ=+SXr#޳[}`HV̳'8\trtbд޹!sNT\ĥ$vIe' r2v\E|Pȕr5i6lBhtֺ,ScS#f- 4$5tdP f.}V Z; ,SU"\a}Auq n-x N´ P\*8ԢpJEj[tYՆ*s;e2Wӏ\a@:#R`yUS[ 9̒TyX%=dof\R_MdX[(¼܊4§Ciz5h^ag%v) C򇐧N̨{hWv^V}g~% Jd,`<+| X'l3t0}hs'N9>NZϙ0/i_%&k~񅘤!c5zzWjj;{:G^ekfֺ>CSOK6;_>to: J:DQF}+4E4[R$Ȍx8\$u-:e]6vNly0%U/ JyWʜ,}v@\ډ/D8?4MDŽI%.nT8cMkA٨R';u7锤l!FR\ȩFcGIZrR^I hTZP˱yj#vb\FIf2 :7\.i#FDvB{,]U7!cUdBíU8@1cD[s|ⴋړOK4\iEs>1;ddgnOmlF6mlbŰcBُoI gEri8[.K{ͤɺrX5%ӹqRt=\lc$zOO {X+0YcT9Az܂۟^"den '&a*{ M2,kAF"?hܠ9*''/yvf>UI@]kPtLzRrOk周s 1urɃw098?}M^D.Yz"5?@eM~YQ^qsnr6R0e\gc6ӡkذaMwd֏y[G諮l .-.;D?)wzOuò ѕQJPXס h,jUm]>6v]# 1xl% 5 \5^2lp_^Wfdk#͊:f3ǖʼCpw)a`TKX׼ٰK&&   ;"s1З7nP ^cslYK6uFp۰(KqUnUڊj,=`a>εKq:,+R@L#.t20Ab oQķ)̥ Q\g`*&; :Ǵ~"mq\(l׈f{vٖp[xT炯0L7T3ܡ\:66D:Ah]K׉Rz97O7s̛-VVnޔ:sUZ`Gv}1KEsDE$^*uZ B;tץPzѴslږf9qM&GKOM$mUԳ_*r{kv'ui!KYêrXKwxTz[ʏˌ,ދ7tSE.dwz(C]D>OY]k;MpaI]F@0 ]<(ad|{> >E~{drXXXmIˍ$vH/ xR>t$! #?ڡ*jvxaU9؏wOȳ aF\K =ĝl.SGO}#ˍ%iXc&bqvjIeYBV/E endstream endobj 10256 0 obj << /Annots 10260 0 R /BleedBox [0 0 612 792] /Contents [10266 0 R 10262 0 R 10263 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24744 10264 0 R >> >> /Type /Page >> endobj 10257 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063010-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10258 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063010-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10259 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10260 0 obj [10257 0 R 10258 0 R 10259 0 R 10261 0 R 10265 0 R] endobj 10261 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20211013063105-08'00') /Rect [104.1732 140.3848 158.9697 151.3848] /Subtype /Link /Type /Annot >> endobj 10262 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10263 0 obj << /Length 19 >> stream q /Iabc24744 Do Q endstream endobj 10264 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24745 20830 0 R /Gabc24746 20835 0 R >> /Font << /Fabc24747 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6OaeΐW<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo>T endstream endobj 10265 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=880) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10266 0 obj << /Filter /FlateDecode /Length 3739 >> stream xn#_Qgl׾ `&&jk6%,pլzJWeJŪ_U}gT*|߷_'WƨO_RW2z19>}U?jm!<3|4<㷁OO|X>-pkv)&Gm",xZsocXdk4yѴ VEVdY AY +Qu|lj/'Mømajo6jLߙ5;;x]C6t(@Ng`3G;D4'<,wq"+;UtSZsB9=2: )0S9I Q\ݍ^c^Vo/;&wBm@2"RpIH;-BHADB Qh88V ƯDЉ*q*.ѨD)e_8J8`*/.ў,f֭ h' \gG][eK jyǴ0Ih"j"FC*RHU$c Eb_^F U[><"0iaѮIl @ yϨ&,!9Iƍ%Fl" &\n:wF40El[\]U7|h=.(FWX9ى#mB ODŽo5ʫY:T|eM81耈Kpwɍ]yb]b3*"UQu-uC!)n~ݡ͐N qE&i$!@⓲yHNqFc;C "LpvEK+V-& $i&I|b"dC!0G}*v,yS;~ţ ^-/5%*l/~|Rʎ!/˥( 5$,J +ʿ~'3֢7*nxh-G"C9xUak^|H{LE%;ެf0rdҐ1[W"@W i/qВdiIӆ b6zO8lBfy Z<1$Lkr"J>bMuYWf' Xΰz2E G=16ۜXP7ݞn)d7a#ry=YdKu15Cn$bxN'!QbOIw(;V #xew<_#BV* PfqHcyCrs固@bcOMF+EAu`XfJ՜>{:g؍U[%fT4Ǵna3WQNkr[v%U__a#aV89-`[] ^^|-˽ Z=jdh2V ՈOV;9=le8y̛NK`Sg)Fj/17:4t |LUSRYAh Ғw,w#}R+?r\CEu~fK+ c¥TX mmb{m{N;VZnmQh\ IFv4^\}eϩ&kGXmCjH4=ܷIvI`5[7cZ5ltf|q c^r}l9[\s4xMrHWv {uq7bgyU0Rl9Od$gBW<&70(B\o׾ؑ>^U#;w Jjlv`9qپi/vAԢ6YM;Q hۀ?͡K^͠;rF5\U3w&2ś5w5S%Z+a4o9Ke%zιyy2!~qF V^~]߅̧is2V31쟚_dmkmj<H^UxE UC-p(.=͝5wlHma qH:q5Ɉl6[\L* E.Wiؤ@݋zcГ) lꦲ$jcZ p'Mk`|&g\772lsH@DSdA,Ro@΂ECwk_h`v l@;`nMi4dǶ$Mv-؂:u֭‰__KR״E&\.d(oZA]B`h@5'*: 7aM̗kݘ'-,=ྼu&,У*0}XV _W\C_d5΃tG-e6 &}`.}(@5axh2g6㘯/LQn"U8 p2H{K)\w{;Y&'p`_ -$#A-]P RJˍ1tQKJ7yR#G% )͞.e-m52'uMX9ʐ% =~F2ԀB|C}gx%o 覡YY<"<0&x>,#Kg ?e+u jV#:aj+-x"0uDL)~ |=9Z/3,;?o,/}>Du3z8a?m++a4 m]n;2 ) &)" 9΃&7!F/Ue;@r+ bn R~]H Fs;) >T%Ol5dzN(0ԷviuBΠ NJ[{yk&}=^PSiJӤe(<< Ym~SqApLy4ږ6.M6|^0햁OJxw2 u 'BV|#|<[&Y&s8nQAfe_]n@st-c  < u'7IM-@PV cEKa1V#G ?Zz|>"a/R׿qΞw>#txJe Tg5 endstream endobj 10267 0 obj << /Annots 10269 0 R /BleedBox [0 0 612 792] /Contents [10277 0 R 10273 0 R 10274 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24763 10275 0 R >> >> /Type /Page >> endobj 10268 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10269 0 obj [10268 0 R 10270 0 R 10271 0 R 10272 0 R 10276 0 R] endobj 10270 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 289.525 122.505 300.525] /Subtype /Link /Type /Annot >> endobj 10271 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [127.818 289.525 173.38 300.525] /Subtype /Link /Type /Annot >> endobj 10272 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [178.693 289.525 201.5455 300.525] /Subtype /Link /Type /Annot >> endobj 10273 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10274 0 obj << /Length 19 >> stream q /Iabc24763 Do Q endstream endobj 10275 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24764 20830 0 R /Gabc24765 20835 0 R >> /Font << /Fabc24766 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pIӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10277 0 obj << /Filter /FlateDecode /Length 4428 >> stream x\I丱Wl eB `ݗ/6nRsr;K"E1j ;%/Ny%l1пoQW痠կU}rHw;cý>9wsYy^Iyv|ji-R{u!/U}%~ѓo:n\\ A_2#3o}K(eˢ2e 5?BOt 9 S{^ž{.d3WF*<Xi,;cӽW>s>EːQi2<(_H GlԢK`2b%Dr~I[c1o۟(Wh ٜ]Q3}f/oS;y_/OƢ> wԷdl: eLnMʺ¬,*òB]w/9N{ .m 1@Q ?=.Snݦ2jh1_Jc"ivYAD>{ cg6N_B1l(A 0(>Ň-| pq_PK'[ïl&+kVm5K}桼yxfb;+82lr# 20O!"l](]@FnzcѬ4֜ho;o'pqqhc&a 77>T]}֓m|Ād:g\TcQ\u1k2* SоVwmn>$xu\q[u3Uf ً5nrf`zޯw cA=HɌ:H_mkmk.CG؋y=mUN5/--y`.`.Gwy/*TkSx]{/ "ִٛz%o!Џ ( ӭTP<"r"mU͌ ~aOVPoP,;D5$z'j2"UHv9ښ;_J b Dh6J }[ꋾ0VL t}ssgpX|Z`vM:ه"H_Pt;!{1nbbOh}e3e6ۋ;]8W:z[p J̍e*tJp'<.-r; vӻi;E8ۣRg&l٣*l0%Io6֩MpA4ODW?7-yl{AuBiJKv gfD7\[7n͖ ᨹ0(. Haiޕ]YXYeY/@G`gY\w|WrM!MAK^ v%(@x}|FӒ6r ZM;TB/}  }g<%Wx~=2 fAGs4}JBeRԸ׸T8 _z2`93hiÄ@C TBgPijONԢƭC.%BXNwt偺H{ZTŽ6ܘţIYR&c̰Ȗ%.[G#[BS@MV["f*+}sZ?_%Y3%`5Y]c;[3@|@eY'+.w2U#MSxo+2H96NY\JdT| gLcr ӄbDԚf&$LΒjatYkc6=d hTndEd|Z"w|;mtdvM/BX2Zk j?Y^(´tp(Ia(S2;jCP5,Qap`ICU].sc= LaQڰՋ2Xlz2{XZ(V3UdV-km}25 >Ԯ+NJi~&V2DCKbU+ԕ[y# FkpR#kpn,edʈQ2zhve!88ud. =n'Z"Sה!n 'y3:"S*: 鳎N UPjO0>`?PJ1'>u|'>tl'>u =)5Jtp@N Bf !)`@J*Ԇ P 4jpGjgoGa #ޮ-j܊8u||7ԠW4`סg!ފ "oʓ EGѕc'( 4_@ lбԝM(];(g$v ,p\P)mI9^J,#D )hJȖ'o}DGj (Q  qkF ~"nyk;@P}g18Dg hKbLb8^E<9,k kcV,ivT@S.SG)U<e4BsXR1 kaPJtW'jY+A6(21 q­F.I^ |o(KG26j"'?i (2ac">sClF3Ҡ0bG⓯J6K !, w·cg8W)!RY/SԠuN={`DP vx o#xlY,z/p^I7 $hhڧtZ+(S4ʝncC*$.SFaS6&N lA✚/J-$ǵb ؼ9H+S g#ZZ[OvB&j7r,K*]8ЌoV:Eйcw.U.-.+‚]JMB.v/i~30IЋFTCFJG+l,1O?Nh`ÑqjdͬJh~2 Oi${ȇt8DuHq{76@/nޠ@ _?'Lڤ~TKL̡|yJp}Lr4dP rfP[+*css%UX% \rGӑ`1$x[O:Jai|0]ԐWau5[?7&+1:ZHvN`:sLEZ`GvO}w'QvQl >2'=rM)N/h.VIToN%JMSsk !#u+zGiq ɧ.Mr$fYOT(:I`S%&)u;"%i_HR퉉 x\ g⋤|qKڹ,}E_jN)ְnI$^UjdmI0ROܒ6֞KW\a%V>JoUo9R\Tl v;5fUv*zPT0=K/ͶG7'U:#0f7st6/@G9/~ϲo8?XoьfGpk;=-p ©|b}ي+k Y"=L3`Xt+F|C!|~X#Om_+ew)hFs_0RX_G D,DD =[_[Q5Pt$ b7oMH8^+$W]<څ\`b-K*/!LIܝA$A? endstream endobj 10278 0 obj << /Annots [10279 0 R 10280 0 R 10281 0 R 10285 0 R] /BleedBox [0 0 612 792] /Contents [10286 0 R 10282 0 R 10283 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24782 10284 0 R >> >> /Type /Page >> endobj 10279 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063010-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10280 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063010-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10281 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10282 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10283 0 obj << /Length 19 >> stream q /Iabc24782 Do Q endstream endobj 10284 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24783 20830 0 R /Gabc24784 20835 0 R >> /Font << /Fabc24785 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v%C;f٩c8I ko ZHj;Jx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9] Qv?f1oH!6},L>U|"j@͠zfQJ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10286 0 obj << /Filter /FlateDecode /Length 4862 >> stream xڵ<ɎFw~ }RyP}(7ba Ur/޾91Y'>kznN/)oZ_~mCmXVK 2N)_f_ 2}_V+ 0Y!_t7W |_ Cta :MJcAK4nƧOl4 ЗXD*w ~0*<+uڸOuaBXrIcL@ 't T x#踿<1=_&3"+3tc\O}ye4qttgd}L+.x\#2̓_v'6j Yez"B,S6uLn6Nd?da!$!"E pUp/&[|::^tƁ/s>7f~Ět̙74MVy0dnVu4v9i@]`+ c}E6Q4iL1)M)r4 T pN)~^\5I?-,j M"Xg^H΋ ӀA\Q$H,%kQ+=G$NhVK0 @i zD*"JC*s &D+|YE/LLn|k ""rlH^[TV4*TKP-,&hJ]|oE-Q >M(ыHoJP<.PZ! ڤkD(#ʤzCB{Sݾ֛C 2A3!̋GI]p8ވ3 Ax Zna+ZP$K[=ѐٯ>jt /yRYbMEX&)OToȶ[Rt 6:_Di/qorH %`"DGZ֊ ǀP ^DXtϊwa.jmDy|`HL YIH,uA|c صN0XZU8.XՉ>P Y ZAn}BpMIG$!&#dSE9rQ;KtK/>)" N t<ȶ S l5½cC"=6 cلrÉt0qx:`뤋G'{TQu%Ȣ^eQlIP-e#@\5dd6q XDk&pW80-6eOk^>м9 yjlL X/}5 0LCI|s%f(pN fcI#XhhJ)AE5LCtz;IR\b͖'cvTųv~ .AYq2%`,%'oK;ΙEnɞ|uj%SOdJ0 LTgW;q2x$>LFUJl*|F !N,εj^EMd%4ZgJm+E7S$GSG]Zk^aLjǟDzA`ܨcoh϶ϴFG!xmwl#xUVy#X ksTtgd߹Ieo 2Z{-> 1昹[+W~AO w{ә;3.Ugm:3zۙ:33q'R7c}(z]mƷjtyr Ú%a[ҒӦu>>rXP`!CHgejo`wV A?ut 3LV(8wl#h>7ZÿI̴g󟶠;u`A3{?jNY bG{g̣n&.<2̍N V;4X9MB^=ȵpGY=f={=m@O@cRr_8myK&}XCPikbX'^+(-.!즧7?Qykiw{ϖЪ'#]i2_"%LQ0jw[=g]o)owP=s(;Uw+>h~Pd筅UքC"Ȼa5@V*R 濮%fvc4q4'=6/MR,z2Y[v^b7 q 6Y=5?|e _ދi1bZ41y6p>QZqju;w`~}pW; _q!Me $ݓ͎5Zd2a9-[XD%* 2i]Hk '!^uY_X ^dXMeg6A3$m11XtU.PԻFB ;նwbBaozRY7Lo\k-ʀ6avFر&3\ajK~m\ЏA(";+jL_{E{cРO4`  B7`ʴ7A kBf;Gœ!5 Y+fL.D}diЄ>Xײ:B]:x% v1<+;yNfhkmc)}.~A8;GZE|\]~-bgmjX} ZN]$QVu覿ƽzHSMPm>~jԔm]a6Ž۞n iA*:vm>vv q"'%pڭNRoW5( PTcA2n!IlZkpquT^U=q '@"5u2m:+J\YǾmիT=mr{tvxr{nVr[y#|.ɮY4[p+oLT*Ӯ8^a=;0Z]"vlXx\V~ب޾],&Q_upUһTٷXɻ_S>`lA͎?_X}F`!j_1{2j};AXDiX|T^=؉>dEӫBH>aK,Oos\LD)6 .dp9`Ղ#fp+@{&~.1p|9|b>?y|4"?y~JuOw%, 0ч(Aqo20QSK\OZ/ܶh# P "Njq&X$ðym/jWnX:'0}$&.8W'JF1)K Ү5AƍWc0GƇ%%t\_i{>+ؕ:ܱ}=zs7?זTl'kKDV1kBhLG_Ti ?:J Er!.]c99Xw X{ONr+1z2'A#L5\ J2.>&gЉNS df:JjwC G @ZOGlr˹1kX' >Z'1M,)/aVOTF.s?Nuv_b Y~eɷ7Y1Om, vh;6&ەL'zG '_E7in'&eꟀ5>*ri:fmR7jKdd,/*u9Ŝe=!nX,2%[[ ĺp9E<#9zuXjh,?#ăt0J8*Ͼ0Bd\dXf;-dA*J endstream endobj 10287 0 obj << /Annots 10289 0 R /BleedBox [0 0 612 792] /Contents [10298 0 R 10294 0 R 10295 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24801 10296 0 R >> >> /Type /Page >> endobj 10288 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10289 0 obj [10288 0 R 10290 0 R 10291 0 R 10292 0 R 10293 0 R 10297 0 R] endobj 10290 0 obj << /A << /D (unique_195) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 193.9462 200.1757 204.9462] /Subtype /Link /Type /Annot >> endobj 10291 0 obj << /A << /D (unique_196) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 177.7462 171.2512 188.7462] /Subtype /Link /Type /Annot >> endobj 10292 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 161.5463 145.4837 172.5463] /Subtype /Link /Type /Annot >> endobj 10293 0 obj << /A << /D (unique_205) /S /GoTo >> /Border [0 0 0] /Contents (resize_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 145.3463 168.8862 156.3463] /Subtype /Link /Type /Annot >> endobj 10294 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10295 0 obj << /Length 19 >> stream q /Iabc24801 Do Q endstream endobj 10296 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24802 20830 0 R /Gabc24803 20835 0 R >> /Font << /Fabc24804 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ\ endstream endobj 10297 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=883) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10298 0 obj << /Filter /FlateDecode /Length 3270 >> stream xn#ίyU/`@=@n! pm,J%YvtCVE>}7m;闯Y. :>N>8=~D@лj'@ch,/XD! : ?_ ?cG^=GnCeI6,.AV&4IP{w$k&k>01&=3!P#DkˠQJapf8kg gTȼ#s7,\fsn #ldprV'Ơ ]둁i%+W #gxR֝S̞UfĽ!L3!9x!6e}q[٭FvOew,WD2pks y6x9$~#9@^\so:cndst}[Kl"9p^B53YȍclvqKb},:1^}!/ z xjӺ q΂ v"B+'@?r[Nt@%]̛U V)URs62&1enQH{ ɅN*쪫asf5vbjq;mC- o.]yk[vX2f}#micklB\Gvz?aR͚ϒ٪Kr+N#K7kN2'c,Ds,yȐ h SJ$]ڹ' c!lhP*^!)9 S}BҮo8u,6#ed 1͜"M@w6AVt~m:,7_ b]3m3s& ToawY{Wjע0v`.^JE+$ Iqd~v6%^'] }yJw 2uhX6sFHlOGF lHD OnP1S뵲֏1Ӎ1#w),R1[:G9S"S3g8OޔZ=>o ůrIbO%5A_/7%WWfyPI5@_{fsOh;eHg-;s4i%sBֹN\S˙R:rcR;`l#75՗E<Ř]S%}4 TBqJLiƜrpY=2\ljdY"lRqmxW "pKNXg]]l5v6rꆫ?bHkwZqKc<ҹWAfK|f;Efޛz f lƐM:NC^,Z#-zAF0# >y߃.cDl.aьaB^Iv*&W<јA;:I̚V'T@A}^v"G;5F76wp͵_&;8g 6Pau;IޝuGTb+xG{#z8̇vBs彶: wĸ1.YJ iKXX~Y_1Eנǧ&b-hN MN!T.M"uKWrJܬ[Lj(lngvs&;>)d+wfUԨo M&\gΐ`ҧ e A9pA.T r~0:𯰾⛣U.u_ ~ʹFWhb0#hr6SLV~Ɯ=sHj^X@\>YjL.=\U 7d4Я:O.eثj2~M3"qJy(ysuyF^y^eM3uWawkn qrn Wɯ`{tz'dxm堼hjC k}9˰O1l&3__Ւ"E8p@@۹)d5/疰 d0~YϨ׾ew"vM8:fQtQN qud) &f6/qrrIp赩lHn%΢¨Bɋ9RRCWFJbH Ue uf3) zWսqXM7Ơ=SŅkeˎ=X9\P#2FF"`$ExB8fG:Z{Hg.-Ru& y9L0ݚI@,dw0P` > idyvLwL̚ (q<{G5:2AstA<$;p߅YxR∠FnU԰Z%9@'1xJ؛y>&a6ȘRy VɁO>#D<%p{t0G4+qi endstream endobj 10299 0 obj << /Annots 10301 0 R /BleedBox [0 0 612 792] /Contents [10309 0 R 10305 0 R 10306 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24820 10307 0 R >> >> /Type /Page >> endobj 10300 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10301 0 obj [10300 0 R 10302 0 R 10303 0 R 10304 0 R 10308 0 R] endobj 10302 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 191.125 111.681 202.125] /Subtype /Link /Type /Annot >> endobj 10303 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 191.125 139.8465 202.125] /Subtype /Link /Type /Annot >> endobj 10304 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [145.1595 191.125 177.6645 202.125] /Subtype /Link /Type /Annot >> endobj 10305 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10306 0 obj << /Length 19 >> stream q /Iabc24820 Do Q endstream endobj 10307 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24821 20830 0 R /Gabc24822 20835 0 R >> /Font << /Fabc24823 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 10308 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=884) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10309 0 obj << /Filter /FlateDecode /Length 4761 >> stream x]Ko#9W!,\ưe%#`D| ? ~'#L;9j$)8~uvhRBۻ/J'y0 |c:zѦH^ M$O~U~?H"`|+?R a6·S#H<3_V꾇6 Ji~I';]tJ(Pυ/J*N\Q5e:36eϓ$UpzF#SdOTUfNF!c|C^Pc^dar^Wp~$CXϿ"bEtz%4yPfp#ȍzn/o?ъ=:B'QEy~$dnc9h&:m|fР Mȸ\g_PPlR(lH\=h9l96 a_6 sxSMNj^h:by&_&}vʎ<g~|8XiOȌli'Fo o[_$?$?bu;Jd SFpC31&[sAfFj틧= vfis q0Ȧ EEHB}Mb'h0bn~kX,dgNAcm\؛%` ?`(d y>Ł8 CG3pXP4\4QkUb30ֈnh2KF-86&*U,h9s1~]LU,gW¨\Vߠ[gk"q,gk"rtggS8KKעƁ~/: rR; 8R.iֆ2=1:6ek&np%dJ -QLnu.NA]h9c#+J1z#Me KFkе.NXujZJ;qGJYyE1)sݬxy<>SXxn\z{Pf~ro[-fM^3 ) tO4 X\Y+3'̤|Nv򉲥x캄(ϲaݲK\Z=ʂaaA۠#Ee4z53q6.0̱Tpw{1 rCq-9Xc%M2 U3,T D2=Ǘڕ^roLtvn9"6#]he(lhU(Uzb^{ uv>#oQvNRx\˒[nh@vmL})ci`{BruiF6^Vy`qa)m2Yf7x-<߷Kzyi8ڱ1ll*q͙ͭ]i>lװXƆ_Xs7, ^xޞkag]Y/);2Rg'[7 eՈnIPVL7)wwZ; fUWnR=t0j=U&6r{h6;UuFZZ WXfB6~t&{Ӷ>`ۉb>sQٺLn{ܵj|~p %o' 棣(oybK=?\U5=́7Nc`8btT[o6@451JSPB=l7 j6j͑Dx- yävP`ѭU[! pI=75t <퉇=mӖNHX0 X"Y [e**S"7C=! Z*Fl;GbR%SZIRjCdaɚ8 n[GE,!K!.oy ̈́0$ r-aB%wQDi=?74d:Lbtϖ_{A2üCe 9-\EeMed(1 )8<&%e ! cJD\g&NL{-6[d+ֱYj)Tm EU9js"KV{ 5įY %1N!Xm{uoٖ1YbbrުmLlm*\wc=  Bn4@ , ,2 Qj 9X,I- ;MEيf Ȭ,tѺi^Ye87pIɿ;8589LBOӶ Nf'q>G$z8ɻ$z8GI4pxx>NbAp%$V1vD'1pp SbF*qCb#:LpS m$fe  :s85 pgp*԰YSpj]FN NIPX}|ȶpjx]2p NNGM8dI'{?/:]yOx x\|s9߻awi]H|TށxD#@H ; %6> u)Rb)HR< )w7ľ=[ JwBjV҇!%>)#J)'J)+J)/Jxm#nCu+)Pxm6ڝ{oCBJ}jRP+)P+)POkӚv?~۽e?j1 cH-@:c3 d |/LjZFr}5u$K}jKKOHܼWM|W BŮ]4Ai">n/G&9P)YK i0N;^\s(3rfBl-Ny~q` >^(ތ˜i|FtoNPӌQELj`9c2U~A<3oqy?hJj+/XVrsI3qdWq~8׭:ES^3^_rIؔ%ޖ4! @ 5iNaΦrLԲ{iXIXDLe.ȌvcwLbۘ7oܸ'1~)<x2DP_Ɖ՜jΪ)2ϓ?l}WR:[Nh>na"%{Mj{uV))WZq;R.rQta-?}]Ug SWwΦpz:L5,EDI~KG3CVa0ރ7\ `GZc78A~z͗CI<ߞhz9&dNYƠaԡ{PפS_nԋlRȑ}~|NRs#6@~r?=e)PƲ:qc#X4ys<.^xO|Ӗ ?:0TK@E2 Ayrһ^jzH{}{). (rZ{҉Tzc3$n'7.yڥ61{-\M)N.Nɰlri^L E endstream endobj 10310 0 obj << /Annots [10311 0 R 10312 0 R 10313 0 R 10317 0 R] /BleedBox [0 0 612 792] /Contents [10318 0 R 10314 0 R 10315 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24839 10316 0 R >> >> /Type /Page >> endobj 10311 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063010-08'00') /Rect [218.8375 192.5424 381.3955 203.5423] /Subtype /Link /Type /Annot >> endobj 10312 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063010-08'00') /Rect [178.99 139.8729 396.03 149.8729] /Subtype /Link /Type /Annot >> endobj 10313 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10314 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10315 0 obj << /Length 19 >> stream q /Iabc24839 Do Q endstream endobj 10316 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24840 20830 0 R /Gabc24841 20835 0 R >> /Font << /Fabc24842 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ Do}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$` endstream endobj 10317 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=885) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10318 0 obj << /Filter /FlateDecode /Length 5319 >> stream x<ˊ,r{}E %0=ūc1s w;B =SU`*e*CR#]G}krf / Wn3d xTE<7CG?m]JǽIynt1 !Dk,|G%~-A]2"HxZ[xvQ pIK p1kʲ=t݇+FxebG3h8nJoۯJR#ۿx/< |4/O+˽Kӻh@#2]K-Ev 8\` PDj}`p$X74Xˢ/Vo/um%YpOlxm} h yM%_62/C b-ke/?|g_pZ8)B'wx7Gn{ kM">>o;;t)Nx?֞r?šݪ #\Z!`ςr @EPyB{aL44aw!p2f4!J+D)XY(~2 C*\T;PxaL E\%BE@qĴi~ƇZ(]l@6ᢉ =b)+cËjlDSq,*j^}G4eZMjHS$)HHq.Nkvy0FCzKq;ա+/h iqV$6H┇2Ic9zEum[LX `)1#=, .4ku0e?{-`iD T{cVccU„86>?ѧ˲}3R1iwVm.>Uܔ7bɼHkxikêY7T`wTd./tnwD)O9(2h \U2)0u;;Ҽ.Ƚ-Oz.KCr(&(Ӟ*;2/R@) g.20K3mc~Vo>IAʫq!S@0eB6nﳴ3\λYk+L( ~-yy9lNBɭ4#5l(kU0.4,:)Z')qHx\}Ol|NTW/,e9MP<̴}2GcG|9\vɚɐy;ϥN..vwѿRk꽫g6Q;оn'eގ~I$Sݶsx}}?T]|}$u76v^TgQ`_ُ\*SyLq0"UMyg6wV^vT.&RPm:2SOuYe[zh7zA#8wv8 =8('w\L ,4GK'{)s9`g l#98iS`cS4IuȘӌ[<2OgI"cv Lj?O2úuOjq<[ߘiS۫ZTrCʥC'%'lrɉ@ٳU_ET{J.2Dp;ag2һɩ1|)75;* Yzx]~kjEuLy E-^"63礏s+iuW݅T5^pDw6W6?=j;U6gե́8EWfB>Ig)Ƀ)MsvI r'}^m*Cߛa{:lSo7`Y&0a<]Tr.o8r:23#:lR?녦rd-yU"˰/%ʊjkպNaKh糔|a-qLIOZmU0 Z|»^&mj~y{=⣬oV3?-/"A[iT~z:{íJl$T5̥=G;Z=UpUxWY9ij0sƐ3 i=iXw8*TF&:TZ]of1dKe1`XcbQk%vXB4|t ԰C6k &!D0a)նM '' T;^?)|fޓ5*Thxr0|pzJr,X Cla&IeSVz#pdh,Q oVFDa{3݊K^AwAm'#N+LUyA3^-~@Μ|O,&iVM}LZr^r)GKcTC-JEfҁWd=Y(U'{q?.}=Ǹ2o"m|6 }&Fk'1\ŘWRk]+/#=;VNi@[SSiWu=ޫYTNJE2e&^C]X.|CJHyp,P!/BZ?ail:; ӗ$dނp.ECTbQpJ W0UVT^V 1U\Iʦ~ xwC|#ICCM..#Rx2oMNW;9YEeA)91h ,-JXnZi]|x&.;a۝  >T5e|\Qz|ɇN$oAr|ىchUHF,(h $8ʛ36Emy&fϯL*ky~9Cz7T>M5FЙF>#M2u/(t"8r%Ж.eإu հ3goOϼ>L͓߾0KtsgXϳ<R{)3\/j@ ,ʲ nȥ~ 4T m*uiEM`'_uĤ{V,W3:lR%ӊnZ8Jfk`rQ8A(S(ɥ:3&4vBqMWXG1#bv 5W[;jyK3iz}>|mIE'fUl2M+O#Hhf?.嚤'&"+P z #cB- .y , w$̻̍ f=Kcuw,.4<4S gz'HiV^(K-ق%95'L;G@ {:#i=15Ilnj+5y ^?3 LO&/ ƲfVu(E3oiߚRT&H.1?*hqpfmh?uG&xo:Zo{nN^~e}X}.)B|@hr(~A/ޒ'ЛVk9a| ͇)Gդ5&1` /ּv{&Js+4si> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24858 10323 0 R >> >> /Type /Page >> endobj 10320 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10321 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10322 0 obj << /Length 19 >> stream q /Iabc24858 Do Q endstream endobj 10323 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24859 20830 0 R /Gabc24860 20835 0 R >> /Font << /Fabc24861 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10325 0 obj << /Filter /FlateDecode /Length 4884 >> stream x<Ɏȕw~=@*20$0OeA]yK J, D2oߘZ%¯5Sc|)x֏_\ㅔfUR8֏RMʫ򢥌o~6i1B "׏Z*iOڮ8joXɗU+./#0ßeR|U`azY~G [UčR/k8Thzs1tksy>^x,Ϧ0 }o:׶a!H /M !`$pI8Ik MpwT3Z=BeĂ7n:y.bÚtF:Y EN|[vk _c\d3@yu*pLb1˘[8i%P̋468S1*օLwl0A,16(X>:jӉ𤤮Yv]M'0o"f,넯t}}JIg-ٛ%EZIQVwBz&fl΢ l6pdQk FDP ; r$`2%/*XC G&m%4ۡыB\VSA@iP)g& ^\/ >l5~z6}AXE v*i9vZ-ܹ6KzMPqӒGzJ9;*b}rڦa(tP eX`cr"AWͷAuO׃JMzAa*I%F׀,^k͋h<ҦVΌu2Mvh.5+eQoU%SKR],$&ieZS :UGM~&qpk \RtdmPMX }Rnfl*#/ #c`ri~2rM8梐URx$,Ud^B߈ q}lC3ZR|PbSsb:~HTfJ48OI玗f!Jl?4FMpms=i ?к\ pIX6!PmiƢkD'c5.ƌ3 \M"n7b~CH)#6]:w8 )p|)^4:{.?;fkx57l[J-T@nT%]ڔҳp:Șo}|h_P(U&ʺT[8oU_SWfۏ(3iձkHd 0?+d]Ɗ Ny bܔNnEkzA4=Nz IS6.hw @5$w{)ĩ&& 5lM}c+qb6AT#:zPYToLb=Ly}{95~sϹOѬX7`tG15S}򦩍>E,+ !75#䓌\Ɯι׭ ͓=I=mqje9u)6Kj{ =Xs} obםf:uz0XcЊ!ЈjNX/Vv,t|Xyz[Irȝup oAiTH`=;n3ou3f N%l-k~}7z.(oFԁOyG3]}5G/E]K.# f}S5*cu5}rJ# ^( 軾ɮAuMNA|k6K:Y2̝'׽'1brD~뮨pe"2$&HRxt0K)հ<9lh.Mq{Ot4=L3dYv>r;f7E3XMU-y(\߼Zxp8|AvO"-sy=2 vsv=:w;Qx{%*P`Q-⡵ `^EAס~fQ^t _VkD U&qRK>m<Ճb4=ցat9yc_Gmա '>mkJ-?>vl~;'./9#xH(,֗WA2G1D,?D yx2~x%ؗXgh:\ {>)C8_8]f$rw4ǰQKC\op+ˏ \<[K`(^$N:ĤkĦym>at~_)$-7D*U@١-1*5BI+$@J;,e;KC1#RviNعj`uWlnYby[0YG:^JZSo.*&ZP7qT `eŴ,2M 0&~$Ԧc>:{ŶD ;r[)=ژf킧":}vo|+]Ko1LP7)1e,tH> *Ħ?ΰ}?T |+|BF!@r@ֹO{]{?"1ˤxi5iqpP'!1A=zf Rl<Ѫm.ia2fiWډOli./,'PG"҅JkoFNL[oY5(OmF> endstream endobj 10326 0 obj << /Annots [10327 0 R 10331 0 R] /BleedBox [0 0 612 792] /Contents [10332 0 R 10328 0 R 10329 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24877 10330 0 R >> >> /Type /Page >> endobj 10327 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10328 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10329 0 obj << /Length 19 >> stream q /Iabc24877 Do Q endstream endobj 10330 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24878 20830 0 R /Gabc24879 20835 0 R >> /Font << /Fabc24880 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XL[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{wZ endstream endobj 10331 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=887) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10332 0 obj << /Filter /FlateDecode /Length 4516 >> stream x[K丑Wl |?B|u>>xaT/}_)Y]'K"E5@u}znNe3=ϵaQZ-^'CR8F ~/QW//$;@.&ZEדR(^*hEz}|급y O*W3˟haniae`=`?O}t,33$7Fhecu΄i$B ~SKKx*c;в eS`tn(~= 0O*"AC>->*-X $K`4 sq>d@: ,'T  U`LE̓YY/jM| Oc}oVu^csZt9w = ?6OvQfRwFN eZ3;x[ʋڄYI%%?#<={V$Mnqr!͝&EC D-):h,H y\Y67O.gc|]˘VTd̈ljBYXI|v[QѨ V â髃{}hBND& "!nu)-jZ_:)v⍘_&X/}GK#S!:@a}"Yk^ t3}kUB]psO]M`ҏc:*QᲲ]+(!O6+;Jn\+ ?g㑰 9@״UI($C<!Z1Jt1~>!Di`l -!v5b#`.4Dg*Fxُr}GlͨjkkHz/ZY%w:!_lw[} d tL-Y@;#2M]*&<Հ^زĜ}u7;tړ駼|{pqz9%;edwhI.OW 4t6 ̿IXY4g{ʞQe4.9/gz<}޾3j^5L>TK9Qie$ˑ_?!v)z;rØ9@bYp%i2M)6"'9k /I?LA ^ܫ qqty HN$l-z arV\Wh=ﳣ%9Ti%^T6ֵ@|h&*܌/x-勔;/2<PYu|/F ]p`61zv wPtn@Ypmj˔č0Z,7/*SdIqew:GD4Jo"5وC`8R 09{hDP HHtϵY,O@6Ţ׻`q!ؚ$l &rjh[-@=@ /6b`S%̆No ݯ6yh08jtN nκ0Q[$ADeb5_X wD%Y]pYEE>I 4DB3(miLu< ,Rݙw^(p";OD0:}Դ4lDdBy p Eˑf+&?g_EpI/zU.M{:q"V9zz]֞%.G7:G\+E^ *=+/{(/%,OɈ,LC"W(0^ W4N $ݹsk&:s`T?]ɩ%y؎S^<$XU}x"~>䔧_//HچޛNrndzTpB6\{SoH'A()b-O&#&o*=V! Cz" TphT@~؍ W]CsFa\p9>%^#o3ٛģVqAqayãm& dųK D,Qu$D(B,t3a0ot3!9*M2VHWY|][>RpI̧|@~2J͏^E^q5{6*f;PI2-^bciZFDPg)F*F á&1Sˠr~ԏ]'Hm_/d,#_5(Yiem}> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24896 10346 0 R >> >> /Type /Page >> endobj 10334 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10335 0 obj [10334 0 R 10336 0 R 10337 0 R 10338 0 R 10339 0 R 10340 0 R 10341 0 R 10342 0 R 10343 0 R 10347 0 R] endobj 10336 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 595.8038 184.2532 606.8038] /Subtype /Link /Type /Annot >> endobj 10337 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 579.6038 145.4837 590.6038] /Subtype /Link /Type /Annot >> endobj 10338 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 563.4038 191.3372 574.4038] /Subtype /Link /Type /Annot >> endobj 10339 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 547.2039 164.9372 558.2039] /Subtype /Link /Type /Annot >> endobj 10340 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 531.0038 184.2752 542.0038] /Subtype /Link /Type /Annot >> endobj 10341 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 514.8038 154.3497 525.8038] /Subtype /Link /Type /Annot >> endobj 10342 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 498.6038 180.9312 509.6038] /Subtype /Link /Type /Annot >> endobj 10343 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [104.1732 482.4038 174.4412 493.4038] /Subtype /Link /Type /Annot >> endobj 10344 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10345 0 obj << /Length 19 >> stream q /Iabc24896 Do Q endstream endobj 10346 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24897 20830 0 R /Gabc24898 20835 0 R >> /Font << /Fabc24899 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pTSthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMrR endstream endobj 10347 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=888) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10348 0 obj << /Filter /FlateDecode /Length 1797 >> stream xڭXM7WhYjCn96C x/yU%udzMoOWJ%vDR~|]tzv:\s˧uhZhI6˓>t;ڒ-w xBmMvϧu\9 ٩gq> N?`A<E>#y/7Pr6>{x–f'm{LixC^S޽|^p"zrBĈFnd8ޭv&ދeɕdEI5"S>Nj<ז :,trf9/lsyn4ϒ7V: `B)LE}Ԙr,3RW|O?鏔&_Rns6Vt&\834^$V uY:c ˈ ^Wtt4FwĨ[Dx>NN $κ[ñO|d> !:e a,:s90w2lJĀ=gbR8S)B}dqr7t7{qUY,ږ;/%<.> fgSa0@Pǫn nMdP˰_67' p[ϭ KKI=a3 ʸ{x5F/ZW!t^k 896a&QXlS[o3(m) J%8z5Ț.FQ /S;MΫ_ ̽66ᠲsH^O;vcD jKphNgLlLqO:) 6N}BU‘{XWqS 1hdDcwepWEof>oȫ[K/;pWF]VS_B7Н:1GоRO4:ydJ5"b^l𞜣GC36^bZp&ݱ^^ŝ q֣dGH`8Im29#h7Hw!:7Q(q Q+#K:xhffU[r[o}WjV?RyrוusEl4{LUcOG=ͺepG;^IWGJ/ԜUQ~L]d$C1]7 d[rOOnƋ7ME*H/4h:"ZZ" !LJs=MqS-J2 )u\0fY^ -CF]1v5F"wciVfSs|ËiBو+ʼnhbG|eޚnzG.̶e|K"\@S}.5T}y/4tELϦ$_ P3XGW~# WvZP7,ajc;A%}{+Ϙ"^}w %Jƅ ` 1;!A-|{8<0Oۋkq05C{FyaBeO+?-}-.׾K&92!6LcOܬْxOV6RO-$Zb!ĥ,?WQ,F4Ԙ9> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24915 10357 0 R >> >> /Type /Page >> endobj 10350 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10351 0 obj [10350 0 R 10352 0 R 10353 0 R 10354 0 R 10358 0 R] endobj 10352 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 197.125 123.253 208.125] /Subtype /Link /Type /Annot >> endobj 10353 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 197.125 161.071 208.125] /Subtype /Link /Type /Annot >> endobj 10354 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 197.125 189.2365 208.125] /Subtype /Link /Type /Annot >> endobj 10355 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10356 0 obj << /Length 19 >> stream q /Iabc24915 Do Q endstream endobj 10357 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24916 20830 0 R /Gabc24917 20835 0 R >> /Font << /Fabc24918 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `" ^_/jj; Jt١Pϡh#bwo>V endstream endobj 10358 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=889) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10359 0 obj << /Filter /FlateDecode /Length 4645 >> stream x]Ko8W<@j 83S,U/$ev0CT0dRÏ +v6d&$M~C_;QcrߴjUHru2܃z˟$BQvxȷoM=y[zθ Ewyu{D!c!wS?? aN@}ZG(X2J:'< e;8o-N3gwyzP$F10sL+Y̆$A A0I$>Z31sbsP.ެK %%M7(nȆ:}>11Z߰:4PzSS`Ӏe(۟_%Sn{yN3gG?eg` v@g |Cː4zt/b, (ZXC5ѧ|lYGDwOvO5nd _a-})Yapq5Fv}@Tv 81 EClm! W,Ì]K~i ホ1ط~6ǁEmZϤcZK8ڥutz~'n[k+Y %*.9U>={S.NbakN @_#%<eWe*p0y 3Œ&n FxdZң!L{^,[1pa5E9(KP)=0dz}g{ 鎓c^ix+;ȱ ՠvˈ]~ϽWS΅m?qeDz,`e .fQLoQ<# o-nHAX'.߸7¦o\gL`OIƦfR; e拹y]yHUT4;q>bi(Hll͛fWw}k;}myv_l 0;s%Xը3b If-V|5Wu71.GBbS3֞v]S92-=jG"OqSY%I11\@^. EؼHJSh?<6y׉EQ}0޻ ʘ\ __͡8K &lⴙK3׺ *ZGw v'C1 3V[$$O`saVi+FIBwႂoo)=?}~T[12y(?L-2]QAgjvm1VE;fa{).oM\!?˴&ŷfH'lTo6i1n^^c|_oxzD.ℷ^)-g:;;{:xc;t@ ._*3m.6@ 51 4?п"cQ>&GPImpPȃgJ M~ے5U%#rܸ6vP@<\q%71bv,QO>kr,TWeq 0ύ1. \(R75KU=5HZwJLQk=wחSk4p1QKG=qbX$CŕdƙE4PʵZ{֤uTmnZƗj7)wAn\iɡuatN|{OA2üCe-Y .72ۆβׇ 2@$CJ!)1Ik7&d1M8V"JR < 6HuZtfګ*4l"<҈K r%mBT6u,Y9o\h ~:m.X`%U#rprbbb ǹC.]Ll] ^5L[`Zxx )\p3KXZZ5趐'5ecqShbYMSXWj]+ NJX6bOtR%{Ղk'u{ԌF4Q]z8ǯn`2YH$g2vk;|[dze,cwIUlasa+8i'dK8-pjUu-pjNfkvg 8Zp%8?!{'TO0?}Pj/ [gL/@'z/<݁[G8i3v6at9vNY(ڌqx Pp3 K@հT4v -݀jKZz6mQSx]3pP7-} P_P7킔Zo},S7w6ǃ>Om Svmw :>e7|FЧڠ3dATjOQJ];/B>P?EzԎ]R{VRJZI*o%u>8>n9fU!Wl3Ÿ->tp)w@ɷ琔#LdwcH5SVr:ܘ0JAPu+ٚ(yLe7BPy~-]Nftp"*= *gEdRCDiGyC(e ?}wmwYsU%ХO,eq9 2\_hܔg]M JHH9tI6;t2p8lybze苤 ,{^EDl)lݻZaCا6fe)`r%Y]v14E7]$_i|_r ҍc 5+{uAnsձtnV}bTXW|v@bJ03;/~ nLivZ] qHE#yy!n>L )Rۜs= c4\:M8~tBdL6 `Io mpqu9V:o&w.]fnR>W-ZK>얼e kFvuU,-ļy;=d y)A 0c:xJ\tʥDŽo1.PV=GiHT4:5ys/u/HAfn}/.`rOu\vcS3󼔒9jA*Ώ(ӚA3V$pWfh4k)Ux?`0vw | S:_R ֨)/8z{ {wt#d5UeCyyjyQׂ߰u3jz˵"fSG-#Mr &Y9b[] bp$*%t;%i IS2 CLiWkEKn)[ܐR^(k}F׆ZD1"RKSVݤR-X/]YL@b7.Uvʐz3EfvPT1܋ۅͺ+~M|xSO4cFIX&t7iF8Oie/b s6O+&r,9mX9/iNr{n`%AɧknOti#xCݼؼP`=@ 4&8Kix<ᓿ9!_[IXaes%Ieǒ mQzcyaVv`5[yIDY#rP="m}{QFOx.y,$9WE>vN!2.E7RP&}-Ky;ufI#~ zBY( endstream endobj 10360 0 obj << /Annots [10361 0 R 10362 0 R 10363 0 R 10367 0 R] /BleedBox [0 0 612 792] /Contents [10368 0 R 10364 0 R 10365 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24934 10366 0 R >> >> /Type /Page >> endobj 10361 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063010-08'00') /Rect [218.8375 500.3269 381.3955 511.3269] /Subtype /Link /Type /Annot >> endobj 10362 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063010-08'00') /Rect [178.99 447.6577 396.03 457.6577] /Subtype /Link /Type /Annot >> endobj 10363 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10364 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10365 0 obj << /Length 19 >> stream q /Iabc24934 Do Q endstream endobj 10366 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24935 20830 0 R /Gabc24936 20835 0 R >> /Font << /Fabc24937 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuXM|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYc#x#5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10368 0 obj << /Filter /FlateDecode /Length 4960 >> stream xڵݘ{ <ՓBׂMRSΨ]J#+MrT̶^/\ L #WPݓv`NUƥL’ׂ吗F`]|@p7 ^CQ$Gš'J%#8#Il[$_NԻ]浭m=MPYNqaI i2VV͕\nխA%̞R qT)"!uH OW[ujkE@V.Uקdū9,ySc[ŎQdbOlTY`Z7lv.ɐOguXש _ǺѢOIe`Ow`ok` l\BoKCz^[sV561h'cӌƌ鐑njM".)1^x(s%zfjr%`e4اaWUuJDx%+*[~F5gb2>+.qt)+B t=tEv]svXT,?kO2 o) Vq*3W48:}0qbXO-$܏'`-0stl߻m mKqNz^rVVzO26*QL!KlJdNNԙF ? MiDqRfE>&9|MurKjcF<3ZlUNWaT 7G!ûɻGZaKޙ;h!͓t[uvg8a3_w{u nPpF$(4M[d nDS*bހ+j<hڴS-\cMXVk gJ=-u.@_JiO`FՌX ![j)YD_U冗Vة߫GDV+WvWY&:8KEcg{bS}u<@hp ;}X DDqE"XZ"XC>對Kq<7cy!%)+a`w/ 2! a~+բ[!F%<|R@#5e1dZ }=R78)#xIФc0)8!ɲQ^:ٲ12]CPfa Snk&iNJNX4[Q-(CB%/r8vȣ U3EN 3eUKv{:#/ͧtT@qn<^sgrc0YjWZRC}|PoblNbUv5lg;vᘕ煸ҤE$2z} z?c4%UreQ}>,n -q*ܮ²vYk.f?}:(g=<0iLcwiBqMc;>j"vƃ-6dMR4e!B@n>Jq'pD+N1zH\AZ-{ޜy1rZˤ0 0Λ<0c}at0[* "M렔M?5w-`6۟.Қ ^Ьc400R)% m< S^ϲ\ȓ핡@0͇+tp&1>ַ#萶%F2I[-;uXl̉WFP! 8\rj歝]Zi%o%9S ?QyX_|nZg8h•bXLdL%!Q)R>)={7շýB{ 0gû ;4>D,itM~식{n9LIf-H?͍ќTE@I> NN㏷,ń3+6bX<Gڑ_avh? g3;fA7濣,8O6.:Ҋq}:?"#WV8q,D,zx|+ð_ j`.8dm4;Br==>><'F$2?M2Sg C|ì-OrqL %L^]Cش:x|ۺvrcman %d%52Q]+y0B0} a21X\ʠ+@;0n.K8.++O\?xڧXզ%B^ aZ#H7]N | nʵ헀^\y:z җ&76IE Ŋ &bDðy+?*Na#.6&0},60;tPO/1*,#Ly!^xg]z ؕ;fN[s}kp?`,B|7,YNL~o,-G#-5-!|hM]R<5t)0WdB` uPL|=XiƙxHmܸGi&^ DKV,6{ntuH7kCZʀ7mՀ=RR'<ׯnJ0,ÊE<#e*Q, B`+B@`_],Z[Yuy|}i1SG r%=`=+͈@1|6#oI ~oW~M7Af0b.ù?ٮG"D8ˊKA!A=zf+r0# Auk2)CH&ca+gL{/geQ$KT, `ysm3[8]S" D_Y5(! endstream endobj 10369 0 obj << /Annots [10370 0 R 10374 0 R] /BleedBox [0 0 612 792] /Contents [10375 0 R 10371 0 R 10372 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24953 10373 0 R >> >> /Type /Page >> endobj 10370 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10371 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10372 0 obj << /Length 19 >> stream q /Iabc24953 Do Q endstream endobj 10373 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24954 20830 0 R /Gabc24955 20835 0 R >> /Font << /Fabc24956 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p4UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛKZ endstream endobj 10374 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=891) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10375 0 obj << /Filter /FlateDecode /Length 4218 >> stream x,.B4d%ANhq&HPA7 R򒘪P VATsR1)Ry+v/4ژ -6$`{UO2*^WSФ@kX\m"d\UOGL.aE~ Ifk6RŔS5f<`ȔhhɢVf ЁT5 l&H%S>0Y}ͪlghڂlOmVڀqfa aKZ(1gO`]AJverVmu~m7 ̃8b%]&EisQx) hjvN;{kX96#L3}&)x,e lSMb^Q='[\'17y;mն[7~=w]j쐝uW5z+6iNc٫}|cm)1['76<g]FW#I4EcP=fX_:aʈf4$CKko˽GzY1>ڬN=d%$%`ҢZ >5z鼱$ܟٟ/]3>/ rwu$F0S>n Cԍ=1\޵~谋B4-حK`N$ ' ,GuC 3?5=*f5BڳƲ{Ѧ:ǵ gQ-)Tt[B@ C5Uڭm,*Pؾt&gFq]Ҿ˘ZW4kH'Gq6v42?V M1ZߵOwMһݟJ6QRg-{W9D< 4jy {G[/eVx8\]4{S#r1nȪo8;(SC4j*=k;Xհѫ50 ?f,' BoT^jMi6 _ۇ뮕{ t6m^ۇo<$R 9~mM/mc2fo.`'R#(NTlhv>Y~\6xHl5CRߜHQ|s'5_l߷( ~~9 -d.X .u-4 ^].C=ۧ1Oȋ (5.nr >}S&қ(̈́Ixqʸw[Bp6a:*B줤 sLa3}4+ŋJW4 t, `ࠔ)0ݺg|bA{qo#52n2pOl1~G3RGݣ-9kGTm}kKD4EP\tM{MCy׿SLũ o;R_S_oDZD AȬF/p^gnBD[n=LDT΂"蚴A#6@<=CΆޥV ,5EH& endstream endobj 10376 0 obj << /Annots 10378 0 R /BleedBox [0 0 612 792] /Contents [10388 0 R 10384 0 R 10385 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24972 10386 0 R >> >> /Type /Page >> endobj 10377 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10378 0 obj [10377 0 R 10379 0 R 10380 0 R 10381 0 R 10382 0 R 10383 0 R 10387 0 R] endobj 10379 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 146.4572 608.2] /Subtype /Link /Type /Annot >> endobj 10380 0 obj << /A << /D (unique_133) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20211013063105-08'00') /Rect [104.1732 581 144.5102 592] /Subtype /Link /Type /Annot >> endobj 10381 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 150.1972 575.8] /Subtype /Link /Type /Annot >> endobj 10382 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 164.9372 559.6] /Subtype /Link /Type /Annot >> endobj 10383 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 180.9312 543.4] /Subtype /Link /Type /Annot >> endobj 10384 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10385 0 obj << /Length 19 >> stream q /Iabc24972 Do Q endstream endobj 10386 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24973 20830 0 R /Gabc24974 20835 0 R >> /Font << /Fabc24975 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛQ endstream endobj 10387 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=892) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10388 0 obj << /Filter /FlateDecode /Length 1653 >> stream xڭXKo7W` $ [ ==9uB._̓e#IkcEw̓3$gW@M}x},ؒhs8lCejdwcm)}9x3Z'Cug*LnL6{lyg'sp|D{b|nwv8zIw:3?AboQuVv6l kU[m=@Q'SiBxi$5w/ VqlFYe*/.[ggzŸ 3CA~vYHޟWݔK涴_67W,?;BUU8HfF"6Sh=?c$o`r=cTwzyη9m]NսzcGC"! odY~Hk%搜y.]sNvp:X9μ4i!95͡zcv 8oR3QZ, ]H\\EQZev! ӋNx!kInLҼi>X ¹7.m"l:y7X%o0~enj_t4lFB; 7tsm3MQᯨ"ϩ 'i=m @ЊEXh[ZrM%̵!V`CD;4J zp"k.c?)af XqY7)ӆx_|d Lu\l.a/KPx0`V  },L]1`7^' e5fڅ"p_c 6*E띚8څ.!}5Fh:"ɚ$T Q5 +m(5ȱS<ͨT2);3.f{&,0fQVBˋW,s"Xg/$wa<˚Z4t=;**^.k* (c8TM}q!.󺩹p3u!RIeV l6zWEJ$值qဍ|3u|(׵ghT۔&AO@\?EE}IdXnTN\>I)%~'*E|n\^ };9j~[EOX&X1Ezj}}Ɲxx/A](C瞕?`hvi?)d9k6(A5=Qf/W P ;*oKPCzȇ:(S.ϋz/qakd{XUgIb=Iun[pēxI"ɍire}$WА$w!c endstream endobj 10389 0 obj << /Annots 10391 0 R /BleedBox [0 0 612 792] /Contents [10399 0 R 10395 0 R 10396 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24991 10397 0 R >> >> /Type /Page >> endobj 10390 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10391 0 obj [10390 0 R 10392 0 R 10393 0 R 10394 0 R 10398 0 R] endobj 10392 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 295.525 123.253 306.525] /Subtype /Link /Type /Annot >> endobj 10393 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [128.566 295.525 151.4185 306.525] /Subtype /Link /Type /Annot >> endobj 10394 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [156.7315 295.525 189.2365 306.525] /Subtype /Link /Type /Annot >> endobj 10395 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10396 0 obj << /Length 19 >> stream q /Iabc24991 Do Q endstream endobj 10397 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24992 20830 0 R /Gabc24993 20835 0 R >> /Font << /Fabc24994 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7 |:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭf^?$jQ<<9C99 3/s#9p!gf \09 `FcU$!īEWmGyݝC.;p94C~j|=B/}X^ endstream endobj 10398 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=893) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10399 0 obj << /Filter /FlateDecode /Length 4464 >> stream xڵ<Ɏ8rw~̝P@e3=Qeҿظi̪YCT0(jNxȋ?uY- _C%hg[}rH?>(=Mށ̂eg 7L`l0yYo9D.$XAĉ wqR:.VBZcd~W PRXhQcauH'ݪ O &KծMZ>Y-Y0=R usL ApP$<)f~I2KmejC1ѕ{J .,qi+'\? }D>v r#"3I$V3>ed3R)8Ӈ:FDTF+7VX;`iR%u |zdwX/OȂCpx~`سbGZ DYzŁ\3ip$Ȍ+tnD4^s\e0r3w*rf:}k6ᔧux.s;Wද6#-vB̝S56P5b a3g<@7 wa(NH`R۬gd:r=;}@KcՃʍAؽmem sѶ5c#Ko$.siZFiL{h2Ϣ QzT\ 뤻M`wUa̠Ǿ!i.A C%$od/߹~M"IimϲFuUhO~]qm9i zz(ijvu,+hAo"67eH$<-6{ R>-z j/',FTx9_f`]{m7dfRzP -AeT&k4 蝰EZ.72Anhn{:º޿#jRR gm4KU2Dp?-KIYZ„&#pfȖ%*[#oMS,3-/n%[mTg UGUR|4K sJ+Mgn5Fo[a 0I32MY7]UCon9E8eq)]RRIb9co(~@,>M06 RT8mBY`o [goe;m z@T-a% ;إ 7઴KY.y\am ֵ cj\ c׫\JS0)aj4!Y\ Yh&ߛ 7rfwq,* o`hlyXTumln(fj *]+ Μ0b} 6DUKU+9 5Q,h^.{I_w9˲(c%)uQEw9!>좌ۉ2&sRvv̩CszƜFsꆒwSjNS'!:ٽ4T'TjWw:OD|R{I>>u|_#A!>ݠ'k⓺c&R?ԇ)C1JbtR&F1J|Ǩp1J6Fu_TzCrlSjM)u=jSWV)uc6}ٔ!˦-y=6n)u[w *TgPcRX͈ɂtVM>`!`L_EW6AV޾ kISz4ȣŻHuQ+V ڕk&>|tʃ~P|`Gw|ҧKYm `/sA%M{Z~ߓKGeR;C,O:K U3ow|%Z0(3g&52bu|U{ +ٴA T ek'ƛ %^yox^v8xt`Wk@U&549nlWSn}AzNJ)Әmv?nV,zxm(S|+2jO ~oU"UyBˎb|#5=0{s&lUԑz_հTcG ՍZ(4 DEX()J-IM/P*HX;{b̯RrĽIJOu3neF ˋeZV,u&! .N* Ül -(Vڠ? |C:ndK;Ï|~q /V}N>ru$DN)-UVAy6Mڑis8~v#zOJH E' ;?(FA=qg @WKoLM@̼#. F<_w\.24ADDzXKx?WYzlV]Kv\B=L`߶K{C(wJ]UH(/󎄶>!#L/&aOrH^xWdu]GnJReV6v>v@?vv2>V{:WpTCJ*oÃ?@W/OXkd3n.'hwf54eLf}קl|L.8{~8ݦ)ӇN-w[ d漋7LK~^MgH(x'ov9m6‚ZH9 :FnsyaORoG>^]]:SL!.УtB>ewɻN  /@TӔq˷b#-r&:$ o/6XtҊ5YxfHhj"/6:,͘A݁䦐Y)I\82C8BH5@-DuBSQ@<7!ǖڛh?`WbnE>ʧ?P>u)oG-Pw{*h dM]Y?T`Vķg*8u.x@!h}>pn'C9WlWq$6xHn2 FT &(_p.ޤUԜiX$#S'q]1^8ҽ ,U: ⃴|vK۹,G )== ,w&"/ DյeYr7aFn[>2W2qnUHpQ62کnW۩AQǘcw-7~m6{9ѯN>af'?e0yK,/qXY%zc1-W+!N[%Xa^|?*}^2;M0G):+(\10z[ԡg>"싱|y#~IsǓN^䮞ss^/AFc ਯ( Q5M,ݗA2ZHoUىFٮ>Dn,v,R̳ ֮R|5uu>M!LFpm䐥ޝJ/~ xJ}1ё֠?>_i endstream endobj 10400 0 obj << /Annots [10401 0 R 10402 0 R 10403 0 R 10407 0 R] /BleedBox [0 0 612 792] /Contents [10408 0 R 10404 0 R 10405 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25010 10406 0 R >> >> /Type /Page >> endobj 10401 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063010-08'00') /Rect [218.8375 553.8192 381.3955 564.8192] /Subtype /Link /Type /Annot >> endobj 10402 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063010-08'00') /Rect [178.99 501.15 396.03 511.15] /Subtype /Link /Type /Annot >> endobj 10403 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063010-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10404 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10405 0 obj << /Length 19 >> stream q /Iabc25010 Do Q endstream endobj 10406 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25011 20830 0 R /Gabc25012 20835 0 R >> /Font << /Fabc25013 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7bthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 10407 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=894) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10408 0 obj << /Filter /FlateDecode /Length 5065 >> stream xڵ<Ɏ#Gv<t @@& ږ e@}[bLYIf/^}E-?OHzR%*$_qQrk{%-~_,Jorpp s}[sE2_gLR&.iMIſw~{[䁅p#) ʩG_Pk|-!fPbzWQ`wJegd%Jx":+K }/_fHK;瑪՛:(3CFdY}H)-B|c'm#0z{si0L0ޏt@X6v|h>c, *ň]("TQER\XM)6 W޶lGtm5" kHa}ʀtc[}Be_xѠY_ecjj:YeytO>Z"+ה~[G LW)/c=HYʓ1E1 Bȡ{Τt(;0݃֫W )=$yJt !vsOT\so@Iƾu C1Վx6I-(} %A 0т$p)zWU)6)'FC0( cW:3:WS3+sd=͔ \z͢[W 6妠a &ӛ!zX *E46Ѐb"$%/NEv bvb0m@:e:Sza5."TN)3ݜKhό`OF^u<&{6n3h+,Xl ʘtu5"oCmII-#['B0ΦW!]Ǐvzry~>bB0-AEſTTl9jj:BLT$xZH3Q6JF`iuQm,]#gaL ,nF+3mB[AD9jWW+G)őȑN~{u4g6,P6ٔהȠѷqjNlTVɠK 7_PcUŶB.?l^CfV(b7i[cSYjK)CSaKL m?LP*ɮ:pI/qXWvժ?z~\R\D&54 _$51$y L6J[>S*}~U_TXYSZn*N[1 ͮk(f/TV ) GP͕[of}?ϵYkZ646K4/b|F5/t@1IԽru{/jnde>e5jJ?!/ԋ6VJ{ Oe:n >Ih21rlѵyѵcZ=vQV8hLKOW&*+X܉%/-g 㕐 /{&g/%? MX ?Cw1FOu zяT+-&|!aJΰ2{;[h:owZ KvvLz2zf?mGwj*ݸ޹kiex3͕òS݊Mxkҫml*nt jy7<ڑ > j΁B$7[ .9mN_ DNgKJǵ5*NG[jG)1gRʦx5̼z@/f#ŴA etMEhZtJ;0qd·pE_Wrc5Tz bcȳ JK ? I)6ߟF^gxHה_~ߖ_pnV"MZ N~Iwşmِ7@M}?h<@C_>+MC1w [t/_DžSuV3, h& a8ֈCmD?Ȩ]0_$]yepN0퓱.Dwsu2'ZpS=-]+$Vn֭ڗF=|ewگ޶~0HF$cs]62Pk(Fߍ &ʵj|G Ӿ)Wmy֢E,ڡ ҁC LKO%W6dβF(HɽوΆ`wC29S3a(vF]߳i(;8\5yqɫ(yхz^h̪ۇ[RNoN}pjd!>n;/CYmgQ5jc/mA#JişYK]G^>SLuA>AO4N;u yݘaxKI$$WJ/wL s~-h[p;р/<,޺hؑQm:T֤ECh)%(_,_vpQ:,?ꀎ+df'u,DTUЂ@;n)1p\ b./Oc3dxHu6z= abܣ{&dJކzW=)*6Χ P TYb'qP]FͰycHL' 4yO:(M=A| ,\0pP4 Ha2 o"!#Ү5A߹c|d^qeYax&&3ؕ:\0݄>·|"|UkS*6v4bQK|GqQ2eSoSC+ B,D|!N]a޳XX@RhROxH>9[y<8Vw8e49T}$'*4vP)L'߉Rt[  +S='ɝϵaIHi;{Rޑmv;Ee0`f"Lh肦b3G[1Ή6ULF;4lJ{=Y7in'&EOms)csM[1$(;H ŬpExqWb(CSq`H0-q.%#LNc1?c1f;>߳p+xZq g[ٮƣ80ٕz&UA6#}ey!cG#B>> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25029 10419 0 R >> >> /Type /Page >> endobj 10410 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10411 0 obj [10410 0 R 10412 0 R 10413 0 R 10414 0 R 10415 0 R 10416 0 R 10420 0 R] endobj 10412 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20211013063105-08'00') /Rect [104.1732 344.6308 161.5602 355.6308] /Subtype /Link /Type /Annot >> endobj 10413 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20211013063105-08'00') /Rect [104.1732 328.4308 188.7027 339.4308] /Subtype /Link /Type /Annot >> endobj 10414 0 obj << /A << /D (unique_127) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_nibbles) /M (D:20211013063105-08'00') /Rect [104.1732 312.2307 196.2982 323.2307] /Subtype /Link /Type /Annot >> endobj 10415 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 296.0307 164.9372 307.0307] /Subtype /Link /Type /Annot >> endobj 10416 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 279.8307 180.9312 290.8307] /Subtype /Link /Type /Annot >> endobj 10417 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10418 0 obj << /Length 19 >> stream q /Iabc25029 Do Q endstream endobj 10419 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25030 20830 0 R /Gabc25031 20835 0 R >> /Font << /Fabc25032 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7!thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛE endstream endobj 10420 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=895) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10421 0 obj << /Filter /FlateDecode /Length 3111 >> stream xڭnίyp_A[ 0OH~ƥݲdF,h ;#W?6gu X2T5lN?^/ ^ 䓼C?b83Wc^Ή@p <6n.69M9ޣwҖ` Ш*4K;Òtgj}q:wTWZ7xc2`X>!j5%<pk^5j 0 Dv,q7)r046{i.7 됎g e"zچ@ouӉ̈LY|BEtUV xu*G8>'>I*)M )~'vfK%93hvI*kkZ÷rқ{eQ1Q6meD tXl` Kn?$~2wPwy4T=7U̚GUUV7!Z|Wj_xP]s1xVzB:RB[PcKVeQv`U.l%*8XYp~g,Ɇu. ;F="RXpĤ.F;;oY}Ζ઻zO"[=ᷝ홂uhtŗ]A40qŞG#dOI֗%tPC;C`{y6 ަ`_>4!&o}xGQ񁎆3j ݬh23+9BDvwƉ uGl'!Di,"r'V,V]fNN%zl&KL: Lw]/,C) ƃؠ?gT1gli#ַffWnzD25!Q>‚o]ጼ# Fro1nXYN]ɝ_6<36~egh /ݒxz#i\L>VIsa5餗c ssGBP2ypuKV%\|4ҌO2s*IZk~%Pxa6D2)1bn窵p4xlJS.{>W@NCȔa_#orL^;)-Ҷn=>/x->ROnn&Ug5΁M} @'R} lN[ |~ǯrу?{A:厣Mk6̍:^zFf߲T]7\&f?}Dˋ &&)mN7UFM^ n[ȗs5^|b_t.BH1-ap9 !^X"ffmLA4M7+_®U' 6m{5Ð*VR-GPl.|Z=]GhߖuG/XzTF *yvq~A|Kw~0:mm;쟿 &`P|J-Cmi3dځ:tUWk0QؠB _uHݲzEg݁%/3䫐Eքo}F`h;hE#ԌQ7mt͛%71Wwc>!Vw ϫ̄zmMXGa=6z>*6hpyI8AA]*|gɯ۩1`0<(7riߊcLg=EW3j.!1lfMQ{;e4ktb:xT=A CpnAMOz{8Xv!oDh`4|'F9nyX*X Du`T{I9x VNR}_gc}t+t.^3iaVF˫0XȔc` 7ǧ v*Jl{2;K9֠7 endstream endobj 10422 0 obj << /Annots 10424 0 R /BleedBox [0 0 612 792] /Contents [10432 0 R 10428 0 R 10429 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25048 10430 0 R >> >> /Type /Page >> endobj 10423 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10424 0 obj [10423 0 R 10425 0 R 10426 0 R 10427 0 R 10431 0 R] endobj 10425 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 295.525 123.253 306.525] /Subtype /Link /Type /Annot >> endobj 10426 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [128.566 295.525 151.4185 306.525] /Subtype /Link /Type /Annot >> endobj 10427 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [156.7315 295.525 189.2365 306.525] /Subtype /Link /Type /Annot >> endobj 10428 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10429 0 obj << /Length 19 >> stream q /Iabc25048 Do Q endstream endobj 10430 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25049 20830 0 R /Gabc25050 20835 0 R >> /Font << /Fabc25051 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7 ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10432 0 obj << /Filter /FlateDecode /Length 4449 >> stream xڵnί9@))\k&i Nfs۸i)Wt%>Qo&C[m /yq.0cгE/A;ҿÔC^{bLxO\bG*URﹾ= ffwcsUM^cwONhBr p5_2#3o}K?)EE&cܒt\lba$W]C$(ԞWe[+z$gxŲ7#LӋYi ۀ#Je ~tZBxI!oB5v.Y ;F3k%.0Ȳݿ Dgx3#\UAό ~ے|_yzb:ľ.zz/B–'=\rZ-sЏ`PI/\\f}N=;iu;w7P= Cw)7t(V1&y`:lxNn09cw7FjxdyA&(B!kd< Uq69^i'z]FeYڄQɈ.4nZkʊajP~D˜mc;f/VTP5P[@tƽuPH8|qB>c<7فTTgKPijMz%lQDꅬ ]*xRJ Iphy.:ҞV)*FK-sF*|_M"R&0}3E,P^:,hlykbia}r-j+=[Ш:77 UU]P;UZirF?땶Uݾm- &Y|492gK_vT پiB{{Z bťDvIyJ'e|)!4؀HZS56㬶 E[g 3lmYطڈ2k+m@Q/V+`܀R*Fg@ihrP7X60·q>'Y^{spaZ:s(E՛P;lTdm(f]|o2="͢76,fŵYTX [=L_(b J򀱪8lـ]SԤ6tUW6̝9)a0w'Ŧ@|mu|ҟ{; vGSgPT'ωOꎹLJmcPRo|Qjc(91J|Q({wGQjGcZ(1J1ꮵcRWw۔muM6uev}6nFlSz>R7}wٔ%ǦMy6nn_RLu1&q[$hFd6' ҙSZZo6hLAB?l}BbגpohGw"EFzXMhW:zsq,$ϳ<9 7vt|ҧ/Y{gQ \PyJR6>Vy\6x`stwyK\w/_u-t^ee?[mX[q뗜#u#;1 rDhMhx,rrJ|B7GYxgoG=A20WyU 0Plg|:,t@P./qxR o34Q>B&ذDoTuyZ!CiX&=֓U,li~g7dC|1_$XReHi=_Us:jDN0ã-K0ᯎvgF [UGX3 +®p3^OE"=XgRCr%9VĦXlXțҍYKuk]3,YT^Cҍ*Tjhҁ#%=u &'R^gJ&* Ϝl -rz!EL^9w'L\'^88Y(W_["Gj\dҲz^pG E4r̴2=u>CubA_\@1iȃ+(n "R;6gv\.׬g>1AIGqGǎ^e Yõ;.\\r&0/[䥼!݋kl nna eޑV$tӝ¿kWըHDnTnwЁ.`wuKKoY!)8?N杳֦fZ.h2SKsf,sǎOL1Yڈ\ q<Ί)ӗI-w[ dw%BWi?3$]faAu=w)#jS)ī5s-Ѯr]QSpg!q.ӂ P'U4enXHs.닄=g"ku0]& |TˌЭ:bbҌ9AHNn ar2?L̐`Mv0ȼNbShJ# ԕGf=QXR{j]@ޭG O|^k]*>b&Z29ܪNW.V$kR7&.dԹ5QϷ3u;z/Ƚ"ds}b&-+Mr$fYT0b[S$d0qDe}RzwTQqbᒴLĩ xFZ_䳰[΍ 7diM+xiְ݊4FUWjbm @ˌޗ|nEUkϥ+ EG WK /Un9\T !v;1ەv*rPT1=KbmUg/'Y< f+@}VRN\xC8\10F 3>kΗG=F8_+Wv;w2h>=׳+|;Ȩ`8F]*xPXD]5H:_> PO;Y;Zh=DX#lWt_"b7,3^NvVWx+vgCk9d9 O3~ xJ}rv5hQd endstream endobj 10433 0 obj << /Annots [10434 0 R 10435 0 R 10436 0 R 10440 0 R] /BleedBox [0 0 612 792] /Contents [10441 0 R 10437 0 R 10438 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25067 10439 0 R >> >> /Type /Page >> endobj 10434 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063011-08'00') /Rect [218.8375 553.8192 381.3955 564.8192] /Subtype /Link /Type /Annot >> endobj 10435 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063011-08'00') /Rect [178.99 501.15 396.03 511.15] /Subtype /Link /Type /Annot >> endobj 10436 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10437 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10438 0 obj << /Length 19 >> stream q /Iabc25067 Do Q endstream endobj 10439 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25068 20830 0 R /Gabc25069 20835 0 R >> /Font << /Fabc25070 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫)dOvջSp<I e!Wm ZH&7]N8QAb2AF ƤJs4dLdiNU$R9!^\y( ^rj\9 " ٷGӮBxg(eFI%o#\\@Bl(Y}Ѿ 5Ժ@A꣔8JRhwm-־0Z|fOr;L$u$WʵR̃sV7Ӭjl?7‹!H5h}'d("G )xp´!d5Y2pj'o.9cDA ^zv,QOs(R]@p%4CZNZu^lM& endstream endobj 10440 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=897) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10441 0 obj << /Filter /FlateDecode /Length 5024 >> stream xڵ<Ɏ#rw~E4 J6F>>=c=/ TIffP\`0{E' I/_38D$7q=.J.~m]_*?R(.{0׷?o P$CZ.ou$)~[/0yGo Hk-a mђE;_/wGۘ@ mI<ύ묕,ċ~UI\&RcȺ)#R4qgdܛЅEgrk-!':d6X~a;"3.'بq7\ֆg[@^O .gF1LPԅPWi0L0ޏd@Wimٱm|R *kNԇY?#2E& &Rl(Gtn5" kHaԕ}Jtc[}B)gפ4`/U0VTX7ZTQ9ay@Ll4P7Yee{؛(zZzP5t @Jv+P& /atH(gaVw hQ.g$s,vTlk;{ MeōF@#FFǞս^!NJq,BRd "ehPA O爵aQ#!a Tm2EwG1n ʂotmYB^2T\د-dR4U$YMVY0wnŽzWRT_J5X=q SG3 +3L2Ҳa$3CFR3&HE.όB]. F Ua$=+eƗju1RZvLԓW&BsCv5$!K`1Ο1.M:.'iyt'90GV|)JbX:#lYb˗]+~4hWY151TٸeyN>'D<W)׎謙.ȓW9^|'cW?]IOY rwa3[SxMIʃ{N}Ʈy=yP=`{')uT;Y%68D P ^>P<( ;Gŀ`Tg/cTR2@GJ}7VRźj;^uX[an5^}[iԫK% 9!%=`Am65 ̨#p.Ze,*7e .%~n{}W2~햢X[-=?u؍,rjy8Um![w^G+'uɓb*|Uʓe_=%{58WiqqvEb0.ӌwr9>Wf?>g|"<cx |ۇ_"0b=e`n[(𜰦[^855Uq%+UϿk(GŰ!U !ȭ_yFFեtADnO|"Y[Wb:UX$DDY[0g>iY0e&ZA{Nyr@֍&*I&^uƧ,&0Ĩ&إFmLNeL )Ys38^3kwͱpIhXf^F̅RjEo(@nkɂIJ'$S|Q;16 U]:e2za5.,TN)3ݜKhO`MF\ZsШ%~ʿ*qS%a}qdRJG$21&q)?hӲaIKY )›jP8Lި[Y I'f*m`HCm*=Qe'd\7-]զ-ԭ{\SfNHɛ> -PL_D"z*ӼcR|pWT7Jsnî e 92[rhvq(_(rofs16um F"ՙjcV2a)X( DM1Ο$˧ż99ۊ ) - ሪX3c8[WI.qKVjzLч'I_QKKqCL=@nLIeAZi~7RYɪcTј|b VuSBߊ`GGk^/ڦ dL [o]<8&>ruLջjjJj#񞵽'h@B!葔r.Ԫ2\2]^fCWm_)=šzJvZ l|]y(fًbEWYrMG皎M~MUGm:⠪3^{اҶƘ@B^7z׉&/w{gYDBxcr+nx9ń6gYقZz=X=@甸Ue^C;xauddPϛ1 { Ʌ//_a3lxָ`@y{b-[$'%OϝlÁJ7nj.Ěvf4oӥG{wxm3;ԤW WӰT۫>2Os<>}zL gZAA_UHC[6 0/I[ޟ k8MotLRt(yzg>匏`UsR,EK”]y5'Uɼz@[zzb fIJRo;iJA 13n -:W]_8U %m{T$Si**҂}EEeNZP[ YZrUy,C^Ͻ*{s%4Α6P>)L&> endobj 10444 0 obj [10443 0 R 10445 0 R 10446 0 R 10447 0 R 10448 0 R 10449 0 R 10453 0 R] endobj 10445 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20211013063105-08'00') /Rect [104.1732 358.9308 161.5602 369.9308] /Subtype /Link /Type /Annot >> endobj 10446 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20211013063105-08'00') /Rect [104.1732 342.7307 188.7027 353.7307] /Subtype /Link /Type /Annot >> endobj 10447 0 obj << /A << /D (unique_126) /S /GoTo >> /Border [0 0 0] /Contents (get_pkgpin_bytegroups) /M (D:20211013063105-08'00') /Rect [104.1732 326.5307 216.2412 337.5307] /Subtype /Link /Type /Annot >> endobj 10448 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 310.3307 164.9372 321.3307] /Subtype /Link /Type /Annot >> endobj 10449 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 294.1307 180.9312 305.1307] /Subtype /Link /Type /Annot >> endobj 10450 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10451 0 obj << /Length 19 >> stream q /Iabc25086 Do Q endstream endobj 10452 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25087 20830 0 R /Gabc25088 20835 0 R >> /Font << /Fabc25089 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Rӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔfU]d߄}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XLOۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?>F endstream endobj 10453 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=898) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10454 0 obj << /Filter /FlateDecode /Length 3000 >> stream xڭɊ$y HrmLÜ1}۴Ddfm4RHOoT>ruˋnsVǠ)CNOoE[>Ӌ}om#Gx1O~;@1 E9 p7Wc; /DJ]8x `*<cNgcq1{ra6$X4*4J-1 ΰ$Mmiz9QG|Nlעir&ܵ#H t>p!u<mH `bi#P js*۾Wfhp9C*q:{~KRdu2Zĕ׋{RnvgeDTG+2.媸E*IO5Dx~/ \8go,[r U*z!b}x9םNU{1fk}FGCL m`G1; QEXk QX$sULG9caX:Sӏ籬J7y=q1RsCTNVԩy7]a 8lo0X %R`&"-fM I",@V#]J'5g;iqt9у|p od*kF!fEğEIoQV-".ƒ=\_>$o{ *SfvAzuo_8MFO6G z]Do_R>BV .T06!P%+xb'xv nj@oe F _4XzR_,xKWp7!CURvЊ@G+n>pKb1|B^mן@W w*ۺԻ@5 oĻ\7mf=|U<l:81ГNqZ๳Tg㧶۩1`0(Uri_cLg>5E@j.!1+齝BVHU$Hh$ъiʜ,Н$U8@x$̠#%`*7I&OjՕҖy斦꟡j-m-2X\qKꆰr%K~A2Mj@KY!ˮYމLj0tihdE @Vtx," $x"#ǀW`']g͈v#GZJ@ 6==h7 K6%\i88JYɠ`FV!x8e z{8Xv!oDh`mP|'F9> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25105 10463 0 R >> >> /Type /Page >> endobj 10456 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10457 0 obj [10456 0 R 10458 0 R 10459 0 R 10460 0 R 10464 0 R] endobj 10458 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 197.125 111.681 208.125] /Subtype /Link /Type /Annot >> endobj 10459 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 197.125 139.8465 208.125] /Subtype /Link /Type /Annot >> endobj 10460 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [145.1595 197.125 177.6645 208.125] /Subtype /Link /Type /Annot >> endobj 10461 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10462 0 obj << /Length 19 >> stream q /Iabc25105 Do Q endstream endobj 10463 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25106 20830 0 R /Gabc25107 20835 0 R >> /Font << /Fabc25108 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cIA 0yW!ӘV;!JϡmтGŶ J endstream endobj 10464 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=899) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10465 0 obj << /Filter /FlateDecode /Length 4613 >> stream x]K丑Wl |(s.`ƞ/Uz./RUbܓ"E1"h ;Ws1bS_]vV>И~}bLOՔbgJRrU&OWxCNNhBTYa'eO^#.{k43I9"xtj8(/܆ĊJ偂xD:WGTĮ- 0 sƐ~!i,C_FB˲Ӌ{~<wnpoO_Q7 :./ƢpO 6's ?q;:#2kn%[}a!+r#\Y8ߢ/, C:3?иuJOK/سc/,_,kd|+--\԰Sd%`"i} U=Zh"K1"@'oqb|Ĉ]ZLFa8sa&1OB6h}E)Ƒ=,dq-Vŷa٧2_́MHK!; :U[qYwZ\Ql*KzZN%/;Ml',?z& DVۍӭlr+akQծFϘrf(%X:hfL,>$3vه }s܈|Ui@APIYP&mƊv~#{tjmA5mUjkGT%!;QJ@hK]~nP0W6޹b3A CEgXƸP|lMCK&vH')""#a 'b|qae3ihsO7ii4. FGLq bUί! k ngCi];SK|6R ג~}T[{ԀgljTf{:m_Vdv/xml< w~NƼ&SN񈭭TypɩpGQ~Z)*f^[ﯮp /}6 ']G*ZLx-OS2 xb6AG:0lfʘUkdsK{]=lrwֵ٤NmbaqO)}ɼuѲ_zS6u5/<5H6%J0.h öC<_f_v$Ye-3pVd*PCBn-!awpjC녃. s\T-6*A5D[v[\qSM*s}- ,.aQj`BvU-54=wF+MZtEq[[vnjWCG}7Z6^uOtS$[Ղj'upjf#uiZ=8NW7ٻN0eمd/ּ';q+^킓YSCf+8ENMWCNj0"UwrNV;d׼SW}XՂ*iڃRI?ԆOj?'Om@=VGSOO#wAJ BJ =)u3zHaH!cRB!6C@J|6lcJIcJK`JI`JLvcJMvcJOcJI`JKcJKcJKojQHv2JdJ=Lɔz0ҷ)H2uTd.ԣɔL)}'R)};RǓcorǂ ~@:JSZS{Po~ P/[0Nj:%,hg\zؤ=:C` Τٛ@?"V*P̤P08Z {#nӬGЌaiv~d-vaކ*bwt&o{`68&9;S>wD#DP)|yw tr:B|Й_>`QkPC>|܁S.'1rڷ') _3TSbhbősIy9G!f 9:$5x)'9*1щ0zz lyt6*A zc&ן !5~u멇tS 5D(7Y['6Q`h?4G7QNa[O \h%B3B<RPq׶ل>nf7|4&M80:$L?T'~$_oPNնLZHۃ2\&nSι\%1V]ݔ:s &-:1n\T;Ġm(qѓ)8$|1߆X4i'EN6 JsI&ǴyR [ lBCy1DNn͡KN҇YAa%$gU&aUct:F5>flT\x٬ؗyVbkw J=`|Wx-jD,[ ^(|GsRM|quV.ONȅb*_` ׊M<ďwɅܚXg-S}5w 獹&%('S31f)ITNwL,,'U!Hl2~ˌ䓈[ wdA)/5[}ԎZD3Q5Y)T*ےOJg e6Ytfc[ћ U*l%HSFB"{;d=(jSl͚D?t6tg#<6gqyMBTf?7YX߿ zc_LkNCtj[s_YL\|p)|X\J:m%]H!oM]0 UIr-{W_ۧWcw=CPsv7"*Hz7:_x|:#-V^=rsYh4DT|rx '~(6Nˣ߸=ˋ(S?dJ}빤eLD endstream endobj 10466 0 obj << /Annots [10467 0 R 10468 0 R 10469 0 R 10473 0 R] /BleedBox [0 0 612 792] /Contents [10474 0 R 10470 0 R 10471 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25124 10472 0 R >> >> /Type /Page >> endobj 10467 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063011-08'00') /Rect [218.8375 553.8192 381.3955 564.8192] /Subtype /Link /Type /Annot >> endobj 10468 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063011-08'00') /Rect [178.99 501.15 396.03 511.15] /Subtype /Link /Type /Annot >> endobj 10469 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10470 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10471 0 obj << /Length 19 >> stream q /Iabc25124 Do Q endstream endobj 10472 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25125 20830 0 R /Gabc25126 20835 0 R >> /Font << /Fabc25127 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7'thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM endstream endobj 10473 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=900) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10474 0 obj << /Filter /FlateDecode /Length 4850 >> stream xڵɊ,ȳ( y3Ƽ1̻̬癡_"BCE-?OHzR%*|$￈号(|u5~It˗_TeQ. .$\|a/ߗ/(-WΗ2IJ_/&-iM%+ ,ZBY[87kEAN33$6 sj$DuJE$x P&R}Ⱥ)#R4qgd܋ЅEg֦ܞ <`9̸ cĽq6Ե=줭ނ}ҶQ6p=C5f:('_e.ywAi }nN&sX`eTCB_QR /u-!fPbz(aw9θbrՊH, :%~?d7|VEہ?T޸tIF2'+CJip;^׬C S'*M&  *-;-OjAe؉0Bxr1bWf>HU|dSMÕVnխFdPa ))qLrO'0NSDg!Aݰ9"JBKPeZGKFv:?eUi7[F1^75):,p-#s\=JWPU*}n }K"'TE\ Ɗ2}F*?J4'/,99?h J&:4l{EuQOW@洗αaZBi֮8]u=@^5  1~8~n@ `>L]<4dΔ܎WAxzgO5좸Ѩh!ˆbسtk3"iX 3:EzWl_$ *h\xc`T[6,j"$Sa-^&HV(Rq2ƭxdAY t`5cvҹ-#3SUF ԝL&6j=&حZOEsD˫ bZCE]q jax:Q&<>yȩֿmޑc6킬{1yHˮzz4˫똚d|l\2HY1Eџ BȮ{;0݃֋g n- )<$yJYu =e>vc׼<0ͽ?)uT;Y%68D P ^>P( ;Gŀ`Tg/cTR2@GJ}7VRźj;^uX[an5^}[iԫS% 9!%=`Am65 ̨#p.Ze,*7e .%~n{}W2~햢X[-=?u؍,rjy8Um![W^G+'uɓb*|Uʓe_=%{58WiqqvEb0.ӌ7r9>Wf?>g| <cx z|ۇ_"0b=e`n[(𜰦[^855Uq%+UϿk(GŰ!U !ȭ_yFFեtADnO|"Y[Wb:UX$@DY[0G>iY0e&ZN{Nyr@֍&*I&uƧ,&0Ĩ&إFmHNeL )Ys38^3kwͱpIhXf^F̅RlEo(@nkɂIJ'$C|Q;16 U]:e2za5.,PN)3ݜKhO`MF\zeՂrSn%X4Urxָy0BJMd!f u#<N\w"/)) {b] lju[Q NtٴfzT|D3Lib&֪{M TLzhiNH9WX=@zιO=\QM)$I_:QmKZ,r@^1e@ h i94}>CMʕlȭ"j(1F;h~@vDuڜ*m%M|'͸K)Z%22uNEØyjUS5]8Llt{3z!zkGg7j5et ]88$<.'vWJfbJJV-ZX (Fx{dB mƹR"adMXe6kG9}S9mh$>[4Z>S4llrע2(,UMzaon/ >\T6Ǽs9j{VeIz]xu'k]ni X˦U cً?EWfYrEBM~(MEmK-2m^{#緶&@_q$jˍIh>+DLnEt3qM1]1m2AhxW?BV4^oXG]'X =n`&> sH6쌸cbdΖcsۓ " =i=j~֣;Irno\|5{Vidݕvl|n^-\bOltzL͕o,ލ > jBj6G]p[' xZF?J[:ǽ]2U J{jG)e|0UBʡo`*f<ίݤϴ9b1me3bY)J8M.]HzRzzZ7CcaRz/Lm]-5+Zl,&JST|Wy9AoQvgU% HF-5cե̑6S>p^2aK_o.mS~?,?i;vգiEY&S}߿ig^{PhO(۶Wo1/[z'SlG#pxGz,x6m?q)Y~Nj8M,ipq|iM &cLBIܕg]f 3>҅ƪD F8&,p 2Ć.tLoYY-a3ح]co@:3U -v;{G!b0=y1#|yn%;]3iMP}<`ROd~tbzW=)2_Ggl}-0&Vb'ڮ9I׬Q3lar~[$&"M 9'jp= 2F1),5C[AȈgm ѭ*'#`WHO΍wbo<]wz3gMx:fЖD`"_+ʏi)W8g*5~A  \; 3?i% 8u Rg `9PoNU5 D{X2aX\Qqݷ|ti{WGDFp|kH]uf ^䁔vx|'ŷGsv`:5mJD(YߙnESMLqV-OΉ6UfTF42ە,_ jY6jn'I-`dٍuB Xp*RjvO;.*EN| '=P&c܎D9K ߸XJu|x," er;jr-Yx!3m$G: N iW+ Z$IЇ?t7A\__ὀ bԶ+^ۭA8]A"bYE蝭.9Ap".R^ /ca+GH<{ϟ@.~NtuP>BF<+0=hjDSx3B M endstream endobj 10475 0 obj << /Annots [10476 0 R 10480 0 R] /BleedBox [0 0 612 792] /Contents [10481 0 R 10477 0 R 10478 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25143 10479 0 R >> >> /Type /Page >> endobj 10476 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10477 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10478 0 obj << /Length 19 >> stream q /Iabc25143 Do Q endstream endobj 10479 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25144 20830 0 R /Gabc25145 20835 0 R >> /Font << /Fabc25146 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RTthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛN endstream endobj 10480 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=901) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10481 0 obj << /Filter /FlateDecode /Length 4138 >> stream x[IWF]Urs0@ANA0s[C.ж`6W'3NY O”63=˓Y%@I`N)wEu"a/'_>lGęaA4v0A|qTږ'ILT}玓})T=ή<]y`BP*p^wuު%ÿ\ CJ4puv$_H>H^MdJWL/rh`,e{湑p)JRr0jP[r4Rxyg) ɠPNgR^NWIH]L s}u4β?Ξٺ(ܠ|KRd(^rG_5,%; D.K6)Hި&5:":&e;Xrj`dC@Q  ehLZQ vLMVaU4cUAU%rEl̴P[*f A㞄*NN' 0 CP]K]zu 7(MC`eu{$A&Z6npyJ41  #o!3DؾD2MBy44F)37ۅ5v-(oKl2IZFeM*82NW@\NݏdgFs׍aB x9?ѮE%0rs1m!PO. YDLl5<9L's4X5۞'Bgkf1֘8H #0&EFKQwF̎Π .ë%bQ˹O<>[?hօ$`Ȍ{o<^'l P:]nJ3čve?x<} [؎NtZ|nS^k4 OIcPOlJ)IᠵQq`mH 3Krp!qcFLF%YXY i~A*4RBb1^}Y{1ܓ-NZR}h9 ,.؍Bז!㫪5ӑpwb ?C݈43Hl(Rc(Hֿlv=2žDe*4J[Cn6H;4hvݖ]Mbr9r-%S MF j@%YQ--4k0fz: ,n וJ8EQH%2REJ|{f(Ӆux0%8Q9hdV}C&2m֌()HJMvqg"^-r$+qd"'FNzb/fVB\qE7״.ktqg@RRWw|ݰp}g̬q>n(;IRXm^fxk{2G}DQqh$2Բl#׷)N]VzS ݱ=jwGsVӜ˰sۊSYNlS Sn()wuufBQ'Xm%ۙ(H Ac_ƎM i |OQdP>mVxc߈?@HcR=*"I^rWn{=Lvb=ZZ=D׎:T5|To!J]R6-BeYr)_dx/9Q͡erFxnfC`l/9cWgѮ֝u? ,MxLmz'}lf-y*)P32ny$n>GN"(g:#$s;~Nrc'@dЌlO903":ƃCQY7kCL@w%3%3n9P>VvU6GnOQ]4 =Vg];>^k*4elklF !-bE49[~Ax> kx=%X@%b?u?vxhumFD@[Z+~;79؆^B(⣪>u@[4{>y0 <T%Vf~4[W wx ҷ?iP~#_ |]3(7b_פ:]o0֯ᐨ{ȞO+YÁ1S*iH>xx&1N;^hCx4)%cz['FBJ7ن kOo> ϗ ;Lܥ5xJnʭe&<q.q8280ZPx5*Lwth]%t< 'Ѷz,WiT]֮<ОJsR>qݛ8x.0V5T\ F2RoNm~,V24U9΍/Mneq$0 2O'n7`DĽ\lvb'˘<6eaL˰2"z%e}{zׂdX2b@뵹PoS)Bۛ>RQ! ؠ<  n}@ABh={. +sUHq:+ KrK S+3>ϠF>O- 5a5hx{ endstream endobj 10482 0 obj << /Annots 10484 0 R /BleedBox [0 0 612 792] /Contents [10498 0 R 10494 0 R 10495 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25162 10496 0 R >> >> /Type /Page >> endobj 10483 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10484 0 obj [10483 0 R 10485 0 R 10486 0 R 10487 0 R 10488 0 R 10489 0 R 10490 0 R 10491 0 R 10492 0 R 10493 0 R 10497 0 R] endobj 10485 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 486.9038 184.2532 497.9038] /Subtype /Link /Type /Annot >> endobj 10486 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 470.7038 145.4837 481.7038] /Subtype /Link /Type /Annot >> endobj 10487 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 454.5038 154.2562 465.5038] /Subtype /Link /Type /Annot >> endobj 10488 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 438.3038 191.3372 449.3038] /Subtype /Link /Type /Annot >> endobj 10489 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 422.1038 145.1812 433.1038] /Subtype /Link /Type /Annot >> endobj 10490 0 obj << /A << /D (unique_493) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20211013063105-08'00') /Rect [104.1732 405.9038 186.4147 416.9038] /Subtype /Link /Type /Annot >> endobj 10491 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 389.7037 164.9372 400.7037] /Subtype /Link /Type /Annot >> endobj 10492 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 373.5037 154.3497 384.5037] /Subtype /Link /Type /Annot >> endobj 10493 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 357.3037 180.9312 368.3037] /Subtype /Link /Type /Annot >> endobj 10494 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10495 0 obj << /Length 19 >> stream q /Iabc25162 Do Q endstream endobj 10496 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25163 20830 0 R /Gabc25164 20835 0 R >> /Font << /Fabc25165 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O]VJ>6W^vqW/ jcȅ柫=0f2 Zn\&₅Qs)N*N ?+L jErM "fZĀ0dD(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10498 0 obj << /Filter /FlateDecode /Length 2352 >> stream xYn$+x i Pj1'yzduwldfUKƸLnFYv _y{)Is4dc-ZlO_ c >Й6H|T&,:ɂN&壵N$ϼd;ww.z(o`pExacd?r{_w?*9lcD&+:shtMV\^%w< hb~TKu&X{(FY)FKy3C+989yoMhR.3MވCk% )٤ЍB|-]s}%Iy&Tbv\Ϻ-yLjn"v$;ط5A~4[Mq9mMu1.v |K&&g/[KcTH-t ؅& >>oښWeyV'pta_ן &ÿ2j xv`Z!^K񳆾7##jc:CZar<5td&6D{{*NLRl8&VY"9lN~Db|$8gDdՇP=8.Te' j4% U[> q?F*6e7)>}c,zڙ+s25{E 5P_b?X:Wjs W,tW co0=Jc u9wGsBmFmN#P_P:lblj>EIi(@{XQ:L[t*.r@%>2S ;OfGv1|/=bp9J0$! CIB΃'TljK}#U_W]F3۩ZH|$YH;A,3'٥"]dv/ R:{ENQSdz.k@j3~3#E̯0QዺJ=Ϧ*'%Vzh'O~sx50{c A$ùx'Klm61/:e聈Ȕ T!ґ`l՚mߑbrr-m٪RܭwmCM'?& k а}T+?vrWp?4#wdW78+`>e;#྇@~v/W>VG +IC+>auϟ |Y@l BlH  cU9'iyj"r4"@g."8UG  :/X UʐGZ7X2 oNfҊH'NnwXrSru)[Jx^Bxeջʹĝidm/ O 9`cf {rp!Q}WKShε$dM~S=fuWk%rW5үRw\2_Պ\Fe>CmPtsY Q+WElD3&(֔>79g*0k.m&(g#M&UlyrG'%k?c5OUkO?S[zfp8-I hG; *|FrMn@S!c}W__NHЛVPag cA1ŸMĪޡ0Y/ ōISѾ&#IG%Ѕ|^5 [L J™τGL^&}EZW(B~M2#G,kXV/M$ޙQGLck o"92N@y e}_Qh"&yZ$rl7Q 7^.)4a0S}[4bza,/FBLޤ_y:RTYU7rE굴+^TЈx]LA`݊\W?'Yb,tN9P ǣۅ,3}XId,<{#XH(Jm% 59t0~ ` <@Ț0~oзGpESS۳5+A=A۔N3T%cA?sh,ƛO|D"ӹ߄Bs6lVYk\-uE]lFhX0/#s>#ih1;G4p ܤUA endstream endobj 10499 0 obj << /Annots 10501 0 R /BleedBox [0 0 612 792] /Contents [10508 0 R 10504 0 R 10505 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25181 10506 0 R >> >> /Type /Page >> endobj 10500 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10501 0 obj [10500 0 R 10502 0 R 10503 0 R 10507 0 R] endobj 10502 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 319.925 112.8525 330.925] /Subtype /Link /Type /Annot >> endobj 10503 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [118.1655 319.925 163.7275 330.925] /Subtype /Link /Type /Annot >> endobj 10504 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10505 0 obj << /Length 19 >> stream q /Iabc25181 Do Q endstream endobj 10506 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25182 20830 0 R /Gabc25183 20835 0 R >> /Font << /Fabc25184 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10508 0 obj << /Filter /FlateDecode /Length 3394 >> stream x[KܸW@ ߔc{999 e~ŗuN1lYU,I OyuE1"пoӓ9hgӗ~s){t`Lӓ3xJwJhl<kPչrNwgI}y&u4]X98gm.p[욬'³Ub;ymG;8f9Xe>gh?9`LvN^YtGyYO0* G{9 gJf(%y,~xȮx3="01}9l4:Ҭ³<'s_5+ȨMvP;zװcS4{Mx[.LԱM*4+Y:!غPgvGs1|Z戸XoЁqL6x ɞbѷx&\V#. (N2#-n^|NIq5pj ,RSfڬS,h\)2y⥪l,9gfK;h1Ǒ0(vW4z5bnҦ#"l8fDZ#֎91yY2q3!s!n7~9mk*aSp(9 \!o [naLLЭ !9"u76 Ţm~/fn͇7>ߍȰ=6m%ԥo P8qK )R6%0 < ޖk6~F_ |FUHh9oV/_5{E`,XxKPž*<۫%vU?]Pe:ybX3-5W{`a05<|%]Tfr%MRTX5R{K@91ȍg8A#eƍ` ٔgb6f8CJ*RYƯ$ q_*3!u,5BX׼J[WAH[TYolQ{tB*veěLHa)0E,h;./(yMAfZ9^fKXmTgOCGUUzq?4]Y M$>`k$۞fd4;̥oV\Ucv MSto+2H,KJ%)ϩIʵ3(Ț&R[F=ϊMhR*0:{lȬ#Y(EAو*W۾YQ D5P&nUu)ƹpNC"۴#4c!+5;[ oB&nNgy٠yPЧ;nWCP-,Va]}]r㶰與ʍ{¢ڶ՛YI=#t5LUgنg#v(o뛩y7w%l: ;8)10wD6ğEKU+ n52rD| Nj~{7 ,ed2eGp.vw <]2&88ull=n'yDN(CNf8uL~U*:=ڝYw' jTmnPF@,Pgv>R"[z^ytc*8ۥMYޱ·xFBaAu[ 1 ѭ̋0"طf]'}y0uxk<4]2Mg87d<5R6߹)-3fuz1^jN*JpOiˋROCcWa"ɸ+p]}ɫ_$i rc'08rq=>|R,)rkZqXgZjtSPZbc*,>(VRm& xW1`R y =,<kN8>Ч r#˵z5'eU'I]N!33f).O"C"/,R\N|0.&y;wkW/n+RʳZV,eO-ăbWhlK0 I,YЦ~ l D'?U^* endstream endobj 10509 0 obj << /Annots 10511 0 R /BleedBox [0 0 612 792] /Contents [10517 0 R 10513 0 R 10514 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25200 10515 0 R >> >> /Type /Page >> endobj 10510 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10511 0 obj [10510 0 R 10512 0 R 10516 0 R] endobj 10512 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 242.525 122.692 253.525] /Subtype /Link /Type /Annot >> endobj 10513 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10514 0 obj << /Length 19 >> stream q /Iabc25200 Do Q endstream endobj 10515 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25201 20830 0 R /Gabc25202 20835 0 R >> /Font << /Fabc25203 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBhš;[TqݙVUjj7ze_Vp8جax} -XwE1e0O]]nV gx*R\T1BrtGwr ģ1H[&!#((`aԜiJS¯"J*SBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlHdh߄}j]֠zfQJ<͊fhڴ[쥭}-UaoK?>&C'<ʡ|72THL_%ee8>/fEŐL$K4ós~3cy KaEwr63pΘIE1" ^_Och[}K JC3(aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10517 0 obj << /Filter /FlateDecode /Length 3964 >> stream x\K6W)%i`=fXo=d[vwf)XUD_ g#?d;9iR*8:i|vn.D+_Orr0 |czҦQԌ=xWc]iBW?ɗWD#ߤW^[߿Mj Ԩ(#4~?9γCAFo{kJgSp݃=W'jbY R>2%e*~8,#vB#g"xt]] i7׫qV2W%%"M,@BbN"ci썲Dh.s-0Σr JנbGoj||q8RM&<[XGpC SP<`,jj  ^8;+X.-* M~_& BMT@Q x] ڞ(|Qr`lƇ:xIgd~Ã- Q,4B$¨ݗ|F|J8 Z<dDCT1W3뼔4 ?:C-6qb`v.…`kgˊ||$ї',[>X| _l8m44X;0Q  \{ ~c`Rh3 2:)M13q^<~4݄<ϳ q3N6g.Z_N0(VqxJ>4 heVm Y<,K#QL1s 5?iܜz>V5zguTzInDV0 =jx7ŀ]6k!bV ~5a,+^SدxKbnŋ[/(^VV^2g8&/Qcz 5̴ u-BԆ!SּtiRHIĈxZxbЯ,Uo<'zwGYwΟ?!p*a TUΡ^zBI9PCQ'U^4jeL4EDEdɾ0(9,LRq;-akaJu4o";;&Ucyi@ ?@yhֆs=ոSZe{,uB[Xe>">gm91:n!S׆A.%{D Sއ=ϻcg~r5^l)8ف94Eܙڧzt43aGS:9 [Y7rd)1.i_E=$gJtCfNZg:y%8هJ[e)y|_45&~*P3PnCfNfu&,Ϣش+Wo+$pOa[M;q^? "%@mh ^y,$Ma @'(=0Q\N?E!y~"T2urە-bȤjUj@@Ҟ,qo{ak̍.,W:# fTv-RHte,TWeJU'QFBKuYQ/>+&Fܮ/^k4L bqӇɚ8 n[WN YBB5T.9Py ̈́0$ r-aBuU:Ҳ픊]ПWZxo&?; +޷P$3;TXbFĶsAF1)ǐ礄#29-Q(XJ3nilBڴuo)Fg66 (h1QA@J~ Rp)Ge*d圸ZAf%봩vIS8#X形@*E0fYP\ꁜjC&W6Ά*Rwc^  Bi`4X XddF -`jɜJQ,n*V4-;@f @fMyeI$'Vषdp2 =N \dp2K8+ɸq.q!gDNpup2a-|r9C:kpj*/ SG3 K8kp;pjlQv©aEAm1!GlU ȯFdTV>]ɶ(guU7JO$'$||$?(>]=N@Ob3h'>.${~L|_ .|@: wD(XDb=BG+ož;<] Rč9&qW'.Iܗk;r>qnMO\\RҚĝ98&OlIy{R2_.E&FBj! BXWLCImCI,%׿ 0"ԕM9k{G"-CIZQP]xL q?E] rh˃2es@a*v ]6u_m] 4{{NGs:Set:8M'W; <o1vtɳ3':Ro1J;8{3Q* 4N!N50 O͒ 4VЗw h_A 5/!01b hF0.G _7(Gz˘I "i7Υжb--yK^,wϭT#u YmckC^Bo 9㬬p\ӥƈ[C4W0 L{Q6L!(A}Kӗ[ñ7oя+"9[ @ё 8{ G\g.Ѐ)FtBwfВÒ&IR'}59벦*0*m&i{Eik$͡Tȓ9$:)uԵ5[*zOᩢrdbꊤ@nciHIѽt&7bu{RIU^pQ@䳼1/GܤΡDlJ% k[qaH&$LyDC#I5J0N[* Mq%&g*|&MrG5G|&HenC4=SFCcbF4~>2NkgfT|[Y~*V&Ot%MF%1b)n<ފpOh%iZ41k};0+7B5R[2HkRܵncߑqէ8۹Io)ŵ5Okgy>ޜmOρQp s~mٰ8em7y߽r<ٷMRZ/3iǛ zK@㥫*䑃0Q=)'S%oƟӁ.C&#l?mßL}›Yzq#H:,CCR9x{utyp1uqLw·ѧyw $n'.ċ ԩ] BI ^?:挆 endstream endobj 10518 0 obj << /Annots 10520 0 R /BleedBox [0 0 612 792] /Contents [10527 0 R 10523 0 R 10524 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25219 10525 0 R >> >> /Type /Page >> endobj 10519 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10520 0 obj [10519 0 R 10521 0 R 10522 0 R 10526 0 R] endobj 10521 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 310.725 122.505 321.725] /Subtype /Link /Type /Annot >> endobj 10522 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [127.818 310.725 169.6455 321.725] /Subtype /Link /Type /Annot >> endobj 10523 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10524 0 obj << /Length 19 >> stream q /Iabc25219 Do Q endstream endobj 10525 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25220 20830 0 R /Gabc25221 20835 0 R >> /Font << /Fabc25222 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWRBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]d߄}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XLoۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 10526 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=905) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10527 0 obj << /Filter /FlateDecode /Length 4631 >> stream x\K Wz?F]5 mrrd7\zLNٝ%E#)[*T.kɤJѿoI-хhۃRQ³T|䳓6> >۫.vݮfJ x:N(4R#O/iB@L-z3>=wVӋIz#RY9ubނC'΂ԡ G[L1VA%y Ą2*E (%;\oI l+De_$?F ZT|6K2jl?K#_=߁# ~>Ŕeќ<1QOtv/F(o RhhܕeUa譞0`X"'86O4 9-^tV 7 DˮLn]Y\ a1*7H:yOW'_PN x`ABEev5փ3F-[\qdSDo+[CºW QNm(at1~a:/榥r5 n-C.yx7G.yxU࿡x/XPPTTUs 1b799Pz $yA͚x\Ӷ7 Bq9 yJ%W@;^׼h7D7n 9뀤Y%ҥa魨zLϘB驵bʘut.pe.ܽЏ0ȋDoLYiRgJvhUgt>U6gzoXW%Q~~`Z lDiFE= ]YgXi}{b:B#Ao4 :0B % `P tL܎mFsi7 Mb0!d){Ɔ?' N=OԛԫMKIeJcm7][ 0 QbZ ୛+SEd,r!* hy MFf^XGKXPg[5hݥ~ts `J+K0k~c۷M  c ;ۇ2O5/]/.).$F\]"0ا1^\5Ws#͆M(RM:U9bsDfc(1!S% \)&ѻh5^pKa΀"ӡ s8X{CuI1U*#[Z#6rv6Tua ibGlpp rfװhu=lbPcmHf+CfblвW]Q6C׮v9}`?P;Pǀ@?u<O8&Or=qؤI;~z¤6& I!&Mq|yn8 6q)L_ux}$.%dm/̚ΌI [ׄRӫ@5mg$55 ߜI|^`<겠joLiQP^5*+yxY.vG PDY'8ۮ(x2S׵6COm7ez gySd%i\]^6ݸ: c+qpл9!3 JN2\7ey;c=vXƶNuĂqiQh(z6-1A萞QM aX%:6..*|ְyo@ 0gC֤.1,DWZ\ G{z[ )BbKnn\ӄ+ACa\P+^hSHGM m홐Վz-]&$xn(WI5-ѳq 0mGCـ+"V:,siS;d(ߝ`M_ɸB \D}ti@>>-0dY ROpߓ)"J%"bg>UhQua7w4,9=Z"c"p(p1ݶӲ &>oʾUO8" 0>,F>|7qSI# ٔ0YʼnkA{6 ,N j Ug5@y_]b$j5G./*jCT?.Od^ b5U`^ 5i[#A*0Bܓ7k:%72]5ݭGs8ٺ_R[tKU/_\4Wirru<F4tt@!xd{,;/hzQtsAR`&NV(]/RľQ#Nu/8򶸖޸T*a_=S I_Q^8 &Hl*.13-U:.i5[G^D3p^ Y+ Wŷ%{QulTЗ"RxkzC-& vPdA }õ_쯨rMP"vyk1!)fG~qm=CW9i > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25238 10534 0 R >> >> /Type /Page >> endobj 10529 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063011-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10530 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063011-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10531 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10532 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10533 0 obj << /Length 19 >> stream q /Iabc25238 Do Q endstream endobj 10534 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25239 20830 0 R /Gabc25240 20835 0 R >> /Font << /Fabc25241 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛK endstream endobj 10535 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=906) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10536 0 obj << /Filter /FlateDecode /Length 4805 >> stream xɎ9 CKfMy~jR$3A2dX}<2Y'R˗77k=MaQZ-k_~rV8=\W^jD?X2\i̲ogL.y0.,AiҸ2yXq⩽z 3YT5oEuŸ.`\hgҘPGkg>*fVA x"=1e22ټRA7ekލ28{FDry:.x\#y.lJD\S^ʴthB޿\62ă,l#y$Dݾ=YQ N-> /zƉ/s:7f~Ěꔦ3oid`#ܬhbS,Ҁx \a7@4m*L^4iLÕc9*i8k*2 5t(H }ndQ[@nʂJ-R0 H$mE"_ύrb!/Y8&a[)p @(D#;ad К?2aЄP/Re#`4au[_m;K-N*+ZPml;:(?>΋Z8|[[iJSRC syH]! ڢYI^$*QF&Ew  }ICB8egRIC*-1fp ggEc(A 4W4`Yaؒ-e[=ѐ^Js/]ybi1~#^IVIJ`fToȶHJ1@~9%3, vMgppyt2*m!Hx=Zq`U8cf(/"tϊGt6K*Gy|$&t"]%M07ƽ]K҇RV4bU;Bd97hMɄ"MIG&ALDGH.sbv@tK/% ':[d[|aiȸfo.f(ljq!a4rINbvWfc}iɛz͛bK:jIw(!x1qOVAvoYEfow ƽL o>!/B BIٕהAv`L3K Ε\sz]a5ۛ?VD9inAڙMz%l~9fG~[JUydᓈ Z ڰ5cYT|Bo]:K-EuExZ,޸| ,mjPs\'vfmQbʼn_z]B{4{z:HsٙGT0Lk 5?Q:Rs,_ ޘ̤>DGYmu5[*ތ vc7ƈZ{Ce,BT[kX|=xC0n-j۵L.90Dl zg)jG#Yl8f`Mz ^_BAMRKQF_4P1a50Cy+ƣF5;S+ؕg=lE4u 1_ZafcOIO75u^alaLaDpME~[]xaC#@Z@`c,&f^r-%;w#96C?=r]N]xvA.HטXN>6މUr4ٱ!RI_@+L>) 'a1Ɋ5k MIc|;C]s2޿L@֖'~ |HZV_Z!A& kO~Z&Iw]vv{2pdl+z.NreW:#,xTŸʅ~ePNm:K"skaXބ%8^7e@תװHEAU plČ!WN1v@0;Dn"dfl9? "HaP4^"ۛm~ҠyHa] ڡÊAv sBv;VH2ŕ)5!L'U 4`_YhnaS;%AĮ(v)z~i~Z2 v4hG%MIM{7Ոm0Hz'^Kpb<˨ۥG!RX+ ܣ{TWi*Q)(F:Ukz[ II $@nMoi0An50hK%L]=><?2҃{Sr+}>hٺl{9Z'L9qv(.rݱfٵjb|IP9 ,߁aU:TIwݮd# kg5ω -KyNnNyS.fuK\Z71_\wG6Hl9kny=yNl~ީ+۟rkͯNh\ ':ȿ1 ~tX@͎~1TcXp.1B ҨF;AV!.' ί|3؆ęK2 _4X4|KEJsqϳHʢf6D&!RK'!is][0i4x4"?y]3” 017(̈́Ipo_¯aȷDއ-|m=&F(MbؤkpP1K waFfzEx#4eOI,u|bࠔk(& 6bIw[̈gwn1Ip H8=R7`ݴ~NQ} @ _RG4bքK|Eq12׿ũ|9E<8FGq4Q5-ęx!{4#=<(k$䝯-Wv;!a>+|b6IkxC]Flg8 8@ KLi~GYX]FZ?5s w2/rODP=lS2a6 yWZw'h.K#ē2騻Q[~ k[Wz$#Crh M&mZhԠV endstream endobj 10537 0 obj << /Annots 10539 0 R /BleedBox [0 0 612 792] /Contents [10549 0 R 10545 0 R 10546 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25257 10547 0 R >> >> /Type /Page >> endobj 10538 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10539 0 obj [10538 0 R 10540 0 R 10541 0 R 10542 0 R 10543 0 R 10544 0 R 10548 0 R] endobj 10540 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [104.1732 529.0308 201.6332 540.0308] /Subtype /Link /Type /Annot >> endobj 10541 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 512.8307 218.5952 523.8307] /Subtype /Link /Type /Annot >> endobj 10542 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20211013063105-08'00') /Rect [104.1732 496.6307 219.3267 507.6307] /Subtype /Link /Type /Annot >> endobj 10543 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 480.4307 223.3857 491.4307] /Subtype /Link /Type /Annot >> endobj 10544 0 obj << /A << /D (unique_505) /S /GoTo >> /Border [0 0 0] /Contents (setup_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 464.2307 220.1022 475.2307] /Subtype /Link /Type /Annot >> endobj 10545 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10546 0 obj << /Length 19 >> stream q /Iabc25257 Do Q endstream endobj 10547 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25258 20830 0 R /Gabc25259 20835 0 R >> /Font << /Fabc25260 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`e5thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XLf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w- endstream endobj 10548 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=907) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10549 0 obj << /Filter /FlateDecode /Length 2085 >> stream xڵj,_J羀hV3'ox;̪lFTv߂Ҽ~~}uu8dW>]vV?}ݶ #k];h#_G9GXwb֤x`]hZO t2* X3?lm:tqm,{xN.'^ s68ޓ۾,[56Ba) neHX  GjI D?::^@\I>VkA3p)AMєZلbt#N@hC@ЎSh5M-Gz<#^̕fcG6&8NY6S\d;/!?E3qtc19Q͐tnMw.%)yI8 U00;닡/R*S5F1 ޓ7MMC4&ɦBt>5`g5ِ5O9{k%bp E>F)!!fd #/ī)J`Hc#"~LHJ s99=r5Y<BZPx_#R{U*j(0ͫa1P+frA,!&A`t@Hck`xPr LЊ+P4Z1%izP4wx?:밾AXVXܵd{Z;‰rq)7f _PBikZL_9+9.c48pe1ן`:S8Ʒbp2ĄE~?~PHjx}:N{9hm'G}%S&g+cwS8p€@PݞFkPdIіW< (0\[N`/>Va[FLO#4;QCbzz2_/ʼ9OEAdhA}G=0C!h1U6D?o13&D9!Mu>HpGAsLeTg_Xy[[>!~ϕ!̋Oh0+%6-!APNO|9XxZ1u1:  lKEmMK ) 8U YWR]p5(9 = @7P+B h]1˹z˹ӜO̫[0ϫw֙fڻ']:qd# /L/ )_!V-@>=l8jy:$:r nkKBiw!^v{q8zUD9p@jBQxSSxd􄮁"ȼlD+(֔179Ϊ@CیK:O`>QPؿ Y +< U ,2Up!\:F5f{-3G:J 6=-;v)ђP&4njհ0Ӹ*Bi^ϸ,r~?ߎne"NbCgxC e_L{( }ߘQh&6l|8a7 7kw !4Lvf{ /˛:v@)L+@F*BSVA PFH# x5vt8fj@&ThЁ&jPy ۜa0?7a3&>'hm>CKSi}[IC@ ^&f?4#1^ {,d, &ʳ$*y]D4/Ə8/N'<zB&> MҰ[ev$J,=RlO3ěDuuʏ\8AoJȔOFih1NaГa \ F endstream endobj 10550 0 obj << /Annots 10552 0 R /BleedBox [0 0 612 792] /Contents [10558 0 R 10554 0 R 10555 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25276 10556 0 R >> >> /Type /Page >> endobj 10551 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10552 0 obj [10551 0 R 10553 0 R 10557 0 R] endobj 10553 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 231.525 122.505 242.525] /Subtype /Link /Type /Annot >> endobj 10554 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10555 0 obj << /Length 19 >> stream q /Iabc25276 Do Q endstream endobj 10556 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25277 20830 0 R /Gabc25278 20835 0 R >> /Font << /Fabc25279 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7r.ЎYzrv"euT1Brt~AG3cX-LB.FPQEq¨9 }' L_EԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶f՟EŐL$K4>S~3cy/ KaEK3po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10558 0 obj << /Filter /FlateDecode /Length 4908 >> stream x]͏中9@9 4語Z MxENl3)R_ʮAo0[mK)䏔d+I-;!NF~NK䨱J%og'oZ7Do'˳<gc>Nڔ:,̗;NZ+uJoY]E~yhp#ߥWh>:8$jԠFOA˿"iftԽtl(4D峈ԗgh1S*@9z-xkm<˜S%߉Vѹ=R(J!QfQ26**`Zɷ$~#]p/ܽ}nbxL "`Ct Ù6O9SbgҠiX0 0&yf{ۿyb=~Hj(lO䯨C X^(M4A޿"|$N0# |'뉎p 8n  #QwGD?MS#f HjY7!iHrh@\|9Ckm^:Xz=hhܤ t(_Fi /յ]m#V¢ $$vܺ`|Ehy$$5=Y㊼R^h-:T{LTVð Dv[Jyix(~Y! j7ɉ裝``3Whf<1Hu mxh6[pWWGLd,ň^[['0=ـa`ƀmjxGC/y]G^809`6hcAaHR ry(9)p0{8Pp/4'BQKL7Ⱘ0U, auJHŦ4n0y>W}S Ha\0ZH]ȖY`VDZctvt jاRAbJ&*U*TnQدRy]bJ_SZi zde4Ɗv(N\GzftyF&GU$}êR,YI~:uSj&Cw4@sLg9 L⤺E<0S~^L:X V!i>,%x$(tdzَ!Y_:x1Y.d-$h)[ƱUZ@e.EyRF  !֟F1IRt6Iyo/rgTv[US`5v`iMt܋ֵa,76.&;$,bQ!7glUun]U=d%?3׬4[XV$kj~fؑ>fA}<{{T4[2ow;v0Y8Tn =-tKmfڊ\Ӳ'{g"yrcz#A3iXL6wglMl}j[ة[;srSBD1o[^y M5|R F--VK0*> #T(F ڶMOhwL>)>aKZq A|F&6uKG}DK.FiJ0YFpH(*u.VY<:*>$̝,2yä~P̠ѭW$jC&U;-0"ѨPeh)JJ̹;nilB1iљES-2,5GADj۶XP*V% 烃o$Ӧ sX{Auo1Y S\-6v1TUha\ i`[,pFM*s}- ,aQjjŠB˪V;LE#يelJv@WCG`}7Z6^uOtU$[Ռj'q^85(łeVy NutpgQFL&GDe8!lY2fD 2BYI̖Ht1$*wé!7E'ShNz-:ugNUͨ&8mn'DOXs%㟀 [„O;&|bm'O~҄: >: |d&|LW ~'~in԰ RNH{wAJ\ٓR{@J\ړR}$'ڞĖ%.CJ\ݗ{%mYFK.~߷[6/e}Qr2JlYF(i%/Ķec6Jܵw P΍kwng_*=7%ݗ(qǾ-@7%K\=InN (= .&vBFnf02 3]cS%J}iB!.=;(C[t7{q-"xL))BR 0|!,P>ӋZ+&ǘпM5Mu.%c~YV|O/J3Сoq)#BNge†Ƙ(ryGi8{)PδEm@ˡ.;M\Lgɀp\rUXp&}@!_QeleIH4w^/,YoTdrLY[Keէgjr=W~/`|Z(iϣIrda]^(9o tfugz iGaj yMq-Z\Ic橪;6Q[F2E 8JyOČeOR"빀57-p(&AvS"j8'ƪ&oWzх{j`YmB~Ժ3Jy(h\\ς$w};6`Ujl+)r>6&rrpvp ޗ=7[nͤΠkO<JUuy^C>^cZ28;/>јԮF̘uRZ'}G#sΉb ?,eז =$ S*7`!<y-b|.%sLNpk5CyhrUO1d{SMLGz)Kv䀓==D7&k$YyR{6ej'L$K+|S=Y 0 endstream endobj 10559 0 obj << /Annots [10560 0 R 10561 0 R 10562 0 R 10566 0 R] /BleedBox [0 0 612 792] /Contents [10567 0 R 10563 0 R 10564 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25295 10565 0 R >> >> /Type /Page >> endobj 10560 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063011-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10561 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063011-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10562 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10563 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10564 0 obj << /Length 19 >> stream q /Iabc25295 Do Q endstream endobj 10565 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25296 20830 0 R /Gabc25297 20835 0 R >> /Font << /Fabc25298 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7>1W^NT/ jcȅ査=0f2 Zn\&₅Qs)N*N +L jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E3Wuœ}"juZG)ȃ;6+r8knT-=%CO4yrCodԑH5J\[-48יq|mau3j#x#?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwoKL endstream endobj 10566 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=909) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10567 0 obj << /Filter /FlateDecode /Length 4737 >> stream xڵim!g³83Έ!2SE75,1FGgA7& @6p!Xz8k,ԊLeubkrp( H!H8,w3!kw/sabJ4QN|^|^SgkX)E=ΜePLehNfSGVWR+,﬏HTe jKcs40ވiH9hJ#5Qh9ReqAYT XHD- D@)r( ߣ`WAdSCWNq`%/Y-_9Z&aK)q @(DO3 D. P.Rd4A4'*|VN093 (6Vۚ}`Eւ*ވ>otZ}u6Y o蠀DŽWʗ{+jP܄J)5yкO*Jy(z%QE22N:jy1$7篣9)$ JZRIx8x<'8`tU&GFĚ  KҼ$DB*aQL|J'[o|h1$^-+%%-_=I):X.K Q`k&HMi xPVwNH8?mBk81`1W+Y!1]cZ(4.HG62<2KCPvt ߘ'v-i> 6>)>M Vmf+4HVЀ1!&b_-Qmeة`2$Pc:eV˘M':=ȶ l3£cC"?4{K5{lDa3' q;"i4tVeG'{IǍ6_eQ/(*6KzhEj& -8 ٽdq  Š =6eiL[^Լ֩| 66l1R 4Pl\1\'so*9=EJP lңK f)U]_aϐV I -,KaKۓ?iv51A[МZ͔=feB?Aqx5ԘxQ?A\xڄ]M +dH2+$S_NTQ+[0*0,&f|Z[Oc"q;Sb]g kS%IX8>7% @uXUgEj33|xM)}Sǥ@.]gw3=9N Vt sM *"q]6K9cg 2cN!TJIqlI{Jxz  Ζ8#B>="oquapUK!%vhff[ĭѕȲV`!: 'Td']b#p-AU8|2&;͟Zf = <xSpAgFFgpGՋa~ xB};7JY yMH9-l<"i_ 54'ݵ29 FkD. dQqHqN, {cvָ\qz]l>=ٮ>۷@Zj[DbF5%1\h@NWUG Y|kiT-ˁU k2Q1_/-M{'}uz>?y$ߙӳ@þmo[G|,%rl8!)6'&i]o4cRmyn:=zu w}a>قw[ІuK$aHo9PA}>׿}տ;N˶ @8hv0S)qz¬?fQFvZ"L WJM2ښגwIB0fc %4OgV,nC,V:@Yu ~4j:7zE2:u)ؗ"A1\_;?NlQoZwȖsGh\fm$e'3m-AZv ,u]zn/s`{A+ ~fy<6 n,nrS랃xr-Üoa+=T<^{HN7}6؃#CI>ꋻML*ro}#ni X3N %x"?uh@o[FFBlT[dP>Z>=Gm@A+(w/LfiO&}MX͟Pij]Rd^,(ݭ!l7[?晸׻/@gKbjКF qe0o1]Fm?,uCqu%HcoqwU.o̱({^$wDkέUcd.H%sc~W@;it&+ʷ MIτIVUo ~E_7.>9ܡL\~_kixo jK<~5@Ќo co]+vIӡ.8['|^؟wπy#ó7 n>5}[tOcSxNpeXx?@ȄL@N6`8֌Cg}D?ȤC1o &$TBB 8oX ^dY2z>qfly OR#'b}B컮D[a$ְS;>6${d4kӀ)ڼ^ C*oW}3έ59$L'A.BviE?nW R=DV ՜kƠA[4hRlŠ)Uvjǰn[xd5 2(WfZѨ{7!Anl ;gL5#IIV ,3訬m,mc&k`MS-9PQeU9#2~ uO4>2͒rApv1C~R\CMnM 6&CmmQQ=O(3ȵzjx&/KN0(2F^:-ϤW#$c?M/ >NG޼e_kqïf}2>ڰ}jRxm[^y9\-o=/D`6oR'Z"PIׇa% ^B\}̐U]>؃k$`ӌ Yj4 %lqme.@ۣtjV^57+Kj+-BwQ.kh1k[ö&-vOO[Ku_}1e/D^Mn/ \Ɣ D^ nskJ ڜ .@XKޜIELFNT{xlG B!z~-*QCbco IX] ,?mȉ!C\"F:% NMt [a׳snuy[>>YK6%"X Ew#(s;\5##M6 *\-ȅ"DZ9\1l8/NJvG.Q C O'g#Ks w % 3^<,;J%N ;փ3 %g. -,pgoW?'j8똵6 D(+|\ꧪMRlE4 %f>RO~4qAvd2l709V`HaOn{j|ǘLca.Tp x㜊+TX8zx9zѯ iAaKXi2t;u%[""Ͽ\闋pyEU<}9z&-Y6!ChgGU@%!!<ӏ|@ʩ7w |_S_"E9aA\37x!̧ M^H!ӺK u "V&F|c4tp!}m/;x5*VQf{x؊sEP'$6P_ϢԲdK7z$#8@h -ֲA@4XjP{e endstream endobj 10568 0 obj << /Annots 10570 0 R /BleedBox [0 0 612 792] /Contents [10582 0 R 10578 0 R 10579 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25314 10580 0 R >> >> /Type /Page >> endobj 10569 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10570 0 obj [10569 0 R 10571 0 R 10572 0 R 10573 0 R 10574 0 R 10575 0 R 10576 0 R 10577 0 R 10581 0 R] endobj 10571 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 373.7423 145.4837 384.7423] /Subtype /Link /Type /Annot >> endobj 10572 0 obj << /A << /D (unique_476) /S /GoTo >> /Border [0 0 0] /Contents (get_libs) /M (D:20211013063105-08'00') /Rect [104.1732 357.5423 140.7262 368.5423] /Subtype /Link /Type /Annot >> endobj 10573 0 obj << /A << /D (unique_475) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_pins) /M (D:20211013063105-08'00') /Rect [104.1732 341.3423 160.7187 352.3423] /Subtype /Link /Type /Annot >> endobj 10574 0 obj << /A << /D (unique_474) /S /GoTo >> /Border [0 0 0] /Contents (get_lib_cells) /M (D:20211013063105-08'00') /Rect [104.1732 325.1423 161.9287 336.1423] /Subtype /Link /Type /Annot >> endobj 10575 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20211013063105-08'00') /Rect [104.1732 308.9423 148.9102 319.9423] /Subtype /Link /Type /Annot >> endobj 10576 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 292.7422 164.9372 303.7422] /Subtype /Link /Type /Annot >> endobj 10577 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 276.5422 180.9312 287.5422] /Subtype /Link /Type /Annot >> endobj 10578 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10579 0 obj << /Length 19 >> stream q /Iabc25314 Do Q endstream endobj 10580 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25315 20830 0 R /Gabc25316 20835 0 R >> /Font << /Fabc25317 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#̧5J\[-48יq|mau3ͪ_EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMP endstream endobj 10581 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=910) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10582 0 obj << /Filter /FlateDecode /Length 2756 >> stream xڭj$9й!c/$83톹`C'T=Puߟipy颈RJ!}UXVw!W^t[:],.u~{ǯO1^[DT8x1guz/@0%`㿎p椝#`Z?2IGS1+~'~:%+$"76#wL)H hsL0`LRN#i:A>I&@\o\+ "aDyӉ X[W<"('_BD$܄ƼN5,,ŵdohH"6q"9NNOۡ lq)ɛ6Y% w#qk=$ﲈ9Tg7CbxeIbu ^\XDAV2bQh\@R=yXVuy"p+y< /|\[A8#ئ3!( [CpbSnVOw-Ȝ\wx̞_ɵ|Rf(-3׳ANjD8` REN`$(8`P_wpA;(1-eS8~dv25B2a* Ӻ0; ։SE 5:St91ZÊ)"pѯKdFFc]P&*/11 Э=4 O (/6^ص=zt[wT)/pO;Ve=臘{Kٙ>08M XQjBۋ ,mѽLW@>\yl6: -oB{ˬ:w;^OPdo.ynPs2R{[Rt ]!B'_5 Fn.bP/ =5BV !@X+>B1&+Y g9Dͫd TW\8CL.(Ea`uD?뼸w:+]Pք%$vЊ@Gou6C_\RM |E?A<2RgjR_v>@Q3Źhs;y/xCESO6 Kl}liT``0m8xZs HHvE|A_ ˊhג?F^ kIGD~샕oW&^fB߳+7=NUvY.Ofltdma^ٳTT3,U͖e;nJ`G[$_'4/+d ښ$45r2+6K2@D@yJBMP>œ*N( "o &(m{<{a6cJ$iD`ȜT ~fdh' aDMzg@,7d<M;*'IPN8|^M];1 M3%Γ7agd@ +Oj m sޥFd'2NIex/P9dI{ۆ 1\}?|1;v}Ar ^ ׮1˂=Qۑ[Α:F42˜=xtRu! >G{/׵]Jz[ICM ,@`+qC9 p瞈??d O11 3Yy PAeG,x\]ջ.xw+O.!ZDP#s_Bu07fVI&9ǥؓ=!]M&Vk90 =dkH$SXtzۢiՠ\1 endstream endobj 10583 0 obj << /Annots 10585 0 R /BleedBox [0 0 612 792] /Contents [10592 0 R 10588 0 R 10589 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25333 10590 0 R >> >> /Type /Page >> endobj 10584 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10585 0 obj [10584 0 R 10586 0 R 10587 0 R 10591 0 R] endobj 10586 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 319.925 122.505 330.925] /Subtype /Link /Type /Annot >> endobj 10587 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 319.925 161.9785 330.925] /Subtype /Link /Type /Annot >> endobj 10588 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10589 0 obj << /Length 19 >> stream q /Iabc25333 Do Q endstream endobj 10590 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25334 20830 0 R /Gabc25335 20835 0 R >> /Font << /Fabc25336 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫*жYzr"eYHUC.$G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ&o#x#Xl˜sshf^2 +?ɜ F0p|sLjT:I*d~{UXb^@wP"]@ p94C-Zݛ endstream endobj 10591 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=911) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10592 0 obj << /Filter /FlateDecode /Length 4082 >> stream x\IݸW)IFz`ni 'gf;}ڸIzݯm~XŪ֟W?J:], :?>~}|Շ%~z`L'gԻκF7Jb~%?~xRIr&+WC/?@Y%x3\]տSxVꏬ cܺ.Īp\F;9{Oא1 9gFY/q%Z.G%?o4 ZX;F uB|-[-^R蚶.Yc%7_G;4R<Ă̬k\ P@V/?i }.?{HgD=0'K&c-)`*0>/J :◐S!%m^ע:}=w;ajlvVP%4Y?6 59?b/aٲVe.aԁI;I[9BYBօmGft{㓏fd'l cQ69@'qЎ]LI#FFrk`'€[))!G{![}82ި!)H/Pġ(rpT!=Ι*~K BqLSV'0-tDnvb\|:&u .*72GRAzԣWJ=zգT'w)EC:Z4rָ_@__l ȽOdfEzbRE/^Pd jp@/zEg݋G!aFT2بcK8UVԷC'*qqT8_{ /0cLJ&(c-8TCJP_IH/-j83 ]*AxiXbĽH}ڬԪT^Zo.C65kipbSa&E,J.9̴Z zϞ4j͕} tH JOgaη[3 iqgXl< _n\"Ql\!)/$A\_2R$ NDVg&ΈJ{Vf#6Gd7Qf25tc@jW* uYE A]ۡݎ68fbuC-SJ] A LGavi# vexA{@<nnxYa-,[XŞ49ı4۱2ġ)ԭvzպ22L8)Q0`D|m"=Uӫ*ի6\'87 !XУ0߂ᴏ_Sw d(ce&SG72hqW 0ʸQ]'ձsͅ6K+44%cI ɯj\`itGDP=ހS W (5;J]Pj(% 1w-ӀnѤ^F?fÏÔ6rQ: 82h@]Q, WM5}z7!r|z]z|@oFJ'̴j5s(M+Hv摸%H+6G R3/m Ok)8xaڦ!֔G1O<KT߳:~%%Y>!blKlHFѨ#j Ū#<+P+O:{Ma>o>P3y [Y$͘"LwCXs DT]M=7>5]"N\x^ˮwkzHYN(temgkh.ZIf#^ #f=4+G3\m'-bJ&<$nͬ&Ad_ES0~I=l -/sh-`adF$2񸻄W#^]7;֭ȧ p>* i^՞.R#AsT=%el}pd2bk^@k\M!DE? ۖ' û7k;jPF2v,ՙtp<ٌiҸPgt:(QXUQs%YX1L_2,?kFp\Ma%$2ds0RT<[Ϭ.[fZ[l22dܶr,`9wZp0?KLZHʻ.35|38\%WK>2W[Wϵ zU,y92=r+%c֝]b} ䷝"Ń /[(:dx/1ogu>qG2!v |0p`N&'0Oeo@Y7* [)IYn;X [,fHW8~{wx5iAL9)3O.VdkV7J&fֹ5 ! #g킛pu #7^ҞcKJ+p\8ɣ6*GlkxRD $_/3\KR**)V-ITDOLl@LRVڒlHgQynҡM)jZ㹿czv/"BTCK֊)'7XUk?*& KWX\xnvΑ]e )d[?)tnK1Y:.|l9GqΙ WShl^%~6ϲI8߇{>O=I&sS~a>U39GGD0M4ߣzr4%΀a!0(0ǃ@ 52;=Lg Ϗg-;w 73*XZk4 YץAɺv٠6OUo'0ͧM;9RƽDh•{_ñ=gn{ˁ[/SD:,0_!XW endstream endobj 10593 0 obj << /Annots [10594 0 R 10595 0 R 10596 0 R 10600 0 R] /BleedBox [0 0 612 792] /Contents [10601 0 R 10597 0 R 10598 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25352 10599 0 R >> >> /Type /Page >> endobj 10594 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063011-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10595 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063011-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10596 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10597 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10598 0 obj << /Length 19 >> stream q /Iabc25352 Do Q endstream endobj 10599 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25353 20830 0 R /Gabc25354 20835 0 R >> /Font << /Fabc25355 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7FthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4 EŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10601 0 obj << /Filter /FlateDecode /Length 4797 >> stream xɎ@) `&`s-\)gبim|||Bi}ֳO'&3m.ݼjNOW7k= aQZ-kΟ~qJy<{8v/pO1 ?OZeӘe1?0j:j߮PGNJB~,-ѸBʋZ8|k[iJSRCsyH]3s]I6fIT)L({^ }UCB8egRIC*-1fp g\ӵ1 w+ZX%e[=ѐ^J^2/yRb|'^IVIJ`fToȶ $bmt}38D:Q x_Vs6?!Hx}BqǀP ^D8[a.jmU~ @:ItydDPv4 'v-QHKO [҈U# ܠ4*6~'ݲ1!*QeLD%/hdR4D8"L5KD5{s1{lDa3gˤ׈r0>ȸ^1 @A7h96B]MTQՇZ $cbw=GF*,dR1ks4뿓[tp~ڀc5L6u15vxP#<.<7tGP}2{}L͐\ZMxw\2X-/jnD ߑ{hC.96!mM͡o-7d+ag`j*'] "6^JCvX6%LS>ZVĺuSyXdFn;V(V\HR} @̴W ~4b&jA2]|_=PL:Ň'>mmtd#M-ݞ$f`d3mn-N@#XPfZY|)kV ~dwy<;o6q wgʼn?x.|4- 2}r }&qgEǴV4nIzm |mS)|K~[}O(Fg9MKR#n $u_%rViDy ֮I2S_:9iRZӔ# ub~Sޔ#z E+;gOg)Ц $[fr8~aT#Ƨ-p9c!"?VL;Kb[m0?n%/hK%.L]9>ԪkJw2MI{Ucвu/ږ εh)ܙ^\cɲkW&w[EqkBqo'S}Ux/g1ߪa *ӵfG]2]ʇAi!!( 8y@|XyA͎Z;_XN/E1!&KIWz;NHzN:j9J'S}{!ޞ$=EyzU\mvaK.O/s\LD2.d \  z""G?8b>m1\F O)t-X0~>L̪J#a5~:% 6LԒ(ߓ֋/Of2S(MDb'88k0l^er~ ≀ҔBiYA)(& 6bIwf\̈kwn1zIp HPq>+ cio ]=F 6Mb5>X X"rYZ/EkzN#_q@WB,D|!.]c[ƹ|<Q ON<O"%2#Gj/d4\|%B':]Ų/T3gT|V8b2`z1򙍙ܘ≴JyKyt;Ee2$fNe"g6өN祘&hL|8||ˋ#-E$`k]iDŽ9D?O X1V+yl.c!טl2:u,vRe7z2/H&ue'ma;*Q]\}'}*?y=Gh={ q.$#`+H@'X&!|\V~o Tg/"EwXp'+gpQAƾ K!TQ'"&hF\e^nVz٦?e)Wl,bl/4>h,?ʛ 'IG-?F)bV36/ HF*Drh MfܹgԠ> endstream endobj 10602 0 obj << /Annots 10604 0 R /BleedBox [0 0 612 792] /Contents [10612 0 R 10608 0 R 10609 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25371 10610 0 R >> >> /Type /Page >> endobj 10603 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063011-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10604 0 obj [10603 0 R 10605 0 R 10606 0 R 10607 0 R 10611 0 R] endobj 10605 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 352.6346 173.0772 363.6346] /Subtype /Link /Type /Annot >> endobj 10606 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 336.4346 178.0272 347.4346] /Subtype /Link /Type /Annot >> endobj 10607 0 obj << /A << /D (unique_555) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20211013063105-08'00') /Rect [104.1732 320.2346 167.3957 331.2346] /Subtype /Link /Type /Annot >> endobj 10608 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10609 0 obj << /Length 19 >> stream q /Iabc25371 Do Q endstream endobj 10610 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25372 20830 0 R /Gabc25373 20835 0 R >> /Font << /Fabc25374 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 10611 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=913) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10612 0 obj << /Filter /FlateDecode /Length 2928 >> stream xڽZK W@W~1@n!ɉ7%T7*$"?R7m;篺Y. :?ZxgۗuO1^[D׽1'g+\l _ۿWL(eo?gl8]&X&0;7^ 9h{oFB/% "crZHxPeO63+ 0y@ 8RF\PȪ8ˤ.>X&|+Qfm4AC~σK.}%ј}p"],#\#<%dSӻԁ^R?t;zRU4O Di@h 謯paѮiL6j61 Zk (#iqj(S* Zg}o x̺ON~NAM*-ayv'̯Wՠ窝+~)KtdEŭ>tZm-2;ѧr| e쵈n2vkLX X/I@cn^mY2u+/lRJeUFަ;qC[aF3k_X&.֞ Rt%Vjv1[}GK зx$m;{l.ѽw#` 8"012B)r'Vh;7[u+lZvYclB$.ŭxz"x{'t IY#c}ىBn%h|أ{ހߖ%0{YN)7lQTػ`ctA]: 1ٮ7ܬHUR&ͲH*#S.# A0ǠĶ)u:_cET;V/ &N0 ؟6vف|N= V m3{f^c鷅 /(X?6!AMVdHPCyklX>V=o,5Dz$HL4A/#`X1sR a b2˯ z}O͔rMCw{H(+;OCyAk?Q 0qPwEzFP$vFn["̶"O2(XWmcv{[?]I Z"(FuQiK뇪p\<+_}v͆SL?%C=n2!8T@EMTpڲWRK6P5l.r┵5p;>R\k\3 nfQd? \,Kf1ͳm--H9 ̮?@Hk@xg)&<zK Pxzvm8!O3'[^*,ˆԋĞvI @}[Ae$c{P|fBQhAHQDJ&+dҽha=zk*7vP`@c#C56X'09n}{wڈ W2 Q~(sCȤA@݂VW/? dTLnV禃xuinlj:4lgA\6P(MUX(6o4mϹ*]j"G|@jR$ժr'Ȝ" GSsJ"2k<% 5ey5>q W3ee8XhpY d"~t EݘX Z 3e-ړ&ANlZeM(-)S? @Pbn6[=V{3.i×5{ 8(#&EHwFG1wn v# Y0X<+FƮA}J*~+[,>Li~x]\& TnvҊH%&ֺOvaCJ%OK>W[Oϣ zuB]Zi9HW"wL{qpNK/v5ĽNM jHB(0e6/dz^ԼhS`/j"p1?Cm疐)jxn\&_KA6jz"WAK{F4bbMS}!{:wx֞u1nZVrs)AJSfRՌT@][,euCRr%O¿?#t h(3?ZeRU3F& fI#.LĊ>“^<;yUH5ȫmN1X8rT1q]ZYZ)ђpgҔoL'ci= zfdjo>s3-Ìڟ=}cV2{m"ƌ:B[dXQr^ct h"&&l|87޻ddzo] RX4~0S-+b}y1]ZԄ줟 FJikhYE6Vq{ć,b]+UOdBmONW:" \}GY +_=V?!QI_1,5sW9C3#~2ƞA=ZRm& :tV.? y ;(0 zŒWx"pA(tNrN 23()*:|,x@.nm< ogQޙO.tڋk"y; xP@WflJHxȥܼ'#xIP 夃Vbr G5N} t N wDh0jI d endstream endobj 10613 0 obj << /Annots 10615 0 R /BleedBox [0 0 612 792] /Contents [10623 0 R 10619 0 R 10620 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25390 10621 0 R >> >> /Type /Page >> endobj 10614 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10615 0 obj [10614 0 R 10616 0 R 10617 0 R 10618 0 R 10622 0 R] endobj 10616 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 339.125 122.505 350.125] /Subtype /Link /Type /Annot >> endobj 10617 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [127.818 339.125 238.9565 350.125] /Subtype /Link /Type /Annot >> endobj 10618 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [244.2695 339.125 267.122 350.125] /Subtype /Link /Type /Annot >> endobj 10619 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10620 0 obj << /Length 19 >> stream q /Iabc25390 Do Q endstream endobj 10621 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25391 20830 0 R /Gabc25392 20835 0 R >> /Font << /Fabc25393 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<oM CZNNٷGӮBxg(&FEG7uߊ dE4 > /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10623 0 obj << /Filter /FlateDecode /Length 4285 >> stream x\Ko$W`|wXH+# u ^S/{^K4bb]M[mW]ꬎA/ :>vV??44{ɘpR,W:*ֺJ3NC???o9|pEGڅ߆/?P6S 2lNVrwYhUaIxmGI4s1OENw:uN)dB@zlv W#V?ut ;!O(V:wpyx,p )1oJ+ "1XcRrޫ_NBǢ*IoE SW sI[cYc&׿/(E 5 7)gMagQ;uN0# '(|M;)?߫A769/ n [[}\>"|Cɟ FHSqS4Mw5MA1Eie i yBS̊yJTWY< FC{k|i/d`ՠs3ZYR\OѰ5GbI/: $@xܴBfanQK_eHGf!(ީM^FĐ vsĜo\/XqX@:Z~Z*!-]emd6*4859NaCuEV8ZkR8p_W<3  SOnqy;lD4=> уиݒ'aݛĨ8yڡ' Gs½yj܂[\fLr  A8YW e=m nӺs'zàǢ}wc PXGzFg#x'U n{]m}L{5+ջ$\ay=IӻU <a"f_TTpP"{xx]^6b5V$NЈIL^fޝ"u%eoPs1KSݦ87\{ uZiQ8'C4qRg{y%` e|!߬3k?VNYr UHQ"h8ڸ+6QF& Э`Տ5-Yi3wޥz2>;8@HpADp/%.h.g:ƺC6ICj#nRmRjh.R}j+\ bd,p^:* hy MAfl/"Zn%B*ֳMGUV~4J sgJ+KK|^3@|@eY+.w*U#MSxoWdNjlfq)]<9c(~E|PlȕZs5vӬ؄"Y^T3=2ج(FA*U۾XQ j.V v:VL!,c 55 ,WWphr mз)SUL}-:] ]®`nuЧa:X nٵ eVdN`Njcf1p׍pR'f7I'7;}zvNp'ԟPvL mͤ^{ @f ,)@$@2g@Rwˋ&uEa s ; &u3b!i| >U uɤ<9`}R_g|҇>#$jҴd瓤ȫ(*/ '.bw0}Ԗv7d'@i 5LdWm)pDTݩ8$?<8)H,rY P,,+IӴ]qA&g(1L.yN>3Ae/OxN-ט%{Յ $xHx3=$9dቴu7&~ԓs,G2SKV,##DI( >L_Zhlb%A|k,Xm)JnHF28 aɣ(wBǎu?3O0xSG91U@CZcj"zֲd1&;6  'M 73.Opz/Z80e֕Ao⯶GdG;]P^[2} r.dyFDZKf״k i %/ ܘ?Lԃz˹$A谹WƠ1享=+Dh:HQ=+]siJ!'<%wzAEvHs5[`utJs5IZ=vJe >uNaߠ6TNS\nS nod&4O!v..,'R[:=Lɬe&)ӯٻ0SvD‚@~k[ԻwiN|8bїQ_zG_&vu!,v6$D7_ Eqso .!O_|8M}dv¬3c3ι:U]>"u MFXP*_pisxE26jU`L<7k1%7~݊}4q Ƨn56-h.g:<+j55eC$qBHυh T_Nzn!\+";Z.p[ 'x(.sq69Բ+RzTQq醝bL$vx '6>m_R[΍ wd1%BIk)-^3u,O"/QE+5jvB<ޗ܊vP\aeGXĹR&#EՆ&hSSh]eoE {iU޳D_ԗ,@~,4ca!;fe>wޏݷWW0B#8x}XBS3˵GsQmB(\/AD\W5 ^.:<,B=nc5D5P(GCAmK/g\bO."ܰ;i=?ѣA>D2J'{.4j 20 endstream endobj 10624 0 obj << /Annots [10625 0 R 10629 0 R] /BleedBox [0 0 612 792] /Contents [10630 0 R 10626 0 R 10627 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25409 10628 0 R >> >> /Type /Page >> endobj 10625 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10626 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10627 0 obj << /Length 19 >> stream q /Iabc25409 Do Q endstream endobj 10628 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25410 20830 0 R /Gabc25411 20835 0 R >> /Font << /Fabc25412 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶM endstream endobj 10629 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=915) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10630 0 obj << /Filter /FlateDecode /Length 3526 >> stream xɊ$_@+EBefom |0>=cL2i%l EHOo>+4WV=W[u8?2FҖ8js7': pzk6Ih 0'bRx&‚Q#>0l-,©6EdBL{e ,0^' 8i/08GxnC,)A#J!E";ukdq F;`K\%FcIآ@\F3i%<6,3RQ6bNi?d r &y3F0ǐ%xb>(O%hYޔXKhҴqO 4:T|'`]> Ku є8/c`+'|BN#p%}HG Kq!BNu܉e-AȾ@NwlɰȸƬk*lCoLzNd3̛ꕫF1l4('KJl()^m`I[ =nhbe |W4Sm l*ETawvJI c$[1ҴEI-Ji:J$%f.Q S$}V9LORKP]PCVGlufb#jH:j JH;>UEqdz-/ ;˓w!Dxs N#uhkܝ x*dHB^S]F@_pj W7-nPpBvqԥt3-uܴag1vO $YAZ\ff]WH))teIK+Z[H>>dn9t2I S$&hu xl;zwClM]|:}%k>MVF%SťW s<ÖՌds<5Ʈ3CUmCɎr[uaK8wudӑā.M¦(L(TC-JIPy$ѓWwN/N6#_-Xr1&ʙД.\wBjoE>-$Բov9?=)իZ v\.ζ8mMGYjwX?fU(CGƧM{eF_`hfznt#Z/ "]O1o+Dv䔪W/6\Xz-xG?LW@k"cE(}aՙ zљ[*%Ji(D?oWEL.g1X8emXdR&%I3CÁi&rpsB3tgQdS`, 8R,'qX;&nX0q,15Lbt!VuҶ%4χpLRd.0G˥ӜNn20l:|}0}j_~\BiM+6ꗟ'|`/u^2 SHMA/?+XY{9s*Kj8H{}A1J&N ~{&r#ԣn:^<;^8YNl0s uhn8FAwg^Hga8 X,ANrI\x¾yyaS`p'FNnu7Ӕv)jX<;N9` tGbGn@#5l%rbKx[ߜg|{ˍL}yVrAyxuY*I&}=7dã-{h{ <v$[lyAF凑OYT}_wV>~E9,X^}ځ2L ծ*WwŞ<mrCDGCЬVZ\S\7;{}ZU1HxS9ε3WԲ`{7|Ѥ7`ן qcJ9X*C5`ע'>L :y6!7a6{tFc5B&Z:];wFPȳ6!'`\:c|r?6LFk{9;cǰQ#U8Θ1.Pc2VP |&vzt0n'˰ |WbBeM pqAi ;;08唾l_bIu$AkjS#oyg${dn2@ެ0a>헞Ėy}T=ǕOPQk$opF-`ZM,7ؕ{FV_]SeQX+#@Q:/=:܉oɃ 9EleȤ 0O);lb /vtb %~1{=b&>_kVN ߏ<%<ҸSD:MQ)ո>y!g|.>>I-,A?Aӏ+=@Ȩ L_At)ts |d{ǽ~#=AN!8cQ(=NL}Z~xDxBi9.0>=|G6O]x 7e]Zi원fm)~ endstream endobj 10631 0 obj << /Annots 10633 0 R /BleedBox [0 0 612 792] /Contents [10646 0 R 10642 0 R 10643 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25428 10644 0 R >> >> /Type /Page >> endobj 10632 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10633 0 obj [10632 0 R 10634 0 R 10635 0 R 10636 0 R 10637 0 R 10638 0 R 10639 0 R 10640 0 R 10641 0 R 10645 0 R] endobj 10634 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 181.0247 686.7] /Subtype /Link /Type /Annot >> endobj 10635 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 145.4837 670.5] /Subtype /Link /Type /Annot >> endobj 10636 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 149.6802 654.3] /Subtype /Link /Type /Annot >> endobj 10637 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 164.9372 638.1] /Subtype /Link /Type /Annot >> endobj 10638 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 195.5447 621.9] /Subtype /Link /Type /Annot >> endobj 10639 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 180.9312 605.7] /Subtype /Link /Type /Annot >> endobj 10640 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20211013063105-08'00') /Rect [104.1732 578.5 175.0572 589.5] /Subtype /Link /Type /Annot >> endobj 10641 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 562.3 165.4707 573.3] /Subtype /Link /Type /Annot >> endobj 10642 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10643 0 obj << /Length 19 >> stream q /Iabc25428 Do Q endstream endobj 10644 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25429 20830 0 R /Gabc25430 20835 0 R >> /Font << /Fabc25431 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 10645 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=916) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10646 0 obj << /Filter /FlateDecode /Length 1324 >> stream xڵXKOl7 Wx];H 0F{U5Tc''9À3`wM*Cȿ/?<DZE19ǧ+#xh=ƃorJZms߮;=^~LQ b ;X=t'̤)d2P~@F-&j*jU% TN,ݙ'@SG}V f! T_pƚ˸}dxG0漣L`a!;s;gfV 1է\Ej jE117U?u:v9wȺyQ,ut$; J61`aIC4DmىsV P,G.xKMĔ*x]:'Hڒ%HGДH-1.U͈4+b#ycwmp4˛ךÇ57ʫs Nw.]ĢѶGszv1F>ߞj 6+ j?~:`9Nz֢ w"xjk`8xTX bO)jw)iI1jNc m +x> e$ʶyvmx>̔Kv(8њhJDž8qkrVSvи)L~fa[ )Lsq+!IyIu GlGVn2ԤBVϩ")=B=їR ՉDӛmWe.eho}qխT\҇W}Wx1oZ5RvCOIֱǼ7ҫ6O4i@hEi?\(2顏 }w@Bힾ^ӗZߊΊՠpoG̨ɩ◙wTz`7' 9?"F r5!F*6i,IRr[?I(gI5]Huo0 zH"|ꊏS-p%dMݤ. endstream endobj 10647 0 obj << /Annots 10649 0 R /BleedBox [0 0 612 792] /Contents [10657 0 R 10653 0 R 10654 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25447 10655 0 R >> >> /Type /Page >> endobj 10648 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10649 0 obj [10648 0 R 10650 0 R 10651 0 R 10652 0 R 10656 0 R] endobj 10650 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 369.525 122.505 380.525] /Subtype /Link /Type /Annot >> endobj 10651 0 obj << /A << /D (unique_77_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20211013063105-08'00') /Rect [127.818 369.525 175.888 380.525] /Subtype /Link /Type /Annot >> endobj 10652 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [181.201 369.525 213.849 380.525] /Subtype /Link /Type /Annot >> endobj 10653 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10654 0 obj << /Length 19 >> stream q /Iabc25447 Do Q endstream endobj 10655 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25448 20830 0 R /Gabc25449 20835 0 R >> /Font << /Fabc25450 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`ӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7}3 endstream endobj 10656 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=917) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10657 0 obj << /Filter /FlateDecode /Length 4470 >> stream xڵ\Ko8W<4|S δjs^ŗL]^Tٙ`0"c23~loS3SjKӿg?Y3|LnzyqfZ$>[ bVus+TFssz~q᳝^}bço^b^^3.59);)4 'uvZ|WMm RNlD;I){Ers,۴xcs_E"+zǤZOH~])z87Kցq 3*U $Qܒ}0}j?͒&l8LP0AqңRVPJcӨ޿6'j}8=N Gm,'J;j'+c#c^'gE$pزi-a2bLDuvOʫ;33oZ\$0+H&s3_ ME:j_o"l/K!J#uT&yq2qsV5;d*vН bQ+nCR|&zi. S LOJ,Η mB+<*<#pYB=7nIU_EF(>?WJ̃Z຦HVg cǼ1y}ju 훏:=({G#zG1nXe[1}d2_לUmASSWII2zwm]lNb<6/owlg24g Htd`nNŬlF$xwKYpguˍ!r .lCeH@ad\V\/yur] ,ًMm/̌y d66(]HLQ_x>{q}CyI yPk8TLcR?V4[~fIQŎcF/TD.a)[鈗7=mu}'h+rv[9SZlЉ:1+lF tz׺KV ި)pnC^7ƳiX}%Vl;NTHzS|NY:-C4X{_狯r.л=4m3//qK ]i['?x0c-<mh _h4' ZX'[@!Huv#q:[@TШ͊Th */E8o!eu4aPksn10nԸ! c6;8496ivpǔΎPxS |Nel60X.G,˰,f {׉A,]= X)3N +@v=v%l< ;8)w's'T8]Vpn~NjpR#4}@KvNjE:8C NqշsI|>zzYc@ʊT#m0P`}T\i|x^퐓I_X`]?glWr\i3)HW<Fͩo|<ܟ ʃJZ3g ![),%l~^5#{a*՗YI*_q-3ZH4W7Ļь~Qu*g_m:Nm ۧre^L;k~p:PI(>.kN{zGn~ru#G w59`A|Tfq6ɚ(r4>}VtѶgKfWέd{AY3W;]-)U.T }hB&GY߭1@f`\LIp;Jhի A*]c8khDcw~p~Ro - I:zhс\mđ$mBi;ϽJ.g}HZ \6 m{]|4fX;R-O렡Y(ı}l76Xmg%$My}>콼[jڄy1宇۽}vq!6"X<q ?Uu{`S݄NP_X;y [뚈7 |+IH24D#nOt;öAnղqEXTߡAA{)f:RzC3|bpd _E9_[xe$cT+&k>tײ9x&A$y~T[VݶuWD"d{ǷF.ǼM$n5ȓ3lak~du ֓zUc }`kxj$Ҏy0uJ9۬_Ǫ=e4SH1J)e=O,Dd=N@'gaMG\qIX H&cJR6SsƳ:`i*u7/"3h"Y@fA}lqPY Wn$ӆs[Tk3ߦGu92j]`L<7{!-u~^6AM%opDS-pE,'w~h.FI4̥ȝXpsS !#_,7E}^+"+zqN#)1˥u E\3G/.)WiB5n1)NjWtd*hw{CZ.mHKDxeמ2ݣrwk"2uV6TV:I}˥Tuv]b ,'YxzőjKemeSo]eoEC ݵx.lu7G97m[z͵8a+LxxM$8nxۖvN$3n! ;o7F/n[黿@Bg{>Hp!%f[08aMr`>h~ ')E:+9UI~v2*pfMˎ_ގ.Z O|0Zkh&c݋^T,+kƼ乪?C&{Dt9m`̞g>ѣA.H2NKa^4jV_Y endstream endobj 10658 0 obj << /Annots 10660 0 R /BleedBox [0 0 612 792] /Contents [10669 0 R 10665 0 R 10666 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25466 10667 0 R >> >> /Type /Page >> endobj 10659 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10660 0 obj [10659 0 R 10661 0 R 10662 0 R 10663 0 R 10664 0 R 10668 0 R] endobj 10661 0 obj << /A << /D (unique_148) /S /GoTo >> /Border [0 0 0] /Contents (delete_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 283.1423 217.4402 294.1423] /Subtype /Link /Type /Annot >> endobj 10662 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 266.9423 208.5962 277.9423] /Subtype /Link /Type /Annot >> endobj 10663 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 250.7423 217.3192 261.7423] /Subtype /Link /Type /Annot >> endobj 10664 0 obj << /A << /D (unique_53) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 234.5423 212.3912 245.5423] /Subtype /Link /Type /Annot >> endobj 10665 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10666 0 obj << /Length 19 >> stream q /Iabc25466 Do Q endstream endobj 10667 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25467 20830 0 R /Gabc25468 20835 0 R >> /Font << /Fabc25469 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Tӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10669 0 obj << /Filter /FlateDecode /Length 3212 >> stream xZKyu~#if bONA0`_^|ZtMŪ2J^RgU ^e]c9=xf˧>Uk^QFÿsd}yP/ N^uqVWeXYq G߀t`N5xԥ7&due8&zp9y,@qe.`}ׯ@M op1M@5 ~RZ |WeW#@#"+WFO`Z9j+ +ghIJ*$)+8/r7܀f[0&&!c8)H|?HA%,@/,b`̪cP'ezyC(~ٴ|[r0cLT2%9Hy8WL8FWIV;$ݫV,y,u41lW<]3ߤ;=TiZ; 3vPpWQ`MG:b`Y@l{A~2ukLX?˼#⏮S\|~5e*`9sebz"W@J[hiJBPOЫTa2wn3@6n<V'%)gOSYSeުg08b5q Q, .tH7 e7gx&JH'75L ̊Β[D{"Ni ō隭_D3Oc#gQ&\cnFװgd}x>z-h=Gc;tZo#iHBh "zM-"g@AҢOg='C ~$7p/Ga sn 2zZ1ށ"߇C>Yw '~IAŁv綝 EwX*jW274_GxK,B*FBc_gPz#Ii{h0G4nC endstream endobj 10670 0 obj << /Annots 10672 0 R /BleedBox [0 0 612 792] /Contents [10679 0 R 10675 0 R 10676 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25485 10677 0 R >> >> /Type /Page >> endobj 10671 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10672 0 obj [10671 0 R 10673 0 R 10674 0 R 10678 0 R] endobj 10673 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 286.325 122.505 297.325] /Subtype /Link /Type /Annot >> endobj 10674 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [127.818 286.325 169.6455 297.325] /Subtype /Link /Type /Annot >> endobj 10675 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10676 0 obj << /Length 19 >> stream q /Iabc25485 Do Q endstream endobj 10677 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25486 20830 0 R /Gabc25487 20835 0 R >> /Font << /Fabc25488 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10679 0 obj << /Filter /FlateDecode /Length 4131 >> stream xڵn6ί9߳_:10`N2t_Xܴ_K,RD-J-g#_ei靜48ON-_jn.D+_?)`MG;jS`A,ǹPz8]zgxw,0{ʘ+ J)po|~_I7镫7E1tQYm#\,83䗤Y+uYyv~YAÃpGDWR1Ծpz5ciK)0M?Z 0rk${z˂)L6*5>C3!{iFKp^--ȡ%M *@q` W's=kmF7Ǩ_F|CCx ɤ$9zKcY|#JtQYV bQ!Ϲ[mGsof8R;P< ~?M"#?nۇu:>e[3za,K4'>cAG߆l. rR!"0x\ V^k(9-94;>r7^[ DaמoM>MWf~tcQ~̟fWϳO` q10Ts sJ O0KXVR.$swQFC̲@QmʼׇKd ڏ8<'qm| 1#i+', MFgS):l#<P(hcQm(``JUxyXM`iva ;U+DV,+ *p0npMцC7&lDdڰ& U?/ân2nq2, V mdhba,n*XiFV`C궶u*ZC ' NX6lO鬁yH.ą%[é(Y.[K$pZ_dop)K/J&gdex{2f#˘IN1pW+é!9,IlooNSc.;Dcϒ̅p*^JtGJ'yo~;I~ ?$?2?OOtg@]fH~jh9IܰUHuQbwW%?*GQb@{%9j;},GuQbg(樛ǔ8[Sר[bJ}ԙՅbJ\uuLu}1%n)qMwKLbJ\W]Kܹ6}O|`="cAڒL!,?S3I}ǘ/|jsMB.~Abcŀ)滣H4^KЯ^W>΅X]̛ɀۥm)׻ 0Sۗ#vv6ȡwuC8( K;ϠJ$ސϴyT>DDٙ.L?Vwf<` KwtlT#B9$@E()yñFgS ұq0)l>@2Շ.q`^H#^Vhl=r713=13-L{P0AOt<\:g[:VS&lUȾr)+4`jNvoߊYϨX)8V c7ʞl@q)^+N:&5GG5-FdZ겎Z' |vh CU7u %Ksiaԑv۟櫴c7mv'4DςP'r7D܍m2? Q'Lhi 3D#ڙQTP|Ĵu/*v60G3jA=}ȸsk9j 6b͹yǼX2/`>R P? 7;dq:k} Ҵ5 "0#Av\q}2pH8ӆF%&"@ 0iW<Io?I^ j/6,5 n@2@;tr^ZYAÒK?v]dF* @5D43 pׄlB*-%x`bln>'/8Hy8'mYGh}}Ew &[dt3d'q9 CcƫJ!#cnG/Ht=*z dSQEJH$Sc)٬E8dHM\ Fb8i~AYbCnq+ *95bĔJ=ʗG`IVy6tᖍnnR'nHVQ0?]<#iUJ2 #ŏ-kSbE1M,:ҰS؍#yQEM.[ҔQomc&W}^蛾xج+[5_q)Y5QNK~?k8"Sܬwx;>\4 'QooÖflAىn#^x\{~o#|S#wpVJe />=GԮ0I>ѱ)x/R~|}E|o4'L#g<wbCt$9!t\.+ ) ^|4Vr)MvIHQOY|pno!L49If+e>'Pvvt @C;5Ƴ3y F endstream endobj 10680 0 obj << /Annots [10681 0 R 10682 0 R 10683 0 R 10687 0 R] /BleedBox [0 0 612 792] /Contents [10688 0 R 10684 0 R 10685 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25504 10686 0 R >> >> /Type /Page >> endobj 10681 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063012-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10682 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063012-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10683 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10684 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10685 0 obj << /Length 19 >> stream q /Iabc25504 Do Q endstream endobj 10686 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25505 20830 0 R /Gabc25506 20835 0 R >> /Font << /Fabc25507 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jHЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜WϠdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo endstream endobj 10687 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=920) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10688 0 obj << /Filter /FlateDecode /Length 4990 >> stream x<ɎFw~D @H %e607 `N5c7\~K,/̲J"_xFzV d毿嚞WeZ_~mڰ(eg5Dk/}V8=W8^jD/`p1jc=+w?7 |{IIcҸ2oKK4nƻOl4{dpe\oBdJկy7hkF7z& @֗q͋ϼewaT'"R-nӭ[]w yrYˌdaBHAD@kZSЙ2g|eNƞ̏XԢVJRIm88x4U'8`=]+C "LpIG V5n[Rl' 1Vp+5=;_,-Ɵd݋7IUي7I l _I):X?/bi .`T^ŗwҢ5^ϽVXh=Ng+>ݳ"]͒Q0 #HGfIew<@ q/pbaU8.XՅ>P Y ZA˄"MIG&ALDGH.sbv@tK/% ':[d[|aiȸfo.f(ljq'7Er_ÓST[']<:;}\V%o5o-%ݵlt\55?Yٽ dq `o,P2(㚗Oj^N| 56&y&eWf^Sف 2,1T:;Wbr uoo*Z5E栢!ig6R Vn)UҮد0pح +Nl%%?/isf!B['_ZɔffB?j'l.a=jEI&c=$ ǟO֟)N~,If2ɦOe}*Tl("  )Dou(}9%[vĠHFY؍>;ے:1[3r KG\̼%)oUsrq PvMg73=k@{z5 -Q5.7,PX }BddN)\ٓ9ľ%sT-Ј{*љS/{n PA⯶vuRd""HX#"|\tT(VE<>zOMuSϥ[(*Fⴅ)4^\F|yll x6#Sv%8Q:gYSj6{{g){%1 ތdfk5 3 6 {$ k6 UҴHDs*>D;V;n%@D+M'ԅkO WS:NKT4sݫ3Z5.2jV~M Hҟ ިՓt#3SA;oնǦj_";RZScP`;!zdC%!04VA {`}->q%i JUKQq!madrq>Jeeq#>VCct?[D/b1Q8hTږiA(,$驵(#;9r+m1sg"87SMS͟SBJ]IxU6N 6sRr-;>/P!M7n]xvN[Xᕿ۶O Lezi` mBq6޶y6ܷyw2{@:-{b?ԖSP;C?dwy(aZ-Z 7%ZB .RLz d߱G5%n!ՏL;Soo`V A?"bNJ9 >͐8&28I:ёǎ 4Q\ Uk'4L{6i Sk8TaT+h;e-^Њ^J{={=K6M'!\+6d§/p8dXM2(-z=z% *ZRNnzzqX5O}j- UOZ@S?_X4(5-ݖ䬋a-&cʒ 32$FeεUrd&H%}0)or`l lfS修%fvc4q&wͩ[ʬz2Y[r^b7 q vzk:o|f _/ٴɷMāj.Em6piaگڸSP ! 1^ncx&~U6 Y\]+v{usJaB&,gry Ñȵ >%CLG֞cS&uم_ ^퓱T$WƞA3p'ͫu:*B[dPNm;P|1MXk3EmrkX4t$EZCQa|7_Dn{qA?n rz>V ՘J%gРO4`  B7`ʴ7!kք,Ʌ͘wd-'-B, h-:VHW&T>Vsh\ ;^B9P.lh+NxqSl<˥W3NQhcFỌGMɑp #-"w>.o'nnķ S e-onG\D?dWuC杞F 톺ݼ,%\ ׃D',y~8I$gy2=TcG.o}3A |]F*uHlScvZyF|gG8usw&ΐdpܓ$^ @ֽj[ŦNz4ev+ cio ]NQ蝯 @ _Rh,9Ŭ -e5\rM'_q@WȅYCܺ^ȩ/.OlߨFUG /'<O"%e,N F0l_p/(h[>Ntm_WD CW-Cyl/ǁ>Z;gT[8?NxY.8?Y=K|e2<噍tVLA4Kq)'*q~j[emdC+lW|<э>z]?uvb_&yɘK<6.X10x5&n GH5Im3;8vH&u*1a;UN44ǿw= +{,­|1s^8s0ѕf$RO*eW~!y* |W|lx&ؠp.#+pQALjgA`t)yA<DD,Ltsyϖ{B'g! UȫJ?G})j3}DL:έ}"#`yVvɈ?M\ ES B YjP{ fR~ endstream endobj 10689 0 obj << /Annots 10691 0 R /BleedBox [0 0 612 792] /Contents [10701 0 R 10697 0 R 10698 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25523 10699 0 R >> >> /Type /Page >> endobj 10690 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10691 0 obj [10690 0 R 10692 0 R 10693 0 R 10694 0 R 10695 0 R 10696 0 R 10700 0 R] endobj 10692 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [104.1732 490.1346 201.6332 501.1346] /Subtype /Link /Type /Annot >> endobj 10693 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 473.9346 218.5952 484.9346] /Subtype /Link /Type /Annot >> endobj 10694 0 obj << /A << /D (unique_504) /S /GoTo >> /Border [0 0 0] /Contents (delete_reconfig_modules) /M (D:20211013063105-08'00') /Rect [104.1732 457.7346 224.1172 468.7346] /Subtype /Link /Type /Annot >> endobj 10695 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20211013063105-08'00') /Rect [104.1732 441.5346 191.7112 452.5346] /Subtype /Link /Type /Annot >> endobj 10696 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 425.3346 165.4707 436.3346] /Subtype /Link /Type /Annot >> endobj 10697 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10698 0 obj << /Length 19 >> stream q /Iabc25523 Do Q endstream endobj 10699 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25524 20830 0 R /Gabc25525 20835 0 R >> /Font << /Fabc25526 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7o}:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10701 0 obj << /Filter /FlateDecode /Length 2306 >> stream xڵYIk$9W $ 8VaCX|Aqn<lsMK2v ~͇?nD۾n1 V+!Q'xA t9iqz526A̞Xy'љ:CO^x^!`"cB*':÷KoG1im}z3W- <@4D( 39`w>s&{PS}Ni\|G)>E[P^e.3첍!9ZrM[i%J1pҵׁ. )r!fJ%Q `x u<H',XZ^xۯN;]bCPZꌉ8>zSkmuBt߫}PvUGA苉# bBnzo>\9(uX5bjXMT<{GQ.Y ;sE.a\]bw.Goα%_qg_PC^?ePŀK%PYCqL64 0caH\aV5qñ^-o'7nm֬>Ɯ=oHp=bn΄*yn8KIqvNգk;Sãi19|XWH|$hdr U3__5\v}zl''bvJ-N@~,>Hfr۔2tJ%(F`IQ/|'0]0X$h잰@';ǀ|Yb[b\ఖ\Q@=1fB7s`07<gcRp8EGgTDŽ~xWwҠ2d@ZtFS'Ӓ^x,;ɮ>:KL{ (K>fLg< yia&Fe0*Fiu"굴F!_`O_З2`Uu5xBuU9tVLE>4aQ.`qxII29X =t!gL"cuO܋s#ZiZ;ia LG&dA|K3" @XIp :38<|3s|W P!.toqu\\vjܯo Un'#*k˸oxROHL[7Y'`F48G|G3MCl ~5#G &BJ endstream endobj 10702 0 obj << /Annots 10705 0 R /BleedBox [0 0 612 792] /Contents [10712 0 R 10708 0 R 10709 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25542 10710 0 R >> >> /Type /Page >> endobj 10703 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063012-08'00') /Rect [218.8375 103.0364 381.3955 114.0364] /Subtype /Link /Type /Annot >> endobj 10704 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10705 0 obj [10703 0 R 10704 0 R 10706 0 R 10707 0 R 10711 0 R] endobj 10706 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 304.725 122.505 315.725] /Subtype /Link /Type /Annot >> endobj 10707 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [127.818 304.725 160.51 315.725] /Subtype /Link /Type /Annot >> endobj 10708 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10709 0 obj << /Length 19 >> stream q /Iabc25542 Do Q endstream endobj 10710 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25543 20830 0 R /Gabc25544 20835 0 R >> /Font << /Fabc25545 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10712 0 obj << /Filter /FlateDecode /Length 4518 >> stream x\o丱9@+&r@ANyx0s?/IzOzZȪbUE}2;}{J*M:L>ɚ׾s1mǃq:XW\$Wֺ6\]N ]jzU _//;{oF~=j29.nY;ZD3e߄f+kMfԢ %NaE',_){ Q#Qx<;˿(ՠ6NSF9H)TSH薱D3Gk($w4dK DUm{)>*e^BL1Z˿8thxtD i?ѧߓh3 6N?gOW 01uoz42kmg-/^~_LGP}>i`g/ps{\w>0Ϡ~A]~Uy^rgD-a!nn-}"MD9&Ͻ A%˲FLA/8HC_UaiVegU#Z>E[kٙeW@Xi&Qk[ 炪PM ) <Ң'VrZI" 0zgpvߎY(+YwdU;n6ۗ]X_ l|"%zϭE J23D%8 x DD K10a7`OsX(\Cl{bSaJ 5b{Q"*.rOp. DfNf))@*ArjZemuJbWY& ky jlʕ=AwkqRS&xh&TUJ}ja=\x_n=AFн4erv&uȱc$kJ準+uTi!1킬ˁ!FQ)|-QAeVUtlӝ$Q0-%>҆W\`rQB70GH~o;>ӝfp[r##}X9j d/uOo\x\SzL:Q+?5\ovg {DsM|!WeL&n5ڳfئ^jZPj xܚަ駢c;Eq.ẕ8u gŰtkՄvj"mh컖d|AmӊmƸ}`X49)ޏ9NwC^[^rB=1w7|p$1-WiCg.g|7hQQ<PBVmx !y-Tᇸh  kH԰N{%bC>dQ/PHʃD#55>*+#n͐;I`g-Xuh!Jl*\YF$UoD-L| F.L\};⥷@" Gjڤ(KV9^ܼ,Df)u㣟M Ώa 9K,R׎59o)Ȍ hh lFQU]^K3ڛ?w;zoW7}F Y`>2Ζ,c;ۇ.s$!%pHJs,1I3CvsA4*Q*jdӬ؄"Y^Uӌ=2k}lV&JQc@&F/VHp+Gc7ЪqNJiW"B]P]c`|zƧWur9M +{8X?/JQpڔʎũUGd {XL;v0ؔ*jz rWqOkXԺ6mj}L۪V:ꦪlJײUv(o닱YotպW6(bJ6T=UUKU+;Sh.hQ]*߃ᴍ_C d,c$)3f7t@e,cojفSGc7pR%ҍpXbpR{wé#75N©0;iP=d߁SUo: R(J 㟀/{'~@'~'{/>L })z)65@,c\%ЀK?I^uH~|va  ËqãC^٣ YHl(qgnmLIvcg{V7 ,3U#D.|fB>DS!X$PbH>NGe^46~G_D6m+Rλ2CֶB?V|8Ta0vtƮ+ ff}X8 /?BE+])`R *%+ڡ;fWwq!LIġyLxh{nS61SWzG[W{|ɪ ߍ 9Y_o"Y:,_y` ^ӧ9vfo1bʧPqla9 J0ELU l6gNOz%0]cWȼ+X%9.vX*l4y2Tj wD1J:wAb͘ 1/`X`UfL&BM=yqqJk~]$vXtrzб:}8NRtHd4ȜsKnv$:Z8ȼq$#}l(d-'(rtw,H}PirWz߱W21pm!" ,q@$oIVJPURI!qWtxrsSNfU"G=Eje a\ʌ0$V͔G[(Sj1@ BéOۤODM7{)r^Ʈz& 3iϴ.LG5ag! 鞾D>O2FGȑm`2FF@qm<$ Ilr.' ˱Ou@$t0E@F}X+!9 %{L\bOfjGSs@>)ؗn>zK䐔e**w[~:]X7>X%X4D;R ڈ}iZj(Oyyn?$X{G#ߩH 6vVDߴ`=guhhH%P焏l0Ni7Jw(ÄNBZ@σ RLܤg`_r|Y Z ?}@xn;U˼\~P$ܧ=a0;aAYm`ȕk9 w rZXJwmǞHGX@*2&r tQi`0u}bw.@Z]Pxl=}e]:*pW&(5Mƒx?`e7|^kC*1`fZ2<d &[SS78:#=US ]-h _΀nfM\+"=;]jYr 2۬'x(Ww8,Ij8XEV)JaP$4P@N[FxzDzGJ.lHK3#;q՚эnE$^Q+5jR!%tK.}-bh٤Y \xvjCem)dKי7߮7s!^/|lg{9Wu3ϙL36P 믞!sZҽO;;57uڜXԧ>Sl䌣|^>]ڎ"'W |f4 ;r!%^gw;W sPBy ώ?ߗ[-k˃t;ns\G!OEwH> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25561 10718 0 R >> >> /Type /Page >> endobj 10714 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063012-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 10715 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10716 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10717 0 obj << /Length 19 >> stream q /Iabc25561 Do Q endstream endobj 10718 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25562 20830 0 R /Gabc25563 20835 0 R >> /Font << /Fabc25564 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10720 0 obj << /Filter /FlateDecode /Length 4870 >> stream xڵ<Ɏ#r: `)h4$|1;<402KdV%p"#cߪ?H_!K=g],?pf˗?H7!G?$(B%_2IJ_/;LYKowCXx/SZ#t%mtW􀃦s"}_=U'|.WnaRUnZ5)dAY?4,~{_++eZmUDm B{ a9c ;|Ǿ˂Hvk V!yu7aSB vS ?|Ҷ8Jra[yq$UMԪ@ei8 _GQ!9gțFRk'"^YkjC $˹]z<>l Ȟo|Z%K[;2 ^m FLll-oT"RZ Va LbPT#*Z]tt'Kڇm0r\ 31jx!#T6Z"UL*ܵn%#a ܇QiJ=pиU&7ghil;\״qu^Y۱׷YjmtQJ Nj-V`h'# 􅨗ե* يls}H7KDq,dnZ׍T6WU e\@i̐|E2I%dHD d\a/7::PI Q˿ vT*@>[]}^+$BY)r]>bP6gz>Ǟ=^حWͪ%W*+GT֫ * G'Oד`Urh|?zBtΨj6ixG)l5U:uWτ? .KL<r杶!o6Da1 Ped3BzԞ9* j^GFN_ Ř kSL҆h  DYZhs'e 3\}cfg1y@"̺s~ٕHP6iM֠lYN6N53yEs:X[3_!C d:^r]W@X1kJ- OwV Aej .^nN<G9Kh$^{B-&|x,/(~dzVqm>Adza:@iφMmrE2>Rrid/?${E\o|]z:0q [IBO6Zf4ɣFvT%4=jMN`S{VƠ~r8ՓMxxRj)m70uv=57܃au׃K69 iۣooKDNSa1\oqb$7S=[R 2 MScaLDr3Xf)9e[ٙ5J(`&80R:>;{pq]gl5ʝ 9psOþBTT1~$JS'WA%MH @2ֈOmҙlB*3_XδwoٮSs,D _st2VCQ˵[} OzrkJ!rۧn[(]. Woh 3/O Cԯdmc h4An>MTlŠ[χQ<:2ۋiN\b13hƖgXDt4cwx. j)J%VLYl)2rցY s! S/zRbA7PΗb]-iJ,nW7g{g%z7fեA\dSNo)B/u1;i)k!Ǭl43syzJl+ JV*5SX'JZH|44hRg@Jw33D3ڍ{8O"z6 ܭҥsY.!~+ HQ Qxے;]an[bS%38Sn|bzKbli<4џnkJH[K[ @OW? \Tuz< Q\&<~07b'iEhB(( 5Zzş1tJ*a7獝Eq[-UZ],*|EqQtu~9Uuݟ*F<]X1D'n] hI:8Kx*D\]%,N B.cȾ^PpѷrD0m{WTV_3#` OW92k=’|k;S֟}t?Du|::f-ˉL(]YǏL臢-֯&hFL|8|k|+ˌsoD&4Mv=+EǮE7n'&U;`\J챩~/$8 8dRnA-۸ZXl)*|9چ:~B=h;&S ;%}:ZXbtX{m}NlqxZWp \^ܗџV,CH >ִIi!$V۠+pÏWpQAk<2A]/>GDLP\fLR9e|nOB*X[^v,ݗK#D偦LR++t+[ZBdd})ԅؗmjARA endstream endobj 10721 0 obj << /Annots 10723 0 R /BleedBox [0 0 612 792] /Contents [10732 0 R 10728 0 R 10729 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25580 10730 0 R >> >> /Type /Page >> endobj 10722 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10723 0 obj [10722 0 R 10724 0 R 10725 0 R 10726 0 R 10727 0 R 10731 0 R] endobj 10724 0 obj << /A << /D (unique_460) /S /GoTo >> /Border [0 0 0] /Contents (create_report_config) /M (D:20211013063105-08'00') /Rect [104.1732 532.6 203.6957 543.6] /Subtype /Link /Type /Annot >> endobj 10725 0 obj << /A << /D (unique_462) /S /GoTo >> /Border [0 0 0] /Contents (delete_report_configs) /M (D:20211013063105-08'00') /Rect [104.1732 516.4 208.4862 527.4] /Subtype /Link /Type /Annot >> endobj 10726 0 obj << /A << /D (unique_465) /S /GoTo >> /Border [0 0 0] /Contents (generate_reports) /M (D:20211013063105-08'00') /Rect [104.1732 500.2 186.4147 511.2] /Subtype /Link /Type /Annot >> endobj 10727 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20211013063105-08'00') /Rect [104.1732 483.9999 145.6047 494.9999] /Subtype /Link /Type /Annot >> endobj 10728 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10729 0 obj << /Length 19 >> stream q /Iabc25580 Do Q endstream endobj 10730 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25581 20830 0 R /Gabc25582 20835 0 R >> /Font << /Fabc25583 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jathǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 10731 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=924) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10732 0 obj << /Filter /FlateDecode /Length 1741 >> stream xXMo7 W`T}k xwX'NQ ė>ҌfN$@kcV")FN[OԔÓcNgGC߫ItsN Xf%}z֦1xxhVG9} s%iDmͯo?SRkC ¦3)֤ %4YFyûN˧IS}T2IGSL5E5K!YaE%b]hk2nXt2;pWˋէW#oK z]VS_8ʯ;uedԢ*D^O4e8:(&!q}W+d#7-#;eEįgZ,j~jǧ `qQme2[M"~ײ|+ը 荸 /Ŋ%F1!c[6cd֟ eu;KY#)]P,A\5eMyH )8ȵHUH?"RǵUhǙD^ s0ăt>d&®2 n&m;e.K>gashCg%^;!ZN]"Z$ HT%±MuOs;TR{J/o"Mu|XaI҄2x[YV9?#α6{%IxW1Ԋfʷ(4RlHߧ@k0bts' q^֭ P@.+iY0,ScY؄-楒wy]B8%I߭#ջ lSdm|KwIW*$Ү>jhGw.?%ѭ^8ƀ-}׈Sr;U h܋bGC5xgL cy1Azh}y@ <;.Zq-^ ^ Yٷgy;*pyE-CD7!МwI{yk5&Im9YVieMb=iܲ^O5$b.ir Us=> 5f)}sq3D %vr endstream endobj 10733 0 obj << /Annots 10735 0 R /BleedBox [0 0 612 792] /Contents [10742 0 R 10738 0 R 10739 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25599 10740 0 R >> >> /Type /Page >> endobj 10734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10735 0 obj [10734 0 R 10736 0 R 10737 0 R 10741 0 R] endobj 10736 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 304.725 122.505 315.725] /Subtype /Link /Type /Annot >> endobj 10737 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 304.725 161.9785 315.725] /Subtype /Link /Type /Annot >> endobj 10738 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10739 0 obj << /Length 19 >> stream q /Iabc25599 Do Q endstream endobj 10740 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25600 20830 0 R /Gabc25601 20835 0 R >> /Font << /Fabc25602 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7@ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 10741 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=925) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10742 0 obj << /Filter /FlateDecode /Length 4332 >> stream x\Ko#W@|0 X& `?⫻eI)YM6Y,VWU&j ;W^K1b~WSϿ]}rHϯwƄ{}pr\=ZW⽒֫t??rpEGڅ߆/P6SZfe&쒬?f7gg,au\lby ^{ΏP}IPΩK{e-vF&Gcfh<)%A~6>P a$%D_)w퓶NYc%W_'& RlA4f 2 kfP3: OO|;<D3|%m0O!`=xcX \aM[q?\ĉpdt8]~ 0eY@_3z=<,8;gT;F9 H,~|_>M`XD,:e&,5;Qby䅲V*Hn`dexzbh+N~6섆fcj,Qn\'S^p^؎m@ygx޺Pr@yl6˲x[U"(:Ni,~$wf;OI­Tm5D@P<2:ʤ-XΘuFؕ^mj:lMߢ*QI߉HVo6SuCҴJs zF4m'+}h,EgX{:<>H+ŗHTDH.)u%A3qq<|gn-Z\i6[h;-8V5V+P=ӭ诎<{uAK)lFːrO@x?5#'Ϝ\Vp!a:y hyOeC:Jb7>=JKtat6Y-h|_q_-~|/,?@ؗ,]9elLr5XwRl~w|+BV7?JS/W$7ryX:)9N jWde$)7oX$45}F/ G.Ҍ^e5GǼ6gSWhI1<+=Qp<*Rg ZCO"Hh@,a_(D +˯$W#Zl8 44JӗTkq(ZU{"O9Hgzp oGˌ[+|0dfZZH:[ʨTxW5>c5 /BXWpK+zt߭dvM/BX*k 'Y^WppaZ:80)TGd zXh&`S\5,]Ɓ{X\âֵՋ,U==t#N +]V Ey[_LMa7+yeaIپ;-6߯nCS8`iRjEuV8uވbA2]t٫'5ig8˲(c%)uQEwzhvQDwT:2gBIHW©Jb[IU \S!:ٽ4TN5: pVJpm獀RX>PL|@ >PJc@]z6P?P7»xxOEP6@zSSl2k ,hfNi*.$sz y6x1z E^#u3b!i|||^밳??yraH}knۄ`  ݍ{qZv۹_6owTe=ƅ nwb>32R6g㽦5{5j0mxf;we7؍;<ߜ~&}8Mx|č: 1܁΅H!oQ S*fʹx'{U읐=a bˈQF,~ۋ2 C^l]CO˨z9aC<mLG&A8yl늻i(hlkAu$ߜ&[b1pɎvsqSi0h絈k}F$>5]䅊`.@ C9'_CMxF>5= -VŠW: %yvR59U9q)sP ر1((S*EEV!*YPV^aO$?Ψޏc} CzǻqnQ=!PmIYH`)gabp,G5P=-Gq oiRIMB΀jϦ ]~IP6AfFXHb|4t!-% Hؕ7HmSJ+_yFW9p h866wԁ] (A]l}rԔĠp1ݪi#+ki!=Mr䦤ۯSޜJPTC:Ȼ* k9ɰ5}7P&Cei!ޯgX~}~ynVC_{!IV~"u q|[xX;L[e@l2y5 o aSƇn\56,3omPt q/VD:ӭB\m9WsWk;V])uv  4CwaQ:':,8 >3x\dʵmiJo'EAɷޯjUm0zzyȌȭ&bBG9 Dn a˓]圿Dg*:C݂,;Xas\רNcDZ#yqXR{Z]@ݭG #`|VkS*>bZ2Ӊi=>?_\T)k _Ju(4t.tt<=Y (MB~A3yɅܚYg=Ccuw ΅˗ҋxs7dd*|!I;&.34t·|qKٹ,+PRRkJǴw+"2URPN*%}VTuv_ ,Y_}asM&GMM$.}lNE{iEDԧqp~@\* h/DŽVs{q5Hdz䜿;*|ON,3t?Co0RwœN˒Op3`XtV4oP&BLva5dG<ߏ#|kǓ; FsO_w _}9BU`H,u|:]sqG׎4C@T#lWt?Dn<9WNAXG &,o'#zxʖvC9reꗐHPDۥn"Aɱ endstream endobj 10743 0 obj << /Annots [10744 0 R 10745 0 R 10746 0 R 10750 0 R] /BleedBox [0 0 612 792] /Contents [10751 0 R 10747 0 R 10748 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25618 10749 0 R >> >> /Type /Page >> endobj 10744 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063012-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10745 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063012-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10746 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10747 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10748 0 obj << /Length 19 >> stream q /Iabc25618 Do Q endstream endobj 10749 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25619 20830 0 R /Gabc25620 20835 0 R >> /Font << /Fabc25621 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10751 0 obj << /Filter /FlateDecode /Length 4909 >> stream x<Ɏȕw~DǾRRzO5=Tuba2 UTċo_~3={7'1f_TՒBv}ۋR)ڽuk^`Ә%_/Jp3Js0LӤh 4 2,/ѸG!ګhϯ 0#ߕ 7\t0ZWq FuaA؍=ԕrg=\;1lW1OZ6x#>5qEJFM(Yϯӊ 숨,>݅ZBV)R-ӭ[Mmă,l#⚲$DݾN$TvA@g"t^p_{vX3?bMPJ:yb&<'Hk27:4.aX XA?wuM)@cԫ5}p!rД"G@%r%QPcA&ɢ$J|R0 H$m^*D54 yEmFhS,Z +$P5F^ @]?2gQ0hh .—U):YK#D8MX]E{`Eڂ"ވ>ȯUZ me7ٟ蠀GO{;/jP nB^DzDTJ '!5vychF,h6^IT)L(l5ޛriI% `^DHMFqOc&{zȚ -)ޒI|~QtxYK5kޯ|qo1~u/Vd ^To$Gel/|K@R!O'9{(45%"Q/+9~+#:Ң5N/k8JT[poȸfo.f(ld5!<#tby==$5t2:^:!5Uqa66{gԛl-%ݵlt\Mb8nQuwƽL q˧>!/B W% gAuX3r KG\̼%)o`IWUy998h(;}Zg禳؞mr^ HCxT~& 낡>tJK*{}%*n%ط$`%b=q3FC]L49 yZ.q^ȹvvTlc MXԍ YVb\%v]k"L2&c[Ƒ :jO7 hyLFfJwݏuE ೶i"jHƱw7zl{3r^2zy1PջƠ/.-*%}BȖ}Y'"[d~U:WPXҒ$&oԐHV)^o ^M{izׁE?'i-b챆M'MҋVBE[Íwȴ,X}|tߗMk!7I5Dg( eh&ޫRˆ4|<5Cij,fcd0[9x]*E5"ޞkW5.JX}ȟ5ӎqON?9f'9-vMMpXٍ7v • b޶!i}m XXmtZ~]٩UKM=E/kt\^wOU G{T8YzHC_@*$2>})A}0S=qc"5j5 s&ъ{ b3E"xj7B1 N_ _ rێ8yX,IF k  $UWa i^ޅΦdglcܑiQr7xFɞvkX֚aZs֚޶֦ڼn-ܩBgˆ j)0vQHvXt&L2VnsK_q#'-9mWO@_3#}TFf[VG40vN2NsZ- Ou@-W>_f[M)t3SJLwV`8wn3hұ]ݪ5 4Hݷw) h:b3f&=X+k,L-}lc6uG Sn(X#虛 jۡI~Cia]떩yԉ#à',:mP򂟖s; Ӆ9|SNDbs@/XP5)=vRh@a)G#^h䜉d/$suvm2r^he`3.vgSZϙC ubiZ3E''ugO^Mg8Vʝ˖L8N.?VŐU'ޥT.(-..즧_@x 5*ԶklIťQma&`tz޺R ),6{,\:PNW6}]rHϥEVC$"8ccáAd=n %/*a7y7.%8͌t_%{8/7_YJfq﫹?_Nu濠.8h\Z\Ǿz'B1gB/W7E 2G86Iہ [sz =LX3DtJ EdGšiBIHw]vv{2pdl b=/gdtNx;0P%N߁1&> .dЍlA3D=]~.1p|+b>m1ƼB~Mb5h,9Ŭ -12׿ũ<25 ,cdQH+\h뉀ZlcS8|sx*q[$h0rf{AIFw7:m|L7߈R|ec퇆y#w6 ޘ5, iq2{2"=p~f.e<;TkVLAS{o9bVYd@2vlrM+\O4G}on*k':f|\ޤ5 !1m | _RZv>C,,.yă*DM;W'~)Lgm*@ Uh%}T<.Hg' EwۨP~ 歭x ="@4F}kN YjP{X endstream endobj 10752 0 obj << /Annots 10754 0 R /BleedBox [0 0 612 792] /Contents [10763 0 R 10759 0 R 10760 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25637 10761 0 R >> >> /Type /Page >> endobj 10753 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10754 0 obj [10753 0 R 10755 0 R 10756 0 R 10757 0 R 10758 0 R 10762 0 R] endobj 10755 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 362.6346 155.5267 373.6346] /Subtype /Link /Type /Annot >> endobj 10756 0 obj << /A << /D (unique_537) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20211013063105-08'00') /Rect [104.1732 346.4346 160.4767 357.4346] /Subtype /Link /Type /Annot >> endobj 10757 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20211013063105-08'00') /Rect [104.1732 330.2346 209.4047 341.2346] /Subtype /Link /Type /Annot >> endobj 10758 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 314.0346 180.9312 325.0346] /Subtype /Link /Type /Annot >> endobj 10759 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10760 0 obj << /Length 19 >> stream q /Iabc25637 Do Q endstream endobj 10761 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25638 20830 0 R /Gabc25639 20835 0 R >> /Font << /Fabc25640 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nY~oC25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(? p%4CNZu^lM:  endstream endobj 10762 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=927) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10763 0 obj << /Filter /FlateDecode /Length 2732 >> stream xZIk%+羀x|0sj}rz%$o4UYŖOruˋn﬎A :??܊F?}K}7O//z6C|>_a*ʙ%Vc}5Ƹ~'W"m3\9_7NQ9]rWᘍ 'rwgث.7#DiȤϣq|C,3_IhtH 3BaPײ &x,VD4k\D#lX|3d5Eljzk7$Fmkާ ˋƵ5rTueƃB’LdЧbgX|RrR{>㏎nI%h0[i)N&#׹ؾM$_vM WBk[2L!ipK)Ӎ.cto ߉+&b݋hUR_YR. T#6[q2%Ŧ.Y|,m PQzh].}[r2"QgFftP&R ""@bݥ;7-{^Yf4 GYW Kĩ@$&;-Nnw͂#".Agu.է PqRtg\rMnmPͮ{RhQT3G soQ347BԒnʊ [ ?o|kly-0U-U -<c ge߁#}.)\Wr`.(RFy5w9~Y4grA-믐=v)g %KM4m:qۈK%|&^mןgIvYZm{h+7m7=|>T >hpp<>NKƣjhg&ΫC kHBa2KqLYjh4o p@W"p3vpKȌ5=7.+_K zEfhĚN {LVfu #g5ތ}ĸ\ew+ Fx)-Y4oH ۽`qƞ I і< We52 áwTh @X,XAxDОXgVxcxpOʼnDQD^ks‘셰qG2:LFL3 tb:Ռ2T%~fdjo>OskZY7lߨ Ʋz xcF/BGC2<;ag;) ϫkFDLp1qJ⾈!94W9xyIu_凜!?Gs/RυtҪVP V*0; Aw2P# a\~OpeyvIx!TV`:gqw@uՉQeЂj= r)Ewa3 xOFN/Bsn، VIYGKX|OHTGB9|bi? ΁9_FЩ!N#eô A'af3 endstream endobj 10764 0 obj << /Annots [10765 0 R 10769 0 R] /BleedBox [0 0 612 792] /Contents [10770 0 R 10766 0 R 10767 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25656 10768 0 R >> >> /Type /Page >> endobj 10765 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10766 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10767 0 obj << /Length 19 >> stream q /Iabc25656 Do Q endstream endobj 10768 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25657 20830 0 R /Gabc25658 20835 0 R >> /Font << /Fabc25659 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)跥rqHB>W!ӘV;!JϡmтGŶQ endstream endobj 10769 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=928) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10770 0 obj << /Filter /FlateDecode /Length 4080 >> stream xڵn8йn%[w0` PuR$ے-Z(ojxg_uY,LOuq Y9ԇa i׃1Qő_o`~__oJ$&WI!<2֏puvNVr/YpU{mU4cYyM,K7_u?>`k1 cjQY+=ys|ԙNf:"z~@v31Y;(sZ,0zRrDVp 65!Z0?;.?~?#aFydcz!@OG ae_~NRGXwzBO@bYʔ#XՂl@'3a77*)p&We] " k C 5A/)hvgh]I"yQ#vrOp! 0+)sVW_߃兤RkH4Yjڷ=t\˕@XPREi U Eј|`rM %@L|DLY1~n!p̔ &_7$^Xq01% y.Գ ]Fr|ř]S"zX[p?}3vB?Q$],DuzTC{%w M`"(g-sf7?ంiTՀcô ֎ G)ݽ|؆+ :6WS-z} %'Hj~_<K~w$' / -X$S,>%fˀ`zQ^n;gs#;@4D0+ =[J ͺ J-8#}u2IObR^$54eԊYvͪ6ۜyԘ+j0+‰RbE238g, n\/ynd-s ff\݇cKsNY9e|6(7x* g)g8e]婎kRAW2N-ELkԗHKZu^ia;zj?֫Fvf_ꖬGXOе8 K*:rgJ$xH՞H~BD'P Gxf?UgUÒ$*&Q)6+/Z^< n ¥+haz,LfE\+l^bvu$:]Hrן%tܫ(/ ER?>Nl+(A&"(zFJx[$:3Pz e ^SZ_ \ײ HЌsuåbiNج^qϬʶhDlRlg*[&O(6[*`1 }j[_0 %j> j8/MVE!lQn[uuv!k_G}h)ƲгǕq6}Y'{/+nB<$vlL`b:+MU!딶)ۈMl(iJK`aG6`6]RN )f@40b+Kaf N^(vA*B6̡4UdvCUoC4 xY[i6 PneI\?YC4fQa-lI6(b h6XXT 6[=EiSe/I׭ t9l4t9)f0鷐@XYsU 9 oo5'r,h^FD-%sR9WCgN"xdJD"ʄ(0'ģ;"ʄ(2'lgÜV%ҕ$椶7@S$E'(!sZ]7ղ":ub9$, :s¤7L&mPV$gIg1'l.ͩ>fN͖9?lNb*59mo6'D3MMǷ$fNB뜀WXt{]@=|O-=Iy'߻Ӣf|OX'{Js=u+J]QDhTbuQ3E}FQ0*]D}^uQE}FQw->bTj{پIBJ"jU@Mu ~Q8pRYWRZSV:,3? (]@E|)REipBˈ Ҏ;RN6TUrJkA؉inR˺Iwu88 IOxbmu/rNgy4w&q-}4ʳ]X7;0#wSqHiyg.2 >I3u2lTbv'յ=S@WO=rh fÅyAs&wSMa , ne/,{e`V 8vKFSZʪ0Ҟ%^uPI}kiJNrSHTd$8ؐNm{6 vQfwpi?ݔ{u > 7FH*#ϐ'OvØGn}]`'r*)WkՔKjIxe,׉ZHvq= qi@J{"3N0HMU0;юgܘ+e$<`&b,}Aܴ O|Tˌ&[,ņҌ9 H;}]QNIS̐F& ȴ(!1cN Jcf(o 6. Fw QP>}AԭזT|M@嘷>#7H.Yֹ+Infy$ְ#Pp5< 6'H^L^edS}b3ѐڠ)qGL"<8xJT ".SjɿZB.7nBIJS,\s5s=1/-?2\D6PkomiDe QV6Tpck:Y{KqL,CC8N*7^\\Tm av 1ەv(rPcxl,ʉ~V?{)GlWxqDq(ԏ\~5>}ɿ} CkJ-3QPv5x6o۝a }y3BQ6rUcJGz@-'5R̮,@ϗCR||Vχ&A=>"n'>iyc]Gx3?!=U ^V5hw endstream endobj 10771 0 obj << /Annots [10772 0 R 10773 0 R 10774 0 R 10778 0 R] /BleedBox [0 0 612 792] /Contents [10779 0 R 10775 0 R 10776 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25675 10777 0 R >> >> /Type /Page >> endobj 10772 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063012-08'00') /Rect [218.8375 640.5577 381.3955 651.5577] /Subtype /Link /Type /Annot >> endobj 10773 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063012-08'00') /Rect [178.99 587.8884 396.03 597.8884] /Subtype /Link /Type /Annot >> endobj 10774 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10775 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10776 0 obj << /Length 19 >> stream q /Iabc25675 Do Q endstream endobj 10777 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25676 20830 0 R /Gabc25677 20835 0 R >> /Font << /Fabc25678 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nUӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10779 0 obj << /Filter /FlateDecode /Length 4840 >> stream xɎ+ίsu/0H ;]*nEv;HlIM/Y~Y"~?/Z][|Y~ZJi%W'T>JFJJ|'@1~*/;K)a}2̗Q_/V0A]^`]`?˔ޤEM`az]/ذz ӂzʕ縤ԺG*ۚ|vP`2\t]Ƹqe\!enktٱ\& @Vˋ\X.Ѳ.L BT}iwe|Y˂Sd`,&!$ "U pd[uD=)t_xƉ/K:wfy/WUJy,h+5SL537fj4.^`WXȿg}b(*SƨW#}D%1EASJJ1f $CARsD$r(Et y$;*HJD 5; J^FE|qMS,ZtVIT y.D#{Ib!& ą| _)ph[mI|5U"Ij 87+Y}dl8O7tP@;m˽WDqۀ[nJP<.Pp i}Ѣ0\uT⌌ ;g !LQs'nu\I &TLCkY8ވ3 AxL^paMX0mI-hOy\HnYʟG +̋?V7ՒbMmP,>n_gCc߿G )2 ' _ )lʕH#Q.@d~Ր])#a6ZS|l*Ŏ{v>^%;f 0f0bA1Nٹ&{. ^o=LK!Vm8f)$ȕNЄw\xI;`רDE~S!fhLX ,^jU6h)^WAsmͼ63^?2[F!2Q+җ{̶ mڦwI"hJs]S?YG`q&vb 7=B0ENڣIMe撾vt ƊJhmjZ]K<,q =[22䵫e棅XڬZ<~>i.TPؖ)֠0Mݒ;IIl3YԜN0-ƀlc`Lc`FpM}[2M M$[[QXJ̤>XlzӺFl0o`ޟj=Es3k_܅ֆ`kCmm ݦu<-_mmh!O>> L::\SUumU]T՗r,TiVIkf+?Yg(v#A;7& 6Fs 7)nѧ͐(vG)׉~DZ fG;2Durw?$'3-N^v,(-$º8*a*dz#vhaPjM [3Ss잃ۗAxr-&q UPsWҶ۹ك'Y:pxAUn.Dž|"7 g9k7^6ªqhrXT9ًa.ieNj*svM2#|̮#pIݦllTYVRZ>={p]h54].k&N3Bm;BHƖ>0hGO&<_τnU_cNcZjjJ"_}4DMB2Pi,O7oDo=cSMeJV#Yr(GsWnX75(&cvhZSO2#[Bv䒍YVT7Zf?V 05 ]2SaߨaY(2plr( u%/%pj}|Wţ}v?yiQoSRuT} n==8ZV1t\B}*= ߟ/¢[ItU-3WKܭEe<5R3w)HJZ7&|s3mPl2|fqd@Q.Zj ϒw?x]x Vyu܂{M} e^2=mB[Œ3Q\srhٹ^\b,V80 xۑ#Ux_h|ktЍ:]1tYZg }o5<ڦYud?\z٨u1=]$5?Glc^]14?zՁG+٘To{1ifZ-T1̔?gyGy϶Wʢ?`NSgBB4$گ vu 3*&s, 1 5asuwa29-i$6"ҕr'>x8 "WZ%7CA뼳tDc#`-Y^f0;7^ J}7݄>q[-UpDg-`.EhѿWUnihT2y@ W B"Du`-\ d8G1oK7 /߯ Λ4:o7jtΥ|u"*H;O\^^A5+qxP+X=yIZ:z'n`JHRaGMfc+_V{qXqK%>"OyR!V% U-ݺ+_A葌7> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25694 10787 0 R >> >> /Type /Page >> endobj 10781 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10782 0 obj [10781 0 R 10783 0 R 10784 0 R 10788 0 R] endobj 10783 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20211013063105-08'00') /Rect [104.1732 515 172.3292 526] /Subtype /Link /Type /Annot >> endobj 10784 0 obj << /A << /D (unique_690) /S /GoTo >> /Border [0 0 0] /Contents (report_scopes) /M (D:20211013063105-08'00') /Rect [104.1732 498.8 172.0487 509.8] /Subtype /Link /Type /Annot >> endobj 10785 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10786 0 obj << /Length 19 >> stream q /Iabc25694 Do Q endstream endobj 10787 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25695 20830 0 R /Gabc25696 20835 0 R >> /Font << /Fabc25697 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&KЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ^ endstream endobj 10788 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=930) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10789 0 obj << /Filter /FlateDecode /Length 2231 >> stream xڭYIWy2 H9h N<@=}-E)vC&_-o_qHRy}3m͙h򿯿 k6ΙՐ'kqvJ6u瓵[러 g d쟏w K~.˿'zFNG>e2w=`k}DvGOW"brt B"l \ ̗ (=8kUxv4 t I,kt\XpI/J3OO%=iN֦-WZ3KStOr(G*EGCzOGTsf[.dv9}!MJ;b|pp)M%[3FkE\|sa[2?h~|=ýX%&pPNJ[A>=mt+Q7KEtDxC $Ls#mȐʡ ̼;o=ýd]"E,|XӃ. T{͆Xs 2R;v@zs-oxW ;bS &ɗ%93P'.Mx M5{bT 񪷵nm3uD XtSrjIe'B$*༮"*GPd]Ō>US*b#42+qy^f=jg᠔ԝL,c%Ϊy5&I%]Wml-dJl-$>>E"D@[X.ɇrJzIba|.Qk̝=6R6>-8pc8=5/&\`.UeԀLSMQ[.!R @MPMÑ.=H-x^-n k)I I3,HdiVuͳFYѼV]n$RAa$~syD Rz~hט=r,o<_ᝡ561h.Asfī:T 3 NX &ݿjyog >ށ+d8{a'[+)+LOԼRdp zyOό\$.^ΝD`ST&^ZlU :Uj 4!>  ! (ޕ}ow_2ޮuiMUqG$fҷX= #&QFn&_&E+@{'ɿb/̧6@l9$YӉ.c(Ha992w8\LA4Aa̤<:f"6DpCPMNs3ԕ& Lupg݂z`iDݠ;ysa{='S}օ[wq;6iG nl(z΁b0O/p߶na72#nw D7a+QDM{0:yؠ$`xн7*sA2Æ -EjCC6#?5umX'ܯtV]o ĩ6+)G T< '+[?#Oj:UG'Y28$Wr/|TU^ƶ& z+UeDM<흑 CgrJQfdžh--FeCcNd%¾MyOBc kjI)3ʚ2ˎ]Q }mT܌0$fyiﷸr_+mcWz{ y# 1ʙb|G>++z( u23)1+-h\b7 @+BN+i1M{V "^*˫rRZ T6' #7|PY $i?oRC\jg'oC1&Tt N<`<]Wqhrpw+̛4}m:u/:(}ogXs|dH/ZڴN8D~DCW\U(z?_i`xk~E߽A9믇|G$*tf9M''RiEʻqYb<)lXsؿ*iČͭR;1%z!} K:&*o 8EJ9 3^ [G4x Z endstream endobj 10790 0 obj << /Annots 10792 0 R /BleedBox [0 0 612 792] /Contents [10799 0 R 10795 0 R 10796 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25713 10797 0 R >> >> /Type /Page >> endobj 10791 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10792 0 obj [10791 0 R 10793 0 R 10794 0 R 10798 0 R] endobj 10793 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 375.525 122.505 386.525] /Subtype /Link /Type /Annot >> endobj 10794 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [127.818 375.525 183.115 386.525] /Subtype /Link /Type /Annot >> endobj 10795 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10796 0 obj << /Length 19 >> stream q /Iabc25713 Do Q endstream endobj 10797 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25714 20830 0 R /Gabc25715 20835 0 R >> /Font << /Fabc25716 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 10798 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=931) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10799 0 obj << /Filter /FlateDecode /Length 4133 >> stream xڽ[Ko$W`:| h4C&vHv/_==HQ7dXU_ߴ__uͳ:Z2oױK){v4&3ַԶ\xe(V|eJ]?7&+OC'Y%>x3<-_~RN.4+/,Y,YRrI:%Xkt?iy @4~S(kJc9/G%3+geIy?TP%Z;oRBH!ߴOdi_``jefD`-aQ(c_~N?G߁~駳:8}J1X=!Lugr__+E]8OR= ~YyLp;I8Ou!yW 5z!kqhM/6zd Oh{@37nskYl›\\I%E@'CT8Vܤ66nĬ!kUp#[el쥸znqE(,Ñ(dC'v1Ej7:;=f;e9I<7 kuFtMnmA>6>wn6ne}> !wU<n-c<JT@XɷxR+BDRROãHIs_b['UTPgW7l ևICmKZӋK.fY+Tf @HpKӼ^a ߨzګ -KMڵ&hlT2Rbȴ\%l+iF{q$Afk4cJJgD355lA#=zWΙ m'D:L%t.2S^I^Z`R&99R" kU'zi9{m4?k9j] ghDh*^&͚ &}3WEd,r^*o%4ZZel:26n+U\ОSXr Fg?ŎM}[0$+GT'3eJV\eNl, K".2KZ}t FO`d&{&r\ 4+61Iet skb7#d * ;c|TvM/U8ӱ22^d+/n10jcכ3A*B,Pj25svu<ۉla ap ]bennxن,Hna5:X\fdvDZD$۱291vԮĕ'%f8 Xm} 7,EUmNpRL>'ա0Hlc.cA/kJ9Q 02hd@5 FaR;2o`(G}ݷӹ+S>~n)<1x"!lr%K;3)Otw޸>7p90`]z}X :EY 3C 6x#modJG 9F*ߐ%;ߡ}7_,bd4nC5ا6`4a%KMbB3Ւ2Ɓ`SHwk a]h̿Uma DLЉ ;n8ڼ]q4gŐҠݒ@(Җ2̶"}w5/~%]qS^@E2W&32)xt=?ǣps`p|-IӀj1I'~@0ZRes5R'G-B 5 ,i4ЩRoUxrm"< R$8N *DX80B5jrDi@^L]YPd||Υ< 'N2yB<фi'yX1>7ZcmmEi`bG{f=%{+q‘g˵(ifu|nk8\u@Y`29ILNޚ1fT㖐W2ic됖qp&AvVB-N%ެxp7Y|ep uyis/> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25732 10810 0 R >> >> /Type /Page >> endobj 10801 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10802 0 obj [10801 0 R 10803 0 R 10804 0 R 10805 0 R 10806 0 R 10807 0 R 10811 0 R] endobj 10803 0 obj << /A << /D (unique_213) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20211013063105-08'00') /Rect [104.1732 360.2462 217.9572 371.2462] /Subtype /Link /Type /Annot >> endobj 10804 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20211013063105-08'00') /Rect [104.1732 344.0461 200.1812 355.0461] /Subtype /Link /Type /Annot >> endobj 10805 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20211013063105-08'00') /Rect [104.1732 327.8461 185.6227 338.8461] /Subtype /Link /Type /Annot >> endobj 10806 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20211013063105-08'00') /Rect [104.1732 311.6461 168.1327 322.6461] /Subtype /Link /Type /Annot >> endobj 10807 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20211013063105-08'00') /Rect [104.1732 295.4461 172.1972 306.4461] /Subtype /Link /Type /Annot >> endobj 10808 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10809 0 obj << /Length 19 >> stream q /Iabc25732 Do Q endstream endobj 10810 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25733 20830 0 R /Gabc25734 20835 0 R >> /Font << /Fabc25735 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fЎYzrv"eYHUC.$G7]Nx41?-LB.FPQEq¨9 }' L_EԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶f}#x#?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo1\ endstream endobj 10811 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=932) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10812 0 obj << /Filter /FlateDecode /Length 2625 >> stream xn#^_QgԾ 6`j&)Jb_z&j__u{gu X|eSocO1^[ϯ1.AGx\2w W+?_5p:SϿMɘ'g 0O;a/.{c@xq.PH栝 0Zvјǧ3 9@Nw;8%ڃ{%4&7O;XSk8xEƟN?N` A||1n|Cou>Ӈp%ɹrG΂$_!,&r}, .鶾Mg<~М;}A#'~2fL+~k|3-5sgRJ5l2 x}Co0p0OW+8(F${WPZ (Ab[L-5\Q {W٥ *&,Ϫ`G 0M`u;aEAXFXUUf.&~*ͩ#FhNA{`6NہK׌M4^l¢?O5?ڄĝrJ b%эA# WӃ QqpFkvJ#r<| rig-yųZ]q#b$ &~X_EO-50y+`_ٗ+ݎRklXmQĽiLȂaFlbzN8X,lS" 'Qo9 52$%v7 ȳ$=!ínjA2yFi򒋤fNKP~v(J t#^G|JLщ-Rdd.K&̈Q&3byOq ,STRq 5A)f74%,V)" RWlɭ<:\.52>z>E9Y6Z^Fkݢۡz6bx{uԚ&skY, uqIp5yyWemOKh7Z]65glANR(GAHKXrZ1β1$h\bݛy`xARI iSYBRS}Wjf &9xۃp¡dΉs7ǙMѦ)C?1AyFLGMAK\+ZmvKgntoN􇺶iJ0wݦL:Ytn3t`e @3n3 zgS -itKxOeK4I8Fi^5ED޿~osQO 疮;+8>,ŭaw?{R8}m~)#0ra|bWR#+8G&0 Ax9b}רk/s>mQNu-S" );7F%x͕SPNQKd:110ɘ'9/x!wzO#yF@Qg1Z~ɹFWj K# S5)Y Px;K?,U,k[2U* !lgY/ϫrC@`i;jE@ w[FM%ݔWī-3hWoJK (}]:9HWnwO~3'f^zN ܡXb#v;5چ e 1nfdz\_ԚhUpA7B`۹%d5=SVDcԊ R o!$?6 }f*VׯyƛG5NU2\( HiN暥aj-o-3XuCT;ڒ'ῊyYyMf@l_aYމLj8uiide Vld, kIPО YgxcPɧ™p0YksBqW/MVMdZ|%ItpPض&~* [ϓწ_oc{,y;^8e8ke?w|!+WK׶A"C2|RKכK뫗f!U'5ٶCAً9NPR#!Iy" m,8KJ`/F)Ac35|_v~Иy'p{e.VaXn+HF!Bq{s|:HO G,ώ􎙠ø(xr *3ǏSDY`%_HN",BW^o!"ӹ:Vc3$=I}Fb^:QqL&wdA'/%' endstream endobj 10813 0 obj << /Annots 10816 0 R /BleedBox [0 0 612 792] /Contents [10822 0 R 10818 0 R 10819 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25751 10820 0 R >> >> /Type /Page >> endobj 10814 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063012-08'00') /Rect [218.8375 117.8364 381.3955 128.8364] /Subtype /Link /Type /Annot >> endobj 10815 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10816 0 obj [10814 0 R 10815 0 R 10817 0 R 10821 0 R] endobj 10817 0 obj << /A << /D (unique_77_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20211013063105-08'00') /Rect [90 372.425 144.9175 383.425] /Subtype /Link /Type /Annot >> endobj 10818 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10819 0 obj << /Length 19 >> stream q /Iabc25751 Do Q endstream endobj 10820 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25752 20830 0 R /Gabc25753 20835 0 R >> /Font << /Fabc25754 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ϪzkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ7 endstream endobj 10821 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=933) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10822 0 obj << /Filter /FlateDecode /Length 4433 >> stream x\KܸWEӞrsb '' Oj{ʮE,*JdjN5/NyץtXe/RA;?waCOU.Wqj]zR֙zUzFzS'Ji~фva;/TI)-nxgvvIV'BڎqZ$i6|| I{_7Lf01 +6xRNF~' s u1rG-=iBu2''gA6˔@6hQ?Gd;-!ZJan߿KӬ?O( 3* 42mY"禐e]?i?ѿgz16d_hX 7afbOZ435Dr0h+cmSp8dOش:Qx 0qAG_ }i=gfqW#~v{bYN 94y^fy&ꪤuIA-2Y F)&u\!ѓ5@e;`X@A2b^%^; ОuA&r .$:]lY%Ra٭ȘJ(ed,"8sJr?P&x !'V'B,(HcU;,,ud젤ިSH6D=$}'2"Y $lίEֵK*aŚ (9ZDp?_trݳFZ)ļZ($@BACd( YRCx>踿Zӭn-qm-u6[h9wZ*VqXUkVoz[xJ~c|E-W=gÛm.Ap(8Op=>RfoB/G3:C Y~ yЎw@5]8,ߊY1}x4EUbm!+BݐeI i?QP)D9ɦ|w|uM=ExKլ,xZʏޡ).<;=lp<%x~۫-KCdzG*|(}JKUi0Q!_Ivﰪ|qPȠ+M_RũjU#D#55ގD× 'Ș =U uYH?>tgK#nZٹzp+P$vR#qMӑ8,zqI[W'Pl#o͸5Ln1f8;+%2nM-m8|f/ Tr% { &/BQ37@Y<(I:Iυ#*vN޵TFI?&уfY#\L_)Jvvֲ)Ԉ^Ʋ|8/du'ob'v dPd^rnEB[)E=-J̿4BW&mX,kn@w0P 6FB5->*,Ӽb7j'pޥuNX_h.bѵYj|U (8Ki2j` -‰)En5'[́]x Ȗހ5V wcg,VJg-dT (t.qI98ċꕨǢUlX1 )m+ېyøß9~gүw1R̽ \[q8KyU5rڙ#?=J?uÍ-[FSVímϫҔlnZ#i"U6j?m3PBX4j]`%{b^X?z%&oyeLKV)D;zEcj+[Ɏ^;ϥ5\'s#)ұ1aM5͒K[l}6 o2/w92JcWoeE>o3*hAU 3ŤQe 9Z4CTzU2$UX8ʵ~| 2$^i$x ˪A|S]Ap=jfF8=[?kl(K\-DآOXgyb!$Ey|~5=yx5Ώ*]&|,af8?m4]VCh Lqi6SLV~mL.=H 6vf~bZ܂d)l2sFE60~O_rAyr3oE%PH>BZH RNe>j˹>yO|`^<2ԙeZ;J{* ]wDCRmh'S4͸߂g>Ҋ2Nh /76t}Yx7O"3"B sP9)l@\l(,CK~b3?ހYiuMwarP5`V#yqXR{Z]@ݭG {S_R[430c[>J5隚ֿũYogskQ.%08u;Z\HܥxH.VfO"e.N3GZ/8~/]JoR**n))TBjwL] ϸWG|h /)Y-eFZRRkJǴw+"2URPN*d}ϭ`L6YVpV&#Eզ&hSSh]eoE {iE^Dԧq~~{d~<b h/"QE\W@7gG<4|eY3ppcrɏqJ3DQ^*0X!Ru zwt%7B!<|)r~} ίggrx~9{Z'Y>}U VFKu" \=z{drA˪QC|tDn> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25770 10828 0 R >> >> /Type /Page >> endobj 10824 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063012-08'00') /Rect [178.99 684.2808 396.03 694.2808] /Subtype /Link /Type /Annot >> endobj 10825 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063012-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10826 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10827 0 obj << /Length 19 >> stream q /Iabc25770 Do Q endstream endobj 10828 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25771 20830 0 R /Gabc25772 20835 0 R >> /Font << /Fabc25773 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDUZ ip3S~3ȚCC 0y` endstream endobj 10829 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=934) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10830 0 obj << /Filter /FlateDecode /Length 4765 >> stream x<Ɏ8w~ ͝"H m[ COox5@KD0)vfՠgI7ۢ+&|uݲj?~寷uj?j\fuR:.Ax)c0Jp>EiRua:>Ao kZZ`Z( r~) }̕~0)jU7L6YPV7t5k^Ű}8"C9RUVEDv_^/wP3ְs`A|{*i`KlMR7O]_g^y9= I(; <5pɸJL(Ʌo vWQ`7R7P."R]7BpIs~Q&7bϊPL{i!I 2җkxfy}=N M;w;U,>Js[[ިEåZT LbPtT+#Nɠ<݉}op`[@]f$pAVf{@FdnےlU~%&Qޙ!^7ɵe3EGJVy\f;>Th+1\x+fgL2LUh*RRY_ƹY22mJ5}&*1數9CMc[Ầ[%lʒ%܎̾BKA 1E]*=b(l;9g~Z)+^vV/d+ J[",=]$® j^7^.oS$M6hف+\˸҂!'\׈$3D(g$ {ձmh2 ȴwd[Y 8==yJѳߌ\e lN&.W% YZC͙YbkZGAJ dU0b6| J&>%<'^&VJBoSa ("hj^ L?vB2Qwmc1=}WA$s 댗}gLEz%BܭFQet1I^W'.FA%|xņ6/^88QQz!ATzt2E5v?6;$A0bO+A??$US{mh>e\wfi]ŀ)l2& 3h3}cU*ǁGX POpOZ]z OR;RZ`b{{>jFu-_.mV#۠'HӶӹsOfUH.{`1"܋Pǽqb_JHY ؂ovB\f9Gx=xʴH.)_<;k,2_բiїZY6 mj햪蓺' gVL(w>7"N3Aj˖L9+D5KJR4u24Dj10+-r[{,l.%ur{qi\)sXXzAǪ$S)`X4(4͝笋!3is{ly o5Pz%I*;Z)C"XmwϺ:A}/dsgS98Z3]RrTX!~&9+ؿs6\Jvk:,?g/O8 )٠dl 'm48Jm1Ǐ)b$K/.8*JZڂ`q/< E\]K;ٔ+q,9l!XH 83ӡ5.L6Hncrwt,gs݃?7ٮSs, 0Q: /q}BQpkJ!j;4iXZg97!` ފZ^|E"?cSmt6,sUү2&<vP67Q_x>tdӜrbgЌ-O1a+.4v <@ /j-vL;l+Rr {!Sg{Vb;v6M' sJzV쎎.ޤ0MoRUf&B+fY DڋǵNoSeTsa S(\`Fc)_e`b;<78ٟNuRPs'E(B3CmL|zׁ"KI"w Yɧe[D1V ST] Ë^N}pRv;ةr #+y>0h aItMࡩ#gqεʡxf.J&! ˤ)G|³fAK 衽DaX903&9L.s!fu˿qn޹`.{w^{a3]k#w3͘o\)]昆:[>Rhc5kOMbF,28v0W,sdkﳚ[A%ek}U9|r\?9eNjVљH<"STnܟZދc`КoJ O\{IgېZW@RS!ʁu۷t`Mq5/uڗ ,vkiӠR/Ugp*wl*z\P烃VQ_7?y0p@7_Pw +3xb_-VƘY] vr ϫqEߕ4R9vpoG˘ߕ$T}0`8!Ac.j⍿.N&[Q|pDj:Y/Q}yi2XKH`AZ38 Ƞ!|JT8y b./Oc΁ȋ ].RM2 '=U abpIx/N #3,fQnA7Xן&=m)vJe rvP!ش K64ӫ nڈ:' JWfŽH0\F1idSwyDNkw5<5_cF›%W5W:ޏgbo ]AA&Q|^'>+|}KkD`h?P\tyo%S˓𯉸ScمE3i! L޺ơ%xΕc%mCH;%T~ZgBĵدũAeL5 J2.:^h"lW*ɷLSfɷy*g6rscFXd!kW3/\./8/YǬL e > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25789 10837 0 R >> >> /Type /Page >> endobj 10832 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10833 0 obj [10832 0 R 10834 0 R 10838 0 R] endobj 10834 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 190.7432 608.2] /Subtype /Link /Type /Annot >> endobj 10835 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10836 0 obj << /Length 19 >> stream q /Iabc25789 Do Q endstream endobj 10837 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25790 20830 0 R /Gabc25791 20835 0 R >> /Font << /Fabc25792 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7f#thǬ_{9;u S,!.p' J<jerq+E0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 10838 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=935) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10839 0 obj << /Filter /FlateDecode /Length 1438 >> stream xڭWKk$7y`<36r990/CC6ĦGRWOuK`^yiX_?OG%u.l+5Fr\hs)atx"<` @`[/c̐p`|\\:*g(UxH݃Ah BZZDQUTQ,u' *fQW#{PNJMq>7_ڴ-)(ZN I)KKBs>=pI[28Y_9d%znB)Z9(?36,;hB2Ik6pLU ֞CcbfuCj%%JLNvu,ʛ5wP\mE|1Pm0WSm@$g4M|/X3~:ۂL Auy[Ϳ| s~z΋>cnXۘ)cb^H;byd#9XBF.c9f޸ehi%ձi#эpjep7#2/" g\` l)f>~m;L;wpYJ/F,1VSyZd8|T̯r8r~C݊[f(6JmRVirnuTtԷ/'[Uw%1wN<}6`Qi'̃E~CT @WͤSYYαN|/95ޱJ‹Y b֥ԣpdkYhV79[ po{ORlbIxLe-:~Nf&C aaĐ-g:n5+I3RRg>F9lL]DKyKź#P37-Fd)>e\R7 PѲ+ VR)SF`KxZY4I٤#էb+=ځH_V{zǢCc~3%4 u(9(lj4rŊ+G$>X!zsjGT-2t;A\c}H)U؞ʱ,A㾳k,oOҸ݁! o[;)Lwtq9^NxT 2 d-f9곥^T8;ZԛrMIKz?q~y`4y')N>ZZ.\̌swEZF8ڪ{$ѓu'Qԥ>R;S+ظޯX>)ipN Mzkh Oi endstream endobj 10840 0 obj << /Annots 10842 0 R /BleedBox [0 0 612 792] /Contents [10850 0 R 10846 0 R 10847 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25808 10848 0 R >> >> /Type /Page >> endobj 10841 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10842 0 obj [10841 0 R 10843 0 R 10844 0 R 10845 0 R 10849 0 R] endobj 10843 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 295.525 123.253 306.525] /Subtype /Link /Type /Annot >> endobj 10844 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 295.525 161.071 306.525] /Subtype /Link /Type /Annot >> endobj 10845 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 295.525 189.2365 306.525] /Subtype /Link /Type /Annot >> endobj 10846 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10847 0 obj << /Length 19 >> stream q /Iabc25808 Do Q endstream endobj 10848 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25809 20830 0 R /Gabc25810 20835 0 R >> /Font << /Fabc25811 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7 ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10850 0 obj << /Filter /FlateDecode /Length 4612 >> stream x<ˎ丑w~R(2sn`O/U \7^$*SOO ƛ& Nx)L!ҿe_?_74Ĺ\e'ktz]o`eW-B{[8oƀğ<%ky]mpջ5鯿?!8+M2OF4k^˔ֹTc??L0 _ N8"TG<`r]sW.!93p|Z8C%X篞p8r@ q]%ӞГZ8At^G_"i "s⎫Nr]c"M`X!5:1N8?~rҌ @^֝tf=38qUMqcK!(xh:ă'jJOWY9䴈SI١$&q0YS&h?/l= 8}~s;عE#ÎF:pb9kĀWSā 3V1v:B̰; *. # aT>wD!y(TsZ?$SH%zsmCD0<4'dl4"=Q`tov["M5IS1 c0=1CA"H*y˼1YI ʐ|M)c+x3,{AS]:D+Y49Uj&#][SY_3+2=0w. ;/l"61 pӎ8F_Ojtls(&BЦ'-o\N/} Z'7y[+BmgX.L\hmax͊oVHCvёIUã_kZ홣:%Z\i֒Rr q.lőJyVX^SieE8}dAFnKڋ>+Uhr #ҟ훹M;ݾoU-&Y\[Os$s jG@ӑ8 ݸY㼊K!y^O"#ݖA ,c"UfKرYmTGYg=l6}oqhcVm@Q-)vt| -9/|4- "`naeE0fi8Z7Z4Cu*Pa: };Y#i3ؕa;PCƎ55aKB {FszƦFqۊBH..]3W+yeaYU=b 4՜^TZ:yc椼łeTt9eNf4} \VD LQExexˀSExe]dBs#ĝ9NsR]I [s2GwB73DIIuN(:E 0J-:7̩i+ӽ/iNFt>̍4}Gt2GidiAusHt9aE'csU94sz%O{iO?;Lʌʜvtߤ6ք΄fycF\rޚ}Ӕ̎Ǖ S"ġvn^6d cCZELJ rEzV{--??dEh nSȸm2Ui6La+휦-Yr{|/vG{ۺvb}3-{{Vy/Mߩ Je~1d5S`9ӾqZ.F6UPl+Jd /0s]v(ck+yꄰ9CyԎ3E ɿF?_sUe)Q`\6"Yc:zӚ2W_zzGgаz?Wfzs{h⣴ªWؓ'ƹ 8ٕU70Kғ(Zz>PA̪fXeH@V]0~#7`AE<*k:cYQ o6˽/\Uй2 ʅcqIN]X2z <O(T$ئb7AuI,6˜B@Ikvx+R ZH=Ol>}wqcJm`7 ͋Cpt*wlj|KdFxi34.8negRKwy4f/bX'17 syẀ.yvG#]pi[Vߌ2 :#Rʖ+ځ%λe|dR@LMv CURD]o2Ɖ?lw~ U PeOPeҼfwKݲvotGb%(D]]?˘݌DCi"~gهUxzn2iUSmH˥hÌn ]./g!I6"Ʉrn-N(^:"Z~wcdFS7ti1RWG>H:*2q5qpT62TF_yw98aM3:b|wDEuȵv7SdvU1Oۖ}# TkM%*dIQ:N%eC/93]!x$ ~:6K,q]x{NKz$wu>Oc21vVd;ά.>v᚞>4;6NOoUpfɞN\ݣ9{gȧMFsivSu/}~㍩Gs-tZÊ4TT 䏅^`&Pז: c0ˌi @"*unзfկO+@M]e޾ &`s~- &F x  Nj⮥];)7{ʧ)͖s-h7r]&.УrOc़HCOxo@y.ͩ>rq9}[u0Ֆ_Z nE`v>Oi, s1w 99V~@.oa($̐a]vqm!FIԩ@]y"o!ƒ9h?Ppm}л z(*_Rkt@auL,|s0'-fTqRZi@!h}3K|; E7liO'n %NhaiyT &(u_p,5T\԰s\8՟ԚU4q0H)aqY5{;ֽkgɨR[W^:Js] M?J&X, |esMGKU#$oSx*CSIY>.|or_ͯ{8|.5^~O͕a4^V,oxP3L=8Uߘӳiy1k;Hdz\>?OaJ3oGћƬ>-@N+s!%f@K y i=_/<||=ï^|yӥ^ 0 t$BFӲ,[Lʨ`2ӱ:CC:~=NY?^ҫaMEX"؏g\[9Σso=č:Ll??{ϱӰL<^S+P 08 endstream endobj 10851 0 obj << /Annots [10852 0 R 10853 0 R 10854 0 R 10858 0 R] /BleedBox [0 0 612 792] /Contents [10859 0 R 10855 0 R 10856 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25827 10857 0 R >> >> /Type /Page >> endobj 10852 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063013-08'00') /Rect [218.8375 586.85 381.3955 597.85] /Subtype /Link /Type /Annot >> endobj 10853 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063013-08'00') /Rect [178.99 534.1807 396.03 544.1807] /Subtype /Link /Type /Annot >> endobj 10854 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10855 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10856 0 obj << /Length 19 >> stream q /Iabc25827 Do Q endstream endobj 10857 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25828 20830 0 R /Gabc25829 20835 0 R >> /Font << /Fabc25830 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`-lЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w@ endstream endobj 10858 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=937) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10859 0 obj << /Filter /FlateDecode /Length 5022 >> stream x\I#GvǯLǾDEjd41%hP_-g&.-"E'^sjqv OIomϸ(|=j4{`jT|^oy;-,ScĕQu\=̪D}PapoVg^R #LY.x6{>o2]f IcwUYCJk-"6,`M>ob)RYPw+ԌҢ![50tX@$(.e?&3_ 4mIy}cBL %<sExoobRd_SD58) OJz7')]m"}j<#q;|Y`2k"?snTl'{;Zl'++̚xJ^&*fny+=;W e"6J8ď׽g v_5YIoJLT6S,&SO-ð1MkcDg}S2Ir5E@2AwwJE8K>}`ZIÑ3u˽Du(~LT \pyȍ]}"G"hf#m2v9RTr0h'Īy1+o=BnMs QLskeKi C7Fh )uu:W,rz$+zPزnIZ( M4XQ,yR}q_ VJ435l .Zi s䡨b7[ZYJ+S&q:vqѲ)Wgp|/PJ 澏j̨#K|@S a/tb:piJ~kaZ}^ }!V[NvAM%QT4G\'gd.1Z_j]ok=VA%9AcTsQ&ϑhqwc<=i9]K<T P2^Yu)ɼh@ *Җj~Bn_vR L!+s)'cB.\ۃn3r1fV9F*E:&/@KÃJPS0"8 FhUȬfLzdG1srV9rle?~I6BU:;}Bu/l,FZ*`&HW/_JfbGAq\ͦUIktKDZ8s2<]-fur_fEer^$",v 5%h.%)JQ/ZƝcdS̰&2>/{3MJc|`z^ǷMV%=~N,u,9{"ƚj kp(`Zk1Mag׌@>P<-QMX,؇Dϼg'_kp ض=n⾕ ZoT5;)kO=jA9VS i ȫŬo"ۡ{m1R 4Ȋ<[hĄbjgS)UN46Lڂ⩶z(϶gwf"n#V IbXȜ9DvW%I\WV9s/1׿yyw'͡<ŭB[ e0괘jpS&?՞ڱ,j_ٱ q,=o%*qoE u2s?-nlݪn!z1c`_;0}T㖥OGʗ8pw!񬒼iyo>ַlP~eQ"u aM.w|؝|rJ;iаZNHœ-p䟆ýY\h}Zj=1+-^̒cIu{6 Je^=4Vpπo[$X#DzԜU4yxw]pi8AvZ@gzr3ܱZ!Ͻw9ՖGkV6I5y;I#9ٟ(?c{0.,^8=|حM{]_n,I:I7/ub8ЋK̦)sKS!q1.p$+{hWߙ8:eE%Wx+ac>\&޷ɃW*Fi?âVMSݰu?ť_Mr$monѰ92\u'u ڡ!rIaxmAL; 2 AVlP ږ?ќ}[bWʢ&+N)mh/:Wk<-mH]=Uj/(8Q᛫E/~.R?{O.G[ m·I7 9\?eS;flxJ5|/ey'|ǢMX>; bqh4|PadXf,T«.c +pnMt!BS~j~0Q'c5 yIcܶ/~V_bOoxWmhQvChFZ xrj$QS͓3Aqn4G&P6aUr'ǒ #R͙l^] ",yc3݅(&Ǧ=`LWV7KЯ?)WwB.>vOuGx v'(q Aʿp"a]4bQomTѯI'hJx]A,9,?o-?_!X,PrXvC A2v9:_[)[ʗ)3dGuX1@P?&FvpX0QCC\VW.81iAxlJIjLg18yco^HL'ii!`\K,5:Py<Wf<و'뉧pR`VX꬐6 endstream endobj 10860 0 obj << /Annots 10862 0 R /BleedBox [0 0 612 792] /Contents [10873 0 R 10869 0 R 10870 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25846 10871 0 R >> >> /Type /Page >> endobj 10861 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10862 0 obj [10861 0 R 10863 0 R 10864 0 R 10865 0 R 10866 0 R 10867 0 R 10868 0 R 10872 0 R] endobj 10863 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 288.3346 145.1812 299.3346] /Subtype /Link /Type /Annot >> endobj 10864 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20211013063105-08'00') /Rect [104.1732 272.1346 153.6732 283.1346] /Subtype /Link /Type /Annot >> endobj 10865 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 255.9346 144.2737 266.9346] /Subtype /Link /Type /Annot >> endobj 10866 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 239.7346 146.4572 250.7346] /Subtype /Link /Type /Annot >> endobj 10867 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 223.5346 164.9372 234.5346] /Subtype /Link /Type /Annot >> endobj 10868 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 207.3346 180.9312 218.3346] /Subtype /Link /Type /Annot >> endobj 10869 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10870 0 obj << /Length 19 >> stream q /Iabc25846 Do Q endstream endobj 10871 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25847 20830 0 R /Gabc25848 20835 0 R >> /Font << /Fabc25849 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>㸫_oXo`5 @ǾwЂ)< }ߕ9pZ {vTbχv͇׳Sp<I e!Wm ZHn;Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.}G"QB3Wu'&DS3R~ 5KRhڴ[쥭}-UaoK?>%CO4yrCod%#5JVe8>/f՟EŐL$m뜡5ڟAKiܝBj2gԜΘ9cDA^zv,Q) )evgP(y ?`E W۾{wXV endstream endobj 10872 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=938) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10873 0 obj << /Filter /FlateDecode /Length 3047 >> stream xnίyRS jq [rIO0m\R.,"߾Rk -:gu z8eI2kkӷ'~zc˃ <"kв 7 |'cplŜN|`Ɔ 9xsa`PzFL%"ih_!f~$\t8$JSaψ0E U;Q;&_U9hMњsUcEO$PǸˆmO>'e{㫋A[l&xHli6#lua|I8ם!' ҁ}& 2ehDMGv)屛chV<WJ]@WtMbv]M0wY ʢQ3 {Vf6%CI֦4흍peiaoy@PnL.UO%ĒlRoއbkޡe0:?*@JXj;djbQi)^%WL4 2O6Dل4Ǡ՘{?WUo"¼ vW< vO2'n~݃ZtSK$dE9}͐K%qttSrzGF* @ѮXqp;,U5B{0j@g.OEޚ,D3&kp 3u%Oxo4Pd"z.2"e1 \mE2#vS(-x"$ʹۚs0ʾEwU`z~е d XqPy#ScWLo)~֖Y}A<0z7CIC֪1~WOokcǕAh +z"/h=_+;[]Ix^m)ϱbkk SZ`ieiȯ;w=\w;W*(v] &lOcUc@tamxoXbJu+ T\Wh^ sy+SI,h|]EwhQ"O X%r^4n62x Rt^0**0lʃ,W٤UkJ:gSVkہAC- P pl޳Ԣ=%|ZES!ZSYJ`ÖY-垷 <*;HL>fܚ4*?Mupl8L[+Od^֘*D ']hB㡜 "DYK_<é^c A2At1MsHP?,WY<`[sy{=FFg "OLua>5Au!~l.g!Ŧ~e"93pf@a;gTP `0ތ1qcn]8PSS˖ O_O}8喧,8i[oxqnuvǿqր/jExB;#[p̕;؛4ypDN>yN|95'9 ଏa~򃱠=ªfd{`bgCS6VA U8K0F?78&O$d |'$.)9npGu?Cgh >'C / '*tTBGDVn=jxY}z(G-WS⣚N@,4v!%\-IkT$@qY;D>F qb]6Q<~3? ije<+bw bTv1aiс'nuqPFj-rnUl L& D q,)x8j>=30>Xu~yrϓ7(6!g,-1z PC@+6p( ,I\x&^x^eիRgjTn;Jwn qrnZ zW3\3=t)wb;G:IuS}(s Q, _~fvb\8Wd</,43[R׼`qŖ Q hK=~E2Mf@K îYމ]&QUT@@,XxD@EHB}&`P>^ŃP*DV' LQj9*/dx,0눶)`WnoLԚOC0#C !9euzF==#}+2qu724l'A98y1tu(24!\RsӛKkj T5ѶC؋9RWR%W~ rX> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25865 10882 0 R >> >> /Type /Page >> endobj 10875 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10876 0 obj [10875 0 R 10877 0 R 10878 0 R 10879 0 R 10883 0 R] endobj 10877 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 304.725 123.253 315.725] /Subtype /Link /Type /Annot >> endobj 10878 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 304.725 161.071 315.725] /Subtype /Link /Type /Annot >> endobj 10879 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 304.725 189.2365 315.725] /Subtype /Link /Type /Annot >> endobj 10880 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10881 0 obj << /Length 19 >> stream q /Iabc25865 Do Q endstream endobj 10882 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25866 20830 0 R /Gabc25867 20835 0 R >> /Font << /Fabc25868 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10884 0 obj << /Filter /FlateDecode /Length 4602 >> stream x\K8W<@j&TfU601Ŝ3T-x%)li ;K"ECW]묎AUOuo>,9W_  z]kuAIˮ]w÷}P?4d&+GC/,i-p,[욬/?fw+k;e]Zt\lby~ =ct}PM܈.R gc\N {r|$tPEJ7S9>ͥ@oTY2A o {]Rrῑ3A}hiYCxH!>ik5]ҿ/W2tR҂b̬u1ƮrK( Tx~NUN03d, _"ꞯ\LiF?c>*:G26 UWYu5뺶VB K1w¥uąM`@_M O@tXY/-U>f @/aٲNdz/2 e@сy#bc#rb6`pCFt&,[;ЮyYZ m e a nujǏr؛AC2x5˦Gw(RJŔ8S;(N&pS60ǸR5Cu7.(N#O[D 9uCi|8jlYVuꕭ!Li[w:)(asq鸺KpQMr3 saRvD$ I⿡xoHZ( q&-n-]l8'"(0G9!ѓ{;-\wPM.%^<@ؤq½nyyj4[eR Hb=3U򗩼5S݋wn񩏮FދRefŐG9Jʕpҏꠑ7DAn,(EiRG|aVI[݉UГ=XOD?$~'2"YH~ŜU)@Νh||r_ C a)uBy`i:!/L&"2 qnTɸ*o6Q3jqnU[7{{=yշI6P-eY .,,Y+uxoiT2@~L8R12luݘ f{6CVp'CXg=J}9n-Vt3cmVGVq}~pE[ى}|!ؤ9;?j / a}WWn+>=>yr$,k=K=fBS|bC8^!'=%igѲEѮuA 6,#U8P/}JKM 0Qւ_Iv`!#0Zm 4TqK8UR?tƣ:ˑh:^a1ʠm&@S TBgP학:ORJԢƧB*!qAsK006oPipyl^mZjMjQn.:/mm`0!Ŧ\Yْʅze@s{h 2"h>Zj/j=tTcel+U\ОSXr Fg?۷^w}#F Ya>2-,c ;2H56.Y\JdT} g. /)ӄbDԖf&͈ZZat8fD- F DЩݎņTM/U8\3 e:TtaP7}n10?sR369f.,ZT#6rvs<5.ڥŏ0ؕUC!ss# ,na5:YF2GXz(63Ud;Vrⶱv@ojWDy}Z6~\MOUI Nqh.^f]*߃_we,c%3fw4˸;tDse,9@vpR%ҝp9mᤎ*? 4;AdfB l{Nͪ6T N (uc~@~ tP޳ yO?O{Pv@ud2;YLIi*. $sF y6vc`9Z#G܊8ç]izUhnJ qɋCwJ|ҧqcoݑITfR^HËӺ=2\2 ;K]ȼMΒ[B"Ffo*;A<׭>clm}IyP1v0hf/FFѐFeNήxCY7pMdžC fM ;UH3]_ l$f]JU@.u}'L*+v-CZ0BD*ˣA%j nT#EdK2,VLc2*w]$j^<1A4]U˸A(9c1^{؎L~5D4@䆕;izJLl:RHZ^Ԕl$2;+6 {ZfbZc#L8(U>ݘ2`r)%UtW] }^ҚI<0}64ΦߩO#Yu \f.et\!A+e o}Fr1݊XlaZWL)|?̯j`6VUW(v0'Ɩ%A*(rk8V{ohw|ݘ$xFt\mrhm1pZoqrk$.fʀNM2-pZ(*z9Mں*I#Cy4"Im$\}]Wnf7S2|E6Ҭ#Mf*ZJ Qֻ֒fn%%{ ǯ`7E q/W؇)a3I̺c|̳ Ic||E_=m̈́&#QP&:`8N_B0d>U$gmkaʹa'_٠}__r^+j*º qK:A)xj;lY2}pWk/\3 iBrA 1PEϚv]+iE#=.k6q\c՞s}7#ye^ 7&-vOc!(bŻN+v ^2=r+%cdX/HQzw;)+M >o&ý #mgq@2!rt0P`N0"'0OUfR)gg:C30`s߶F >Vlԫ\x$o6tcKh`yB8uxxW~Υ0zcY߂v\@Ԏ@O}y=Os@8_FP/<+4|_%!+1SHa!0nU ]DnNŭ鿒Yϣ!-aA{[ߠBLzpP)1eאHPH, wz zN endstream endobj 10885 0 obj << /Annots [10886 0 R 10887 0 R 10888 0 R 10892 0 R] /BleedBox [0 0 612 792] /Contents [10893 0 R 10889 0 R 10890 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25884 10891 0 R >> >> /Type /Page >> endobj 10886 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063013-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10887 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063013-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10888 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10889 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10890 0 obj << /Length 19 >> stream q /Iabc25884 Do Q endstream endobj 10891 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25885 20830 0 R /Gabc25886 20835 0 R >> /Font << /Fabc25887 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pRЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMeZ endstream endobj 10892 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=940) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10893 0 obj << /Filter /FlateDecode /Length 4657 >> stream xڵNJ$_gA(t[1i]!f; Y{GTxyoY4ŸTץ3KK6xKQ/b>Uk昜[Z8ppG|R_-pkv)?DnJ˿a>di Ϋ嵲&| 4A?-syEIKy:W퓇MøMMw“83Έ/!2SE75,1gFGgA7& @6˓p!Xr8k,ԊLeubkrp( H%H8,w3!၈TgYɹڌjp2~ %(B'>-r9`r5Q:RYvŴ]Vy0pdn6unu%Ui@]^`W@PiL^milnӘb#RRHMT2pιT~\|5UW-j ),P (X$U@ {n(*t$V5J(ޣe֯bѬ`Y$2A NDPr!JIDq "H'k&7tB4 0ի&*rwlHZTVtT˱R/.&3 N[rkU-Q*>uPbPJC;K]IEI;E/$TQF&I3[-/r}e46C8eRIK*ip g\ӽ1 ڨW4bXaI$ZPH%l>jt /yRYjm1EX.)OToHJ1@u\Jˀ]3A ._0ZW 5`Ew䍁hyW,#C1xaS5ƮhW 1td#!#4e7<@ (pbג`i^Ӵ b&;lBdy?i xmN&51&Q &C53,^f5IQpHӹGf` -YfNf=.'wMr_H)h逭TNfcpKhE=ˢؒ,e#`ҪA|34d.+XD[& +~p^`x 1=nyy9SMyll (cd6if$>ع3sOX % Urz6X5)f٥G9)nK9; +SYGVca!W8+%`,g/oOn͞Bsj5S2dJ2 UHTP8W(aU`8XMlB\.XmAic"q;Sb]g kS%IX8>7% @uX3s"Kg\̼')oaJTq9y8hh>K] lONS8\oeBV~8. 낱o1tjK*{c%i$8$`n%j=qG\нwFϧoT4W4!RqupC2 Z&n Dj WQ6`a e`SDYQ5U! 'țܓCc&5؍$nw"+Ei)4avSCQ[ )+$ݔU† $9 ~nC)kA_2 i30:˙-B$ xpٳ5Up><8`*RMcY{*(+V՘ʁ+ _Ifwˆz׎lcZ#a9EF)WuSX>]AΞa[e`z߷EX q_|ì7@ש11hpe;~Պ;D:riL2DhԝGx\$'#X(xZ줥 C16ͮmR9_E2s3" kjٕgJ r* crޚ͛܋A XK^?972Y;5xZ*M~YWcnQ#Mmb߾Pǘ&HډX07 1F+T8LL`XþQlG-;ٖ݌,%;of23o5q+R|۲ʽDlMwO޸ezeN򧢊V -GrUΨ=?|<&1F(녁=w|z+] Q#^bq=p^ZFRv LhTzzhE[+eX)&Ǣu'>0jjTO/mk9sw l_8=^4S|xn))Z{6QnMC1OMI|6ۤ-dm pQrE!czGUD' FmfEafjA OCOGpOq@j7oy7A=kHbKeg̜դtn,`tC2߾⠑x5ewv-z(3CCEr^[G-}dClK2CHb'1+,iw/i/ 7 G7;,$Z4tHN Α8/8.jgl5].3 1ۂyO-rIW1,!+Bn >KqdyR46 4V\+(ާ2(1ɝn, 8B0NZE,}Y`1YJ8GyXw l<u;Gb[=d0m̮10mRN23?!ŵ9f׫Ut2ϸm& n>=>/=ܪe>7a-pXND5*Y| [n Є "\V9c2v֥ /IS㾀9:9oUڳcn !w|fV3#m\=D4FcrpHqolzIF&},8.ϭ|0yg?z<0Z9A_:vW=VQG[bdI4!vҫ^5ƺ5[ͫpLo˿x!M3&pBx1@a @9W 5ߍbR:3D%A뼃8spϤ흎q+u~^y8݄>}uq[%UoZU,Т韯(.FnUW>9{FF¿6ONBGG""pK7q^ 8i<%_QfO"5c.TVnar_6Y.KMRGŷ7Y1.TLF?u|F{&^ ) W͟;~RF?\Fg؜89o4`_qN`SҨ-ĢGɠㄐN,<7\ XWLƏC,aa+j_w /t,­x'ѻ/Je0區v&`#K_LV}y㍐c GsˋtA "x= 7/Y!XGxnA8"be{7ISJr'g"WlbegW;X~7#ătTף(a2 yz$#~_|$M A—}jR?^ endstream endobj 10894 0 obj << /Annots 10896 0 R /BleedBox [0 0 612 792] /Contents [10904 0 R 10900 0 R 10901 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25903 10902 0 R >> >> /Type /Page >> endobj 10895 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10896 0 obj [10895 0 R 10897 0 R 10898 0 R 10899 0 R 10903 0 R] endobj 10897 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 291.4346 146.4572 302.4346] /Subtype /Link /Type /Annot >> endobj 10898 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 275.2346 164.9372 286.2346] /Subtype /Link /Type /Annot >> endobj 10899 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 259.0346 180.9312 270.0346] /Subtype /Link /Type /Annot >> endobj 10900 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10901 0 obj << /Length 19 >> stream q /Iabc25903 Do Q endstream endobj 10902 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25904 20830 0 R /Gabc25905 20835 0 R >> /Font << /Fabc25906 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ZPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1^ endstream endobj 10903 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=941) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10904 0 obj << /Filter /FlateDecode /Length 3340 >> stream xڭZI$W'x8cm#ܤ '~;P1LE9cPbfq}QD}szP(靏iY `glMF G>'1ⰄeF7a<ΌyWB#-v?ûrp̓rgD?skQf v ( \$EmVv+w[v Eu=h*Fj~Xӌ꺉ؗ6>>(65 WT伮 xzW@:-n#{0ؒVYh KA$5F8lw5Il8:KvA4beHn``h^K fk{ H@nqO[NZ·`: F]AEDAVcK'$0QeC\ݭy6] ~\h.M6U0Έ+)$Zsdݹ+' }O-wae *Ȣm$*KLG=a^;?c~6EJD/ucFVcj`6m}Mj®v厊Tn9#-xgi0ο<4aFHR4NGtv ́LT+ɴoȞ5oakJSbzN` AhGi`d1 Ǵ.tw )ϺFXTWyN]=aω6̮&A"G4R䦃zԪI;].%['VGAgjxfjtTB6M8e!+!r,It-Ŧn_۟ CXehU3N O7W"ܫWp!LɍepO`HT5a^8)wNخfmD=KtY>zbԓ"}q+ ]ࡨmޏ59f-P>UpBZ|)-8q\Cg- @b駊,D(C0k ]At^ZPץ$䯜覜"ԯ)"f;:T.|ͫMFť<;)Q aK.\gބX o0w.r\>%XK6Z f* m0C-пR74OjZ~&RR|ؾ1h-dŢ]4nDS5O+=G:Tu[ԇC}h vTݣً6{0Џ*\qyiqoaQ^pg@g76roDGȸҌ$XuQqӔEvVxxn-IL5ɎQ<>os!Zx" >I pTY}7SqIH(i?~Ր}x׍GLH1SH"5}j\Q}Du2zam*'IPN8|wE@6A _Xxn&˥k NJl[m #eB-/8K}*ܓ oTM=`a9MV?}PI+~^C$), )GbHbcϔSqj': >*B\ 'bdeB\`$w>!a3=zICLXn+T,.B^90}sH/XW\z_"EPXmQ70TA[< 7 ߵC!\ ;N9BsOz#ԯ!LIz,X G endstream endobj 10905 0 obj << /Annots 10907 0 R /BleedBox [0 0 612 792] /Contents [10915 0 R 10911 0 R 10912 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25922 10913 0 R >> >> /Type /Page >> endobj 10906 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10907 0 obj [10906 0 R 10908 0 R 10909 0 R 10910 0 R 10914 0 R] endobj 10908 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 252.725 123.253 263.725] /Subtype /Link /Type /Annot >> endobj 10909 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [128.566 252.725 151.4185 263.725] /Subtype /Link /Type /Annot >> endobj 10910 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [156.7315 252.725 189.2365 263.725] /Subtype /Link /Type /Annot >> endobj 10911 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10912 0 obj << /Length 19 >> stream q /Iabc25922 Do Q endstream endobj 10913 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25923 20830 0 R /Gabc25924 20835 0 R >> /Font << /Fabc25925 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 10914 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=942) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10915 0 obj << /Filter /FlateDecode /Length 4778 >> stream x\K丑Wl |BY6v{00x JWU .fS`0"`E:?7[=V9?sۯGchٿ=9^!88ɇZ;mg/WxC~Ko_GC2-7/ۿb]hXXcbeX< lN' +)9'I#V]t>Ƞ1p ga8_[}F4 S>g/G诤e.K5+I+Cm0\ /'Hy݀zH W X,da#MFO+#aY.(oviݲfAQd$bYDq^~p˝BB2˔{GhY.9N9㮋śk HrBf~0fv酧.ve27oou?pi]aS3U a"^eZyCQUֆSB<{7.իAŰKzxifl`;bk{o ߼Gͻo߼G§ZOUOU%]e@Ù""=3e0Cً%͢fxгr½y*܂_;fjL3YY%?kqEOaZB119FQ$b[B-<93Qs#rgi4E@>"UyZGGs+zLMQe5ݴ;Uq%TR=2cuH=V_wrNJP" 'b7H׺v}Rhi"(H$zFtV]z"h=E$MywO;khumJog#a]Ҹ[rZ)ox~~}/q*"@I.LV1{$ݎ.ՙ$a/"1McTl]cWS͏bdw]XLg\KpDQM UhWּTuJlӠ޸ŕw*W'KUͩw=ӭqPU=<,"w _nAlrgejXb}6 Z9@;xwd.e*; Zm]ZyC*P/u ;n~lL)b?(dМ+.PHD=55. F,#n>DLֳOB@] 4BgP镙jORFFa r`PBRWЦ& v=wԫUKI16KILiy'cɅ ]Qf@}[h 2"6Zj+b=۪tLeEw7)M\О),y#nz êm߷p$ ;T!e,XqSi}h{{"sƏ$.ed4 $AN_u&`d&[%re\kP6uѩYfĸ5 4d"hTnu@*M]>ZwKvw+YEKX{Cu~ur9+ŕx4tR]`kw4,P~ `a/"(X MZtL*MVJjFIaEz= jWCGYɈž;8=85鲂+Na 'ṣp iX*~R-v٭'IY nN!Qç rpRt[G k8պ[p2 ;;pRdz(v)ҝpR]QW06;fдl|uQ+ҧк U[q 2槏l920nu RFeE*HułNfȧ!0$ lJ(~H^⦛cM@ kHպHoJكTm.|@JubW,٭R! J,f{FtZ5} K nVʠxvS4ޝF(@eIi3Q4ޛFӨ1eIy,7(XeoQ47V&5X e>aeb ) +;2[[.̇W&v!e>2)}HL6&Ti]0@`K4*y̜* 5qKߧPBV tv! #D4Py Iɨܩq+N_5>Hft *kZH+s]4 =71C=d)6Aa-0e~h_xPeT\O?.vtkW oӎPGc,{hVqї-7FD9I T; Ggu4zf,ozLqW+1HF'9Ꞙq( fǥzln:Z(/fCJlj"4쉯Igt9) Á =Դ:9~)GW_K3v4~*29Wpi퉾S,IwL[;sE] (uCa-"؜rсݻjʸhIFy62s2?JSoLZ?Zk&avn^MH+籧V_@AӫpxŽw/kBm`>?RURoVF2Kf9$/}acUly>q$5O69 % \%^ BS;-';*w(F#we佉PĴ0-e%-<\ygAv8{vo0' %ˁ(É $U 4vcWϽS CC*[<"˧~&y"*Ny"oVfF19dW[C*k<+j Y I"z/1ܭ,B `ߴk"[{'$'H~8L2Zu"ej ^>0tN9ё1Ņ$]!l=HGYx a@XPT3<@49tqy(`Y\G0 o]c|{j+ɛ8%7~%n>Twa|QkC*>cZr7=?y874/դkjZRI\Ɛ =N)x{3=|9# ̍%6Dlw'~K.:"2a#N= w)@ g5<)ITFjwX/> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25941 10922 0 R >> >> /Type /Page >> endobj 10917 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063013-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10918 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063013-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10919 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10920 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10921 0 obj << /Length 19 >> stream q /Iabc25941 Do Q endstream endobj 10922 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25942 20830 0 R /Gabc25943 20835 0 R >> /Font << /Fabc25944 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(Eb endstream endobj 10923 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=943) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10924 0 obj << /Filter /FlateDecode /Length 4851 >> stream xڵ<Ɏ6w} ̝"H #s9 T3vQnu﷑|K6RRo_l_3;0o{ڧgk5e/{6cb|#\g^cZd\ܲ&Zޟ/^f$Nɰ$ʒ]qiy  3ٔfLz,^MFۆq[6/)-<,QgҸPG>*n5C D|'Z?C@tħʸ"BdenWٍ<38{tsdd{ 6>)>"Vmϸf+ HVVЄw\tI;(H5e\N0Q NgXbCIQpHGf`ֆLkj,fNV3.O'wCr_)hj倭Rdq/n"zM%RV͋a ع3kxNX+~{gVٛ`(Rff=h;KּT-=N (.rOgv~a\]Yq2WJ lFYKX=W |MCpMݚ=jdȔh0 UHTw8f  Mk(M:!.>xބ]m dH2'$>P8W(aU`8XH&f|Zq[tgmΐtقThҢK\_*W*r޲Ex>֢P-n]KN|l -!@ j^**}טlS/WխT>nӽPcpP0.JP#O-PҦ%v-!o MWZB%hxP iBG֞Jfu"$ƣͻ䐠]-&+=pb]ʺ<,@ }-\*R:`t>c~5Y q-^QO*>͐0;gszcc|ݑ&ZJRO1irvlqE3XPf W.`{A+ ~xyg3SdTϟ:~rPc=%9z%%ygQtnI{m N8(!n.9_%\}wOiL-rZolGiX&v[UrZsX>\uht/9mRz#mj~Qs$гhzRFE]?3q XyO&}W'_W͵夔خGWP[;*l])O?Py[iw:{˖ԫ'w2fbҔ(5ݖlag_wwK|h9#2e;+d|H{*}&]*|ppRN. &d" =a4kkҘϗ~/aۗJ￁oCʋ4׿ͿK7Kz!koKfb[ ϳ|'nAS"Ԫ}m A3& q7}_cx&F}@4QP8+Y;y}9=3MaB&,grrV Ùȵ .GL:-ӄ "^/dY Xe+c|N 0ζ8uR?( #fߴqq4nXޥ%ޓC[Ԕm^/^#j ]֚LrI&b XA.ByA? rz2WshzJ;A.o/&MЏ9(p. 8@ /Ꜣ<,j|năDKИ'jd@( U՞i%}e> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25960 10929 0 R >> >> /Type /Page >> endobj 10926 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10927 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10928 0 obj << /Length 19 >> stream q /Iabc25960 Do Q endstream endobj 10929 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25961 20830 0 R /Gabc25962 20835 0 R >> /Font << /Fabc25963 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O]VK mw/g+R\T1Brt~AG3cX-LB.FPQEq¨9 }' LEԕTx5s剢xq-lb@r2dv"`V_g_5kDN 9!M.%O#T\ #Y}ɾ 5Ժ@Au꣔xJ9iK[ZNߖj?ɞӦ+<ʡ|72THLJ\[-48יq|mau3ͪ_ l?7‹C2Xl˜sshf^2 +?ɜ F0p|sLjT:I*d~{UXb^@wP"]@ ̠h#bwoD endstream endobj 10930 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=944) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10931 0 obj << /Filter /FlateDecode /Length 3978 >> stream x[I#Wـ,m 74~UTRLH*>[X=>M5;0/˯i˛{v˘6Oh鷣i65sK6jp o .xcgoL0v'?oY'aO<,glxDOdzzjpir&I0!M)$`-jB=M?O*YHW@4 _ O JPZMoT> AIMr?-|窍ξsԂ}\f&q9`~'QUďϏTE<)XM,&A";'& d/Ѯ%4/M7qְ*Z ұͫFruww ?޳'古=Xc},M^y5s!m/ylތkM:"jl]I ]'De?65r!'aWXdgժnֶoy#4V) {9+32N?BCץƷ-$aOFJėrD*]`HF@f)iܿ"Bo7I C RaVȿcx̍ ht.59͘7밙K(ShMflx{KmBW @yFT߶[{ǤK.ex +AYH^`(żӮ[~f)4ǥU:kMqMYkc>Z_zsRsJd-/-117N؃tuIn[7+IE 2F>m+X?X*yDmŋ5#ⳎbD Y*tS_C ECs;{.=p'>5`7f[ %3t +>i,WFj $V[u#fjW{xy߉)-ǫQiռ |SLm2krXBWE'PAC(,pnPȕsQ1'Yp Ǚ<|% \^rx;Qa@"6!KT]UPQ{0Wt<:B>҂{݌er ~fU2\BfU9#ޟuÂ08* nocuH[^({a#z*%s"Ւ(H3 iiAV!v*^9|K䜞ȉPk{D=6Mn޳7 Zt&>wm7lKzXG<_D~]o}Xk@=ƾuG do[}[?TbѬ鸩Y ɜ{U u-h׺ 7UO;Z'Gůw&*kۆ !`CE66䎑z&X6Zdb+믦_6eOO ?v[qHMh)C/Ȝ@ X[m{v-d`(.H>U,Ğ"$‰8GtTEk#P-P^λڊ;"8OUo`6i޼v0 ;2CY/e'V%aVcFOь?ȴ̍'ܚvoRMIV-!ZJ!/N>Aa^˿_~~£[D \,uavp=$ .b~?60;~D<vsHl.6cm}o}oFw]q\O6ws몓1Ap2Q:w|C[?@;)6h~\#IєO7ymv67yZ-~ѣ}õEd8}BMydv٪; {{bvܔs~S֔o3 G>ㅼ#l3'\ab /i`@Hķ\դv/jIe_-kΟe;n}>K Ӧfg^7N,)&᏿Ƿ50mu7%+|bW^W6DduGv*wfuv!ԨE >ȁfQ-ponŘ3}7QM t}@:|al9*\W\(hi:h"@YJ D T|}!;LI580$ğM8//)VlG|< y9ˁ v>2ؓ#egi@Ƣ-A&fm DUgNhƃ}B<>& EL&|9:&6Ow\r>=͹$`^y~ծ֙ۢ]Zc4^'L]T #mX(Г+q utrlWPLZO;M\gǴY |͂ [ bŁ26s\ ⊉æ7EufY{kvځ:E+JX (Nu#28q9R[^z?|Su!RxO H1Yb>zlM?CfLeh:BXT[#EмP+"6;q%$6"%23GZ=GuĂ*B4LwLSf&H>(c:vnIBE,y_iO9f,\g!oх /RB#P*klΪfۭ Gj.;d^) bc^|{6r|d 2fn\O Ϲz4Cs=RSojz_`gKMc,$:/`rKGc@3xq_t_ yWg/ pSδHpvCn[ja%ǿtz1?o7l9>ç}'ߎ@PY]XG;@Ȩo#24(=nN|ߩT]8B7^Fjr cv?& Q(, UJ,=2׹_'IUu4sJ͊r/F.:P*:bD۶ endstream endobj 10932 0 obj << /Annots 10934 0 R /BleedBox [0 0 612 792] /Contents [10944 0 R 10940 0 R 10941 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25979 10942 0 R >> >> /Type /Page >> endobj 10933 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10934 0 obj [10933 0 R 10935 0 R 10936 0 R 10937 0 R 10938 0 R 10939 0 R 10943 0 R] endobj 10935 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 570.4 145.4837 581.4] /Subtype /Link /Type /Annot >> endobj 10936 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 554.1999 191.3372 565.1999] /Subtype /Link /Type /Annot >> endobj 10937 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 538 166.3397 549] /Subtype /Link /Type /Annot >> endobj 10938 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 521.7999 164.9372 532.7999] /Subtype /Link /Type /Annot >> endobj 10939 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 505.6 180.9312 516.6] /Subtype /Link /Type /Annot >> endobj 10940 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10941 0 obj << /Length 19 >> stream q /Iabc25979 Do Q endstream endobj 10942 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25980 20830 0 R /Gabc25981 20835 0 R >> /Font << /Fabc25982 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p2%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}Kf endstream endobj 10943 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=945) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10944 0 obj << /Filter /FlateDecode /Length 1699 >> stream xXKo7 W`&zk  P)uߏ4Yȡiz|$?R#jm\{Эdjw^[ORFckh>=oqa xΠamBg:?xߨ }S;&Fi }ioLv$JgÍ^s(gnd,O \JͥN>QkgtبL3P&1h4@USrx9]ي-DLd5Ggb兕iLr)r 'fw-5 -bf_v>jjz˕zRRy^zS._x-؅)Dibzj+;R9jdwv*PL1ijVB6O~d_䅧*yjbX3_J5e&<4AD:fu֍V}ytg}*r6$}2vV &vy4Ŗ+ե ,ٮɺX[,(ܡYxs=hvh i>cYD9=iD;Xܚڰ]OmSXs [81tӱ_~`;^x=ڣhG3Op^9!KiY:WQ+Wp,B9@F%aDzEʺ -?}g,~x!][+˖4 ')/Yi{eZgL f\a+Ꮆ;J/[9 x$ĝ J2S07.֜e+ +q`Gx3T%Bxҋ DE I<6PR+_%¹M=U:qj/S;fJ#f@S$n2S*Ya(h4>w qneDu|w٣(2 Fu\g\ |^-w1-F5IqsܪY:].La݋9vci R3Bf&WJ >Y?YS=W_l.@S}393 (#˲ܡb{X-]U0tѵ'Ԑ%w0{1\B{A1Vc|}!Vc}uך/gVe%B$0z\qaP<1nkPq疍h,hwxZœ''!"kv0V]}zyC w vw)̂Gt"n8؂`G"1j/p7ƖVj۲&Lum^'|EPMԈ`+X`hy'/!1t }zECjH&, endstream endobj 10945 0 obj << /Annots 10947 0 R /BleedBox [0 0 612 792] /Contents [10955 0 R 10951 0 R 10952 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25998 10953 0 R >> >> /Type /Page >> endobj 10946 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10947 0 obj [10946 0 R 10948 0 R 10949 0 R 10950 0 R 10954 0 R] endobj 10948 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 295.525 123.253 306.525] /Subtype /Link /Type /Annot >> endobj 10949 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 295.525 161.071 306.525] /Subtype /Link /Type /Annot >> endobj 10950 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 295.525 189.2365 306.525] /Subtype /Link /Type /Annot >> endobj 10951 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10952 0 obj << /Length 19 >> stream q /Iabc25998 Do Q endstream endobj 10953 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25999 20830 0 R /Gabc26000 20835 0 R >> /Font << /Fabc26001 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10955 0 obj << /Filter /FlateDecode /Length 4434 >> stream xڵH @zXVVs$.SY?A`lۇT@I %FRxdIq><<N;_50^:B[0*`X¼t C5c 0>>ujOj?AfKO5Os]t -m SXaO>aKwGtB'7p:eMi3Z(*Ѕ3GZ4Jx--o8oB\bôyv%(T yy-ãNX~/>t8yX 9z0FQJ QխIfX`+Gt \Aslþ .qZU!b @BHٙ E! }/Dnw7} 縰tI[ U.hDy$ϢU ܖ־=v/)so'Z񵕰,U楘* z~EO,/ϕ =o`T:$ATؾ=^/ WڕDgإ(iIN&SMVj rb'Sv|]:1m/̙UԲ\L QUpkfc%7\4OnSAfs}ߑUΦj;%Mi [.a2PUIZCG +xǜJ+'pO>[0 Em''@W\Lur@(xZGxx5[' mg<+|њq鯻V6? b# ՗㠘AGK::2ǩ>q"sfȠ3ሕ$2eJarK=hϞ:*uBfua6aܰhR#)T+_ѐb#nRR!vk՞ G "8LKR|m֖2\-'cɕ 󬰼f@{+ۋH;䫽AFnKWڋ4=+SX Fe?W|w}ۊ{\y ‹n6<5]?~ > !oJfL82wBen)s[w'ɔr3G`%Aw"C^[{:bix̛ͅS2OP?χ+~dn?k3nצo=:m\J;ikhVKV^[p9)XlC5tPfYMok,zhǂ䈃8CNz_8yKmylh*yh Hr+|J 6(쐗=xwlmS%S2+dpSU<3?@HfB'Ox[ ~<~萲Gf G#'ƲY+ Fi0PhRC#{դ =EB{j,rU<\5n_WCIOuF"!ñBDxU8C{_ZPb@廍Ȃf9]N-rz!b6|T6:R8-+F@T@Xk^X7M!.2-̒%{ف\T`WoD.xrl/6z~ ['m# :he>n*uW-ut"V'*:b]j}z0gSȐުHz$y6t8 (@B ,E"Y|~J7_l \yPFD DKuViRܐ6կ7ɂҩM9R+ɏlgT%z~B"v22K5xzk77tԻ>IUuC)8傇!wV.ʖ4"9CQ&|3]S#?U7Hbr>HTrL+Jzi}9*28`zE7e8qu< rs1 w+]}pzvd$ЦCM ݲ;6L=FQT@VTssPURU>U=3T0o8 xuBq5B\?mJYPge j J=agӼDZHôC_Cք{t^߸g5R{tv&Hq!@s&]SW8U'qq PBFGϗf:\kCȖvMGGZIn-3T1X.Sq28s|A^x鹶Ōj.T_ҊE-F\B?xϸ><ݛF4ꔵf*@^$8y\bMPϢŽMo)n6U6َBFJMv uˬ;}Tn~W n |Yf㥬qUgYQ,!;D?^?R &-z.hg6_x{I:v53n~<8SYcx[D@pƷ+8u"dZ9I;_m8S<_f2V:k9G> 2`jeֶ"lV x[>Hng93 zp", 478'Ia9>u0ǞYI[g};Ⱦ吰[C5e)OpY z endstream endobj 10956 0 obj << /Annots [10957 0 R 10958 0 R 10959 0 R 10963 0 R] /BleedBox [0 0 612 792] /Contents [10964 0 R 10960 0 R 10961 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26017 10962 0 R >> >> /Type /Page >> endobj 10957 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063013-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 10958 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063013-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 10959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10960 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10961 0 obj << /Length 19 >> stream q /Iabc26017 Do Q endstream endobj 10962 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26018 20830 0 R /Gabc26019 20835 0 R >> /Font << /Fabc26020 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10964 0 obj << /Filter /FlateDecode /Length 5003 >> stream xڵ<Ɋ,v\?|14tuu=N歮-se6}3k$Qs'..Q|χ2L!SL:\&[E ~ZKsrA H\}5I'6B554f}Ě6tYw4MVy0dnVu4v9i@]<a e})" N t|imAj{D=4{k1{lDa3' q"i4tENwfcc%?ˢdQlIP-e#@\5odd6qXDk&p78056eOk^y9s)/ؘS^vk`Ч%`s%f(pN fcK#XhlJ)AE5LSt3f٥G)n1fK9;RY{Vba W8%`,%'oK;ΙMnɞ|uj%SOdJ0 LTgW8fs Uk(-}=CD]8}e/7ȂdFH|9/SbGqPaU`8XMf!Z2#}G>puΔ4Yɨҗ.,NPufd6x1rL骪?/=' eOtvW:ۓmbUN3׫ihYo_E$f)º`hD)R^_ [!- {j XFiGZ@nt>DӔgu{FEεs/bЧVhh\hvR-iWqį(QPq.2Ђ2Y-Ah{bZzum4HGfNZ@SXU*>Y4Z 9;r|x 9^c6|̽aV&yVGomj7OֻM徬[Y Nܒ)KD.Gua>={SguEwLڨxCXzTX;!67v5&ql~ZZڃ.\m]1|so9m;g: iuf~t/~i4.2n? [99C߯יּ [YYK9NNųa8_Ft<SR)>Jm:@ИW1EA Hl_0OCzվ]HՎb=Z`_s'm=l~L>BWf%S[|~4#8 +2=nͪ* `Dʭ;j\H*]pm,{}N͔}Zۏ]x_nOX)i D; ?GKB ܻq9 }fѻYƃt#H7 >=>RP;O7A;ۼG ͪ&a4[ w ҖӮ@>&}X[,wB`+l=$(+}ŏZV2CdSaǶmvdmG["w{3is?mA 7t<dkc;;e-^ЊQoC11T+ʺ<`Ԃ&y4- }{sF@*9 yLhlSﵻlOK.8|_UདKn^ mYQ|MzqMT]9Gy=cddO$cu7`d,h3m.igRZO#ub~JE+ itJ;~cT#4Ɨ=p9ܘBd(-Nu5JZWVnyz{VzݳlIzJ*_\K\raH\oJ64wӪߡP=s({Yw >h٠Pd罅U慛&;69MIӖ~Ad0u-a6[s3m#%uªooe5 .qu!nmW?m ߎ7gvLāj6mLL?pŬ:azޡm?.m[b_A2A+1goB7܈Ds#|6Q}uulgn>2 { IÑȵ%\Z!A& i$8LH ?*J:'wL:l,K?36O&Ng\Bh;Eka$So&Eg9d4 [p*ڼV E*?)25Xk21s%I_ioP~]l~,_ "GA|Ya4Ts.;m}ҠylɓAib]=Ləwd.+-Rrm&3!h.͐fL>}dԻ>XoԨXZǚ+M wY;t2S7Ykcn7='7B8B5r/[,!-i;jłs;ᮂBޕŬpӤR4QZl kr_!HS\Z^ {)ce"LcCۮX#C%hIRLէNO-Ih _?ذQR.1s|}U7AF^q91_ZuL}W1W1ϼ>*mFI=gźI%p̅MpԸHrCl6Sq$\<7ս&:ސo>k\8lJ3f۾ Tnɦѹ<!l[gv")c5[N%|#o!D!KJm#d v7qYq31dȢrV!FTW GZ`='x&i{ˌ)ܥ:c|檟@T0<>@i$ /ކ"Zz6{zPF9b!a5-ͫLBfzEঅpҔ9OEx0pP0HVjƒe(kwK_0_Y:j q0~<[+8:ܗ7݄>Ay[YkK*6^%"X5E?Q\\&^ӣ|p*״M՟  \%ȅ"DZ9D/,;jHzSIn%YT \]$h0rfkAIFg?i|L'߉RuXም}HMw<3rnKDx)ď6Fet;Ee2ҝ5i"xg6ӥNRLAS[)o8b_RYd@2vlrM+/Ony}o}CHGh,?3ătL%@0BddpAj N YjP{K endstream endobj 10965 0 obj << /Annots 10967 0 R /BleedBox [0 0 612 792] /Contents [10975 0 R 10971 0 R 10972 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26036 10973 0 R >> >> /Type /Page >> endobj 10966 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10967 0 obj [10966 0 R 10968 0 R 10969 0 R 10970 0 R 10974 0 R] endobj 10968 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 255.1385 166.3397 266.1385] /Subtype /Link /Type /Annot >> endobj 10969 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 238.9385 164.9372 249.9385] /Subtype /Link /Type /Annot >> endobj 10970 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 222.7385 180.9312 233.7385] /Subtype /Link /Type /Annot >> endobj 10971 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10972 0 obj << /Length 19 >> stream q /Iabc26036 Do Q endstream endobj 10973 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26037 20830 0 R /Gabc26038 20835 0 R >> /Font << /Fabc26039 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10975 0 obj << /Filter /FlateDecode /Length 3290 >> stream xZKW𼀵|Sl@n4bOAOԋ/Yvw!=HbXCV_QUgTj1إ߷?jQ/\g2z:/Gm54֠B^pyMZ6weXq G߀tO`O*=x9?kp]Eay~W/Vi\) (;pp ҇Ӫu Zy V'.\9iE\#m`_upxh8H$0Up w9K/K㞦 -Ă,ٞz=N"CN z0~9Xk=]#HL tq C\iJcU._))IJ|{aOGG0 B'ݝAH3AN%@-GͫH5E>x[*}!9ԴZ}"r 9;0=Vn9&(Qe'c22˝;Y,t {ꅭ~ | 2Cyܗ̴]U8-H䓑q~]Y֢ùfOӞp@=x1s4dch488}# ʙUH{:ک@mkV$S:̓_BsԀK0(:оF'Mp]wNN6NSNoFω@klc lBSbZ"M%nOPvlSq(cc9<[ηKU'bbtNj T/G]*v+7Aks@b"~ ճ_;!4{'Ge5x荼Ak܌Nh*TL-΍Gy3s.F`I0JO :%z\hn8z5:`7P'K$ou'Vr4+5&dv0+[lqdb?m #Qo%FZzMc͵-BrEz;Q7MdGQѼio{-Fؙ${{PJp kL.X̿a2XqHm5(HˍZ6+INOyEc>鲓Gp)zDTRl(qivzo> 敵 c?beʪ!tְÜPXN#5XaKsG[ƵZa]a˳HJrY9Ml#Ow9ϭ5O9 >/ #/+v%S-ݠ•0gs}O213!23*Po#Rշ?_ab ez=x`A@h-/EY VȳrZc~}~E A'XPמgP˘ajt<p-Tsļ3n  o$ѼGy] XE\WS ,1,~.r WZ7@vI1SgqtՎǝIT\p)l~{V}^AAxģ͇u}V;o sKSO`nN)K<d̂/N@c9Ic0'uʜmƏR!iAa/C[ 7ԫJMh3.ePӉ3.!g4DAvi'nҬa]Χ[ջ9O[w0ϣtP-$wiYw{(ӄ -f vqW ~ g0j:ڎ3Xf۩56X&n8K7͋eڼr}UMvw. ">Oj;Ě2uei%}pi`z AJ]=tF44oj ;UX,]L<N#Mko'`*[i&OjՃAIiʨiT#4u5u ;uM\v!K'zeԀB|C3<DUhЗZ&fEgI,"3[ > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26055 10984 0 R >> >> /Type /Page >> endobj 10977 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10978 0 obj [10977 0 R 10979 0 R 10980 0 R 10981 0 R 10985 0 R] endobj 10979 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 271.125 123.253 282.125] /Subtype /Link /Type /Annot >> endobj 10980 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 271.125 161.071 282.125] /Subtype /Link /Type /Annot >> endobj 10981 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 271.125 189.2365 282.125] /Subtype /Link /Type /Annot >> endobj 10982 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10983 0 obj << /Length 19 >> stream q /Iabc26055 Do Q endstream endobj 10984 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26056 20830 0 R /Gabc26057 20835 0 R >> /Font << /Fabc26058 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10986 0 obj << /Filter /FlateDecode /Length 4618 >> stream x\KܸW%3r@ANN6A0Oң[%ݖHQbU}UEJ6qvuVǠ'U~:ѿK{wOƄ'}rϦ|G9{ԥ'%g~}϶5ԕvxP7WFC;9 17TA!\2֏ptvNV'B3%%` ܨ<&fO:џtu11kś_tHD~lLe'bؖ/2N{gX,bET!%$-(:@˿DC c=~J[cQc%QAJccozA 0G a{vwԤ8=0˫( pu{0ρT-4-cGiC&0[h l̶-M>Fe?B>0+H,N>Mޘؽ805'κg1 W$#HUa=FKt_GwDrG V6TvtMZZAC-x!WF>0 !"l]@?~WqZiשڪVӲU 1֬(6\QܪfnT;R6u;Z So48V~&zuX}׷E=m'wU5I79ߞf5ָ:؀ywDl4OW:fosO\)o:MQx4^0⹬TΜHY"4p\qZ< >ll?S ۃCڟ % ";Q 1H&$:_$m/k$jD\iJ6J+Q _\ PNᨂ DtߢsR2i/?~}dnLv0O<\(uPd׽ѕ8/~ůh~WYw.ɗu#8s۪ۯ=NʇD&;v$u86{|NHk筷t`Lpτ Kv;ưLe[~IlC%(ZfʒpGV~;`%TZQ̴q]e iMu XPTa`.gnLJhU7n;>H:yw9I^Rx6jyī+?Q{JO8A=%ie "݃GѲŤ]"ޑe poPiKl LFo$w 3L”~PȀ)lWjS&U: ̉H{jlO!ʭXzX<*|\x\4AmoOTvnK iv>ةy&>,qm7Svc'=͝v@@1oIJ%fci+7>l~_0LIXܷ)TPq1^/xIOx-&V9+ -6ڍݧVĄqvejn]F*p$|O=f2L=f W+|Q_ fjk(Knd^|6egsFU:4XH&]@[7-*xJ}eg5pz.WԐlGvHzfeϠko2PйGntSenl/<Bk04Vwtc7a@s;譃 qA̹3&Z0_D4 x[@g*Rd= T\EM PxÒ+.RGSO\%$=ך}XR1E"KS X؄ԽG8q?WU4X{K4DBәX'/<`);3 S/Xb+QdC-NuK= [GeSҊa{J/j!ť^O]t:SUMi?YzpA`=Tc>FCD?98-~w7p:E!w~ ҵQ`8Ӻ,%r!7.LanU `:4HJ++OLs#:X6V0K#v.Xp;A:Tk Db/ArCZ:ܸAaGT5A@w7N|g#8s`}o| fWѧIwlX6W99΢bvM0̂U߾S5/6.mmH?Gv;s~~9ZuvjL34!&+¯8y]JA]aPӚ2d RyC/7>Ǵ|5VD:^deڹO܎m՚s}xǼZ2`[wuU<̻24C%Ն(oH:!. \dʥw !>ҊDw;)7MJsIs/y)Ȍ-bvbR` "'7͡;ˣV4~Df4KH ݤej4}بV2HެؗyVbkw J=`|{)x-jˑ;Otp-btMMY_܉@Ick !#ziv0t_sEĦro) '4ZLj2'a#N- ?}ȥ7)@f)f)ITJWL,q.#i<3AJ~qKٹ,}&G_KMnV1cx*BTMrV+',mɏJg e6Y?%΅7).:T6J\F=B"{;d=(j\Kۅc+'Y? ݇D8!8yw+~#1A7>&/k>z5.K"̲|6mzLObІY8K0͖ׅMQ*BD ɐ{~u/g#σһ `:gBs LhfZʏ( 8MCy?3 ?w4;o-ѵ<J'9fI#yC. UL&Y"&\{m$C"Bq=`A%$ endstream endobj 10987 0 obj << /Annots [10988 0 R 10989 0 R 10990 0 R 10994 0 R] /BleedBox [0 0 612 792] /Contents [10995 0 R 10991 0 R 10992 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26074 10993 0 R >> >> /Type /Page >> endobj 10988 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063013-08'00') /Rect [218.8375 462.3192 381.3955 473.3192] /Subtype /Link /Type /Annot >> endobj 10989 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063013-08'00') /Rect [178.99 409.65 396.03 419.65] /Subtype /Link /Type /Annot >> endobj 10990 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10991 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 10992 0 obj << /Length 19 >> stream q /Iabc26074 Do Q endstream endobj 10993 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26075 20830 0 R /Gabc26076 20835 0 R >> /Font << /Fabc26077 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n4çC;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶fկ ۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}1N endstream endobj 10994 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=950) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 10995 0 obj << /Filter /FlateDecode /Length 4978 >> stream xڵ<Ɏ#Gv<p @P$MF>>-Fˀ2Ğ\J# d.[pcUO^{juv oIo/fUjkjJ[YJm]$ܐw=3Jp?σ{[<÷՞a/ XJG/Jouʳ[ߖoۡ0BZiSz[x&h5Ҏ*NUlqZpx8Rf o md60Xm. <ڳ:Q##6EswDpk%2 rQ7`f4XYHTx o&ܱn:<[(@4yݚ!-e'mQ Ii}Ҷܯ0*'R}}9G[w? |V<;QS }AI)~_L4t4i=47U~rA·07>3@:1 N`:1ϬC3 ^8rD<E,<uBJ^˵V5)g.cz2R1˱=/@O0nbxcNYT餍ȿt.C FAo;=ͦLkq}u1EF.Ah$`\-#?=0Um5I5( J5a0 Nk͸@^ﵱͧPO>AoH$q6Py0SMhfzUh܀վ3Ћo` "BF牳nt}j2Q05@x \%{LTGBR5Xqս5.\nY'ԀjviF1rH1#}md[$Hcʪ0yaF[7=787_uku QϺ-]y8anݚFZ@@-/ǙU`Tʐmƕ ؔre_X}.]ɲL_584^rM*Ge #g?=N4s2yZ`jP[탯 lZ˜ ڭ ;'tƶzPSYS]kqR{f ´P " Ym9GGNȞ|-Go>FԥTv 0q\ůV b8O^z"H*eA}V;`?XϗUX-}=B dos!`Dg-G: SbrrbTA1vitfUOr6)Vܥҧ-v]Ynk03wLqWs-8CmuIF' V&+ahSN tǐC|n0sGq(zlyq'^f$nΥF\'[[ A߮٠22ROI^r[PM6dzTc/p\[,rдҮ@UÉg%O7-0(Q]'V ZEpToN羖SG=$6<"$iXxHMb3Jl!ByŠQ™x~\冔@hSmjBh48 TP@DOřۈ*)n?,䍒[fP˵LrZ>p4)\r~{ vykdM28&xvF&!3Q㟑9*N~]Ap[[S7tKb󏞒;æ@C'|txMz9&)%aTpլvǩ٢!wv5H*Y>"q?w߮QwWk.p ڂ!QRjͮAI? ޶9{?&t3 >r{B^9jj9jJ9jӗswq: ׬*;*I6m*{讁ϣ*,s<ξן:\CS/ZI:R^SK+i . ֩W.ḡc7[Y}~3J}kwZLrNjx^7&' HhۗѦOZqz=:=,6\8V%^CS;mLo ^aEw1z //0-5m/2Duڂv:;"-zRѺjuCNz@hO7aM\pclz7͙Ãb{4cu1hҫjwn)q+A7a$,};>5F ipk%ɚxr3AtLSth5i3Go,`f練&LK?>Ӳ/,CgfrۃvU2|4:L0W)-nA("E6TD/JC=CKX誘\Fݸ=L/?d,MX^ZO]Ȼ) xKͳs9kjK tcʞZ5:&޷ʃJwF-q)5 WO[9tU\^[[b` 6ےX)O-ǣ~z<7=|d"zu-h65~k BTImbdoCX#Ҋ__)Bk\EŸn\DYяz;=,WPV+wr;O Faf`m~^|>myH(g}|7eo>6B%|CrKD5&MḦqXFQ܅w]v. ;>BohKc(%'6=mr' CChDtn* `[ o'_,~yE$Ptnp,_}0p`s/k:ccwfБcvĀDRթځ2w:Cȗ-Ӑ/3dr mp.TS/{*iкF rJ1,+k/pqtЗ:_Cd0%v sunQܼ oJ4ݴy0/^t4aINZ0|&_xr4 Pa15 o Ȁ{vVh>X>Y:rviNعjp?`,>goyږFZ].*&ZӫLT<x99`Py1#6@+n]a}b}W!S~f2#=e(N  U_p/ɨG.tm{WWD:p#O-Ƿt?u]3KslN2z=zڂ䬃K<{VkfX5 H'W\>A_>1ByF&7 tA<*yƇA> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26093 11003 0 R >> >> /Type /Page >> endobj 10997 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 10998 0 obj [10997 0 R 10999 0 R 11000 0 R 11004 0 R] endobj 10999 0 obj << /A << /D (unique_43) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20211013063105-08'00') /Rect [104.1732 93.4386 143.8942 104.4386] /Subtype /Link /Type /Annot >> endobj 11000 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 77.2387 164.9372 88.2387] /Subtype /Link /Type /Annot >> endobj 11001 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11002 0 obj << /Length 19 >> stream q /Iabc26093 Do Q endstream endobj 11003 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26094 20830 0 R /Gabc26095 20835 0 R >> /Font << /Fabc26096 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫,C;fũc8S.@˂Vm Ln;|/(q21r(r1"F Ɣ3wO $WJ2'ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)^G+QO TϬ>Jج M^RuTkQ>U}QKRGB3yk|\[-<8יq|mau3ϪzkEŐLD}%l)l,1aSi ܟBd#UO\05cDA ^Xb>!%$]@QXy Ph'-:A/}7 endstream endobj 11004 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=951) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11005 0 obj << /Filter /FlateDecode /Length 4051 >> stream xn#_n`Im` `O'e?Ւ-fmlXU7)UYe?ԧ/Y-v~>{ezܦ^1& LOq'hc_55DRُ٘ >C%6Zkјʎ4n?$ѰDWenqOt$.:ZTo  }8p&eaKy+ yDb ̳4/[1-4[5 }~Zxy^BBn~U. %>~eF3T/ GsxBfc1Ds$6{DF@.13a@*#[==‚padMVjkv. &HPA; R83U ˑ)<]ԩs*"vxd1oA<$XvCzHrE*WDGB4C `J '_SdT|Т#/mg'4?:TtŸaaˊ(HyGiJ_G[1q3X-Ch`2qקϳE9%2,  ֡y&t]I,_:2"^DmKE*횱Z:'14YPv0kI拏'm%ݣzUlp+%W^PUuͅeRl !9Dqs58 IYW"% NT N{>Q3v]OthF'h5Es:w!яd^ "[I[ QS WǟVU:< %ko3htIu6Ԋ\ҹsS3XfF PQR\q\ 5uw|0صm 1NMdS̭=\gp ޤ,s k 䶙Fk ϼ-TT'7tzcY\ROrOW;@!3l;+4,J5W@eńqlv:uwc2ziE+)Au$ڭ^ s_DڸhqQOf 7Q$8ic^k8&5\ޤ A{&MԪI iys8o-$sf](I[ JՓVfE"5lPw`XԴQp0C]UȈ{W̍VZ׶v-+w꾧VMCŏ׺>"6G@0t%^؄f4FEbVĭ8L=d;em#\Fȅ*mp5*Aoi 3y|wУTK3 2x*Ux5>k1# i Sp:LøcJyn*X;z Gf]GǑ2Pm4L|>jhp .F&$)1[hޭ 9nG"?Be 55m5KOvzUI1 xlԵa-EPv#G,i89w'P_Q]Ĩo?@4uKt$ c U>Bdc*5ҘalC 2)^ Ed8  9nmB. fW t 8Q`u! tz3=iz3\/0tidy @Vdx,_5ޠ%PBNfi~ O5x7vjvQ+q(N`SV h!Fǭi\Z4m.DRc4Uzfdh3q>a^Ⱥi39-,[Ũ#ľ0u)'QRItekL$h&ts/u߄\ڸz)"Yuk|MmPUbݻ6 #YImr#u4Rgbfq/^*pUP &5~JNٵ_aE½ZDq;˵9#@2<OK~|3D1Gx|wL$̪֞FsoWx{0T|%0Aƨ1Xă5yGޜxwn%+"ݹEm,bdGZUf[e{' $Y(vaXI0ʁW>#~ x 6֒RJ_ endstream endobj 11006 0 obj << /Annots 11008 0 R /BleedBox [0 0 612 792] /Contents [11014 0 R 11010 0 R 11011 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26112 11012 0 R >> >> /Type /Page >> endobj 11007 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11008 0 obj [11007 0 R 11009 0 R 11013 0 R] endobj 11009 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 180.9312 709.9] /Subtype /Link /Type /Annot >> endobj 11010 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11011 0 obj << /Length 19 >> stream q /Iabc26112 Do Q endstream endobj 11012 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26113 20830 0 R /Gabc26114 20835 0 R >> /Font << /Fabc26115 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nLӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11014 0 obj << /Filter /FlateDecode /Length 1155 >> stream xڭWn+7 +.0k$ۉ0Eѕܢp $(ER3)"HÇfdxHSrpys"T N˺51@5%8>O;80EBw1xI8SSs͏##݃.z5#,l:߉OxQ%7Qٺ{}?o\/l)V%$SFf DJĩ`J,ɶH 밖6̘Kb3|HiPm6Wp: zi3:ӈܘhΔݥ]H&27f>oț[ _.l?Ţ>@w cdA݁<_$b#Y=ȳqrΦHp**6\d8y[`K8Az?6 w7"h}M`8x1#h1Ka޽lHy-n̆RbS@[LQ:7ZO5CUYݪ*.V#6_j?Dr[bn=*MXیfI=pL OETa&A+2EE73Abun:״_A؋Xkq)\LsWEZFjVo{$7/E|d.֞ԈL=9#5}K#48sNI鹨?Ѩ5k!t endstream endobj 11015 0 obj << /Annots [11016 0 R 11020 0 R] /BleedBox [0 0 612 792] /Contents [11021 0 R 11017 0 R 11018 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26131 11019 0 R >> >> /Type /Page >> endobj 11016 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11017 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11018 0 obj << /Length 19 >> stream q /Iabc26131 Do Q endstream endobj 11019 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26132 20830 0 R /Gabc26133 20835 0 R >> /Font << /Fabc26134 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo V endstream endobj 11020 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=953) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11021 0 obj << /Filter /FlateDecode /Length 4089 >> stream xڵ[Ko$W`| 4Ҏ:vHv/S/zzlvX_bjxɫ_^u:],VOu|?vV?1ÒC^?  ޕz=Zҽ<5!> o_gDNhBr p5_YZY>5YBR{mg}\V.*V\^{Gs&`LrPNΤӽ?qE_Sx`Je'W{|z|^V;3o+30Nt)ΤR; \V_YOmmP7(C?ʄ nOP!92TN[Ax?`Vcv]Rr w(Ghp=ޫ-k/)~cl/g <Ƃeل]ARvڨA#q;%7+pPyXG3Y]fSk*nKٴ _BO?ҎcVf,"0x?9\x~HO/323PCY,_Eۺ 4YpHnt1.qMht.`ıElpQ 0Ipn)>T́[NL/ȱc qcۀw;U bb &1`&/ğakJ1K0 UFwTbK)q{jkQ;W90{yh.\RM"哠5S;~6;Abnxу8VG =0}c @XI<,oI(Xj+Sů@;x-VF yѲEѮuAzE*\@"a_<(H +I֫ALKد4cIJW:D35PH4|WX893m s:J%t2S^I^Z 0ͅ.PDH}F556\jo+gDh^'bG ),6E°Ȗ%U.@-{> 3l/VV{!U9MG5Vv|A{b36GBvlm_1 iqge,6\TNl_n\"^@T} g. /)ӄbDԖf&͈ZZatfD- F DЩݎņTvM/U9\Gg@n%t"B]`}Funsכ +'8t=vmpʁũUdnn`a7@7\a`X˰p, V7:YWd.`'ա0Hlcca\JJ9 3?adȀj0g0~i R;2Vߚov𣾞܊)R?6 5EVp=jF0cR׳m!u{om,+@G%X y@N$ 1߰1x(jxM^u'q)Ϫ  C0rMGwDգd4,Gšcr {s Lcp> 1WN鸎ɃW?"%ݎŧY}u4Tb_Jwd&#m7q`H9nyf൉>E3z^ϙܭnjG,1+r4T.bj!*Q%`r┟NxKF4'n:Kgq<:ըl*ztk=n|`dh3:zBǎ[دVSC;^M-d#Dv .0r IT2dŹݿ|u9nt.OL:iqa3yFܺr…鳆sk'ɒ:ͼ5-LMĪ <]Z 3v~oۈxī.N52̪3swۆvc4p>m-8QkY# O?Ѳ_p*Rm=u&,D?jCӣIAVb-O='%,9πbDpe:5oyǟϛX%xvOS]VSa b q:d0d[b*Om;cbidrc|@&,8鹠_t^\C>оePܚ2"ґ2%v-]&n3U̹:\_H|b^m`[w֙[X]vn`P9ܧ KOF| I:!&X >2=r+%i*m}#9"&[6b'w &CZs𮧔f)`|S_x-n/O'1cX<_P물Y،* +]#/<=P]0F{A+Y|$ %"5/˽N$3+ Q~cO!ۚj偈 = }^PW%S> Y>@Gyni>\&4<фQ K//W Ş8|S/Va=sC ԩ_C"BA=_Zi z2G endstream endobj 11022 0 obj << /Annots 11024 0 R /BleedBox [0 0 612 792] /Contents [11030 0 R 11026 0 R 11027 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26150 11028 0 R >> >> /Type /Page >> endobj 11023 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063013-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11024 0 obj [11023 0 R 11025 0 R 11029 0 R] endobj 11025 0 obj << /A << /D (unique_692) /S /GoTo >> /Border [0 0 0] /Contents (report_stacks) /M (D:20211013063105-08'00') /Rect [104.1732 237.7077 168.9357 248.7077] /Subtype /Link /Type /Annot >> endobj 11026 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11027 0 obj << /Length 19 >> stream q /Iabc26150 Do Q endstream endobj 11028 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26151 20830 0 R /Gabc26152 20835 0 R >> /Font << /Fabc26153 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p"ethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 11029 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=954) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11030 0 obj << /Filter /FlateDecode /Length 2656 >> stream xZK8W<@@۲[ a1,$S/J,wlw jbUI( {|buF*MԇWƨOP2z19^Y{xkx>q\ǤCv)&7;U`:{)2OSr)0h]#.NUaWyx'2r=c q<13 :} [94H8Y7j%a,=u4c̚3df\٩?P|0>/j+hsT_>>26 F/QFH1[Go#4r T{\zqd RF z 3SBT\ztN֜axL ʹ~a8=P=J{̤`%'i3Y8) 5(ݓOu2̃a4ïXzp}U3aUY~_V@<KRS5km5m@W+<0QM1/o,?Teݛȝl)Jbǯ G]XFx;-pM1"P6Ҹp='2LxNkh&c`aZyc`G—|\55.FixSK[`Zc,9‘*MV1Y|3t%FG57<Ŋ88Jc䍛ըP1i!&Q]ﲁ't@ݔU]D7qw~_+g)9wtBA6cv,?yG` LjonK{X|# a$Pm2fU^~Lo٥0PW^L2nt_xuGINo'z^=}.f<ꭔ泔"˔;KA= 4Wą`cQ ǷC)t>;#b%D6Th\N? w 7pRO @c=2ܗ!ON|=Ѓ|~P'ÝyO=M'"EtB#Ls!sA W uˮKƒeY* nl/V&=In ,9Yʠ j ~%Ȑ~Gf#t3u C.^]d`8!D  &-_uI 6\_1Fun}QRka[bM`YTAuFzqvJ~~"ZaVJ k;DԽR툭Pg Y`J-nM:(%gp ;#+CWPu 6t`^!% "TGq8:▛6(+ʴ9PPϥj>7g]{^s-;3C۔a#P"A.!gP4H&4Qie& \;\]yK||f^< ݛZgnP.+4}^^+v}az*޽1C.:vsQhjtt{iƺ![8o(h")z~'SC[bt:˂iלn[0 W@K@u ^VSy}!fUal3@拏kf9BX$Se6yG-HiBjDHZe`U% Y3H52 G_ Ŀ6* @n*j@̒'0?QXKB/M G3RĹjDBFǥ)M1 DK23ISjjxٴIԈf`j_.5KZ'»֩^1zXFE$^' ̙`l3vRz>%E[ۙ&lыnx6T} K 7^*dgV´4Y&b[$bx!-΋TlR}\)vYY ְmT"F++ VHCWa~ ?yc~R9Gʥ9|Z2o?)>AroڗyAxL<~HOZZLru`ڵ] Yr`>jk:s$ӡ{O}?“e!e!P T$`:{yDo 23nXO%u8=|xqžx^vD5p8Pd9X^ (=L,=Βeldx>q xǝBp{g<ASW|$BEݟ,1GF "o_ endstream endobj 11031 0 obj << /Annots 11033 0 R /BleedBox [0 0 612 792] /Contents [11039 0 R 11035 0 R 11036 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26169 11037 0 R >> >> /Type /Page >> endobj 11032 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11033 0 obj [11032 0 R 11034 0 R 11038 0 R] endobj 11034 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 399.925 147.728 410.925] /Subtype /Link /Type /Annot >> endobj 11035 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11036 0 obj << /Length 19 >> stream q /Iabc26169 Do Q endstream endobj 11037 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26170 20830 0 R /Gabc26171 20835 0 R >> /Font << /Fabc26172 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}Z endstream endobj 11038 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=955) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11039 0 obj << /Filter /FlateDecode /Length 3713 >> stream xn%ί9p'HI|# '9 \[w?-c'hZ,UH)U[m焯:+t2WuՇ%~z1&3UWun];Ӿ<|kf+,X|_jsjvh~O+ trEGw7fIk*o}kӿ Ί/'v M7:j|zIPΩץ[eQky7YrLjt̂K߈ DlwH mp? ZCxFqQOq/k_)I[clO'H)lAf sK(2Jso+pzA>^FACsd| oz O[EUMrcl[Wk-k-@Q̈ *yϨgE<UXBI^z]˂-O.X."*DwI -甔Co(x'n-#L4[2&Gbwkv,3/;j SAm@۸g-&.Kq&$d֗ͅ a`l(^*U N'FjmRkR!Gm4kz3cԁ8k ),6Ef`aȖ%U.ˀ-)]S<3l/"V%_TgMG5VFre/]iRl<ƒh0=n57w{\iq2:c4޹y b# _;@8SI9s;od`HL\-W3gM(R;+E5a}s7e^0LD:V۱ؼ@jC>: W v?L@׋ Xúy5`pz3A1pryⷀ q\4Lm5{ SR` g~q;ԭzz{~t sP}'vČ׮{'}Ii.RCr~EJM Э.7g>jwÙeUb=&C38GZ(8,cL.e2[|0mp o|q$Y[ix_# 5bsƣF>r6o{bI`"i%pDD|hMf#awRE%'A@ɨi00ƃ@ 2+ײIH e[uΆ:#w>JiĈT똓RdT$K,U;OP//CvCO(JH6ydQ==w"MSsI뒭oxgWd/;:Yq n[S V2G `tIT0H9O+VwŪK\^G8Vi*1?y.E5E삏HԣLs .h2O,eD{Щ< 84V߹n1&}ވ;`6a'h#~L7V?E芜=_0HX-OoDTFb΄1X&x8'Y~"riV*[zM `DQVƕ62i7gy M%˃IK Dj9]zl"9X53leDѮ} ]! 8K?{*}+JHKXrur/ |YȸgtJv\jXB'̌6A3N>~w?g7ԟٰOKxтn`ML`!wK+&pbqMQodQ0tpU{p5B˜ wNlr]B,3^RPԶ3iLZn {fŃ2L.J>xaKV/t Ee>Ѽ )3*rO:k$P稸h@,_OHv1/oBVt/p+.8#> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26188 11046 0 R >> >> /Type /Page >> endobj 11041 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11042 0 obj [11041 0 R 11043 0 R 11047 0 R] endobj 11043 0 obj << /A << /D (unique_423) /S /GoTo >> /Border [0 0 0] /Contents (instantiate_template_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 256.5728 686.7] /Subtype /Link /Type /Annot >> endobj 11044 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11045 0 obj << /Length 19 >> stream q /Iabc26188 Do Q endstream endobj 11046 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26189 20830 0 R /Gabc26190 20835 0 R >> /Font << /Fabc26191 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 11047 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=956) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11048 0 obj << /Filter /FlateDecode /Length 1210 >> stream xڭWKkk7Wh]8-A0N.`tRB͌t4iFo7m5Ҧ\γ:]lcW2d`J 5=@D~t;} b(qθSo`IQ4uTC=@zSj}b:w5! 0d$Gu3MWE~:#pX:?3@3l26Ga.??՛&]! 1-(>:d01Y$B,3(Z7ðh2eTW2Zڔ &]5Y]u2:^}d hM8>7ː+"hFcwsp ȧl 1^xvQgkba/t chAAhzm=n/iM5f&=J'b}GzR? = 0}zSc;zGGU ApEmRsGgBJQӺk/Q7pjRHbMᩑ`rșꖅG7=Oue\y*Р"#: 3^mR\/md%-ݤ_ ʀD .X:e U@~ r@h,Xh.[  }M`Eo9IXd8h.Zw%Z 6,*/Tc*2StLID3^w`OFNfd“SprnȺruXNweqe>FMS8S>oH׏aB3䷈3q]&RVKvҳ)MamPVb^IF$_R=o$Gj0dokimؾ%W_vF4`C؂4 `zvI2gw\D :7Ԥ✩glQzяf;C3#}"G;Mk}Ic  `_ya=Gc`p:x"'t4V8ǑeI؉P `^vPagmsNX :ĞK< k(b!ȟs Bt7^VII{ߴ9<'zCP{G<á5[1D1EN'TYX\52- endstream endobj 11049 0 obj << /Annots 11051 0 R /BleedBox [0 0 612 792] /Contents [11059 0 R 11055 0 R 11056 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26207 11057 0 R >> >> /Type /Page >> endobj 11050 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11051 0 obj [11050 0 R 11052 0 R 11053 0 R 11054 0 R 11058 0 R] endobj 11052 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 295.525 123.253 306.525] /Subtype /Link /Type /Annot >> endobj 11053 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 295.525 161.071 306.525] /Subtype /Link /Type /Annot >> endobj 11054 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 295.525 189.2365 306.525] /Subtype /Link /Type /Annot >> endobj 11055 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11056 0 obj << /Length 19 >> stream q /Iabc26207 Do Q endstream endobj 11057 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26208 20830 0 R /Gabc26209 20835 0 R >> /Font << /Fabc26210 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11059 0 obj << /Filter /FlateDecode /Length 4447 >> stream xڵeXX,~?sRr03F1Zd70p#efNKR翵BƶKo_0AJ 3# V@ 4#E;%J#ll<ӷ9EcӣypQ5tu Au65ZWhtnXa- O+*r{vA iLa"^\jAky.ճ Yz@xZd!R,ęVT |Mf̢o@AgEi'`4GT-QH[j3u3܋u1EQ 7g9YID̎$wǒ/9i9%%zGV!t#فIEΫ)pTΙ  WPp@<-ajb\Z{@ f֎9L5͇}2Lƪse4ރuk\UWpU \_U5rU WGU5u*Y>[&xE'Pot /=I*j#vu ,+|iy_h鯹7:o?:1{$6A64}Kړ KGlj<* /+nLH=y n2=ϖ2+5FآS5+Y747Xjyj_HvёvJv)ū6KUGmM"8Tg>64KSdSalْBz@[L pjV[#قFQ~y-/MhoҬܩJc0ޭmGGlf0bUɑ9ZfjMjS$S%)$3q@SBfi*jYmԧ{Uf:{-ط:2k+m@QoV+`6݀R&Fg@ihrPksom`6K8q6fQa-ll0}-Gg+ƪlgvSQ7Snлҕ0`I Y;)6 TŜt)Z:rpy9uވbA2]t^3'5 .ˮLDEe xۀ2n'ʸI51ͅ6V[ͩJb[mN!:jNTdP'u!>O'Z'E}H|AnL| 5Iq.~ImҟQjV1J:FM_~.|Qs1JmclR"F>FMw۔muMKyGmӅٔuuMٔ!˦-y=6n)u[ws(TgPcR8͈fdA:sJ+CrU &I0_D)&jp$v- x ݇HuQ+VڕLH]:|\g? l(O`GӕK>joq[ik@υ^WfRشRcl~d*tT}|ˣR.C%01>En#l ATXd1B[9-oJ9OJf+ġxny,ɵQ|)ʫh_GNTtL?Q4 GEuU J3&EX])_}Z/ِAO+Vlp̤f"{4A+ .ci鰱[d9vK͊NY<"S%DTqh!:b(!?up}qT ' *-ȫщuZMh1rQ$uUVc5>BDڠAOzV=ҪZ7ZU V<P)oQҫns)#g -l!/&L<7.,CY0ߋYi L`G  *6p*5P[R=H=x۫JY0\}A(6ΕQl,kICxAwr {b%#M+KvB"\-LqeVx!zhՎMK|,$2F޹(>={%"Ʉt.% jC,܂}(>P_ёH]Y"I kJenxDi6VK9fr `/s&)_ℎk3sQrm]`jn CXj1{*pl2 /HFqZ`>XޜFޭ##tES ]gZ댯dnρU! -Ym ȟ9f54m[܌2$0/4`qCi9L[k>3r-0-J#X* h^5󛞿xs,m^*.aW-)W[͔՚x⹗hWr".УtB>P$ݮx0d6LIk;M_S,}t=Nhpqjw0n,n^gvTˌЭb.Fs5;2p= 'q`s4 β7* ! d[Fk$fK PWFɛFqcK-Wu1z"U@ʧ(Wڒأ ?sOP\~%̴?vwJ$] kuFcq{RNJBg~˅SWFN%= 6]]֊_,`ԡW>:|Ϸx>§|s <_;^R*r9ʧoW|CdQA꿤Z."Y T] Jؑ¾:k]7y~~ XJVʃ"W/]0aOy>լR`+df` ې~ x @GBnۭDZyƇ endstream endobj 11060 0 obj << /Annots [11061 0 R 11062 0 R 11063 0 R 11067 0 R] /BleedBox [0 0 612 792] /Contents [11068 0 R 11064 0 R 11065 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26226 11066 0 R >> >> /Type /Page >> endobj 11061 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063014-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 11062 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063014-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 11063 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11064 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11065 0 obj << /Length 19 >> stream q /Iabc26226 Do Q endstream endobj 11066 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26227 20830 0 R /Gabc26228 20835 0 R >> /Font << /Fabc26229 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11068 0 obj << /Filter /FlateDecode /Length 4734 >> stream xڵj$_gCc_@TۘOmcX3kzMr/޾D۪WOYkznM/)eŭZ_~nCmؔV[ ˯ߞ2N)W|㷆OOx^~3JLcdc<)`(u}k/P+#]؂Na82X[)S{ F'RԼ)<+uڸOuiBXrIcL@3|'t Tx!踿<1=3"+3tcܒO}~a4qttgd}>?/.Q|χ2L!SL:MLn6Nd?da~"v"k8Y7P Nm> kzk~j9`z5Am*霗Ǚi``#ܬhfs,Ҁx$oPiR4FioӘWb?#RRhR.2 $j\$}_>HD- `R$A{"9/LRI"@OB^FE[sLS, +$P5|O/JvHRfʜE%ä 8р/Ry5_!*hMt[_m;[$IF@~ R(l- ǵGG8RWZyQKOxpJ" "Tj=`.Rgo hqmRQCˑD"Ȅ2:`ŐPޔC$LsJ*iH% 0@jR7ku}V4DA"k6<-IV/:@4cGi.%OjFu/Vd ^To$Gel/xI@R!/'9{(45%Ui x_VsVH8=ZqB+81`1+ܲ]أ Z-k%Q|`\$& һ<2K]Pv4 'v->  ߥ:ga $˹I+hO}I;d2D|l2GY.vj't TΰxM'ESD#O-->T[poȸfo-f(ld6!\#pby=]$5t2:غ!5Ullx gYԋ,-%=ltEb8nQu`3Lv G@㚗/k^N\| 56&tT>Zd&if$>\1\'So*Z5EJPQ ݌CogvQ[ rΎ3xޮد0q%;+NJ -,K~sIے?/isf!B['_Zɔ=ffB?A;8<\zB՚`KxQ?A‹: `$-NTQ+EdsYȟyH\D3%uD=E2s/i8 :U#Y::f-pL|%SKɁǁ@C:6ݕhX5j@Z&nkWCnYʡ.:s AW"VrcKީZ+9wD ]sA7oN9.u~-^;Ck*1 j&u:*fsRVJh8{= }J>TY١jKEduj޼ }(=hDyz yCI+]#ϣm1JϽ_8tҊ1oVDE2 i3ǹ1mڮy %|6_=yS̖YH[Kfj*V@BT!l]J~a s/FF8I@DaR";!LݝtǸh[:F+qc ޘFs8@0go4DnĪs!i~JqPkR,>hITqi~Gޱ(m垾?bG$^ړi3& `Q$ϙࣺ~ZHz7Ru[{e.PNAjÄ?Z# \[ g_Avc~R_YKկЩZEO<ZR+>Noa:@ժ4eKXx$fCԧ<%Z+ }"A^(8;Fhw<G /s(ϝ;X:g;g:3|ǶްFw/LjF'>ǫ,YC_WC)NF)XP[]Y]j-p?v^>- O4øxeQ/yn2 FMn笋a-;t ^v9n\ݤzP6&olFeIV;QϠF^5)9Ugv-a4ks is9dmy_Kz65\נTājl  Տ˟V~W߃V,V۰jw߶aV;n _pW)Me DiDώ5If2a=z(*2i]H#aBEHW]V +p 1bXťw-:OҎ"qÚq mPN*fBD+:d4 [p*ڼV E*􈫡_qʼn!$a&Ba?;"wq|6\AdgPkoh A-ֹ4 +!;Y5x)9EqEJ.Dt&þ'U  `NYjU,cMCh7l%|p;wҪ⏴$؃P7 oa2v3HI)!sjEs?m1)Pwjjn']}p3ȡK{@^\̠֔U:b>EwzjPz.{o2n 3{SB`ٟ0LR':K}}u(E,/<__g7Vo4G<l_lb$zj8逝1d=Zަi 'Q,ن/z~&Mkp*R-{Xon7M[2xaЈ z!<_ rv8P\|c>y|@~_?q[QkK*6^%"X5EW-(s+5-#ᯉ6  \%ȅ"DZ9DM/,;AL<|r[y<8Ww8 aپZPp79.te_$3WT|V8bEzcF!3R<6|^)O|kcTXFS^& /Yì֩\ B|fc;]d,45d4|8||Җ"hck]ix}ݽp|Utb Xc.ylg꘱ 8E|sY! tZS'1{FqK/#^a.*S{ ܑ;rsG p9E<#9z8k> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26245 11082 0 R >> >> /Type /Page >> endobj 11070 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11071 0 obj [11070 0 R 11072 0 R 11073 0 R 11074 0 R 11075 0 R 11076 0 R 11077 0 R 11078 0 R 11079 0 R 11083 0 R] endobj 11072 0 obj << /A << /D (unique_43) /S /GoTo >> /Border [0 0 0] /Contents (get_bels) /M (D:20211013063105-08'00') /Rect [104.1732 261.7308 143.8942 272.7308] /Subtype /Link /Type /Annot >> endobj 11073 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20211013063105-08'00') /Rect [104.1732 245.5308 153.6732 256.5308] /Subtype /Link /Type /Annot >> endobj 11074 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20211013063105-08'00') /Rect [104.1732 229.3308 144.3012 240.3308] /Subtype /Link /Type /Annot >> endobj 11075 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20211013063105-08'00') /Rect [104.1732 213.1308 166.4497 224.1308] /Subtype /Link /Type /Annot >> endobj 11076 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 196.9308 146.4572 207.9308] /Subtype /Link /Type /Annot >> endobj 11077 0 obj << /A << /D (unique_134) /S /GoTo >> /Border [0 0 0] /Contents (get_wires) /M (D:20211013063105-08'00') /Rect [104.1732 180.7308 150.1972 191.7308] /Subtype /Link /Type /Annot >> endobj 11078 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 164.5309 164.9372 175.5309] /Subtype /Link /Type /Annot >> endobj 11079 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 148.3309 180.9312 159.3309] /Subtype /Link /Type /Annot >> endobj 11080 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11081 0 obj << /Length 19 >> stream q /Iabc26245 Do Q endstream endobj 11082 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26246 20830 0 R /Gabc26247 20835 0 R >> /Font << /Fabc26248 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lR9y/ KqܟBd#SZ8` hT:VEA ^|v,9)C (?Ρ\j|=B/}1P endstream endobj 11083 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=959) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11084 0 obj << /Filter /FlateDecode /Length 3459 >> stream xɎί@W/@ci) çI .{/7K(u||ƒ]jb6囬ϴN&8<˿J>Km'/{t~M8Íx,7 (6J۬20Ö}<%=xn+@7+zrJJ"J`=?MCX2l>t0%UO<\j`W-B{&) /FnCV#{`%܅W p["qpヘ8dhD90ԟ*6D5HDi= uAc2Ñ/sbDl$4BE|a΍A,$wև-'Tv lUDIp| |>A2S) 9{) #,;Rq V {"v cПUUf a]:\J-AhTt( Ne#-k͈2J38@" f?$~Խ77vufEu;-*F#`*1VW!\j_xPcΩ2"YV|,W4:ǁǚJ@RtŪ0Pc g5-{3Yvyu;xY}EtᰉI\zt-8MUzO"gmEk`A~8zbbFYW40EV=(Q->0&XcOFOzvfud >?δ"BGq7>Ԣ(@G 5.wed3+zy!";uA#VwEO"rVXSfQI%Z&dScT: {yi Z<%xNY|+4#mDzbdS] L)S#,xN{Hv??/{%>לaNH\3œE;I̎\a_d%#Ef!k:5}\)6E%3C5z1f ɑ"fPPڸ1?<Yff)k?96t׸㻒*WJ+ť ߈w2g[ enMZX#uKE"SӊXnwSk%B xpEeFo _G3K ,8mzK֛8;zZ 3UtzaX U&Z7V~Pp|Z%rPѺt;e2-O7,#*!/ G'+4RQe oC225J>}b(`t \`?=ߡk .Ѻ)g.__0! SN>Ƀ)d99j'҉?[2,.+g ?#U1D&T3'zJ u7<"!h1Z˙V*>ݭٯsӪ ;l>TX2g+YC1\*{DZy͒r,1) - +p:;HNq̨eꮀiH?苐n&[mP6nHZycK!bv͛_9MHN~H MF:7F®ٿk5)l\ϯƃp/X=4 *` *-/vc6|tY@Iǟ%~4? J-xh4U BE(Ƃ.gE'|*&Os;bPq[6١_wƄƉߤۀ;,^!4䫌Ly_## o8Qam:n \\b.ߍ|B^O ϳԀu盰@U zo]Ɲ_1^<1ВNqw鈀_Cյcڈ>,ra k fr,UGyER$(|*&p \dM}eB5n#&'pYy$8@֞._(e}Xud*lG ܓ{Iet0t'5kTRZ2\T1k34UV#פK Gj$W .I h*+ 3+_F-0ti@lYqDyi0s2By xvU2pW!^m5]A#L5{%t^h7 S2QTv qJ7ɔvzghl8?̰o0~Y׾F>Du3z8Y0 V'Fqd44uR&O^PHU+nTex?W 3yWDqiJLrrx 4+=m`A: r@<*H|뉐?ɟW}+{DZD AȬF/p 8*̠T"a1 v!ܚ>Y8\^z?a1V eGډ]RY{s>O/6@`}wvو'Y)<4$cZFĹ endstream endobj 11085 0 obj << /Annots 11087 0 R /BleedBox [0 0 612 792] /Contents [11095 0 R 11091 0 R 11092 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26264 11093 0 R >> >> /Type /Page >> endobj 11086 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11087 0 obj [11086 0 R 11088 0 R 11089 0 R 11090 0 R 11094 0 R] endobj 11088 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 329.125 112.8525 340.125] /Subtype /Link /Type /Annot >> endobj 11089 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [118.1655 329.125 150.6705 340.125] /Subtype /Link /Type /Annot >> endobj 11090 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [155.9835 329.125 188.6315 340.125] /Subtype /Link /Type /Annot >> endobj 11091 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11092 0 obj << /Length 19 >> stream q /Iabc26264 Do Q endstream endobj 11093 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26265 20830 0 R /Gabc26266 20835 0 R >> /Font << /Fabc26267 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11095 0 obj << /Filter /FlateDecode /Length 4493 >> stream x\Ko$ W+zKx&1C$A`_zUWۏd1ӮJEQ$?RQk ;%N{ѵtXeߏ_I1hg>,9Ӌۍ1Vo~Y[7)iwL{VwwJ%.-֥[w'I}qN?hBbj_fIk[f1 WgdRN*4+,','uMkq]UX˭Np[IPΩK<51I,{7f]L%V?{`ћۤ9$g'[uC)K +q]Rr#g矴O?PW<`t5ј{>PB#+pM4B>~m(ѫY Ӄ]lꪲkEUh TF痐ݣ%0[|g AWCBvXyP偝:u׬ݮ{ WOw#Ŭ ?y!ֵV  w .=c2`ڐP+e T UAC,a_<(DMqw G&! \mZ~K8TRK?`ND:SQzv$W;`̄ԎpZZH: ^N$U/D-j\0ͅ,P̕a-K\k4| MAfZ^DGKXTj6X+[J{b36GBgտĶ[1 iqgXlܩT>4M?ܐDqR"9s">M(JJm;i6lBڌuϪF}lN٢`ĀLD *Xl(J5`DR…Q;w+YCE׋ u -Emr9ŕx48mj@TyS |N7el7Xp{p,yXHsہEEv`B,NF2gR{(63Ud;V  wW+qea'5N'5st7I 'ufv pRw8P @ `:Led2'TNbq|H# ¼ӗ@^^4PZ΂IA[gt>>W쬤O^01 |҇1/luAbkRV瓼̗w:3ts P[riֆ/O1dI_Els|h\c?e§C:dE❴ Lo<n'cU[s2sm6}]x& ]>SS_iwss4E10GDE>*m'1asX\1r&31e5s:4DdR`yte6$OxZ"Ii`8y;r՞]M]֓'}'`J2U汫"R,T$2P{Wsi"S8wpH 榪8gqZoe&xC]ᤦAWAT'StIFTerc[U}aYouIVZE}e,"wGQ>sb9 Ly-N81|s L:!/oVn2XIC8Jv(R[ۿ9mxsy*XPQL| MO38E- [cTCI]p^@WUu^ZeSY+>9F{#քL"4;wї8׊&ooMg~Ʃł~9d×$<3 ~HuՃ<|BZ]T\ym4U=Ա^,b1ơlJ -Ejo Z'pF0]kPA#`6ڷEhk+dAG)ɏGB8ddΫWG<-mT3X|q7־< ׾ :W_RF v,S; nt)&L4a iwNUFy`"O f2Xu{`cyY!`*cݾ'az:#٫|`8~ 8b nh@zV4anx Л"vx yz6-/FōKA%ιqfxI]S01Y%ZQ `>W< 9zL )&X1 2pt͝2$v c7ÓC:yzǻ|"32CY6-,լAj{/Rq mK ka궻PQz 痾>}l]rLK1[U/氢 j3ҲhWgqC80R !lkX[VO|\][(iv~yy))s.鎔ۑm]!1oY1ez{50k3&(;G܊.Pw헜 rXS!B%Y= C,IZmg 7խLXZl2^+g#P=X.g9[gz߱CLMk%tJ\r|$>1w0ϭzUgi=Tiwn$ʧ1"+v/ ކ2=r+%.TvRĔ+Mp L9dxS: Qx!3"9C cPo rpKl~PaW?x-^u'%5jИese⑼X9q.OxY]@mGӃ*Oآt OJx:o"74+դkjZ_ʓv;Εh x]=% vvi UXg#C} w ߝҳxevUJ3#3?Ack|"n);72ܑ %oxϘޱ<݋HvF!9k֕ Ʉ0XUߗ& Ko,q.<7HqQv2W?)tnKՃ1^/|l{1Wu>T_0fl~e p6..wGy\oj/R_U7 r9 1|5 #|>Y;w?˯!?Xn 27xsHB*- x_>P#m[Je6j:x41ѡr<wbOѳon8ww=߃ѣA~ d B?]Zi z  -[ endstream endobj 11096 0 obj << /Annots [11097 0 R 11101 0 R] /BleedBox [0 0 612 792] /Contents [11102 0 R 11098 0 R 11099 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26283 11100 0 R >> >> /Type /Page >> endobj 11097 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11098 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11099 0 obj << /Length 19 >> stream q /Iabc26283 Do Q endstream endobj 11100 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26284 20830 0 R /Gabc26285 20835 0 R >> /Font << /Fabc26286 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;FC90ڢ_Ћm߽?>T endstream endobj 11101 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=961) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11102 0 obj << /Filter /FlateDecode /Length 4698 >> stream xڵ;ˎ#{}E0h\4E$'sٜI(U۞mDQ|b-/j_!oKg%.˟Sǯ}fQru-Qoeeꤴa?Z+|Á},_`Y*RjД/F$ELMJ-eUXaC奶&D\ۋ@v|^!`12_@MgW#gpF,Dgs#Ą3V!U׺?dT#WDPbaPVW9cI0!L!c|D QI; ]]W)Nt@mWC^`(pY/]Ol~*#]^[ő./.pVODFs.j窄NR)M7Q"#Չ<[S֬8ie#, G YsжD"YT6DkT{gҦԋgiתrWs'{զXLto(3$&Y {RSzgTH "3чLGڇ"7Ή&AtB@@%x)a)GϕoT63{m2`ʣJ.v|ς&OWX?w+U+^ݳ8 #>"Śq6q]> .f"Oj}gGӳ"Y9TQE7dyc0 -No*3!wpbxVXXجUgkPIVgò2d5$2+eG)؞LxFɼ8NLFv}:&_ N[6Qޔp. `\s.#b,Kwe],i25ᑠlrFgu3#8] x%vFaD Ie#`P\:*PӻaLxҹzcoZW*ێd]x[>O2ٕB['u D"&t|tO'\k˵x(BvCbz"@d֔\ܹ(,AIf|ђScHXb2,@'0>-TZ}&j5p2dI}t "̃jYʁ6ˁ# Gy\cz/XD;F(=(0jYwQ<漙GwrE}9IjwW;rD5`Z=be<aP1If)^hwLqV/՝ K6lkAS\2/3 m1¯H|^Qm1ыsIF&A$eQNc+5g:~U!m:K{=5K~Z[tPQQ G~O"e|ՃdɫT?N%[SEe]&_-9nUt_d,XEN?ԛ=A^ .Ȃ 25].k&N3?c{ޒ g_¾*TT1D= Yg4 $;HBo9xGaJqXe$`:oJDQ1:cr$R]mڶj 5Gݏ;H_gږb ;p[so 斃ý{B7`7Ko$RaHrDVeFuv]`8Rǔ&rDJ .slL{\wh%YC=&K8$PE=<2) f}0} CHaD"~ "N0Ejݐ\5(~'TRr_ݷWCƒ& 7*{cG1g7^ڄ_k{HcJ,3ܧN k%vBVK`؝J]Z _O{B@i&L2ډSb[ކB6k@ z[ogy.C $Z8 k֨3 uNi#E;^m0 2F1)h)X ~ή&`5% ܑ5W:΍#]XGѳF-xVWDg-`.Eh_Ekz4sKqT uݟ* *\Y& <iO4m],;r5))m̃S38SkSA##Gl_p/(hk Fm*4BwkS 2[HIT\*# X )<Ү'9/\f!uڰ-".#P]͒PKx|m|+#9ѷE$&e߂t{~/DKH;c7!DRC@j!S`r_[2>q faD %ى v2h-|yY{q[{`nO !ϣsxgB9Pt>av2U^+|;l|_S_o7itn6 Kq"*H{y -lS){măZ =ۘWJg׉u*RaGMfc+_V{qXRy]<#OyR!u KfKUk_敯 HFIM7FXǽ endstream endobj 11103 0 obj << /Annots 11105 0 R /BleedBox [0 0 612 792] /Contents [11113 0 R 11109 0 R 11110 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26302 11111 0 R >> >> /Type /Page >> endobj 11104 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11105 0 obj [11104 0 R 11106 0 R 11107 0 R 11108 0 R 11112 0 R] endobj 11106 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 466.9 169.4802 477.9] /Subtype /Link /Type /Annot >> endobj 11107 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 450.7 178.5717 461.7] /Subtype /Link /Type /Annot >> endobj 11108 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 434.4999 177.7027 445.4999] /Subtype /Link /Type /Annot >> endobj 11109 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11110 0 obj << /Length 19 >> stream q /Iabc26302 Do Q endstream endobj 11111 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26303 20830 0 R /Gabc26304 20835 0 R >> /Font << /Fabc26305 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11113 0 obj << /Filter /FlateDecode /Length 1996 >> stream xYKo6W@ ~6,ƗAjLl'Y@,~*d?ҫToLs&E3;`?GyN y6g9ӝ~6o'}Cyg0`Pr1_h~ܙwœ>gK흙7WOt @$e^-igcf.`3 Xx CneJ m~C^\jZ`N`i2 ޒLi P2‹Ky*`;A0`jȖ)^IRA6F8<34xl]KW1 BeᓱS+DzG<_"]623(^e8`1bdfO?o xa(d~j_ŚHgDzL^ru;C, SYKN*J="OG}ha|!O$(:`;Q,q8ucE>lqޤ!@t35IqծNIhZڊN+kf#hLj0'_f@>MGs _87|)2?#j,8q 4A$QT4QTuy<לE΢nZ7rloOV٬Zz'.="d # AY=VZlvYFA賜_<NTSu R@@ |U_G%o4(|\$6/]vvB}fN.yn;>8^>iQ}/t\k1pup4kS)"SM$M,6LC~|0o|F{֛1")st3qwy0y * 6%!$͐yeq\<gLlL$'Tq~rB)(Ho"k`. [NS%v>dYɇWKރo7^l'EuB7;6 oc4y߃DJ+_'>X  QRM ]n}kYB|cǎ [ b׋(YyΗ p㰁ˤ{F?"2tFh׹qa#JjcDG 3=WXv!Vй)*آ^*˫)R}p@GN`L=fo~K7T W}.5Tj]…KzqE'^a<ѽ[˅PFJf3#RpM Z]O,\RxE 1EzǼG-CDz&ΤQC DƉՄ9-r~{8ܳ3M)d)DZLsЧ*df(jn%RD'v'Cr϶G5Q2饈~.FFM9"Tuh#nlau=$*-kYK7o'sĄ;C)X+QV>"Ɍi1Ma3eАM?gl endstream endobj 11114 0 obj << /Annots [11115 0 R 11119 0 R] /BleedBox [0 0 612 792] /Contents [11120 0 R 11116 0 R 11117 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26321 11118 0 R >> >> /Type /Page >> endobj 11115 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11116 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11117 0 obj << /Length 19 >> stream q /Iabc26321 Do Q endstream endobj 11118 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26322 20830 0 R /Gabc26323 20835 0 R >> /Font << /Fabc26324 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 11119 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=963) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11120 0 obj << /Filter /FlateDecode /Length 5054 >> stream x]K丑Wl e%m3Q@eFMʔ2Uc0S F$J~24NGs}|2/B`$#| n [ykLR^kL1j;LGjS >3Dϯ`#ؠNoY˜F3hUA1T~ij_<w 4&1BJ4Po_6|>ּF4W/#d_DF@ƌ{qP"eA[bŠ&Vןo7 :|}f;{>gW]{?{u FB}v~K 'mJƍ "J.eRtL* [V_N2H$|O^ 4$IBULQEN7 A^s X'ܟA Ir;pk~MRuSi,*(ً2e[&7[fcEtQv@}Vf3!v]Z6k٣oFv^>+XG_QtYmtb'.bJ& ^6e,aզe\jSMnI`9Xn.˅}8u«?\+'AxwE?*W›Bk'W3idϵ@#orZ Df f.09VEo mv$?/&?0:A%;\1ۓ}{|veo;l'@oI0OvRɦca?3I@X6iJɇTɥ=㝅Yr.iNf&  W{zYYz ?W'b5gC>DEU3jD1!57(t#T1<-V$ 5EFעtfk3wylnco1mqo㢿qW<ŒdKK TfJ#U 0#I3)4x5 4NIWseii4d,ɧ\3LbW\_c\hFjb/;Yma'i-~Tӥ}o%A߹1fh[J+",Cb Z+Z}o{@U8ӌsky~S!$%seóƟGySw,e)P<')i(kA^~ih9[0ºAGvzGݫfZ(6np]ZUb̩Q6KN\]k1wSY-i/5='UVVXo}0`<%dj8NoxnIw  ヘ)NOR 5wh 0wd CK`opkb"5wAd }"wEưX'vfρs*I'>X>Jl &T5NU&Á \Pih/kCgkf鉊=%1IUH@U/Te M#&F@}! (.DyI΂U#jR)Qեt\9E#z( ֌aQ7Z8yX9R%[C3 X/A{밚 &zϜTIM} d}br•O֥F=oi~*HFW4pp}) "dQ|sBa !E4&Ƥ7rA)Ĵ1Q*JU7\L؄S3I1%2}lFkQPb D ۲PAW\+DӂY,=ba '$m(O7kCY' nCU\Ux坢7 ,0 GX,D QyXDd'ZV`1'l[8&7%f3VD uü2Pq.Dg+Vp=8N"'i NhDbV8Nn8Nt8Nt'8.p";;4KDN)֞ԱNdatNdatN7:'ҎNxpP@mԵS:ujӁOtW@?_)r5gRj&H 9 E.Yʙ )r1g~ȥ"9{ Ez9u_f΅l%޴f#d҂ .jVA _kԂ ^ڟ <#؂*ъ+Q=RfSh$P- *R4 *&[WY &%C![1{zmQ=uԬڞqmAuhnT{8Evm)ng"{Bܽۅko?Nv}o)~<,q<,q<,af#=q'6'DTdHP 5 #Aq$(6$(C[Q)#EHQ,:WHSi#M!MT#Qq$*DŖDŊ㶎Dő8k=;GHTlHT\;\D P7\T"]Pݕ肊 ~nPMTlUTDZPuΐ#rGHT-O8DEZ6 ckAf `ɘHB)1l5:03H"R&-<ꠈl^Dei+]%wA(ٕ bdKyTt4<|YǞ*%J3_T}AvPGxQ9br׏|`<4KQz۱*WuiOhxԞV9Y<ߍ6NDHj3,O|9dr<2nvVFޱ䘓SYINtUI⎢y⒬ /aҘ+3E z ; F_ΕS #/FO[stO "o NtD3gFw#Ia |b6"ari}cƒ;ED PڙPx`@˶ԡ6l&y#q}%ֺ):{@z^{/5멒R8|tΗb<0'0{_]x ;[_SqNCQD,pB@`uud)p>$GgCsS4 QfxEM;y^S $qח0ߊP:)m$h) _h#nEsOMCN( qQ# sA鲔%cbjJa$Ǫ(" C1YW3ޓBw?)U粙 :FfRof{z55=6$7' j0wz8ULJ#J^{eeqE({<ܳUӀ# |T_қ)zV4K/>z,gz)Xxm>wʩ x8~Q)PBɽixxK~#ob&=FWx7D4o}u~`Fž̨EN_‹ʑގYo۽9v,^œJWQ^t"m=O^?xCd endstream endobj 11121 0 obj << /Annots 11123 0 R /BleedBox [0 0 612 792] /Contents [11130 0 R 11126 0 R 11127 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26340 11128 0 R >> >> /Type /Page >> endobj 11122 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11123 0 obj [11122 0 R 11124 0 R 11125 0 R 11129 0 R] endobj 11124 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 555.525 122.505 566.525] /Subtype /Link /Type /Annot >> endobj 11125 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [127.818 555.525 160.466 566.525] /Subtype /Link /Type /Annot >> endobj 11126 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11127 0 obj << /Length 19 >> stream q /Iabc26340 Do Q endstream endobj 11128 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26341 20830 0 R /Gabc26342 20835 0 R >> /Font << /Fabc26343 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ\ endstream endobj 11129 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=964) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11130 0 obj << /Filter /FlateDecode /Length 5046 >> stream x\K$9nW@J =B@ʬJ{o>>x0 \MD)"_6;ӓ)H~$Phm2N?Rg&`UX|QY\kf/ ƬsVJ9lU4MÝJlfh_m<׍y*S_5fR<*7u6h;&cf}[g5pBiTn cv6~Zպ`2&Xg戟mogFVf+ֳ8Q/E4daDJ& .W Qp.7Ejjw~5?+z_Py*{ ?jeb=H]cy۟4nF>0>W~'`H~ 7_ΪΒvB ,ϡԵ'4QΦ PfӺm_n 4`Fflx, ;ǷVduƈ֚+\l/[Ol[.,zא?l,YlU8v'*,PwVFׄU%F\Zޑ졹A>y?Ş 杫-o ( IϏHXǒK$-\^ۺ R?*H ټLx ,;\s4t]476O9k\֘_iogNi';M~>঄L~@: 9b9_m.vi:EΚT+w4HX"E.$ATW_eIBUU(X=55*3UePLjǂjA] TLgP镘jO\j, J*LTb`i 4KTz&zrj6)5F'.Rk+ PE.˜R4YJ`\p ~q}J&K(\wA=o %PmlzQ:KS= S,KaBgjȵtol )!@w,0PfcŊ˝JՈCS5ϑ]'P|#/ 2G`de[%rF%͊M(6]:MؔȬwcvDj[Y(J`]GRAJhza\.0P1OU?I c2 *%C)* M.ƃS $,&E`STmgeX,Z–,UVDjJHaElbhu].畍b} -6߯ۺ ֧*p`nRjIX;xNXм.{z8mWm'pYf232v/;tGGSDe]pR ;;pd.HwIt1ĎpR{IꢓpZًN]ހSj{AA@,_(u!>`_(`@Z 6@\>$ =Hod@3k`Ѐ|HuH_`@jO%7yZ;߸ U!M,8oBo-:d<1 =Hh4y:ۂ.}P4޶:]-i"4ǾR?Lu'Gk[, ߲-^\’V\y*p8ρ֕oCz >6 +PyۇB(TO4\,SrYaj;"(e{X(=@?5RhgRgm j6؆ l_D ]]F5\wi?D"uwȌcZWqܡX e7Bβ˃7 X*ͪ gL+bYSODYzkmFзO2{)U*EGv@:-lb2!X(N[MZ|1A*<.GNǁ2|Y Tn`p2 35Bpl Tպat -;o6xOܛ`~ip+ȃ]CUֳo16ՙjaC f,ѭ`YF@{ "|ѿf9 if ?pHߜU1[Ր4XzpO?á2Vލ$E|}d+bUwe6+Xִ,58^苌k6OP _(x=d(TȰP5F:H@.Mz $u0wlBvŌz(BJ O諦_00SKWp?0bn\p!PƳ"4A:Vk&`a2( QXWF J%vQ }fjUHjzM"/lܛ{1U\M\3+EFڒW3ʶWxcia!> k=NB`$/.x "b%cd+F>nFډH=+evlPĿcY#k|}Sާֺ, utTl6yq-oK}ph֎xd ,/EʱN@2~T V–1'F,% :*i `ڋ+rAC~v eV#ލfe佉E5\!)w;m⥘T#P|@,CTE>pvKa3޳p l]t%3H ,]0V給y"o קcxV3~=m \I>Eʋ$Lbx7'9ū/ЎoDpyC6e6l++%&.'J1|= .~_D'F#۸^LYƦeUGeҫExjo2\l="BÕӢqvP6b'f( -5o(X4,341.Sx] {);袾j3̗Ōf-\ ii ' "Ln@ J3U9E2wG߇PYL u +2#!s0(1S*0W"75cX?`Rv70}Ƨ56 h.c>E YOjb::7%RgN|yCcBGG:~#nfs[ebk+DuI_ZIgPľUlsSCB/3;h*W1\%ծh_o/qY Q>/.-ek{Z:2_.5{GVD⥳\Y-֮,W𫩚o%<[dv$q*WjC%mh?&lE*7ыkaޠˉ~QҸ<(Wèhƴ$v߰\Q1X & OmMyF{u'uq%[|Q̧XNz4CT< pԥc sq @&k"D<ȿ- rg$abJ dT0HU3*Sx$]x,|Fl9{kzm~wzugݒU#^fmg|lz/0'ER8E27 zvIrQKr!*- O V_FD endstream endobj 11131 0 obj << /Annots [11132 0 R 11136 0 R] /BleedBox [0 0 612 792] /Contents [11137 0 R 11133 0 R 11134 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26359 11135 0 R >> >> /Type /Page >> endobj 11132 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11133 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11134 0 obj << /Length 19 >> stream q /Iabc26359 Do Q endstream endobj 11135 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26360 20830 0 R /Gabc26361 20835 0 R >> /Font << /Fabc26362 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶ endstream endobj 11136 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=965) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11137 0 obj << /Filter /FlateDecode /Length 4127 >> stream xKo3_s1|?À%Y|@EN_S M.Wd_.9{\_傤_垠FS/D_wc,\Q~ 9HO-GɅWL )ŤS}_ ; M ~ggfh0!e% Nj3 `}!rn'{iTZ&юY#' -\SFǝ`4Ij"Z<(֎Kr Sd jŒ`rJo(6;,ƕDXeN nS*7s{.$K"?\ȷt!hQ2Y }ЕBTw ~J'[ MH&CֿuGB>H-s(pѭM<ɔNx )n%Ot+bVf;N7݌D4F-bv^EVjˎvz4koe1|#ux !U9(J@UEc߈nПKBp)MRlv2'Q߫`b6,X^l-VLK_ m>cA :MneE XQaLVx|e' 2oF}W|ĞiJyAE$+܄@Pҹ*3(; ^~}l $L]UBvx[FE@5)µ1JzBu4&Y9g3 B/ÇLFY[]%&R)˄ \nUo^'Jo0%V^0 T^:lLA睺Sx=D>0즸ekaܚ8 ,_EqIwSKmޤ9۔c+:0oCko%%b|2:u<:q}Ufa8!x>dVK ]aUaэN?g/Ή*+C"r&#}ˢja{8oY#JWTccd.}W ePYGp&ļz\e6^9@Ť1){g#v}mkFޙmDM bUX7݅^ki#62ۖŶy*?l˘VL a9`EɐacHQqnu+F4:*I!L!Ln7msvǏ6i!i&K3%%+4w&tai >fk֐O5%jL[C9\[7l&d\̎nv6<0;R w1<ѱξ53T>S C2<,ūKo~KrJ?}S Ž{ fo sIZQ&}գZ&QYo:3kyH^6ё=Sodr`6C"5UIG#u^Պ`VlÛ_bέkoM.Q66\oHv;n%3NzFxj^H3O[!e㶕3|?-$rIf]+ksԒM}yR7<4RfW2Mv4y}xåZ؉iȩ( $vOG;.end$ٱ, 0jAnvnⲇ;g#Ն9/R4wz b{jmWW8Erpy9^7Q+\A8Bs1z (WW9:~R3t LبyLIv@|* WY{_kG.J_+L+]gWKM!1Ϋit0t a.j2[ Lw'rw 7d.\=!#2QΩFb7Z- Mq:qSNMۿ}׾GwKnF˼ Wn*~2|Xw5!ɋch݆98yJb2> (f1}j%cUOrGY0a,p.(={8i-s&|"wZZ%,I^Wb&}8̅Yr_L=YLjc>:"ʖn \ ,H|T`~I^2+ͻ 7ɮ;Or7: R2Ұ6^ R;}b3"0d:O)vj ,9Fd"5uL:,k@8|V1Imc 8tŜl17c'3S/NR=a Q.,<}6Lh 4p7L1LRSEQm 3S+h'iM;;pBAMy`UD7`fv!|.6:(M!G7  akzNpPJ>"VcV1 eDڽ; 4|wH1G-Dki3jXa+XJ&҃M>{-x=kKDVAED+|;:vM\.#?<.pI/ĥ c1I𨩨Cj#M'"=MX2 Hׂ+r+-ĦmMR96FJ'!`V3^ML-2s[OauPZom oѭd6k5jN%H(iӷkMR'kRdA4 #|xjUEҖD&]Mv=$v4t5-bI֨Kp=v5Sˏ HEo]P!@j5t4=lL:X)Ρ,af$!2T# 17'f<}<Du~x+V&Ҹ8_p!B9P4'Z72 A<^Ny/X }O~2-Rɴ\.d838@ 554d~n "ދte^d~?,T|BHIl,bel/qz籩_=ePHwbCd`yfi=hpSA.LN@4Ԡc endstream endobj 11138 0 obj << /Annots [11139 0 R 11143 0 R] /BleedBox [0 0 612 792] /Contents [11144 0 R 11140 0 R 11141 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26378 11142 0 R >> >> /Type /Page >> endobj 11139 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11140 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11141 0 obj << /Length 19 >> stream q /Iabc26378 Do Q endstream endobj 11142 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26379 20830 0 R /Gabc26380 20835 0 R >> /Font << /Fabc26381 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?$` endstream endobj 11143 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=966) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11144 0 obj << /Filter /FlateDecode /Length 4588 >> stream x\K8rW@r$ ̪\1S۳E}NjQRVfu{탻H/̞ͬ RϋG~t2f_~mﺨv*0M\EZ&ܴAom7gԎWi{k -NZz,efbT>G`_e~Qe^A 2  ވg}>ym^_'K%Ck wAL)\Iܢ <8to22BZZkP)%3\K?vΔLer2dEs٪``^M,.ɠH0 QEG /ise}lSgL* E(c`itѥ–Ot־O>Ėhn&`\cIYטf "ZLyM8^1+ hR'ć݃629~%kH}7&p3ߐ Z=Xj?6dT`ClTm$2skv2*ozDtɉA S1RP|׆@tYHӧ>?1fNZw0s͹kN9cYh״X y,{kX!./ ]c?7\XէM ޺h뻵o!\׬G \cmS!mݕAܔs*'vM @] bs "qeLUYܽE2_Ai7|d#Ԟ߮]ni Xf6̓Umv~ @JwCi{T$::Ly%=w؜$Ar>wTGH7$Z2~oǝ4vVVhn{ r'93?-9Q\3 lE?u =A}YQ^ DfS lČ @P+Pv>6!}[M&ZH {v`JVt_p5lRo}$vVWaqRЍM}}H2{SEomc\Xjj VM{n */\|ajw^!'6% N`Y-|砲hp+9ɲ% ' +\6̢bXjkkTkv6H"MQ{y_>2G=hO : ѵdԎzYg"Yemf"cr.AxʣѡÕ$5YhÌʟ"`\`Ւ}ov'C{KUw@=ީ {bLJZn; /][g g]y!`"NܧVBw!yG(g0 EcڪxN;& =,!3:9)p_yS:5O=:!JXe?ٗÉ 4XRZa\Vw<Ri'A9 >v!ˈkcw.2o6gdEV[7K:f;0rLUzJ7i!zkK/>ѶŬʦ]+ C1PbvF]`H1j]޺R kc ސicWQVgٚ#t6ɊENwRZl!&pahZŸ.S&NJ늪M՞ől6欱ugpM Y7pa_\i&D=WTUW]/&QqȽC(A˼=fcfFnyێ]wŞzZcu<Ϯ@[7,iU݇bFFaˏN)Di'ц'RS#5p-k` :3 p &Zѝ"54 y` \R't~ r7Ky?  #i6+l,c^?&2A? źXQ1Q*K}9S9L>@;~j>`~֮ Qx9U4ZE:ZA$O@ɧ?aR>C5w"G1be̩pn :%MnǶ:w<<qe"179~cMuO'Ax\I1w1$ԺțF^/mm#8ejɬ,**ao}![_"*@hg؄CCꘂ*Nݶ>*L}T8Cò#xˢRrr x,^فpc[_O`#YbX_d ~E.A}fg,t?_4?Qw@z; n W/׿v翠~qTsTK~A{ "ƾӥ+}NҎꃶPSr^Ӈ')Hj>kRʩA)HӉB+jO1֡w;xjŵv.ßIOMHXx;,l'Zkew?<δ 6Ff- ]olű޶mfs=m.? O$SϽVn=\{g. f&\A{vlk:K àL_)??#Ww+sL69۟3t*BV7Z\%B4 T;6?3(E?AD:^eqyFIلeo"v)s5ܚJz"pZ rwL$1Ӗa{+槑s/>ݕ:sU>A vO}>(k{&lN#l:2zUBLdT(.{y-ӦH1@Uˤ{ש2mcq~+3h"7LDśJ[ "'|bsX]OL~ XuJH{tued4f8yI8ݴ7~ў<}ozڔ7أD`!_+ǤkZțM8SS !#V.xEyr=@dƑzCIYOT(X]+sq69԰%orvCL7_IREBcCɝK"nvMK@$_[{Gv`k:$(+|\jSTlaVjV>JoUHqajSemeKש7ۮbr=QǐBoEL9sPfFoRMFK.T<8[ ry`7+d#X7~L =ҼL TP1,2:>s'Z[1{4ז5U> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26397 11151 0 R >> >> /Type /Page >> endobj 11146 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063014-08'00') /Rect [218.8375 589.9615 381.3955 600.9615] /Subtype /Link /Type /Annot >> endobj 11147 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063014-08'00') /Rect [178.99 537.2923 396.03 547.2923] /Subtype /Link /Type /Annot >> endobj 11148 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11149 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11150 0 obj << /Length 19 >> stream q /Iabc26397 Do Q endstream endobj 11151 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26398 20830 0 R /Gabc26399 20835 0 R >> /Font << /Fabc26400 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV5o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(]@ U8Ρ\j|=B/}B endstream endobj 11152 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=967) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11153 0 obj << /Filter /FlateDecode /Length 4807 >> stream x<Ɋ$9w}Jd7>4sʞa[<͗,(L,6=}ܗh˯KglO|҇j wtX>~]Kx'gC[m~U| ]ǿ`[q+qM0VJUX:F [|5rrt*nk0NW4u.pL,+L/ 7"O'Q!$yچiٸ¡jz%$DXlhDu[jasaZqrEAPΑW;c E?OۿAGװ!%x >_YQhrau)q| JG@P#G@bQ\3 @L|a@<t|~ClaRMQfI`Ú)@l#co,@yLLV``MoFͪm@G&"e!;Pl6m3] zm3uB {5]C6z]T/yT9BQ'#ǙqF|iRZsIܝL5s<9VD-*Nn5nz12oe*ۧIίiÙlHU ¸BYX_D^u9%bo&UoN=/hp&[ݖ.%H<'scT384V5y5F}d5Z@NaC(Ԋ3FN*[P$UID&9fP#2ko?hV$X˦@ 9Ma7O|H坘!9%.T,@/r8~)qbٚ=LU}Eee5Gq?᳅>1<DŽw=ʫY:T|y*kb9dNɍ]ŒV rb].GUD*S"l3X빪2rp6[~QBN dP<[l~J S&sWmtQ&{dĶ / 4U20e`W&UɓQC,>DP *Aו(Tɷf{~%t :@dހɀ_3dZ96 fjߕ7*3*th7.AR^#W{zc캡۪AFA0R>D97l,nā!^Fj L{441``b˻2he(eI,<8.K{&dwQRh J3aftl_ ̶ ls-_~LsrNH58TQ,u*Xm)*3JUwnIݪEA[p9~u+gnu]"Zb`,\w,WD`Qy{eը]ՕWmA}8 WR! nf }U'pVYtgug2c ı_?MǼ> gu,몝vsmqkͤ'_JZUcC)Z+eH+-9PڎAѺq~,&]v/gOSJMEՔQRS.788s;3_ _CEH1uQuXۮD9ͮpSX|Ct*QO<&`R$_-?LmTWs͍;h6.j dM6ݮV?D^=2Y6F,NWkK T͉;TѹcG$ZzJL <]@N256-A$Ndo _j}Z }[HWuÀ= 8 '|zҷ1΍iո#:+}M>g]T4SΠ-n$RҌ!:6BM>Yt).Bv5¿$vl*" ]Sʱbop.9lQ"\k!{ >L/E>}9~K^^]lNj0 A! =2>@P^wPĵF_5ᐺ=v`K!LM +QA6+:)| %tʼ k]XGr Űצ ^xDuk pί0!2&p!\P1[ 0Q܀YfUL*{]vPdxjẃ9B <7w:!Wj~`Wq/xnUǬ]lAʥ~dy!V4N/qV#IeeS'n7rŐx/Gg%̹y5#̋&mqN RM_w8h'L())6έֽ?yeFm1Rhwe(7|6}s6?> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26416 11161 0 R >> >> /Type /Page >> endobj 11155 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11156 0 obj [11155 0 R 11157 0 R 11158 0 R 11162 0 R] endobj 11157 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 416.8 180.9312 427.8] /Subtype /Link /Type /Annot >> endobj 11158 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 400.6 169.4802 411.6] /Subtype /Link /Type /Annot >> endobj 11159 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11160 0 obj << /Length 19 >> stream q /Iabc26416 Do Q endstream endobj 11161 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26417 20830 0 R /Gabc26418 20835 0 R >> /Font << /Fabc26419 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7pR*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ1R endstream endobj 11162 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=968) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11163 0 obj << /Filter /FlateDecode /Length 2358 >> stream xn$ί@q/@/jM ' `]y Ydz$yX7iRlcF/!_ѧ-^~.ZN" ?v-_~'jO_:-WdR)z@).Q0j?J+^w@zZ,/H^gDAD'/dd~3&ڃI>RQv\x4 W2%:oO+F@RiZ{|X4L3r&l#)N-'זrp3Z _YKlYg* ڿ )3b?>D5WրƷ0V32p'OgV1rnʸ^ξv AAEUoǷclVy 1>BE΁ c[՝* u wX|4un ej޺ҝuGzɬR^ij2 NJ@!vM2a 9of.Cts,80J1ZCTc2X&0yԤA,-(qf." F)ጂ^uPP霕]{9Jޒd^ tx骍]zJUck2x.AS8K~v^rPtFKm@6B[K4ršLAiudFYARQbץߺ/5VvISk/?8Bgn_gbWgT&b60ڱbAcYA$hH9Wnw4?\LaŠ34Y,P O 8-Q %r@K(<J& qN?^Zb?*=)Zt%{ڧn YS::U 2U"Pl6YR{i? vC;hK[ȭÀp`@&0"DS)`;]C.V!m!;VPļx3 hmWFcq2**DUIy@ __ 71'm $3͌Z"hn>r8@Ls.^s.|X3/?<֙ۢ..v6N#o_q ۸(& G6*i(yĶۊV8ra3fq&!M6%n@/b.06Y{9X0@W@K=d#w6n_/@,yw} ڒBeSFQNJ[~Ǒ-OKOSkZe0bꚸqH}>{:Ln@K!cjcw6@@nu@>K:| |}\!K(;Tpj<ۂhl0sĩoccVishZ x&MyFUg0 &y #][KefBzD D 0B3(؁([0B.-@۶Ό GlBm[ 7C!0 foW +Y0s/- >#Zʵ/~tzNebc <]VVNL$|}j8gD?؟a҇Au>}<&G:Z{Gⱑhם40 k`\UȒ稡קGbt<}'oB:B@YP33$*hSm>PgbQe8A:Rb31ɵkrngTs4lVR;%73"ǟxSUkYaTdz=S>ӣAH:A 5THM endstream endobj 11164 0 obj << /Annots [11165 0 R 11169 0 R] /BleedBox [0 0 612 792] /Contents [11170 0 R 11166 0 R 11167 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26435 11168 0 R >> >> /Type /Page >> endobj 11165 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11166 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11167 0 obj << /Length 19 >> stream q /Iabc26435 Do Q endstream endobj 11168 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26436 20830 0 R /Gabc26437 20835 0 R >> /Font << /Fabc26438 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 11169 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=969) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11170 0 obj << /Filter /FlateDecode /Length 5558 >> stream xڵ?,ia_gVX_ƛt V+$L ݦ'Nzsih&Tc;XH $Z~GS{@J}x1q. L0"w:1Z =ACMoі`'bYӂe?g/˯(l 4(ѳ&. #Ge.@U[J+ #ic?G ;Z/3lz`/+h4FCY;0 T,Ud="zmc8ME[1>34tӡBg}^-܅xJ8+o`ؓ#ђ13]Sbᖋ3+XiȢ` *J.Fp|v.XejA۝/9TƄƥajX'л%:\Թdjik{O&/}kuXIY9V#SS:Pu=>[=ŀ".Qdo9°Ǩ5֢"a\v}Z^[V]1"E UP9IpuP{rPGCGj u9zE!/nj2{6nI8rWex3Uy_3f('z?n<.{-AB q,r84لk:shZ/omsq+,<իF&h]'Q7kN; \ȋm]TR!Ɉ~пX/)DM߉uo)aĐ<כ1P%k\*{c',qT:WG6Eq %X"cbقTTgOP핉:Mz#l\x%c…֖ ~ l(m]Hz7oZ Q_ޤyP&QaGnklz2jU9G2gTvyTٱi7\AK!r 8-{Wš؂^GT-Us#jX:u6ثj09[fD6 tYUXu*.+M-9o\m<].XꄰP-8ar&@1p R&ajQMajSPiۑl^,M P24P٭vg𐸹g YPܚE5A,a=OVC]K ]ʼ0aI Y)&SUszWRjdN' JN jRGAA-?ɠTdPjHyG J J 9ްigHۈ7Fe*ِ}4N kǙaIgExn;VBujE}ͧCP}`;'1Rwql=:4̜Ar.샆zd\\zO͇§Yc!5?e)dPKb҇ILX嚸H"uT01ӗ5Sb$ruVmZ} Y ЁŜdf,1uKnehe n܁b}K#LdL&jF=l}5-!%j,]Yubo2}0Sq K{}Bv>, p!0< 5kQ4`MM_h_["Ɠ`9J&S"ⴑ~7a:'Q. %ʒ욜 :$Ll0]5& ϔzY`.tɫA:K5.ӝi¿+]K$d! ΛGUjLQ4 H({7- p3(e@H:HnATZGJkFH<4oBA*c6|zFjo  A K["t"LGxۏwK\JÅ f2͒`ZB[*h|0gbe=weE+E LTx﫜wME`Ưzf?:=?K69 9UyYIoOj:ɛ1t4itM%=u%Ρؒ/l8wٞщv;6ݳ9N0ls=.8|*tMNjIã}IZSS0Kww&Ⱥ }e{r嚚.KL X󺙔/]j~7+k1k!F!Kdn౳u)ՓYj8Ƌ憶O4t,uX@c8L156%_4ʠD&tD~@.nu9)u 7{@afvuG*ڴT."mes3MӠ\};޴Sx x nj=5^hU4QEQz,Z4kwf|jo0O}i>.a;.k6 q*<,ݨ2G2GD0L$e`D,>XDj$ÃIh,Fkz0U/ee8i~1Gn?yMtۡMyd*ޏc'Gg!x~ Ŀ ITX:eX뮊UK=eGO%a 93rX`]M" wr6y{Nm޸nZWĹWo]aW:#aBφjUu)R||tl67JXj&=#0΋C{?xXĝ^o' >W6^6bueש)~b!.rHQ%ۈpэP<-NϷbVީ2\'s=*nv{ENr=%ӹ۰{9:c>Jvr7ysv5LL2p醕{G'K'xpC 3ћK%Ӧ0.j|BbaY> 8[QDVnqnB,^?ޒ!S}ta1#3CmzM /Rf\x3~pt~]y &4 ܦ>)жs-sk4/ͮa3n1o00zS irZiRN>QcF؞x3g([&*K|=sxuBM㱓<~)lBq:m󑭜P3Pψx0?y}unM؂&I2Y}clZSaeoQ]z>oT<$'x<1dd+lS~-x~&E, V6|8`x"ɬ^:GnR<\)_|D>/A<]3`@'ȽT q@b)VA] vʧtH[9toP_@3X[[)bV70Vdh(cv?4 D€3,]GNrII,x[!=a]vKRH65H OcR2@ltCKh|C“x#'"Z8f?FaL,~TGRqTji@!h}bt@yYno`œ? yYf#Kň} o%s_ 3_kUJTVَ\Ft|{V9(RBMtgGs_կ)-ǣlf?Xo8ʐ{,\3q1WW[f<9>ʼn7.(,7q>qo}/!xxQpީʜeog0>a`R(GG D򕈿 ɿs'>egaA\o,7|yF3T+I endstream endobj 11171 0 obj << /Annots 11173 0 R /BleedBox [0 0 612 792] /Contents [11182 0 R 11178 0 R 11179 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26454 11180 0 R >> >> /Type /Page >> endobj 11172 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11173 0 obj [11172 0 R 11174 0 R 11175 0 R 11176 0 R 11177 0 R 11181 0 R] endobj 11174 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20211013063105-08'00') /Rect [104.1732 248.5769 165.8337 259.5769] /Subtype /Link /Type /Annot >> endobj 11175 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 232.3769 159.3712 243.3769] /Subtype /Link /Type /Annot >> endobj 11176 0 obj << /A << /D (unique_697) /S /GoTo >> /Border [0 0 0] /Contents (set_value) /M (D:20211013063105-08'00') /Rect [104.1732 216.1769 149.2567 227.1769] /Subtype /Link /Type /Annot >> endobj 11177 0 obj << /A << /D (unique_693) /S /GoTo >> /Border [0 0 0] /Contents (report_values) /M (D:20211013063105-08'00') /Rect [104.1732 199.9769 169.4802 210.9769] /Subtype /Link /Type /Annot >> endobj 11178 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11179 0 obj << /Length 19 >> stream q /Iabc26454 Do Q endstream endobj 11180 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26455 20830 0 R /Gabc26456 20835 0 R >> /Font << /Fabc26457 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=V endstream endobj 11181 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=970) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11182 0 obj << /Filter /FlateDecode /Length 3089 >> stream xj$_ Ǿ" 6F0{ ݗ}%R63TgEd&T&۞lpK,/_UaGY&}ڔGi|E×t_Q&_ P4CVPqEkm?ᄫx%? ,gϰ+ȤwʙL>C 4Y4"'0BL&&E>DX\>'M}{>y¡Aart4Hb)QExlc SyMRzHgԂds[c8;qb"ٗߣ*笂WڀybY1aVkt/ZRb:01ۆE@3!#+D["&`Q jd~5iRt)O&_0IbTfman=qចI(L#>3l"1-Э1&8DN`o} Q^g}e=V7r9ܰy"ٳُkkjahunK_+s@#])={{_bnq劓*^ý-ûnp~G-~n깕oI^hbѪpzh Xߋ R">\wp鬇gcR]K}":+,zu1)_bX_$splw$M& F;93{ kz@&;y7 (N\0K[yQ[e4W,}+N9KD]lch۳i-r-̶FgFh=jqwk!m-ȷM]ˎl jmA/Ph2r͓Q"ޭjީ),Ug-1d7*V˲b WΧWׇ>N@&٫q3}G4"ON^M}rfMo) ,&y m[F^+'Pb]H: ؿڬ{b+iz|3i6^|Yqpy2#g#+k;Ξab-o-3#S~*~xܠ-1=WSBKiUZo+i(ah FXU9+||ƿ)<§=Ie&e&!Vg0T8#*̠+.*3dv}8t+*+'ʫӭv Tu07fVb;&z7LJ'TE3*aZ ݇3=dꊏ$Sشǣ  LsFI endstream endobj 11183 0 obj << /Annots 11185 0 R /BleedBox [0 0 612 792] /Contents [11192 0 R 11188 0 R 11189 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26473 11190 0 R >> >> /Type /Page >> endobj 11184 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11185 0 obj [11184 0 R 11186 0 R 11187 0 R 11191 0 R] endobj 11186 0 obj << /A << /D (unique_77_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20211013063105-08'00') /Rect [90 237.525 124.21 248.525] /Subtype /Link /Type /Annot >> endobj 11187 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [129.523 237.525 162.028 248.525] /Subtype /Link /Type /Annot >> endobj 11188 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11189 0 obj << /Length 19 >> stream q /Iabc26473 Do Q endstream endobj 11190 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26474 20830 0 R /Gabc26475 20835 0 R >> /Font << /Fabc26476 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11192 0 obj << /Filter /FlateDecode /Length 4976 >> stream x<ˎ丑w~R(knç,U t_AI]`{z$Rd0oRbojxɋ_t:=[2K/ɮ>L97OƄ}pz3=Y S{@.=/џo_D&WSO/Liᑙ>%Y_0 oWvӲeqNp᚟^#?rSodp66$c B'{vx@'JbOw|Pj^T@oa,Лsg 0AL1!H!)%A`FW@1%Dr~]SXvI۟- ,$X9/ (#7e߿eEaG3X\/~#)M0ah| 95YWuSa2D-/SȚ"f1$F FTgeYUd0|8O2o.XdO.,=YAWx;1"/|tuaIeZiZpi4 ?I6 3gG5 KPGJlf pu/ \ 0]j'?u1f]3:}..vÞEw'F[qi2 :0h~sc Sh`5ntq}7YuqF8 s$8 j }W(WfD򌵧T.aʇD̚Wt?hy1@Җ,aERy#3hHaUȾ_@ef]¬g[X_fYϣF~">C[hmMW#=Maf,=,ǤYve!;4L/y&JЙ)m_J9pƊ5 f%)/ՂݸB^fq{Zs ۛ?[xi9L[0d?WDu+#adz^z/̲. ڸDdpz!5Iӓ"<ɭ5(ꑅk6pbc"wjqF%(Hm}a̯qLu=7 _F~oE鏺(vQ.JIu7UJI|Xu[|6J~JkV)u>{J+TJ]ݢRꪨRE}MݶeYmP'kbF㫷M1) ufɕ^7s;%H W{<!PVE,*4(߫΋{Pz;lt<Ω: |E!Q2^eelzjpKMV:>ӚZ'?]P\C__]>f38\9R::Tc iJ:cF\Ͷ~gߍD`A~j\8):E7Z;?_d =nxR=zJQ ᧔gçطCZ"%{ /S4hrl]` ̝̕*9뀉tL)(J?C 78~B =I'@y2Ydk[c: ,{:&y Y]*%"x 4k|=~\I_g6ulLQ)9Uh AFJ&DÓA[#̂$'ើ} q,MNY*`RNӑD@R4pr mc=f9*b4&q3q6/&GK17KqLL$70dҘX@dی.Ӊ= [k87dJ=BplHY 4WUI0R*/Θ9Yd:z;'F)ћ>>q7'#W,ezVkSioI )`SyZܩp!-% Iam$'鲣 ]3K9CP٨?OLZ cHv}5EN#'"T߈?Yӫ\]-p꧳VkEb>&42S9VzaWWZ,8JУb,TV+1Jp”&6k XEغ$GBѯ⁧g2}VNS^ve,ގj."\&/F4 O|IiM9 JWfbQঐI8Q\aY52#:`9J.u1J^JcfcXR{Jn rBE;O_>u)UoEg-`R<˙H+ҔnrO|q @ pBGG:J (S)߫lnOs.@CRfxq,80\Ԓ} ZzMT,b\ _ [_32tLn.;724-s^DuRY+WFe^Tm*Yܵf)R'WU*lXLFMv*Tis~+f+~ߛ! ǦeqZBHxθɎ̏1y.wi!rb.CC=s33Yd  Ͷ_A{WxC8.<}&!f>`6L yzs|9¯^9´b-3CD+%=T S1R̀GU2%Gz֓@{E$b7&y8 ͞o_A"O):QTOVGȜ[ MR)T:HM ex endstream endobj 11193 0 obj << /Annots [11194 0 R 11195 0 R 11196 0 R 11200 0 R] /BleedBox [0 0 612 792] /Contents [11201 0 R 11197 0 R 11198 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26492 11199 0 R >> >> /Type /Page >> endobj 11194 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063014-08'00') /Rect [218.8375 509.6731 381.3955 520.6731] /Subtype /Link /Type /Annot >> endobj 11195 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063014-08'00') /Rect [178.99 457.0039 396.03 467.0039] /Subtype /Link /Type /Annot >> endobj 11196 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063014-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11197 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11198 0 obj << /Length 19 >> stream q /Iabc26492 Do Q endstream endobj 11199 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26493 20830 0 R /Gabc26494 20835 0 R >> /Font << /Fabc26495 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛKZ endstream endobj 11200 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=972) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11201 0 obj << /Filter /FlateDecode /Length 4675 >> stream xڵɎί9r !ȩ 0}m\DI. E-o_(OMf_)S9g)M._?dpVA˯Z ܧ(޴^Sp+8e&wSϛq)erph˟Xvf.%|e pㅐ\z\X3FGĠ.e˦bu2s["X#g|VetYͳpo> 0-z|Q_-7ٺsA<¿2^/ y(<(a䲞sB'X ",j /]h'HA Eed%.fDlw=^phl`H 5ec;bdu(\8^. 0ل殇&Kur] |E4DZk:κ GQ[C[J 5^tdxklր K!S~Z@ȮA-EщA[!>ip8p'Ȳ*ˠvpr! v;g|$Hq<I0h&5KZIK+c`)AXTklERE퍥QMPW`f4S+QTR1۷F:ܹ8#|L\>3x'Q,zQ̪x&E9 =dF?B>!^?-GJ!sF>>L45%-y KqWF^l\.)7"*fgb5FeHD- 'XBR H$cE"_Kbke~y*q @d *Y ~zB99a\磖vADwLMdQ)Bb9jt{`2kcBAhX%b +Ĕa~ rtOIb1mDR<.JlSRܣJĝIE_ucS5 2EeqtM?Zls_zhH[m<%* '4Ɓc[ hK7,#1\sE(A ^тUbE%i^ne"G!!E%<0'OjĶAƾu 8U-F}--M2Է,>x^ +3AGsu̜ vp&+Z>]U<4fl-Hu]. 2]cX5XttӦX#:@ T<ȼͤN0pZ4,1 \cxHy?W5>$L3S+dvJe9ONUKmX /4  t)j]͛c& 8MH/爻8ўNratVeK'c@$uJ\]U(bFwM([fmSDrtg *#(JNpruBsws ]=XTz0,A+#Wr o*5SpVi;Z!io֙Dog6Ғsc~29fJa[zUjoJpxۻw:yL _|_V)8'6%&bk͚YffE?NmB-0}PCkb #Em@6L3dR&OTPk0*0,Qczv>ju[!3X_c@qYu[T'iQma2ϔѢaJ_Uq9y8hhٮ3)YkbUNMtSiv!}& ko$u Em_vU ݹ, {pWMUϲI^.r~Uh;WSڀt̹ĵ]A/4`˷LLUN1B'bG@$eVA fJa)[ =dxUBGb[F/6쳠s8^۱уkB,X%"eO"D ^e7c8丷#׳nNsN=+U@ҷJJaץ@ HiϕSdX2G2z-5Q\hpCy/8%b}^ {$Z;sjʉ3}cuF6eZR9%GP{K[8ĆȨoH9mJ'1 <~}5v@Q-P&]va1GJ(Sn-p!Gݾؗ gE;!.2w E`IJqT _b?OaJږqύ:>e񨛵ẕ=ɜ>T t;lٷVXݬ)\٧#[:fUfp뚎fɰq=4Փm!\떱I#`,'&m qeK?"mvꀧOeQzf_wixoP3 XZI f]Gr?<k7ºy ~[- 3kW8`Y')68]Pt=;{'OMr@v[#^,?'ϯ' _mdr ݵtNjqhqsF^k Dˑ7m9+s٣lB4։7:p78mJMX6 l$(K1.c/n9\m1ƼB^O@.JSkPO9' bࠔK(f $NswL7Q`.SZLZi v#^Qh7} |YkK*6%" EW#t+s+9#O_p@WBGG"ץ++q&~xJ< DX F05l_p-(hxMFPEå[v J23 #`t 'snWCKBn[y"ܽe3o&5Ne <Kmd,4 o`#yMG -E$]&dɱC ݵ?*Sp;1O<6aMXFo$ iÌO8HK䡸0VB0z=L; 3%5)ϢOxiO?"@h\|,­9O{ZTBi0$v$`>' y wBrR |+|[^-0|q"*}Ƌ{.vK^ԃX`]yIr"}! ;x5"VQf{x9lwT?$\H(<Lfmf3#DS8i5VBN@4XjP{gP endstream endobj 11202 0 obj << /Annots 11204 0 R /BleedBox [0 0 612 792] /Contents [11212 0 R 11208 0 R 11209 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26511 11210 0 R >> >> /Type /Page >> endobj 11203 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11204 0 obj [11203 0 R 11205 0 R 11206 0 R 11207 0 R 11211 0 R] endobj 11205 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [104.1732 222.5462 171.2677 233.5462] /Subtype /Link /Type /Annot >> endobj 11206 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 206.3462 176.0252 217.3462] /Subtype /Link /Type /Annot >> endobj 11207 0 obj << /A << /D (unique_498) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 190.1462 175.9042 201.1462] /Subtype /Link /Type /Annot >> endobj 11208 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11209 0 obj << /Length 19 >> stream q /Iabc26511 Do Q endstream endobj 11210 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26512 20830 0 R /Gabc26513 20835 0 R >> /Font << /Fabc26514 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}^ endstream endobj 11211 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=973) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11212 0 obj << /Filter /FlateDecode /Length 3179 >> stream xڽZK#B!hI4`|{֘eRFݳ,U_kS]B[h8 ZJ/V)t@W}>\s3rORBEA|OAM%x^a ;xΧ~ĉ/κ|?ρ|( ˰Qe!" Hs\I5ӑ*Fjjvi>6xl*d]0q7ZfMWgH{TU6]R{)523fhNk|qO"qUFZ4^$ZjײVVD y3c~$6yAR s욳Tu.~Xa jN oN~"l1*[ʮ~ L%OCBZNH)&//6[3 1xtƎr sm 78;;xqD3 wK6H.S 5f5悧.4Z/G99jus!hI6*ppo(U[ en r~L ꍠKRwP(>\Ώrui+kVZ> x]Џ1i)d{p[cNH-lXnQ#*NOnZr.ԂKjO^Yun~(c1ɮ;;):cO@fl86yǦtS>Rrl~f;[klp &lW#uw=5ojYi Ki.@9M˲`VJ[k7 ς͊}ζeGz?/*^ҋZ: Vޥk5m4uMN~*F6h" `V<[ys7bPTУp^rJDm1尭<E7 b 4XV Ul$/gc:<)B8 C^=jh=bfIbӦMQ8*XaYu> q~#?P(C6ۍTʦh߁`zo7@u<l&R̾׫d' SLS~֕Ir}ܖ lp aE}N5=?m@'W O@HM`_B2m##~;Q =<ǻm\.gX5b ~^:f4k5Ok-,eMKvAȚ`>wlePP<^gM.hlOe0xO:SP1)'{1 |H9!Q\/;P =z|G_5MY` 8tVtOp>YV6yC?XRSMįҭ,^!F*j" ]Pc JmD`iA=xp/+:z)or.n9s>[Yj]:s&-;]*4w0f$Z.+xɸ+ءyc%Lq,zq ^vMi8*#f"rIo"'\@a>Bm11|LLmD.klB`| Xf'ոL`N6-6^E~3ړLeWn#GҒnT1#UH#RȠqީ+đ, mMe@|/Ky%7TUirPقYq Wd=DD, g`))uK{Ո3GjJ a^hh(Z*;3iJ'K+c'S!%8h.̴oy|}}ftq9þ6 V<'EBqd44uZaL\ӂk;".\VvV|;;]$,Ěx>YTlzI>M3@FJ%T{V eS66-w{A_ᆶcҊ3sc1u.H)MMUzE*Rx6:ϥh)󹠱O ~e=$MKSjͤ!y@U{T6wyR gbtŞW >XBe5}s*qQAfpi(3sk(̇O̵k5JDs87sq4|VD;K*kce'z/*ߕ 0BVO}g;FЩ.NQ؉=5h | endstream endobj 11213 0 obj << /Annots 11215 0 R /BleedBox [0 0 612 792] /Contents [11221 0 R 11217 0 R 11218 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26530 11219 0 R >> >> /Type /Page >> endobj 11214 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11215 0 obj [11214 0 R 11216 0 R 11220 0 R] endobj 11216 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 310.725 140.4735 321.725] /Subtype /Link /Type /Annot >> endobj 11217 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11218 0 obj << /Length 19 >> stream q /Iabc26530 Do Q endstream endobj 11219 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26531 20830 0 R /Gabc26532 20835 0 R >> /Font << /Fabc26533 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRathǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 11220 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=974) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11221 0 obj << /Filter /FlateDecode /Length 4447 >> stream x\Ko$9W<@H ="ÀVS,U˗$*"2YuW3HG~:w¯!e_eA*.A׿/;v &ߎ_B߬+7_Ь4~e֏ӴŦu*.U/6xog.Oɐ9;N/AQ>՗ʿd[3p~'A_ ]PK3FvFjW!^]KSM2G#Hr$χ{&](y uR[B68)0YfʅrtAͿ8ȴ+ҿ S/+\Z<N5$@дG˳Es|G<@B{B l<-EYvF9|"Uռy} ,T<<׮SM"Lo6b[͠h#9oeG caDϙFb 4U2ܐΗYÊ˦A[{`9uP]B)2icST$ h;U`B@ DOni'O ?Kk!L\r6bJ6n/kvl­= k^p}ZsEu~~h|ͯh Q쀺lnu@p M~8r2L/3[Ar李2v;*\;GҸt*7Ӳ#y[aR^5:UcSZ5jJ^5%(vWHۻ0A= #_~4p(AIt ٭ў KME0Lܑ'V`| ЬH(U:}ߊfL|(؜&@5]ɣΟj2DlfnOP㋘A| "Ab׺`ZMtnO +wdaz0Ĥ‰8#܅2j #7 hҠ]P,~ܽ%Uͣ\K9ŠN̙\,8)V2$ ;8e 'EDI,na{CQlWmntyO8 ?t>X<),ps&)v-~? THF~đGZsGq\0AϘ%PcՅْ@]ZqFt9~ =ͮ7(dσOk2_?8vv3gi,g2W:MGk'eB => bAͲ? fLx~ppTøE99xtܩtL>)sNcKFQ:ӹ;xKݜY> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26549 11228 0 R >> >> /Type /Page >> endobj 11223 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063015-08'00') /Rect [218.8375 617.3577 381.3955 628.3577] /Subtype /Link /Type /Annot >> endobj 11224 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063015-08'00') /Rect [178.99 564.6884 396.03 574.6884] /Subtype /Link /Type /Annot >> endobj 11225 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11226 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11227 0 obj << /Length 19 >> stream q /Iabc26549 Do Q endstream endobj 11228 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26550 20830 0 R /Gabc26551 20835 0 R >> /Font << /Fabc26552 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pR%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$b endstream endobj 11229 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=975) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11230 0 obj << /Filter /FlateDecode /Length 4881 >> stream x<ɎFw~ {D))e`nЇƜjn4.y[$%eJxKPﳞ?q5LI#Eu:~s_TՒBvSõ{W''N5,/t 7?W |_ Sta :MJȰ %7(S{: 6fJ|`QsU.: eٸ F{IcJ@3|'tTDzt>C@tʸ"BdenKIvo&NnLש7;"*ϼywaiL]:\[Dv vYKYF5e$D$ ݾN$Tv_D9y}a5A-*ugμa(ʓq&s]c3l\#.Ҵ)3hzXߧ1M b)Mr4 T pNi2 $j: ~,H$"D@)_A["9ςLI"/C/L^FE[8&a}uE:܂ae J Z0CVYT2  u _'+x( ",rlH-N+*Ze)Y77{i p|(ppJ" $T=a.37C>WZ{z5DAkf"k6 jxKb' qGᥗ.%/jzw?Ⱥg+nV ^T$Gyl/~K@R!O'`״8ˋ\ F *>hH8Zq`xY&]Ͳj%Qa>oHG: i]&(`o{HKO [҈UY3 ܠ4&6$ttK2+D|e\lN0i6aҋvk ':6 S l1­cC"9=6 aՄӉ0qx9`뤳G'{TQDžXqn ϲ7[)KkE(&W %?Yٽ dq \a WXP2ئ%/~h^N| 56&4T6d&if$>\5<'Soo*Z5EJPQ Ckg6QK k V)U]˱_aK7V A -(K~qI[?isf!B'_ZΔ fQ2񟉊j'lnzBњ'`SxQ?A‹z dH2#$>P8W(z0*0,d&z~Z2=}G6puΐTYd(Җ.v,NPuFd6x1w-r,銪?/=' e\uvS:ۓbN3׋hXʯ"dCa]0T5"N.IeDXЭ̽Sr@xrhUp?ѱ\y0{50ok|c7yv+r}C[3 K '*y)B>c"tKfy@fw\S%[CaT,h&%+Eۭ>f.޹]N/zLЋס55 c2[ٱ )ؔCLz>|Nܪ3x7cck1/c=ګ<M&*-|rzZ≪+Efq>R!띻h@ }|R !Y֐o$J~QEIT$dAO9mkK1Zbj8,Kz]9A b@.^M $ 㙼tpܝ[uO0rXuݳ`:{mϱ]DcwT֒TpF `jSLAvNCG^GY"VU $ʛ~[b+aIvh©Ҷ@j].B Kږb&Nu'jwuS,Pdf q{k.ivMA41}[i0w* ؄E= 3"vQM͟R.]߹=|ohR/!UѺB7qFT!~ޖ7~:w}[?ح4w]yp_:v,I༗ V/ٷ yKl5t2iml:h}liNum H.]o(CK.7n{8n`0ڼG a0Yz7fҲM=i!h Wm4}rc2 & FA7٨[vN+ܱ_ ?[4Cⴳ;rDZMc|@ujV-_ä fܳO[НȁGM@ڶSւxyԜCD'<CA5g!m=5IsM[*LZ*1 XYk7H(`xR~OVc{ԏ-uYi עVPhO9n#F$f!;kZɗnh8MƤr)XE]RiZ>={=m@O@cP|nߘ8~; g9Hdj"T%։( Jws' 8K\-zuo<ջOVgKB*\݋4-(vZrE檙Na !3|the%A\jX՞94ٱ!RIA+\)'Cwcd߅g*<_Wg318ZzH ޿LCֶ:Op+%g3/6/*ӃMV8cR—ڹl/቙6KB- UOKkzcf$w=MM)>hxn&i5PZc}uY/ð{!399C%HZ/z х&$ڟyypOa''Oʺ sqjWscuHjGL C=)] #!j{(=7layP@2ͫkXQ wlČ!$ar\AnߝQ"7 q3}6AdcPkkooIYM& Ђa D;{'j9!Srf;+$JܪhL'小U 4a_t7M6ӣuY!bxScg6ida?_5ah |2Iz/G%MIڔ{/k4Au0Hr'sp|z\F}|\(@ R|"uѹ6VOܭG1^j Tm}T )HJJ' ޗwB⡑ r@^[]zO ϑ+oL ^zÇU6O%C} e޻5-Kղ9hq5ˮ޼1Ë-r{Pqh'z \oBPb&4NId=X爍'-?}p>Yf*1cjV|-ct^)<sq,L `GJ?{M{JWn@E>o-eqDe-`.fMhѿW-4/N𯉎N 3\%ȅ"DZD\O,ob#)冗䛓|g-Sk52'A#L5 J2.&wщnS3deJ(_ئ ]u({czX79$_˓<[2"=p~j*f,e<;TVLF4 &f>Roq~[edK۰U.`r=@}]ؿ*sp;1S;ژKD @~)v2:u,vWWЕ뼔"҂e|v|?Ju> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26568 11241 0 R >> >> /Type /Page >> endobj 11232 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11233 0 obj [11232 0 R 11234 0 R 11235 0 R 11236 0 R 11237 0 R 11238 0 R 11242 0 R] endobj 11234 0 obj << /A << /D (unique_728) /S /GoTo >> /Border [0 0 0] /Contents (close_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 461.5346 193.7572 472.5346] /Subtype /Link /Type /Annot >> endobj 11235 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 445.3346 199.1307 456.3346] /Subtype /Link /Type /Annot >> endobj 11236 0 obj << /A << /D (unique_730) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 429.1346 204.0807 440.1346] /Subtype /Link /Type /Annot >> endobj 11237 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 412.9346 193.4492 423.9346] /Subtype /Link /Type /Annot >> endobj 11238 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 396.7346 190.6607 407.7346] /Subtype /Link /Type /Annot >> endobj 11239 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11240 0 obj << /Length 19 >> stream q /Iabc26568 Do Q endstream endobj 11241 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26569 20830 0 R /Gabc26570 20835 0 R >> /Font << /Fabc26571 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11243 0 obj << /Filter /FlateDecode /Length 2337 >> stream xڽYIoWp_hCAzKZd'1&bi [O/Y. :?,|j֬Dr06c]0쌹y-Q?bBѯ.{y08c 0 Ǻfh;0樝 |Qt\MVۣ~+2z!)Ns0g pAL,ǣ*"0爰)gޙn3R)JYm|9sE"N"pQu92Z| DQd /.I$MHD_'dpgtIXGFx kɦfwMX:*q]Gzq~@R*'_"., 4 P[t p^Lt5y;tiIײ\k0:{b3ئޯƩQ! zg[v? v]/2'S Tgv6&-ayrpU+~)k|EōDb{>sqkr@S9wI>E[b2ZLsYą+?aok%D\c,#eL{@.#SL-) {--M9̒v%DY< HxZtH@ ]0!k^M.pbCI$ڼV2Tjk#xxe8^ `RgB5;!d) +c][p 3|# T#IAbn;e6bJۡ5G90H1{HnUryK/8ү90D G=8(9 DTV. 2cb\ p>AS r.T6ݺvn& |T$yDFݹ^ڙ;̵ׄ 0j 5Լ~^pdyk&*ayW}-[|Jވ@%\Hfͭ\2K+o *TxTߴA^);ymJgsPL24*05sH6P\Yީ޾SaJ^&>n$DLt1M`78g9<()FOTg8 S1< nss0dЏbq'F㦞D85:V2OH:^@*w؈w GVĦx` uVǟ^^uYr%_BjY-1 $ _1} !8FWhf0[t7G dʬ.X<[R/ެ5UZ]+!` >Th[\ÊX=kV@o_}VhTV0+$m VD:B/uFo7%W%|&^ Bx^e&ջVgi+5HG.M}!D[`Cn\u18/gXJmSc(! I@7-x8xj HH6*343#EMFhג?Fmސ`U[Gb̩_`3WYNx#ƻў&*'$=lq=-RjFndb놤uH>!L h)_6gy'2 ЛF6  f +T;+2BOz xTH4."_[-" GZJ aeLFM3 R1~Qce"ƌ&BGC2Qt+'PN8| ]0&bBF}bM%s Hi%vMaƶh(ĺz1[NjBJ/)lVT1%e97Q*@Oe>a.~rϤRO*=k(+v3Q{,tC[HjRMBEEL߷-r(2fGdJ&؀ހ* Ä9N:*s]@Ÿox/Qy_{ݱޱ+Y~;B 榒*3h,Vlc剧C9"ƻs♿> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26587 11250 0 R >> >> /Type /Page >> endobj 11245 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11246 0 obj [11245 0 R 11247 0 R 11251 0 R] endobj 11247 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 197.925 140.4735 208.925] /Subtype /Link /Type /Annot >> endobj 11248 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11249 0 obj << /Length 19 >> stream q /Iabc26587 Do Q endstream endobj 11250 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26588 20830 0 R /Gabc26589 20835 0 R >> /Font << /Fabc26590 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XLj[//d"ר`O6OaePD%% 2 'LKB.&K. FѯKzqHB>W!K\|5ʄivP(y Ph'-:A/}Z endstream endobj 11251 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=977) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11252 0 obj << /Filter /FlateDecode /Length 4308 >> stream x\Ko6W@ ߔÀ۞[{Xdߪ[vU,V*R.Y7h['$ANdzJڌ8JՔWRe=LͳrF?O ɆB r+Lo nQh=*9;)-L<~㿄j.۾j7 y¦O>sЕ'4T1tgKMa y{I!X=-rgw^q:R/Gω=P Evf>O^l"硰<:480 hh=ݳEc럠j.=Dzr4|RDkig7{Pfg+=IOh$= #Hw$?oђVA2$S#@\`z4>>^&AY!h нpjDZ7"*+@xgm_f]0~Evz[Y~>9phP\ߢt-y*XF+y2Sg=SYɓBKa֍FY#2j*cn/p Nbt|zvܨ2;Hioj`\M`14l7ḫ>- NT;xs1@yVތIp&-Go8m]:H\UΘ& ?3cx"Rcƍ~64)Bw!f 377/FeCaAu.ByL{) Ņy aXQ$D8h*\3 =iű", BO'lIHVNEAF,Jާ |W=[!A ӧ+)a@nUPc.uiwv<X ؆'== 4="y :GT9I( NpGy Z2D zWQNƸEkC A^+:i֐% .e2XVYZS# Ӈ <E@SS*R\46Z *M)'R͌>D̅FR! 9 #M0 Sl#ȩ"ݜHulRPl;Gf6>b}OχkL€d8Dͩfh ?ܰ[v{.D0[-m)rJte>X!Yy3b#`@.2kF+ENazʙ!2WcF5}nO@?Ԩf3PA R.^B/Y0n<ЮP$ }BP1oaSK" 6{ݹE# `UcvAx=/+bOFɨGpB :iJ~򌵨RhS#ȕ%vSR7]]6dNR KnSX|bk/ >Q"_YU*uNA|ɛl5unmSWY;NˊucS~*ɶze"3G>#3@͎0͌Fd "}T*mpQ3]nKsN?3i ˡb,3T>CjylכD2Uȳf`꺫+=ryBU%RɯE][M0OmctM}/@?Ԣڂ{#b&2#ce/o?kF⅛+ϑ"yVxA{8-foP,`,Bo?e^YzfKRW zPd ?ԊQ&cS-n*/s˚/7Ig5J1y2}KB*Ur umKA)ic!Tl)Up3cTFgL56kd'̍0DA2 WۺQAW\+˒ u*wA%2!Xi{u/k_1KE EE:Xq UEpT5,Pr   UoBU@,T ٙVAԅ,֤VR 즬lJUjzR+]n+ N,*8wb'كZIEd8m8I-ᤖpbW^2crANx NSd 'e8)ܦ]'t_,T/ gpʴkpb;8UlzpZn86SpiP]a=a8UL~c%:EBj>1"Xe뒁W\ Nkb=b+} Xl;u}O.HjV RM1Klή|5%Ӯ$ 6`Dk9*bqĨp3A KHeZRHmTM1K ) U1gPQPXGdUbkR٫\351źy߻1M`0NLm.܌)wSl)~L 1Ŷԭb}ݎ))Ե}&UXhM ^`[-Wwm *.ػ&xwmݾ6k>G} ~{']TAD@vD@uyPݸJTbTJ:*v9R*v`;U;;;ԁUURxwHwR`R] xt"6ҥXH$2s pI[ >"6@2$7jڕtú@oߏ(-A,9@GUؙɀ?*C1<;hrL:{74PbpaQs?<3n|ϭ_Իx S+Ѐl5B7fВƀ?5#Q:tpE - |;04B)vQJ@>#sҁ3隘M>(RBa&%* w(F$aT$3^ciS*c,j)LwDf?ǩw,SfnJ ܦ}!̼UK/KJ gDi8>]<5FcRL`0jJq 㥤}STqUahQLSk[[r>В4l]Ծ{Yo{) j̶RX!RL17Tg=WIo\G} I.>\mlF^moR|@%zo:3VHg-52JT߽-hu<:0A< 2;O~ŚVgꠍ|N.g~x8dfooh\dN[ŏAͤyGp|,g=.jC8ϧ : D OA!K<'vğCQufN*OёYlA=犏Bٸx@x5}\5Y endstream endobj 11253 0 obj << /Annots 11255 0 R /BleedBox [0 0 612 792] /Contents [11263 0 R 11259 0 R 11260 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26606 11261 0 R >> >> /Type /Page >> endobj 11254 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11255 0 obj [11254 0 R 11256 0 R 11257 0 R 11258 0 R 11262 0 R] endobj 11256 0 obj << /A << /D (unique_77_Connect_42_device_commands) /S /GoTo >> /Border [0 0 0] /Contents (Device) /M (D:20211013063105-08'00') /Rect [90 197.125 123.253 208.125] /Subtype /Link /Type /Annot >> endobj 11257 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [128.566 197.125 161.071 208.125] /Subtype /Link /Type /Annot >> endobj 11258 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [166.384 197.125 189.2365 208.125] /Subtype /Link /Type /Annot >> endobj 11259 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11260 0 obj << /Length 19 >> stream q /Iabc26606 Do Q endstream endobj 11261 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26607 20830 0 R /Gabc26608 20835 0 R >> /Font << /Fabc26609 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pAӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * {~K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}7 endstream endobj 11262 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=978) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11263 0 obj << /Filter /FlateDecode /Length 4384 >> stream x\K8W<@x Ȋ3a0Y,2_vD8" l*-SOdIIM⣞MNA!IҿǯUcgo7׷>?M-.IB{~Thƻi/ӗ;ɆB:-W WIO/] H(Utj/BO3oӯfR}]2b8{ /O9>M4 _jAڻ̝RR$CLM2\}T~kg p^%.'Xis‹^k2nRR~4$~sOӯXg(9^d8Klȋ:i/@OGy~j9K)O;k;ZNSdH:K#g ΢YTsFx3[RY*ʙKQcl']*g,nvѪHIթmU7#&/7WpL W&)NB+MkP] -:GR3B%F5F,m, 9AG.6kWV3ryU>-s/ ҎrXJf]>zd_N[ }%F3Ⱳ?YJVBf2cf歴8J^Oh|A&#^Z&]#DÍepK`M`qLJwN0uLal#]D \@8Gܱ fh}_d:'VM\X2BčB\ql% 2!'-ϚC䖠TVL:d]̺&Uuj}v-O _ n?>k?zG'"$ÒkPh Mgeq| (c}t@;4n7몰.M{nItQ7Q{mLR,7F=H 'tjcRrZz+ejB8~2#(c(҅, ?_`ԔFL)3cȜbP@E0}呍p&kŊuQh;3Ŷn=b5Jb9<USXJdw ~ z<{w<+~ϲ!̃t'3J2$n0>Z %`˃Z"C.ª\T]q<5|Y/]lOߘ)ƱU4Peu;ާǴs`?eh (z-bAbFv&kibcK]y\yok 7 }eVCa?ְ plwnlYC^()V_a-hn4xۓeŨz{#a R|5Qό+;-.L{maBf] o:s*x}agۄu[mݯF`nAiꦯdgZZ]FtrKȲ6 8Y\11ɕrB[ͣG}UZ+)yMWSXggc{bHe)k%t1 kkm 5I"J[\K5'?74x: 3?ȡo8 ;Hfwt&8s]dQTfДYrAE-&eIM]n9}_f H69V"J%F[<-:3ULl"R# Z p *\odAEM9jq"KV[JiIL:m.̘ `_- c-c-M:Sd8]50g^5p$n|NMkD2Mt"ނn^wp&K QFqO&GDe8!lވ2zD6B^I CpjG8-pjMtI85VڊNA4,I߀S+i;%:|ą@>P c@ZU O@}@XO<}un.1){_Oܜ5'iwj:}b{>qiNv}>M7}DOV]:w0ľOQJ\;TW>*SnP#@%v3TbHP}#;G>G5pZAInYfU!$W l`K@&=ĜoMJP:$lt7q(pa &0Ui$q @TD2!">pA& #9 lϻls8>e5kO] J#GrU|@cSLq.a:q{;9Qi9/[^yQR)cC0OX ǐ@5հX5|SV/''de`v,;YF#(u ,FRp#Xu]sS]-\aK\lgt%L4-dOųK0-("RxuAIJ*uƔǧQ Ng V3kAμs2smZi-Nx1 ?= |%U'irCCp]0M;+ zE"< \qz'39,NYd4Eީ&uTE"_L4\*Ag;tsVPn#yP< Rivv6 X ʈtFb!& f-J,}JlU?`,rln]i;tĽWx9iAJOp׃m8o?]֔5Y[9d7q,XW B@FǴU*ةY{1 剜 ^)TdJQH6k2G,y8I8TF9ʩTQAT-YK\2%W8] >NI{҅SƳ9uKoswd-߮Id #]Dd)J3 $ަIQ Ϲ*: ȢM2esKJqpUM.[RQoMc&[}^2.~i7fn~`۝}(E4]#qf{AFxbT/f7'VkUG0-Fr}ylwٟ4m׼1lrba6Ky}=*E?`8f<$Sʩy1=ˌ"bv9=!x|=On=ԝa9_L?ג, :5Ѻ?Zp ޴6kzq endstream endobj 11264 0 obj << /Annots [11265 0 R 11266 0 R 11267 0 R 11271 0 R] /BleedBox [0 0 612 792] /Contents [11272 0 R 11268 0 R 11269 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26625 11270 0 R >> >> /Type /Page >> endobj 11265 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063015-08'00') /Rect [218.8375 553.8192 381.3955 564.8192] /Subtype /Link /Type /Annot >> endobj 11266 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063015-08'00') /Rect [178.99 501.15 396.03 511.15] /Subtype /Link /Type /Annot >> endobj 11267 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11268 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11269 0 obj << /Length 19 >> stream q /Iabc26625 Do Q endstream endobj 11270 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26626 20830 0 R /Gabc26627 20835 0 R >> /Font << /Fabc26628 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;7o77 fڿc}Lhj[ߕ9pZ [nYӡ~1OEҤYȵ7-$G5UJx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dd~cޅzP%86(~S1 )ĦGw<7!& gV#ج$VwRu&[j{JLh]}ɖDVrk|\e8>϶fznC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/E*; ʄҡ1 gC9ma#bwo>X endstream endobj 11271 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=979) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11272 0 obj << /Filter /FlateDecode /Length 5077 >> stream xڵ<ɊHw \cN{DxCjЧK~vIQUDӳgo_LZX"o/Z]K~,qǯQ㗴J|YJ^@Ax}@ Ehp>,IRJ|F<Z[5Ƒ0h e Foi<A=>G8ǘ7!PP/KIT}[ZBW[^IO)>UdH)Z븊32EB{f+yk}{YBOum|'qDfd '8qop !u-t$;iA`8)j-$ gA zPbdԅP߼nhj`(ѯt[̭҉s~jH+jJ!BMbF .h:)zEvD?mYvFVYʍW+"7x(п×Y&.R¨yjG&N2LQc1풬{9yH]Њ}= MUV>#j:%Q&WHռ ޹rMou`tE @2փ<S88ZtY LzO׊Q=hq֪ ңnIMP#Zc7wI5'bu T;$81D Q ^=Pܫ;gŁ`Vg/cVR*@GF}VRŻj(; ^ x{an5]-]?iՏs%؊ RnqjޞT`68 IkxQFK` Zp Ipy(RGnt% $=ļ&z.M%&1_D"zj<dbXAޯ`w@(YIoVl jAVC@Z|77Sװj ̴T*H oSGC)&XntbqY HENQ")-ŔpC=_{^+N5IyCan%|]%T do|V2f)Z#}2;.vDRkm2zNiUy94F6ιu\g&=XƔvRu+jO'b#oJaT}Z~߿UY퓞z@S^lw*bp14 M*EʭAa?iSc~ 6; :#\i;\\:{}=վf}~{m#ú_0&@ZiM,An^ȼs[9RZw}lGR^1~".迯)O Oq/J:aKiڏ0 nvA7l~/An2TX?y,Q;s^ng{gYGvhr+ny M&GoUe}T#'-v?=qc*"~^(j d?)Ұy{;[h:o_FvY$du;6a S9q<7l-ue1`{7 ~h1ym7D''Z 2^_ݢ:ߧo^o 3ǯ*mæqP҂};-O0Sݶ6nk_Yj5 Hr הּ KPj?Qy_v 2o<ïϴoϝA1me3 bY')7G]zRwyY7q8cϰRz/Lj8&wRBp屼x2cUɴ ";EVWrt"\U{q֢\oxȞxJ)r4qIt/S&ح]WY~UO\.fZaKٗBi?8=6xlCCEcat~ѷE z0ږ?ќ};"7Q*CZSmh?~>)׿,ЫBt$XߔN`" rί뢗pE/6JCD$_Q]U6߻c^l༓h E~x6qcc? ^ʒt fXxA؄M@.|GWFۤ~M!X&$Tʫ.suOnu!Bg|Ը`N_Y8|ǫ\oYkuĿշl7@촷m%䆡EMhz:h^AB:溼9AUTQQA$ MXѝkXrKc g ^] ZQ*١âH~ucBVYVG2ő54 FHS&TB?2VtspҰQ13Jle- vI`?}qfS'2ӝT=ˬ{.3 ̓O=JU)ڎOjWb,mt>KO_bh LT2٫.R@ |5 tRѦ ˟Z/(̼Ze\4j8逝hHuʟq2\4򉨃 1 ˚th, Ar8ŮR yxNcl t(HW+zWzh|fB&w>z%y"D>V3MnLFYì֩t@u T]_yoyq8'RYd@2tlM+X>4'߲ntnC_K_c ҵt^|,­i]1s4_Gc_[ƣ80z&]m$Giz{wBzB+ |yF"?[p?^BDOj;a{A]A'"baktV^?_*OB0X<ۅf{=R~i@<#OP&U#eRi%gЗ6_A葌@S'E-ZsN@4XjP{5. endstream endobj 11273 0 obj << /Annots 11275 0 R /BleedBox [0 0 612 792] /Contents [11285 0 R 11281 0 R 11282 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26644 11283 0 R >> >> /Type /Page >> endobj 11274 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11275 0 obj [11274 0 R 11276 0 R 11277 0 R 11278 0 R 11279 0 R 11280 0 R 11284 0 R] endobj 11276 0 obj << /A << /D (unique_124) /S /GoTo >> /Border [0 0 0] /Contents (get_nodes) /M (D:20211013063105-08'00') /Rect [104.1732 306.0308 153.6732 317.0308] /Subtype /Link /Type /Annot >> endobj 11277 0 obj << /A << /D (unique_125) /S /GoTo >> /Border [0 0 0] /Contents (get_pips) /M (D:20211013063105-08'00') /Rect [104.1732 289.8308 144.3012 300.8308] /Subtype /Link /Type /Annot >> endobj 11278 0 obj << /A << /D (unique_133) /S /GoTo >> /Border [0 0 0] /Contents (get_tiles) /M (D:20211013063105-08'00') /Rect [104.1732 273.6308 144.5102 284.6308] /Subtype /Link /Type /Annot >> endobj 11279 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 257.4308 164.9372 268.4308] /Subtype /Link /Type /Annot >> endobj 11280 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 241.2307 180.9312 252.2307] /Subtype /Link /Type /Annot >> endobj 11281 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11282 0 obj << /Length 19 >> stream q /Iabc26644 Do Q endstream endobj 11283 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26645 20830 0 R /Gabc26646 20835 0 R >> /Font << /Fabc26647 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.n8N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`UQthǬ_{9;u SrYЪ!G7]N9(!J2\DT# cʙh軨ҔJ)N̕'˓*fZ٢a(!{oN(Ͼ=jֈvr=CI]c*2 -.4,>U]d߄}j]֠zfQypf%ehڴ[쥭}-UaoKdOM\w>@Hh&oQ3Ve8>϶fկ ۏ"bH*E d_ 2̼dN9 9[3pD̪ 7LAMFcH>W!KN>E;T]@Yy P@E ۾{wD endstream endobj 11284 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=980) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11285 0 obj << /Filter /FlateDecode /Length 3307 >> stream xڭj$_JǾ@!PUI0̩c$e~o%3$U J[C[m\ۜ1bq߷Ehkױ'Y~yӿA7n ӃMYb8/:1= /DzJ]xaZg@[Ty Ɯ&\`=<sҒ` Ш*4K;Òt4>8]:r +KS s| 8:=HA{MEl%  .["q^A8D9 / DUuH Di#zچ@otәˆLYBE6>T UDIp|Ic}R%Tҧ3Rx/ SΰzJ(sf <T1טUi/қeQ1I6\3meD :l6N0H%72wwVIoJ<v**f#`*xZq- >>(v5]wT\ki(ঐa({q%(P;*XqjeVdCºdD#^֞@),61) Λ`g#pޓ c|[OӢ=S0 p̓1pעl"Lhb/#J'$&tPC;C`{y6 `_3MDF˛FQd|2sMf>{f%;GȀ.81An#=ED %j,I_D̈́|I{C瑁H:_‚+=`.fE]PԔgŸ^i}u;qɥ{[Ƣli#oc NGi'ͥNs0xfZktcssr59ؚrG9# n2%]>I20f*[h%`ɕ$Ŵc(^mqjo˪#}񷳅{1ߩuP) WbU/Fq!>i+OC&j?,kSv0gwٹ&5iq9SPV~G)o0Ub`NhE_t!77,da! $|ڸOzۆ==`[P|\)}reҚ~͉KlM[l|ngk+/<#c'Z-^Rw| }|BEs6o_ҿZxR㎷MEn>%V^yUqB<1gGas 5ljtM@RA7k4%z=6p%Inl,Ght.9Zv|Ahpɋf>b]ba|b_:)GDL+PArh7AP 4D7n2oF);0( #D<7C}pQ:cG9nPx`jo^Sޠ2ub{K1Z2}k@ū1高пX~8grAf7X^A3%+櫐D 1G`m6/\1ן|B^m߁<2]3݄z@0cq3fvpQoCœ_ 3=t7 ?$ySc(r amwE&h s]nB. ^Vbf X'/8=KCH ^FWR_V+FJ}"q֬6WlAT/B ,}Z3sEu ^Zʍ'S1s;!QĥPh1rq!SykrrK·R/˽c"c" dV'0 q 23=jIPG/X~z|?ă;agaޙO,tIuJ$9.*a16Jr#)EQcc}M:l2 M+Z8N|<5$)L:nNZFIO~ endstream endobj 11286 0 obj << /Annots 11288 0 R /BleedBox [0 0 612 792] /Contents [11294 0 R 11290 0 R 11291 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26663 11292 0 R >> >> /Type /Page >> endobj 11287 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11288 0 obj [11287 0 R 11289 0 R 11293 0 R] endobj 11289 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 315.725 147.728 326.725] /Subtype /Link /Type /Annot >> endobj 11290 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11291 0 obj << /Length 19 >> stream q /Iabc26663 Do Q endstream endobj 11292 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26664 20830 0 R /Gabc26665 20835 0 R >> /Font << /Fabc26666 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫ӡ~1OE) eAko Lj;|/(q1Ur(r1"F7,)gD19W䝒 jE q-lb@r2dd~cޅzPRoJxbG /_;QOj3Ră+6+)C+0kb/mTߖj'5yCodԒԑLnR̃sV7[nC25h}'d("_@Os%p!g%`WY07LAMF|B毗b*;OsH IC H1 +/ĂbwoK\ endstream endobj 11293 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=981) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11294 0 obj << /Filter /FlateDecode /Length 4102 >> stream x\Ko$ W@-`p m6rrnƗ!EUU;xUR('AY~Z*-F~}%OKdҘb,<:i|Ժ)|~S=˝Q}}2)'{/ӣo]E~߆Tɇ{W|zrk;#_W=h;?BRMaNJMjn'awYhI~!XG0)e s0O-?J؍OSphkwb5Yiۯ샡b4j4! '@G4 =AGh {(቟{(st%B}߫_ g̶l&5]3i]zN m2W*Jg5AU\ YP_xhA.M]DX y]8o`edC'9D&{L:׺&:>i60;]]G6wπ, Lw؜fqkeg8Ec@t4"`"и9{<,pM~حr4`PhZf-#Ͱme+iEˬԊVf䊮h6K%nFVmf钻L&/T*R}ϬqM`v8Ay~e2ݩ20d8_]'=+]f"C?$)~ [u˻Pē%ZV#(hYRH'=vBïעjU5tzS&4lO eWsU Z㼘es~摮~z^2H-:oZ7g`FWX ,`Wa/!_sJkS~#x`wS1a)!I͙|d(\u^P{0K{rٱ_Bcq}&]+w[:%->&SS~»qZd FMR7i8O8%;i,?˝xoT@W\[YQ!+OQbοJ# ,;-BQ\ @HPy/A0<'>YAsIZEWd-ע:IXW "F< dI:Z *Jn;S)J p0"Qq geh;yR&̑ % L hu(*S^㉳^35/$X ImN.yjM[5zj)9Z$K1UZ i"ݍ͒ 73"l,p!^:* hy MFf^X5ZX:k4QYKK@Ҕj.hϝ)-,Ä޳Ȣm_6"*d2-}r#S4bTqEsS.SP$FΘʳ"0Oc-KƊ{eztQf66k%B-QcQE@OVppGnUy)yD$. s8X{Euqf*ƙiuN賬\8(䐍IC_dvNRu(c^  B`4;X Xd׼N OgɜJyXTtmXJ2# @g@`}7-p[p2K8FOiW8%N❕Ip$o'INuy8I,Hg$t 8Nӥ%WIN8>'n'N[:0!x)6% @4BX(".Rπ8$7.L s(IN\-۹#|Oի@5v'N^he{w3S4fcon:i;2~̡;XAġi )v^,a⩺CVB Ee\5}R)^H7#UAdJl)P~ 3{%-G.Z,9YFvfT(8qoFzF1РQFНhIAs<43#SgK<FZW]\ l0j#hmk#h{}djT-XUq35κ 62܍L,LMIVqJ:E.Ont@iJ)߽aUóRK Bl Wضeobl+jgq"?񽩈MՀ_Bog8dԷJ 磽f]#TնB>Nv}o_k˂ {Ӱ(XɶkM許+e9 ⫿|TJ:~?.0$b+BsM+y@h2L, ΞticWqi9ym(޽4(*, [ʣъ>8yo1E3GUNXCg5.1vIkQut/DpoR7igoR.5$4\f}N1%O-ش:{[pIzZJDS-psx:S{.+\v%O󗸏BHBWمlNì8YdbQŚ蓚*)WtXW1\TSm]’z5Td|ל_' G\a=C' )Yܜ6f";`_kN)ҾQb%x,g8/T&kU3xW}Ɩb {XxzeyњJ&.=NMvD.ޅWfۚ}_hO7U){lڬ˿I 8۹۫~m{s0J5!~}7s3vf ݞ)t>Oc;ϞS rqTp4;Ǎ@yPJ'>3{|jөӕ#Qhn7 $]f^G^B2 YiXS+0 D0 endstream endobj 11295 0 obj << /Annots 11297 0 R /BleedBox [0 0 612 792] /Contents [11305 0 R 11301 0 R 11302 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26682 11303 0 R >> >> /Type /Page >> endobj 11296 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11297 0 obj [11296 0 R 11298 0 R 11299 0 R 11300 0 R 11304 0 R] endobj 11298 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 301.6462 172.7747 312.6462] /Subtype /Link /Type /Annot >> endobj 11299 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 285.4461 162.8527 296.4461] /Subtype /Link /Type /Annot >> endobj 11300 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (move_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 269.2461 173.9682 280.2461] /Subtype /Link /Type /Annot >> endobj 11301 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11302 0 obj << /Length 19 >> stream q /Iabc26682 Do Q endstream endobj 11303 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26683 20830 0 R /Gabc26684 20835 0 R >> /Font << /Fabc26685 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vKχv͇׳Sp<I e!swTyѕ/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐ׫ٷǞ5" 91JrLP1 )ĦGw|oBDM>5TϬ:JG\YI ][{i4LTSb:tD&r;L$u$[dj.\fy4 "bH&}%l9lQΡddON) &sAy)Q8F$!ċ륨e'uݞBr\:;2Fsh8-,v^m]Q endstream endobj 11304 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=982) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11305 0 obj << /Filter /FlateDecode /Length 3042 >> stream xڽZI,W-IcӓI>ՆU)+ZSʧA)(=<xNp)xƻ+7w U 4Y!ʧJxUj:uV6@TYU* Q<HB1'a$))s40y(܁( t&Q и( GYRuq L"e]vU1ܖ {*RfWҢƅ o*3P<0=4qr'A6;Lb@ײyXVp)|kcA{9x.",:eX[}:T@$đtAivJv+Ywk I%_/{U69BTbQ="@zb[iMPU d(/OW(-T]#V@Ӻ GQÐTCtWxT#*24(ҡ# *w'_^GÒjMV*_Vi&ҩH84]2pFeB>67a`((N$bM }mwdcz)[@,ڐŀcbG= 0Q?X&\횳4uAC*U_)T@ЀՂyP,;Z*W~S#(U-tSnMgLΎz2[lwrC {fV I;I͎J_Mdştui)&rV1H6N. F>%TxRcHa׀}Chv5ɽ'3Nd|=n9jզZJ1ɞntWπFݎuoźjG&3Uia$:DnwIS) -"C^TvDkD!m5`z[K֯u5*6v#߫6wCӪ!:FP/$ l1b(Z7zy]m]Pm^0'u9~HlK](1mTdW 2{RtTpzҀе,Jvj _t}W-I6jIN'[./cWRq{S5ϨlC~[3Š)VX`5) Qx |oO \X1X=}MrN)KrjVR:"nz2 Wm֔RN1Ha<~+~< 2_x8]fhح~Fbc SPRt @Y<ʩvPsLߞ]hI1p} 5#3rf"^?35O?q7f s|:Ax*QXJo(~͠aa_cc9={4HE/7zC(Xms~;O?d Z0fMobr'i&7>QנS?}Ïͪ7>ktǽZ3josc>Y+G沕kz0 Os!$po~#֐z>ɯ?_ ueFJi?~>~#,! WHhЧ(@݅eD9HYlƬldpV)߰@*| $Pey=G5ߜmG  HȚBsK5XĂb d)R.t6⁌7#‘ ' Hł6G\Y4M$-.<س?";~Sޜ ԡ$`b^cxJg q'^~ W&o8[GS!$Y=C7qɩA꥟RbRXtXM" 'tyޝ߉hwgDq7CŃ6{СO߸xKb4?pc0FM p$}Du0|,m43Hxj܂ %_[QqϹ\ļ2/yūRgn`K p>R9ܧjH ζzɸ30+FnZtk =__C0qmDo`8\`/\Ț Xwݑ|)vl9ULnG-fu"4k\FLLO.i=#]f]PAAO=Va]JLH6_zI~F)vY~{$*PĨbxgTXԓL˿o:z.!gP Rn,X[QZLx]k 1)*h<#e}x?*|1> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26701 11313 0 R >> >> /Type /Page >> endobj 11307 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11308 0 obj [11307 0 R 11309 0 R 11310 0 R 11314 0 R] endobj 11309 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 351.225 111.681 362.225] /Subtype /Link /Type /Annot >> endobj 11310 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 351.225 139.8465 362.225] /Subtype /Link /Type /Annot >> endobj 11311 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11312 0 obj << /Length 19 >> stream q /Iabc26701 Do Q endstream endobj 11313 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26702 20830 0 R /Gabc26703 20835 0 R >> /Font << /Fabc26704 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11315 0 obj << /Filter /FlateDecode /Length 4859 >> stream x\KܺWp>% 0q ;''A0s{sROw{ƫHQbU}"ERVw˲:E8w1;WRN5yrB[JASU}'.:Po\\ A_5#3oWgChf_^۾>NEuZLM7t '1i ? 'h۹eyiif~8ßsfӲ@s )uf3EkHgnJ6k!k**Q&f.JZyv I2 ,*#J-^iL;-n?W'uX^ tSH2}i@ Gh49gcQ}p5+ Ono(@=su=7Y nKXB5 S(Z~z⚟wtL3y 39˷u}zN"uL;u"arQ`Pl{p)KzLOiFF]7d:-78!5";F ZKCۗ}--[1dviZ?PS7N,7~ X$ B<) (pyY t4l'1 Lfv}~) P7>k]ZfЏca/3ܑbY q2{ < 6N5E W8w(n.X.r}"׷\.r}"׷\]9k@,euTAP ~HCكLmV]Z'nksdcBx06"_rµhPnYQ:D*IMȁnnWHv+ZP#>L{DbT;H'|Fj$bK$9s@ӱc|87P?AȨ{;ʹtn.f#u!)zr{&ٱlW̙GQwEg~}~,|Rcl 2kx~,# BD=j-oo+n A~} K<\-"U2qYVIYmaܚuZZn TnSKW_tUWDF{*)jd4$Q3`|6rfpnkX &c֡%:q7ujwgȮ]xsf=^rWYH+=UWuNFCqdWs JV[oަ/D#$Ν^-duY(pcOIwT7To6^eBίZ"P"fT s䥴ݣЪlqYCr3'|nјcocb@Qo@Hp/4=N_FF y1]wj/V@,U+UL TB2-5 D-#$IޮvX ~iS8dR5~ƭ/{?-H&k&rF[2-:s޳*ybEfyceF R%m:Qh^p^8jAn&˴ɨz’.0VP1"~tNgy=tPʁJC[&g6.vU0Qu(c;@7a`X˰p ,f ׈YlZ2k(3Ud+V\  ЫڕQnDbU'')p'7ɍpRW&hN~3T'pR ;It4Nbआ!Ib-pR]pR>NNBpRzIN'5NpӵԵLԕxӵ?L ܤzi)V@f I&A>JA|ibݕ>k qa@e6i@ރEmA-O NJ Q[Maδ ݑBz,().on9t)UCAd޺mhꡉCK6wO^ Ă5C}e.5q lҰ#]u"(`fQ膇b[v CZM=qNYi?Z>8AnL\~ j"c*m<30(O 4 Q%MPl>dA7<׃8 ? 4͠)S_;Wq2SĂWvJy^;'7]ư#)ܤ/Drs^g)v{qV9wCG5&؛)k4Z;M9qO5?CFwuXfCѤ)mV\̏-!?/ ?Ns^-ހtɞPYzP8pGpSޗїz%_jKW[?Movb$41\GJ\xc|5wk?;8!z֝߮`^ !p ~&7O7h8YNKði_M1C@h YHE<|~Z5::ǭO_e:{AlI39$T)ܕG+P'N2iE#f9u8e>j˹xǼ?<2 U3^!sO3D\彶q.ÊW_LgB<ȏ}`s~ImE op44zL )Ȍ 1bRbZr-lX&]SQ79<+oҐ[4yQ7-0t; -M{ERy0 _) yXg-Cu5O ԳifJ|#I'&W /4=⡶O%"▴s=-bVI[%;V,o$ϕQFIUJT$CyM&U>& Xx.zƑ⢪Mee[ߨ)T.Sփqͳy?MYWD_ԗ~hNR4zl^3!!891+7Qs* .t,Y_|G9c>fpb=҆rXsMB19d`ĵI*Bny%;8> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26720 11320 0 R >> >> /Type /Page >> endobj 11317 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11318 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11319 0 obj << /Length 19 >> stream q /Iabc26720 Do Q endstream endobj 11320 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26721 20830 0 R /Gabc26722 20835 0 R >> /Font << /Fabc26723 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[VK2 ufg[XLۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}$d endstream endobj 11321 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=984) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11322 0 obj << /Filter /FlateDecode /Length 4572 >> stream x<Ɏcw~; L}q> T3aT# |R*Z%qy`0vƫE/ +f|>x$]ewh|x*_|?+^/ZN/sVrS(Vۻ||1¸ R Z3^DY#eVRh>6b{0@p@߷=V lA,Ŗe1:vZdhZ4E/B,/ faESy_,k ~8-bPھeI0w ,|Sd4Rg'NJOk١҅bQlM5eNnZ+9k;}ղ)vѩ J 9eLa>Zi}0pNN,{7TZ+'3ʈd}F_7ڞ̚+"r3~὞?QGj 铜hC$%Z*iMJŀfdҞT@EE)`*{/Khcʈb[kfu>ɐ# OmU|[#< [˜ mēpj X2Ijovoz3qUT_5+( \]gI} :/ kTX(W_Zȑ.jѸ]sRcC=JaFĄ / gx {n9i/k\*Bo蚀)cDكQ@fe >(&ϴ@8*aʌ*xI8B(u|w%솮DWhj;6>pxJ$z_C-m`i%tu#V]H̔yPE3Ϲh:%ÁæS3䚵$JGb{81UTH^5* >?(kAPdc}7)ME\,(1 C0JzaQb=ЖtZLfqN҄CdЍi,1Ӽ{ ~d.]M2kK.מEE,.(ݰOnZrINZiɞ[fGI['d#c1_݌l߁_Mp{w A'~?Vg̎{Yt^>o#OwzVʉXU`8TZi"ÔCw^T 501y_u;G"&=R+omw""O3#ٜe;E.:=╭6?r&Ь&&G|+FW)cN@iRU†%_ubIX+SF*Ko2嵙Vm" L}(u<;"yG]{Kk3v YKTxE$[mowv(ٸ?AlFI覊pMP5NIOGǮtūYQIfpF@O?|hqnbK0Fxp:mG6I}w7B#7}4? ;?НMhuUgȋ(uMvcO]몢8=`¬9ԅ*r-+k* UngJg:6 p8\כ֨w[f%yZ^}T8JY IZU?RK*ijNTqRDU⽘vem{ܝFݒi [d"0¶gvM1R +[*Dz+y~[PmEs<6ojnmNm0Qѹ)O?jE;켦XJ?#v\f1.|{ńL2mFƈ8em3nng7MhAV fBgHkŷf~bc/J|ƱD# X 'f3GC9g۩ư^0S]"2WtCloW~d,3ꟸwaif{#8`9orU%JR*0u-~c*t ,:ƕs:Nu+e-%[qG~kY~k\k~2hr2͋v? \c8Wo|X1bb4n-R&YS+Zɂ}ݠM3d6DI,h tI}Mkd \(($bV hQ@{Y+*:c2;\l1_ƜkO _g)K-s0pHC)ZJ(@ZY*dm֗B{PK 좎k rǦg,5Vr~[Q7%ӫnu fpǽxH+;L'LQ@ 9!q 7I=i#؉'.` K=cp.9n>l̷||Y:^S3-`.]*U.g]SZZ'Ox[?5:6(&p$~,kjֵT9GEWF]6N4qbQ+_p/K)Tk}Vݨ(Ķ|\_ ƈE}0SE-6fBX%6xFDm`Yo uB> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26739 11330 0 R >> >> /Type /Page >> endobj 11324 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11325 0 obj [11324 0 R 11326 0 R 11327 0 R 11331 0 R] endobj 11326 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20211013063105-08'00') /Rect [104.1732 457.7038 184.1267 468.7038] /Subtype /Link /Type /Annot >> endobj 11327 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 441.5038 169.4802 452.5038] /Subtype /Link /Type /Annot >> endobj 11328 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11329 0 obj << /Length 19 >> stream q /Iabc26739 Do Q endstream endobj 11330 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26740 20830 0 R /Gabc26741 20835 0 R >> /Font << /Fabc26742 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11332 0 obj << /Filter /FlateDecode /Length 2155 >> stream xYIoWy1/!r@AN}-E%mwI7dKGo;ӋkΤh%_Oh3_WԐgkqv`_t6F\-~gh=@*LB dk(?M5'e,泍%{SV)tR~ o!갖ƻ;YK^q LIlLΉCu"Y.:O^i v'WNObzŎ{?Ff3 ,1 6V~7@:WS]JVv!9(ΡK@^ D:_!&W޶nתHlXDdZ徴y>-FJ]9vܱGɕskΩkZ{VM., {v_nX`%\\imX @m ,nBS]o-&Gz}2jټ||1g+Caq(:v[Y*Gp? }P[6xo{p>gtg@jT2yǦ~xF^+(XSRrqљݰ  w"O΃%I={sq9IYU7[qҥ{']{r{|2i?*Er8؂řLY=}(s,Kʁ5S/l:oksCa[r =Gϔ1% 2GMe'+Z/.ٕGJaЫy1u d0xʕV7Z9SQofoU.^4tHt4t=n",c.QovzSqiLC4ow;4%͐Ē[jdSO7TGfՌ .iX %HGe#p}q0`#ִqPG~趔><"*͈Tž!ˈ_426R'вN諌W`!\D98A/2H2,)nK#: ~)fF,H[sÒp}\a^>L(Â5Qfo]IV,>Q*op@n+7[CfW#v1Ӳyw ko2YFfgd>bL"6O8g'y6e|E5I}˸+$Ns%:Mܕb˛OגK>?] o~@xe٧w.Ңz(B]6MLޏD{2v]#41>p,lLsy׏ܱJjYBq؎|pڢN>N[er752/ZϮiC.2?BmуKL}Z'L7z w\Qіi/Ts2xv -i]YH R*ksE"=)l4+"uF:z[?uRB8ķO^N9 xēL '՘e-- ӋUߡY%NUw"Z$ک"K*Am{=+!FJʙ'Y؅. =0Ӥ_aIU2Xﷴ0r~.WǮ{xg 1*(l(bP6~f@S1bV^=x^蹇JJZ\71UC%JI])Ie= oT_6;#7|n%ꁿ.,tHz--4Cy?sJ>z_?Y!"e}B:<|;+UΘT"xOޣ&c#XiZ_vӱ LTdw\M(Coi::=3}h{{Q2xQ"c- &s/(T00pgn_~$|;tgqq}6bIs)mč=rgN=q[1>k}&IM[7ސipJ+(z2#lZlS,zuА$ endstream endobj 11333 0 obj << /Annots 11335 0 R /BleedBox [0 0 612 792] /Contents [11341 0 R 11337 0 R 11338 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26758 11339 0 R >> >> /Type /Page >> endobj 11334 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11335 0 obj [11334 0 R 11336 0 R 11340 0 R] endobj 11336 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 255.625 124.1605 266.625] /Subtype /Link /Type /Annot >> endobj 11337 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11338 0 obj << /Length 19 >> stream q /Iabc26758 Do Q endstream endobj 11339 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26759 20830 0 R /Gabc26760 20835 0 R >> /Font << /Fabc26761 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n9C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶ff^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$x2X.s(R.Q8!h|=B/}1h endstream endobj 11340 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=986) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11341 0 obj << /Filter /FlateDecode /Length 4702 >> stream x\K$Wl c )FY6v>sj{XT-}$awj3PPORdOYhZz'Fǯ?N-nn V~;ȃQxչ\y"_,߿6q0Czڅ?)-5(moQ˿"#,<*M[{8(|^M/RQ*1yS*Y){LtO4"tfUzT/4S*ӋIXҼZ!*@m0Ƃ@p=<`>Lk]h?J!H,C?֠q 4hZ*@; .ȨW_~F~6'>o EJɘq`e# 蠿(=O )AkJPĘIlVeߒ(G~mb6Iu{M@̽0YֺnqUWg;cP-hDK̽܄?Y%B}LIN!iJsXpERChdZ՚2*sQmd]y;%<$jޢZn7+nC'%}]C6.3kH4t?I`D8\p2”QY|4%e)$TzUoj.c!?q߽8ezq>th/t '$hV8ֈn##֊Ԃ1O*#)iN>lе&ɔ>F݀YV_lVttyy#kWW<ż]a^+c^.]PTD* J|Ik3FQ=h_Ҋjmx9 Ӄ3ךӠ52uy׸24BrIRsYv=TUZcpne;;؀4겲 ʚfCXo..2;ԛ; 7$8ɒ|*l.Ic6@ku.{ƶdubfqQNĮ| ̿mݍ8P#~yWOͫi:_""U'arS`7VЫЧ/~8=.ZlƙS+6qO)#E>@~'ܡ+iZhXLmیZʼ 26'𝣏l]WXwlOOŕ}~۪?!B3<`cςBgmVZY? :_Zrڲ?7;j(gV~H1_D M'T0O%5I=8,^ylxij"JSPBP jWm2("NѢ=L:XlՌ3['*^ơjv@Ҟ[5Шo{aKA֐6̶3` X"Y TZMB5~ML$n(,y*TR1Xq묋hg]Ȃ]#nRR("Z]R9Ca5K9ݠGo]O 8E \r%432)K@-V[a,޳$#(8/hX̓=wJ_KT`ι/XqB:4U=\9xh?<4$a,cRFN_ʵ96"J%R sP:!*M%trlrd'ֱYj<U4䷼XQW(3O ĤSFhvɌ3Al^pcI?? ,+gT"vphz mdpu qۜ swi8,Pz =f Bn0X O JcjPP&%JiXT06L  Eue$7+vpkp2s8 mW89NIpIpπ`pOx>NaIp-$V1D7;=N9p{^F8n88 pNN (ㆉ+oc2r8XY9=P9rÜg瀪ج0XS5̀bL8sYy:1٢3dv؅)qu u$%ną-}z`[&){PaJ\B9I-#XB;Z *fPułU"=q d*AJx~@@w_ە"az@1bLn|`18Wk$fL0 -7ܬI&(Ƅ7(154`Yfin%99vA$ ]č3-kfvLbpo$n %LIܻ>3ۂ{^zRļ3""sP'DW@%J<1_x(b*q|T(1;u+0\b4xC@̤qChNYll}1G}N.!j1/Q^C  c(hT#緒j&AL$-TY|@U( xA*o@gq``LzBɃ@S1)}Pu;((:ՖdER":Cw|!/_ PLRÙH#{p*”&s8"b*T,l*z f [ QZ+Ƥ/}MLXf0pI=tu0NXb9 ~ g@4.ւX{:Ux6sʯ뒋ry' />RLvqQIZom?oP$\m'8ZF3-Hu1|+N֧o.:T5Y[8Ob*`2fLK.zPׂzG 6Ўd*l GlMRI8TcKGȺ=2#0 dԟiIo\!;yԐ_r[O"2/yJMZMLȡN%ZQSd6[x*|TΑh]M.Ґ.-3k]ubA}޸^"rkk_ů}5VfDİyF80ىE,Y{90+FXSe5M^ĕj9~M9WwdD]:ЫiE9[W`XYD x>=)m|O~ ڤNZS̴,⇗+aEDZ4Ⱥ0I)uĉF;5DKqik2;D%.يJ!8UO9H&ngG>B8#r#D:I@4ٸ \#y \ endstream endobj 11342 0 obj << /Annots [11343 0 R 11347 0 R] /BleedBox [0 0 612 792] /Contents [11348 0 R 11344 0 R 11345 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26777 11346 0 R >> >> /Type /Page >> endobj 11343 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11344 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11345 0 obj << /Length 19 >> stream q /Iabc26777 Do Q endstream endobj 11346 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26778 20830 0 R /Gabc26779 20835 0 R >> /Font << /Fabc26780 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫Yӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%NS~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11348 0 obj << /Filter /FlateDecode /Length 4038 >> stream xj,_QgCs_@'cc 3pD^]- ~:"#c_EQ-?rM.,A%A;/ӋQpUp+cӓ B saB8%7;ܣ 32d㸵J!hM$b|Mc{^-FB:W@86]![E 8x}{fT;qMļ˜vPL'y1O esK{98Ўpϋέ &!N\ȜqFw\-0Kb{lcܚEŘ2Ed&5GyҹDDgҲ%|NHT2(0*W͌*Y&!+vT<бTH nٔ1"&Y.tɶ3 X'+/IRBJK@Wg}=\P>DNQG2FJ UgA?+;CzUOvonB*50PzED/6 @f; HP38Myj̝MWPTnQet WZ6p/$2KbkLC-~^1iFqz@@䀠J*.F;jJd7*]Ȋz0Q&O1,l'C/BKoUH[y* P "}=Vf;o' 9\)|Қ& yY@h};<ލ%"[&ã%**AFQd#6Jܛ_EDjO[IqmPU-HJ$]~W}vdDJ29ԇCDHB\ȐAnO^%.` eP, UOqú\_BocGZ"T m '}+^%q%`U,n)V7j% գU`#'c :f=ml$*)&-`)r{)Kx jꃈA Hxw9hfv٫Pb跉aoK%<ڦpQ4ygܑ;i. P)6 ƤPntS{FT4A9 j|#(1vVBdE,ufiENg/)H$tƪ8)k XMËǡCBYirC)ϕyI0ʃyED/}dlik1!8; fjd#W*wMՐe_+_5!KcNul^BqNTwml#غ%~9WNQH?g9:#)]F)pdZ8  +Q`|3඾ʙ[cORs!yGk~Z9HTaSs/Ӣq wL lCM |^sɰ=֖E4@B׶rvסP?H;%jҘrNw90;0Ρn\|o$'"ƌ^`T/[ZEq%`ad7q0NK2OgPNB14\mN;Ϙ7ʤ7M [*Qޤ\WeʵB-Mnz8n(%\h[i^'NRh i9ղMn{غC_p!" 4į uH*R^I[u&$(^^6'Y^GQVˑ-Q$ėNRXk$/%[+#QVAɿ]EZY,%YڝNѺRvotBA7J<ɵrqVj0UKp CUst^!Y1#R\Wwb dL+Y& 5Y O"wOCޢNm4tM Ƞu5c*FN^vg{lO]#)nGnu5e]DRM>&Ox\w2R{e/,{XQçeV"wQ6eV@7}Yߔޝgzmd}=rܿploR?gWf~ݠ6 [K,wC,ѓo ~yeMl>KK7iGלּzf9VX/Ť\I$B-h*{vh}*Ьa;E4hKU6QN6 my.p)0 _F,GȮ`2\ i|.SR4 ãI"f04#4K]F!g0es|9y|@_|%:M'l!څr@T04+'!,;E\kxiIqٸ֖C Nn:IA%i:s{HL+j#b.:(Mp'qY5m#y5ϐx{v@Cq ֈ\.&Yal8b L ^Vl>.|c _Rg4b(.2_&^T$Tw*0/pE~\H#WuɩMXw׸RzҒipʷBYT Z݄)#Le/d4\iGi۶Jye|a#`R~bz+cFX"-$^)3>3-nC^ Ʋn0úP*3i߆.筨&hHqGx|V,k[M"h_u&d&Zo{n>ȺSp'π=>G >~?Gbs*S uezࣆL؋:ApؔH<鏁UM2,00DY ^ CLz=!yB>O " f}zhe,CH!TkZ;!z&a9 ^EHq~畐?a> ||&lXu69O?BDL]EХl_X 3yn@M>[U !fv$6ryZ)ޥ4/?<2L,$ay/K[٤/ HFM"´LN@4Ԡ G endstream endobj 11349 0 obj << /Annots [11350 0 R 11354 0 R] /BleedBox [0 0 612 792] /Contents [11355 0 R 11351 0 R 11352 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26796 11353 0 R >> >> /Type /Page >> endobj 11350 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11351 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11352 0 obj << /Length 19 >> stream q /Iabc26796 Do Q endstream endobj 11353 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26797 20830 0 R /Gabc26798 20835 0 R >> /Font << /Fabc26799 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p.ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11355 0 obj << /Filter /FlateDecode /Length 3737 >> stream xڽɎίp_F-5@n4C h_6.%ؐU,oHOOf_ש3SS6Jok_⬵'mbzV |^֯0D|< 9ŀmrIkm/-Xd=͚/`9A%&a 8BlF5PXT.Ŗbڏ]E͂ !Ed_DSzuM16̴RC-}H*l*ӽ35N# 5mjcQ7SXd1L?_~r'JN,9 菟 P}]ϓ޾;,h`hzC;8h6`iݨ%inǂe^<^ OKMD25C`escq:jz!nBZy)nS6i⩦b!DF+M2RKξY0m̗Z^9Ɩ1G<5h|r7v9 Fug~靝@1N{gCcؐ)ҩ;S)qH[R5[lZ<5퓯Ie>(|}pLJ"midƢzaHa>t SAXYX“k=\S=^[:.E4Mw9{0Uyyn3u8f>nlj'zH! 0^Zҋ&6fAͳZ6jK &!̊'m+&ƩeeO-rWdv~wşmny){ZQjc ɚ ))z"Y9H~)&ڎ(>"WpĮ;iuFw}01_@i`kj ĥ֙7q7&2Xgu{5cҏ6klzT+"DP]\*HWTg*jsbF=뱆Sxz̐CC `e΄?&[+__*(e\k! wWQ* #4x[O66ܤ'{36ʑLҧ}$^{G $)2!@y֍/`-җ4O*R& :RLqhjs;baNty.ݙﱡͦN:A9w>%Sާ_!ۅ ;3(: 4Њ@Tau&\\m1|@^><C3}X@P1܇$#PZ Ppw<ŸaCGqNx̡ u!(& 0dX렸eLcvObBeMV+pq0@x^W /^Fћe|* "]T5H (W <7tCXL!Ψw+Q<Ǖo|Q$UopF-`!_ $kR:ENBGGb<"l:/ϊ Ǹ/iޒ)F ~e,#G/H j2:.ˈ U41T.4BЖ{ уեid䒰[.aiӡbxktXV! 0꘵a bx>#PBheiN:0y&đꤲv2n70yV410_6n''E3F?\PPRX7L*/B4ΩBSRx_/NB\ ªP7HZۓS[j e݀]M+2ٽb0yq~<_ cụ~~ʜi3`횁iQ B<LOsJ/X`>§~'ɳe"^B<2Q>{k*2*( fCK |k$;p‘MxLn܁]8cU(NL=e-  |, l'Pzd#Ғp֠ endstream endobj 11356 0 obj << /Annots 11358 0 R /BleedBox [0 0 612 792] /Contents [11369 0 R 11365 0 R 11366 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26815 11367 0 R >> >> /Type /Page >> endobj 11357 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11358 0 obj [11357 0 R 11359 0 R 11360 0 R 11361 0 R 11362 0 R 11363 0 R 11364 0 R 11368 0 R] endobj 11359 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 543.1999 145.4837 554.1999] /Subtype /Link /Type /Annot >> endobj 11360 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (list_features) /M (D:20211013063105-08'00') /Rect [104.1732 523 162.5392 534] /Subtype /Link /Type /Annot >> endobj 11361 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 502.8 164.9372 513.7999] /Subtype /Link /Type /Annot >> endobj 11362 0 obj << /A << /D (unique_712) /S /GoTo >> /Border [0 0 0] /Contents (load_features) /M (D:20211013063105-08'00') /Rect [104.1732 482.6 169.0567 493.6] /Subtype /Link /Type /Annot >> endobj 11363 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 462.3999 180.9312 473.3999] /Subtype /Link /Type /Annot >> endobj 11364 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 442.1999 177.7027 453.1999] /Subtype /Link /Type /Annot >> endobj 11365 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11366 0 obj << /Length 19 >> stream q /Iabc26815 Do Q endstream endobj 11367 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26816 20830 0 R /Gabc26817 20835 0 R >> /Font << /Fabc26818 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n\Hӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11369 0 obj << /Filter /FlateDecode /Length 2073 >> stream xڭXKo8W<=z`o(`=eg0 йߏdUwl!6薋/Y^, U.׾ԧ9/OƸxƻ lB|y-ϘNXt ;M=H1:ḅ; /xxSyǝi{#rʩ8bQ6W&9NK_jlbLMhy;L[єtکʪY:9v.O"",4 qO2[s-ksD5ķY,ZW'oS.fOSE}f.!ZWD˜4.?/s_""6d ,|R)lǥE;}yÈB#0pu[D0;"WABYY9ExzJ}3ssg\luɶ w ueΆp~$('ci#>pE sXnqF Ic}v祆d,Ƒ\hTyN6J89H![!!%w8_ {ڝ]׫-zoCNT5+vq RvI 'w>')x7{Wk 03 Hw7{c]X*t! v[22;'3Dm.K ik׈.I,fI&dYjCF4*m{lC}5{HWESwMLIΘ==?G@Ma\3$nlMԢ[n&&9Y G-ƾQcCeTQ4I9!f\h@=$xW%:y3sC\\ ԼYP &0S-duV}25WC( y%BҮs%Fw{F>2߀WYf>E~(}>"#ӶpSTH'4czяQc^ȥ$Nuƾ5pB>L~yq[b׫Mm5=Hv/f D!KIـ~]'Ũ +j c> b mSݿ8@z;2xr:!ywU} Hl-adayjT5Fn2XQBmy'ѯ /[9 xċO.f [o.9#{\? АM_% endstream endobj 11370 0 obj << /Annots 11372 0 R /BleedBox [0 0 612 792] /Contents [11378 0 R 11374 0 R 11375 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26834 11376 0 R >> >> /Type /Page >> endobj 11371 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063015-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11372 0 obj [11371 0 R 11373 0 R 11377 0 R] endobj 11373 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 357.225 145.297 368.225] /Subtype /Link /Type /Annot >> endobj 11374 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11375 0 obj << /Length 19 >> stream q /Iabc26834 Do Q endstream endobj 11376 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26835 20830 0 R /Gabc26836 20835 0 R >> /Font << /Fabc26837 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+"%;x77 f߇]&`j[i8-y-xvw7pVжYzr"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%(\)ɔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11378 0 obj << /Filter /FlateDecode /Length 5814 >> stream xb))u'kUM_ߦig5c}k_Ojgr!ؚW|oO-#dzgPt}zQ_;;NAbm?dgKffǯN  MfPq ˜t(ԧ)3Z@ ~'*tOZ;x|K9EAe sZ6T0nSlІ q',"=!81D@bH9;.sցP [Q0H@Ã_a`}j'͜&L8}ߦ/h ɶA 0/ π4_';}0 Ǡߟ>=iЃG S^W$whϪ(ܓفXؘkl針Z n'''x"O3i#M[Tf2|*[j٠L##@@n52("_$s66"/v4dDˁ¿-u(D r?$ElO52;eX^EV/ٻ{Il@e $e@ `$=gH윙u!hPG04/V0frL=ql(=wMߩ|+_ b&bH2{m+B9` F-}')y$qxD} 9dz&nyDb<@;"WXZ~UǙh] ˇ,7 8~8N4%5 b~ Y:Pw=M0T^bO ҄9 FirOe}8֦D 7ڢYKxQF>&dk4Po2BW4W_l0bF{ܜ" bv`}Jey[ IGhkȣ*+=n8Id1ag-pu(!J#TZed0YMW NLu);ĺ䥩lզC*#kR-RrZO,TuN ]j͒G?33E2$,`^;(hl\5E3"[ՖEzγFQؗƴ7IVqAyDi%ɡtK~K!d;kTqҗ>Yr#S5`۪ r9P s$9$3BywsD42+jlYuTΒjfuZc[7k#JqDUT>Y@2ՠxXB7N4~3ie4`PkmA]8ɗgi8sPFFN2%vU5@j46+0+@3\nbS ٩P5#Yg`.JiyXTumҕȎ dȞ)UJ\p w꤄"ߍ:-uku=U.3]]1^u~^4g3:Qz kuO~P'g׫OI e^S'E0VwKTӝ ulSq"ݩN]S4 ꓢ9j+M5$DjLEP?5mA%u .I]O'埦OjퟦOO# uRNG½O'uOP? uc>ԧ}FL>6 zMZLqTVF8H>EPɏsiuM ~_6e1ޭA [sFixT*_B ²x쾀~c AVE\aG, vAP0APpӚeKX<.#bE 9&&BWOhI\7sQPI(zY['2=oਬKa) 3'gr8Q$`nbvd 0+k97:ȃ'a*@JD4*ks6,QEexY;ĚA\[7>R.8Q\-5 3NnaA~?\CW2p,0 JЋaS-?J[rBD-g2 }A)rH3Ƅ{%KNi.)99G, 'Aŷ1A<^@A"-e] biN.es"I;^˂PD#CK8: `8R-<yV$ Z}7+}ց`, EPRi,BU3GP>Vu9] ` ;ibB^tSW τLZ8 :( M3kd_HbY JZg e-@f2T͂!TˆJW0Oreʒ6 ݬ# )P 3 ᗜM52`\W`}ñ@=F5"X #VCԆ$B]edhSq$arM*jvNl_t!3± {fjODk̾H06[#.Nl߮ޥmr5ϕo0fxm8Zft_e_F:j V{9E>+SɋDMD?渓͝o/Kx ]aA]W8SBϾ) u\W8%ObM0إ&f}Nx$ ̫&?y'z5B.RĜmj@C>(ROt]8ĥSt   P=?iWvR[l[]vڨ]MZ73ˮ)1d'ZANaP󁥶z[МZ?&v\!omո;jR'L4_l &8kzL6(3pGʧ9 l>vanơ2t`Le7eG:ru|L֍9:Lp)':2|t`2@KE$bn sKՄQ^T×UE3}7\I+ans= +9PC<3#LgeӄNq#z%]Im4(+@ By|'ɳvggtLSAqH^qf @LV(vR!1l0&*pZcտ-W>T$[7ؘ8 508Űy4dׁ-i-kRQ平Fx]V$H Eh90qEb;KRUhjs.L:xtYtOൈ+~Z ,(:DO?X~41sac–4,pYSkO\-x{kUϯ~a-X:.ck>+&&."6lRCE0xF}eaFNZx(ۭ3]<.Zr[3NAaE0v'>>bu]N>Y@+hY`e&چ˘ş1ȫ5R]]:c ^",twm>JLʗY!l\Ć'rMghKm+I^vڈ7'U^;Td(s_v%HMzkjW`Tj@.pyDW^T˻"`~x^n]N>eYpW b[Wb'hx2LURQEu;B%i/DEZw`!?iᇤ\rK Q~9k[R%mQK5j6e%C)kާ\jIUkߥ+ %09Z&#պ"hco]ioEC ݷ(;lVLE}LMHd1 WZ`4 #nAغ(ݽJܘN?;N|ᐽ|SrkxeGuP>v7aF\3 !:rwҊ>ڱo$gv>{&'CN#˭LtS75s_w`;H%<⁷>2Opo?j`]M\;5lnWqvrXb IG/ݥT0ck:"#->M!~;6Ԡ?Z endstream endobj 11379 0 obj << /Annots 11381 0 R /BleedBox [0 0 612 792] /Contents [11392 0 R 11388 0 R 11389 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26853 11390 0 R >> >> /Type /Page >> endobj 11380 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11381 0 obj [11380 0 R 11382 0 R 11383 0 R 11384 0 R 11385 0 R 11386 0 R 11387 0 R 11391 0 R] endobj 11382 0 obj << /A << /D (unique_213) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20211013063105-08'00') /Rect [104.1732 235.0847 217.9572 246.0847] /Subtype /Link /Type /Annot >> endobj 11383 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20211013063105-08'00') /Rect [104.1732 218.8846 200.1812 229.8846] /Subtype /Link /Type /Annot >> endobj 11384 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [104.1732 202.6846 204.5317 213.6846] /Subtype /Link /Type /Annot >> endobj 11385 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20211013063105-08'00') /Rect [104.1732 186.4846 168.1327 197.4846] /Subtype /Link /Type /Annot >> endobj 11386 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20211013063105-08'00') /Rect [104.1732 170.2847 172.1972 181.2847] /Subtype /Link /Type /Annot >> endobj 11387 0 obj << /A << /D (unique_228) /S /GoTo >> /Border [0 0 0] /Contents (unhighlight_objects) /M (D:20211013063105-08'00') /Rect [104.1732 154.0847 197.8932 165.0847] /Subtype /Link /Type /Annot >> endobj 11388 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11389 0 obj << /Length 19 >> stream q /Iabc26853 Do Q endstream endobj 11390 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26854 20830 0 R /Gabc26855 20835 0 R >> /Font << /Fabc26856 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p*ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Q endstream endobj 11391 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=991) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11392 0 obj << /Filter /FlateDecode /Length 3275 >> stream xn#ίyU/ @=@naS'=A`-\K%Yv!("ƷWoRKwx/oiL8ȿX&/lI-e8vAʑ(>wT΁b2tp9hn=NDіʶh;Ra-%lf]JΎ9‡eA|*,,P˝q%Dp=K; `" ˜yW9I˪LS^i]0MYU#F]Pz\mcMǗbRTfJ?V5z&aobfGl$0CY߭uAC*XcBp׳a;%tn[,ިEܾgCt9 Bqqri_.ު[N~K@kqF"*8\ .9ǧ{؈υ {j`Tc )ȹEZ|~$ls̬!9x  `R_|WN(ӿabp,ĠZsgv2~?1 `Ow5%sƌ7'u(FL~ 2H (3DUB ,ìW=eZȣeemoQAU*{|2JG3M:Wnx;. 'A6B. J>Aܸ`ɳ8(E>U<ؕG:]jDBGjJ 6=n:mH`lOT+2&~Ll$7cfXi3gkߣ:ⲻxEFΏN6iPe <Ǒ#H `bk/,qs+kU܊oGccrn ƞ~x!U"x%o=@nTM+GJ.+?}PE bTOE2XF'd>&r.=SiZW;.Pe$ 5Rikz.f2v:/4FG:Z{.֘H7)M:װZ_`is |뉈?= \~+߆@PXA_58*/ef΂"Z.cr|8\;UDP=s?sX*lG#Tށ6G7aEXq@`qc>ңA6@2IC D`A#X0n endstream endobj 11393 0 obj << /Annots 11395 0 R /BleedBox [0 0 612 792] /Contents [11401 0 R 11397 0 R 11398 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26872 11399 0 R >> >> /Type /Page >> endobj 11394 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11395 0 obj [11394 0 R 11396 0 R 11400 0 R] endobj 11396 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 437.225 122.109 448.225] /Subtype /Link /Type /Annot >> endobj 11397 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11398 0 obj << /Length 19 >> stream q /Iabc26872 Do Q endstream endobj 11399 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26873 20830 0 R /Gabc26874 20835 0 R >> /Font << /Fabc26875 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11401 0 obj << /Filter /FlateDecode /Length 4452 >> stream xڽ\K W+z?3rsb ''A0zWuwRQɏeMj /1M:-Ic?_/N-Zj/j=tDce.ҕV\ОSZ,ُSݳuBc5A|Be e,6\TN_nYa2 , tf 3i0nuXb_5D$۱2df#nj䕝'Q\kq>Yd z+ɬp2+ĝˏIt(F?!6RQ+|>BX dj0|zFD]ܜQ1$72ddmośo{۽mr7%\b7Uf *G j\ÿ A|}-m][8@bJ,E_gO} (xcwOp@CjLmV?I^,-R{cFt{Fxq'c/)=7zp3G(oë2tv &=DwHL;G.Ǭ#H ;V Ա ;iTxf% r2quTO\]"CBNsNUD7@y:6ٌQ*-5B{]DzvW [n:CYVѡr-beæڬqleT4^QF\8nh0 HFo2*Q:jq/.?g7ͻ=7#*:Y7#;zd/ ӻu׭a~v9oQ&؎DNHUu@ |؞ #e|Ck&D*lXtN= 7:nn0Ja+&0w׵BBu]PF{c КGd_&sbq7:Es&Ɯs'v:PH"hQ' K9 sKXDd{T#Gw:w+yҳ{_uh[ԓ1ArAf:'J'cVq@{y+(J4zHdpx#K5_]4Ryi< W|0zɫp R MPQ\ +'0&p-d[ >+1{b B{09G#G,U0kBmhM€dKj7:;gloHËyM#:۞sekzpuYmKEe.Pz:Vg1 ѦBW.W} H/ v./ZEGQLZbݑ[-GcLAQY*f6pۑ96P Эυt,"픭&p OiR_g/)@kmh@ H %v)SQD4SB2F_R* 1r<[ᝥ؃l!uVub^­\FxnYnOu1Bދ,w< ]oIx2ɶ`7U{} cK؀vCQCK bG l!'!5wiݼMʈ%ep{Fՠy)H%A{:+9f8{1$C%a6h"_Ō'G_>yxXNe_t[P%}@j_)H 7uBm~DK3˴٢ofgfu<\_+ʰiQ8&=㵨2'LU3Q<~7=\s ԕ88GuX orӦ`ЩEũHK.|qoc#K"-r+-b27_C`=1M~۔"#-Vx֥5 Z:y*i-QWba ‹9E6~"^PY _]:C^uS>G;k4}dlԫ\'o6tKh`  (FJ,ԧũ k)@0૔JTJOoAW4><Sl,.ecfZ;2⵿z^D3p^ Y+L(2Uy},؋u֟& s+V>J Moep8/Pd;ArjrݶV ~x _ 6<uwӇ*Q泄~wt@q(s9NhB_6=!+}/y7|DSq;B7MS ÑM&o{ONLW/V)%^3`@.a/]!1O //D?_p z}_,iM95YXmy.?kJp8I`HNS!HBf3?̶tDT=l \a5C7bORU÷0Sq/`(F.LL_e1 endstream endobj 11402 0 obj << /Annots 11404 0 R /BleedBox [0 0 612 792] /Contents [11414 0 R 11410 0 R 11411 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26891 11412 0 R >> >> /Type /Page >> endobj 11403 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11404 0 obj [11403 0 R 11405 0 R 11406 0 R 11407 0 R 11408 0 R 11409 0 R 11413 0 R] endobj 11405 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 451.5346 203.2722 462.5346] /Subtype /Link /Type /Annot >> endobj 11406 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 435.3346 195.2587 446.3346] /Subtype /Link /Type /Annot >> endobj 11407 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 419.1346 194.6317 430.1346] /Subtype /Link /Type /Annot >> endobj 11408 0 obj << /A << /D (unique_114) /S /GoTo >> /Border [0 0 0] /Contents (get_debug_cores) /M (D:20211013063105-08'00') /Rect [104.1732 402.9345 185.3367 413.9345] /Subtype /Link /Type /Annot >> endobj 11409 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 386.7345 161.9837 397.7345] /Subtype /Link /Type /Annot >> endobj 11410 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11411 0 obj << /Length 19 >> stream q /Iabc26891 Do Q endstream endobj 11412 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26892 20830 0 R /Gabc26893 20835 0 R >> /Font << /Fabc26894 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p*&жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLޚGFxaH&E d_ )2̼d9 1po.)U|B5_}tw%BJJ‰p-Zݛ^ endstream endobj 11413 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=993) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11414 0 obj << /Filter /FlateDecode /Length 2224 >> stream xڵj$_LǾ@QPU|k#I KJjgHeX޾ċߴ|ӳncVǠ!C/CǯcO0:u0֣>|E?ƢYc<>1`:f 9#R9jg.#4;xۣ$ƖEh>3܀;_M>H1kI>nZk45aD?WcUwM5}nEPe..tXVjQբumOk/ `E>; ssGQi k۠W N( 9Hj8(7`mAjdPVRMC~K W)`8z1׀)a08Y ^[cĨ@q[<~U0vԸ4'IXF*jSM&=n$h2Ul lZ&:Q P뚒8{B h񴫢plun->ԄZYisLY}L82|͝$&wVJ17[1{@4& nwNi؎78-R5 RjI`gM)O]Gϳ(PΒI ld5t%/?b1 c:0F>@)Oip2C4gGbWixl ǨPѰV/ޮ`XOTJ aJNz T:מM<%lF\!شbeh씂.aGo==k/bMKz'3 ӟ8C燄n&weс3pfsEL5IRVЪ 0![B(QU db T_Y;kkc5`²|% >b2jW[ &f)5aG"qMAo#mk9oW{0ϫĻzWm]뛸']qi ,xgazH fpP z5c IR}j4!aZ2kq׫]m%ݔH#xNUt l[CfOQwaOQ7*h)`KBXXS԰oXzd*!mF)]rĸnIrSFJNJ[~[ OUek[V7%-EWee܀C<,.s%8u 4*0+>K:O`>Qp#|}"gVxU ,2Up4. #-a#N-g%|CW , mJ$ x"M>c:xՌ7Y<C[ϓaBM~D]3"FBޚI9'E9 ]3M؄g&:tm.;RZ4~2S}[$d]4(7T_6+@F:$"Uܷ6WUzlmc?BX[_-`YS! [H8-kHԊq[FTߐU5x{qM]K$  ^+Dw*dɁw2e{b 3<}'˷c!c!P TV0 <3!Qag}ɩ_Y่5䃯. 'y.t@kkr`b* QbJytO3ěDu6u*V;Ӡ:5$) :zg G + endstream endobj 11415 0 obj << /Annots 11417 0 R /BleedBox [0 0 612 792] /Contents [11423 0 R 11419 0 R 11420 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26910 11421 0 R >> >> /Type /Page >> endobj 11416 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11417 0 obj [11416 0 R 11418 0 R 11422 0 R] endobj 11418 0 obj << /A << /D (unique_77_Connect_42_memory_commands) /S /GoTo >> /Border [0 0 0] /Contents (Memory) /M (D:20211013063105-08'00') /Rect [90 354.025 130.81 365.025] /Subtype /Link /Type /Annot >> endobj 11419 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11420 0 obj << /Length 19 >> stream q /Iabc26910 Do Q endstream endobj 11421 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26911 20830 0 R /Gabc26912 20835 0 R >> /Font << /Fabc26913 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(nI!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 11422 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=994) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11423 0 obj << /Filter /FlateDecode /Length 5105 >> stream xڵ\Io$Wl3T6v>>= =2-Z$ Kd2A&IC[m/wAO :~[w'cYhʝwRg,y [F;Y\k /M9RyyP7ڏ ?8 5EdM!<2֏puvNVB30h#hbqg@?Hc-^A=G(&c{AdE g=}:g 2Sg.W ӓڀ4EzI8|D?^u_,R%U4L%3ߧ6Ze0%^' %!A&DX 9LRvRr$/D @(o+f8!Z0ߵ;.տEV46`y7yY#00heE;'ڰ8=L+ \ ⸜EYb=JZuq\uF: uQ p:<>Qo@3\n-E^`J?1?AWfYÊ&o|Vr_P &Vf>AȎ*G%*Ji3]OU(#5hln`ij]=2!u x-$s+ iwκ 4],ԉ: ֢I>a'/0sN0~C3; |R K-,maDŽE贈 i(+3ڮ78 T~{ޛz7ey0}][M`Ue 7b#FJ7"L`+]r A[G2.3-@XstwGJvv-ʊK]0=CER@)*Lqʍ,˲hr0/ E*DŽswS (kr_Ek,0r=~6nC%՗bR bD[#M̹} ʈý]{w<D,"N: 9 #]@|)~|ƞb_dyC#/1uN7Lz$Ŀ== xƿ;=#Vm(M϶ӣ~h)33 #T] fi9a_~m.z40ĬcӽTӌ/j\wz>x~JQce"v4пCQyg $w@m7BxݭhYbҮTcwd… ]|jBeMQlG-LӦT-qȤjVߧƭUZ Dh,?hh3 ) 6Ẻa1Z2Po k׼ 3l/"%DֳMGVꦥ~TK scJ K[de0L .m[ۆ8!R ,.2~>@L 302O5RK[2 :Kޛ*ybolbV-#ʩ*u;m2|T6u /Y86ڨXd6U/BX2c%i'O; ,gvpr lЖə ݮxg/`@3,,B i6.yLȝ:%\sGj$[Ҕz-jWCG}W-vp[p K8AOӾ Na 'usjA@@JJPj PRK@/"@ 6Q@ @F_(]R@*c.YR[>%)uow7 SA4OcJuSŔ1v11ukz]}`0j&TXA$D똸pfJi$W6y&|5]ShM`6l=rw?"L[+|\uzEv ~fRah0#uo ּQե]U 4\h3-Vԯh!"cjq\ɏi 8 +O<OENhJnY+3^j x# r9z]߯e/ew x\Yv@ruu# U`~t u*%./YִG\[դvԴnUfȓI\CU qYruq?^G4٘ڬAY~*.䆧hЇZlYUY X޺u(LRE[󰳹C7;.[vwB[l4]]$V9%p ~H6Nwr{]Ytv T兑wxXNi2إnq X`q$ n[eSWIfF7o~v ׄ{+6ln4\z4";X+͈a#c@Ne ğW\೚ml-ay_Uk:b6!ou-J2Kv@G".FZ<2aB0v>ŮL: ~D$d'$<Э˯q r\d\F`ze.  L(oeGk&v Gx@ITlmcu%%h!yvJ{s0Oc*d:0Y3=5(yQ9栋pY SBL= lbǢ]l7D_@ê==[~jB6[)0ifwd .1ƙ;iHW_]-]s[rX?ho2WCdp9{cS[V\@ZC|}U\ vm,x^ jc+qבC.0de7pz{d7 }l^lKmـ-/]`1Drtb]Ss2tmu mUI)tC[{ځe=B0WQ\5Yl Y!M7'6KdpO f %TG5hXI20FaSuئ ~9Jupa4jǘ8y# U we+cOf7LFm2ㄟxIpϟqtN7 #F6(0N"yL;?s֜9ow̫%s)Jy=dikG a 6zh'S.48C̏})$kȈ6c ~m.&ͻfis+&eoDU-p9H?iC.I?\d(k;r7qˈ?d@zt@](ț.[lhFv"bSybcI>5 y4ZLj2a#N-l /ɩ7IqC%)f)I͔NOL,q U=/[ WdiA\⭾cjvM"\eT$KUN2dI۔kRsilR[ћ4Um*l%H.FMvclwf]~)3QKyA<7:u=.zc1'5~?Si`:GBX/aV"`t C>L<6'30n/ Qg#x`Hn]V=[/[˙*=bODrԴ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26929 11434 0 R >> >> /Type /Page >> endobj 11425 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11426 0 obj [11425 0 R 11427 0 R 11428 0 R 11429 0 R 11430 0 R 11431 0 R 11435 0 R] endobj 11427 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 145.227 182.4987 156.227] /Subtype /Link /Type /Annot >> endobj 11428 0 obj << /A << /D (unique_277) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20211013063105-08'00') /Rect [104.1732 129.0271 166.5377 140.0271] /Subtype /Link /Type /Annot >> endobj 11429 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 112.8272 161.9837 123.8272] /Subtype /Link /Type /Annot >> endobj 11430 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 96.6272 156.7257 107.6272] /Subtype /Link /Type /Annot >> endobj 11431 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 80.4272 180.3042 91.4272] /Subtype /Link /Type /Annot >> endobj 11432 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11433 0 obj << /Length 19 >> stream q /Iabc26929 Do Q endstream endobj 11434 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26930 20830 0 R /Gabc26931 20835 0 R >> /Font << /Fabc26932 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1j endstream endobj 11435 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=995) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11436 0 obj << /Filter /FlateDecode /Length 4610 >> stream xn,ί賁/ @3#ͱ8!S'=+ruR,vu|^J/cOX;c_ <ɘLM};Z?a!/ e_E)>1tp+")Z<ޫ [>s'lI', BV.P$ґV9<84M8Pho,`|RhvĔhq-O(mmn8Qg8rUybM{Fঢi|gP99c“O~!H^v1>1iCnPIm@~6Mh{?A|ax SɄZtCpNjAޱ&Q `#ݫL Yp$IxxNh&[_`(Tm|b!# nM q "кc[#/nٛщ YDayx#t^KB# x){ry.vCQw{&2ϝs <̇{|V͔$Gֺf\#A=S!s% V =_:fmj2DyiojK :\յ:A-*N804E!HQ g" ;zzIV]0/K).Q}5C AQ4r:)zImN"X1ĝ hжI͜isʄxԔ`o4( ]FKBuLL 9]P_ N~ӎ`Ns &t@GS/o}LtHŃ) 08z[< RhGV8:GxVH#X;xiNpt'xNc.=NZ`̺ } #J=M;xH25lein^kq > SU{JABJ˜N_+K?GĊ.y%-p Os FXXhJ*@hW K:d-\xm2)l%Arv MQ 2ti 1q@aB !V@G몃ٻuaw L ,6RY8dMEWsGpM+7-:@*;DNǟW<#Dj0,Hn#CrA'TJF(D?n"dZ5CQdc"g;ܠ0Z &e09GL~@\Ո:[La‸EV֋ y[mzPxDҙl@ 6́.SG uT/^RȭC8̼R%&ESܒ:mBGW5idI uWMGK`,-]dp He4ΪѐxGI.%\5-4hxSryl= )53o6^䝗#湜i\KPMy%dFR̳pt@|3+3 BK67Zmf] ^Xz,6k~)$g1>kmmF{=+r.G_1ŅQ=G=ϲĒVt) ӭ_vj*AMFdTϼjFMӠ9ѭft/[%&؈撴^DV&j1,2{=Z Ƚyn6&S\:ʊvd*QEM,7}hڤB|#LKd4!RoFbAƒ ӥg׮OOڱl'*[7)1pݦ2mv߮kmt'{Osħ^v{"\Xl6حqvIcVqj;YKm VEbf9H~]sH#5I'^+[ D::qI}LL-n(VM^!j)e3oa#+ORO^LӋ<6,s/P oA Q3nj[u=cLSp='*Z.YG$=VLG y<<#-VbXߎ3{Q5 X<\Zl{a rXqIuA9(7ȢdmzWĨ/a1P6Im &GnQ1`ȳ[s(nԾ\x2ջu檐ܨ-'rhO ?ʷm72+eۉ7)=Gpr9ӏuܦ9܁{TϋR Ŷ5BV*T A8+Yת] xWckՀo ެ ^t*VYn,K֎j~_7%dM+|ƝQ^tI!mT,}mr˻w>_m^m7|ynbw[u (}`}!RYD gcjߠbM*ӽ4T^Hz9xКpix0'히>TKhZaNQ&sZQMUä*+F45PSԠ/WbmDU+u xGR}jsĸiDe(C䉍xf%3gS՚ST5sl2X]qa. J7 ex/gy&qv3F& ƬiCBN>32<yUh<4Vۄ _5i%õ֫SMS%Y/1ف)iy*K<32' ù5, Y7_=u1ca=΍Ũ#Ĺ5v<5RN qnj6ݍ(b4&AL|87^;Dzm,;Z4~"Sy[N(bӻ46_=8#9|\*_zt ѪTo -T\Fazş`D%q-1QgSb LDqP;I:/)18I%u >C=fG8{]ciZo=Lt[FtaP `ǧ|i's*> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26948 11443 0 R >> >> /Type /Page >> endobj 11438 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11439 0 obj [11438 0 R 11440 0 R 11444 0 R] endobj 11440 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 176.3662 709.9] /Subtype /Link /Type /Annot >> endobj 11441 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11442 0 obj << /Length 19 >> stream q /Iabc26948 Do Q endstream endobj 11443 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26949 20830 0 R /Gabc26950 20835 0 R >> /Font << /Fabc26951 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=϶ff^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 11444 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=996) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11445 0 obj << /Filter /FlateDecode /Length 1157 >> stream xڭWKk$7Whmj2{r990OUn=% Jꮶ[ojS>6'"}3Njr{{yގE[]^^O{Ka޾YP_J>@X#@4D|0Kz>a@.o}n;'1t<đA6o%\ پam^mtؔ%`B.^F.)/Q⥄Ywa&0i5]ꊹZ^mqV6r!_g},2~6 ݌HtN}#|jhϖ;{/R4n5b-S,Nۘ)FP~m2/tT+. 59h,BF镞{v-r:Tl\Xb 'ri}Fwj4{21Ml#o+hg3?6T]hץ|3zWTks?i6g&YGΐU({j[3?fl~ MW+eX|d_iܪTTT+oĭ[ w /Zyyesē,r¡.IZc],[ #K}`R-߱Hg"%Ĥ[KP6#6]nD!Ʊ^Jk=*5 )>f4J']whT;N?H9m)!BBc(asu;Cb= +cF#YFeà*>*(^#ˎ x،1\hj&v$E\7uR^ ?V8)*^.y؛$u#DR.R=$#7|>}ZY ڵT RjRՔЀF4zm}0z¦ g7lLXy: ~n-`=3Ye ӫ~^b,ۙXS9=̇FzҮ~I_C0Ga<3wEAAh`f9:3|r`S?!֯Q [:Ğ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26967 11452 0 R >> >> /Type /Page >> endobj 11447 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11448 0 obj [11447 0 R 11449 0 R 11453 0 R] endobj 11449 0 obj << /A << /D (unique_77_Connect_42_memory_commands) /S /GoTo >> /Border [0 0 0] /Contents (Memory) /M (D:20211013063105-08'00') /Rect [90 338.825 130.81 349.825] /Subtype /Link /Type /Annot >> endobj 11450 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11451 0 obj << /Length 19 >> stream q /Iabc26967 Do Q endstream endobj 11452 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26968 20830 0 R /Gabc26969 20835 0 R >> /Font << /Fabc26970 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫jjЎYzrq" UC.$.p' JdAb2\Q₅1Ls4(&4'*\)ɜf.PkP=(%NtD'W9FF-I U4sm.\gy49xkEŐLD}%l)l,1aSi ܟBd#UO\05cDA ^Xb>!%$]@QXy Ph'-:A/}g endstream endobj 11453 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=997) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11454 0 obj << /Filter /FlateDecode /Length 5798 >> stream x\K$Wl| 4d§%Y@swiBd$ FG$oj'N~ӕfu X$K꯿E}XrH3M{)Em)ӣupP"hT]E?U&P't4?X k߿I/͒b~]I9<fzmgc֨dzM6=Ak<5S1 VzLyӋA!"2H8_*wTPk/qjTe]WJOj/Ⱥ\,r#u8 TZ=fWQoR 0@Z4U1'R4%%5D 1?\ր[r+x.Yc%_?э^ gf[A@evwtb;H:yIA_O 4:^]ZwyC^IBB}zoݍ.f%9 C;\m[mY闐[tK\zv\XQGhCMF:uD<$iTRZ"TS+@ '|+x;Pu}ݨP4}fE?<!M,]`b,$=qCi]༨|jV*Uv0e cK!M&JE:C w@Fj`N Q|PępQlYV4subˈmi#b*WFQԐu W=tL&cuƪM*}vsmP%ݪIt&OЭ:Э&Iߐ<C+Q  [& X'dz2seKՕu6qD3cs%gGHµneA=I Uu 9@PBAvh;ٽ($]j|a1P&KAjWHz[)Cq9sU+[\(_w ,rv!W3n>HdhK8n}tu C$v :V ~/g^!#sY|*zj1%\Bydnx߿Kn*LDžH[ϩMl|c֓>|wNxy˟9p>2G+<ԁh ájgV>܄ʣT(7q$݈\?vᬕ:{*;VmL½O/Cwحvt7Yd4 Tw3|%+N(d!ډȉB=(.uO/=[65ت_̑N>ˇX\yaGٌ4rףcSYD榳vvIz189o3]X$&ZE>>{בo@'9r6]gi%G>[ wnXK7܏4W_?<¾=cwj&memQX;BOؼ.w|g,ͭ趷 ,hU'@oVG|Fd cg|ϋQgɨb놸8KGN0xtDN%Ɍvs{O՛`,N07˙J(V{b#mZL,Qo\vG)>Udaq0]U ?aư㲖ϵWƀ9炚.Z(of[w/yZ2JL &J B9pJpdқgSٟ(S@ЎN"S" :%t0 zAçQ}A4iG<;UC}TuF"թNjB"LYS7[ôAݲfqyI+H+5B1`iQ5P<-*@ BĀLU1=~70nAh/`jeo=aes& +y,{:~E^e-$0-8@}a@$0b`\ұ0h9F.@,0/K:t-\_t=pOE[ $Xtp7|ޡT,SAS$ EUV icE{^zӾ`=QSSHeI=F&鹧5_M5MoqYtVxCYyZ~`;DNj2hY@ļ`ӽ(ڈ?m}ldF^<@'\gc ŔSΆ_=o8:@i=`y4I^ƭ0?@>#RA灟Z8y5# ֿշ2j߬˶kX:XWwZ@3W{}6ϻ"ie>KVpl_'=bO].wKN7I6AKe'uNL8q#p$x15>.'Yݎ`c^ c, B2 ۬&`".Lk8ƅ5BfWxa+<.X8Z)`dYqki \@4z |Ɋu  |tk媬]QW5u9󒫽jGj+ wҮzQASg4LqILT+|%dNzrN -%>҆TK;InhM##x,Ie:#+MCt08@PdB;=Oe;q!Ι6Cex|[oX2>\L 3:#?D^dkVSY){pH*`+_#h~AWl Yio3!Ur$ ldx-Kq1}_Smk5&)ndbՒLWTcb+m CjoGR>%pEKQj^tO:+#BjHuZU S>jWd eAjj `Me ~0StojEcûtK}z~7ԅ KC2ˍ3F%~=:?ܶe91wwp.z_G/#nl\`Xo]NTVts ro#`lqs:xU!<5ix3 bJt?~x3dk`>7?/޺×Ewn0a^=]2m$}"v&%Lm%"n\>s/j-A;_7sZ hMԩ_C"ɟ`AyL endstream endobj 11455 0 obj << /Annots [11456 0 R 11460 0 R] /BleedBox [0 0 612 792] /Contents [11461 0 R 11457 0 R 11458 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26986 11459 0 R >> >> /Type /Page >> endobj 11456 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11457 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11458 0 obj << /Length 19 >> stream q /Iabc26986 Do Q endstream endobj 11459 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26987 20830 0 R /Gabc26988 20835 0 R >> /Font << /Fabc26989 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p6UthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bI*H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11461 0 obj << /Filter /FlateDecode /Length 3881 >> stream xIn$W@/ @Uj|@O=1 ܂Z4ݶ1VW c_X^~["~ߖ:gpJ*˟̢KUJnyIJm %L2R.b +' D#'>?PNe<(#m_~xȘOA"85T4Y@b,4QϢJUόtEhg_&m,G pq- .e"63<2Mh5*/q1@ b-{".@v6/^B-ruʼcI2~xpطWlx ɏ'@-l>J5B|Ҙ.l^S冊GUlCU>Gb#ngJ qۍ;LqI*.tm3B?k&X~SyI'&q3 n ͇"@ι}?:#ʳj<}3K=@ҢZO9RD4KZrt~ňyi ʄ6Fb&E sLutc}HCVz `Xw<=oQr4P62!@D-xP+xzfIlI]5OG`r p c] ;TqōʳWHȱ@+=rW.;&&C kJL֔I鹙{)2 S*bLο+p G,5Zj>3zjxkZ$}L V#VIf2ﺿ%5&_6\~ܰ^;J}u^0j@ZpN#[&suT/;AmJinhѲUk̲|MjFg>d[>?\C!Y%XJ>=DөVr{%ԣqZ ^ݓdjfe9]x|l 55tZةYɼ-$n0iM>&Xr@! gw~@hًbtu&]HP;xV7ZzC p~ \ X>gE[MULGsN@d0ayLnS wz"eo~d!1ɺ#xn pGq'Pf"6  ;Ι,{hKf+ЦHziL$ QcZQ隧 $!CS5 $l] 1l21^/rFW^Y=XQa*حnor؝*x4<+O_z>}bXsR %%npcIցl'qX!ی)?gG #tf.WcF\O/y=y?y߻'fƴCk|Cͼ'hH^vG/lɬQPAWtF$`m[c`u[7= T,krƤګXӚbVC2{& ܐ!zEF#]&0o+ ,Ia5>MF,11TwN7B2l&5ՊFy(?sfjV w=^>˭*h8̞C2L~@9Vv :#aw9XX>OjjA#jk/*W)1N59jV<=i)ٯ".V\EjgF5qGՋHg._8}߭,9;f%qn<5;UmӞRX)mmq&5: d+Ԑz%fCJQw^ƞӨr_j\)3E,Rg}&FKM&tGbP'\rCC ϖNMN<<Szm8E;}zCѷ;]=\8Wvw}ݫG1~ƭu!j+fQmm9T菅m 74F]Drbmzo6]b;8ǚn9hw=kVjJ8(㺐jMX@ƫ".i 4Ǘ>9&{^yysNPF) J쾙)eUoO;ӱ\ܡr/K&]S\Und*]vM eJ A˘eI.>n҂w*iu;HDr|yWF'^;ΈH>![PHנ?im1kHvi@} :^=U{?w*k;bn 5WV%*/C\~~[ }!͆}@8yM@`hAvWc./wc΁ȋU.R=c !,#>LV9Pz^2ډS ?xJ| <4$m׀/n}lݱm] P6dIYl9 {`[`SLΏ M3$pA\0(](rҫ YpRQLX~pҟ.T(; a&ngpQqY3>1vnJE\&Q,q[nxTWD"_+*kZ599Uބuӟ* *\Y^ 8y$Җ|ţJV-\ _ieʣy*D܋=X 9TegAIFÕGe*hٱT*;+Q?VZ 'yhedB!wk=’y#a,k+>;o\C^7 uڰmJx }(fy9hfaσƷr8bYd;@2al]X.h =E7n'&IpFc.%#y rW rzI7L &k駱|\t~ߦĦqr7dPX&||ײY{qg`nO !GuRW,CHyE*y~Bg/wit>]쀮9?>nBD\ꗞ<QAϮڸxHw.DLP4Glc1?Q֍@H4U|vʧ 4ץږ|DKt6U^&`ewy =hjDSOmS+,5>v endstream endobj 11462 0 obj << /Annots [11463 0 R 11467 0 R] /BleedBox [0 0 612 792] /Contents [11468 0 R 11464 0 R 11465 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27005 11466 0 R >> >> /Type /Page >> endobj 11463 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11464 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11465 0 obj << /Length 19 >> stream q /Iabc27005 Do Q endstream endobj 11466 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27006 20830 0 R /Gabc27007 20835 0 R >> /Font << /Fabc27008 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` Aӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11468 0 obj << /Filter /FlateDecode /Length 2180 >> stream xYKGȳ~0MKƖ4}++Z==Ͳ=U""+JN[4>s:E],U/sˇ!6hgM%}`֦1/~;}TŪ@qc=;20;]Wâ|w G r])B([2}ǚf=;Un9tx`^(0vP-7\7cz(u#wtNCyQSSN'}^,)&<;ת{#tȡÜT)8Ntwz{7X%GWy赂C~WL%? e{kǻPF޵h]=Vzstdܫa:*){g[gvضֶFՖm)[.nDN{}yן8X6TG)kplP^TVC_utLUdDhᨹ#+'#'6f"G<:'=vk/E=X,[.zVl?=l^Z_a=uېor1|"]tٓspwP=>Mnqc+r'M-̿{, _|-HvFQᚃ_MPeMěnxMxm`fel.skw "n0x϶UOw?Tgݭ_%0!^03R3`p%iM 1$RZVmIa! cB7kczco,JE"Jg9^^H((MM[rhI6Ⱥ9p>83%uWW"1i͜! Jobr؜o+VMY_vk/ cWGo.GMoНf3gxҖRnV]Ds],ŧ(>7B{#%:QhGn_M'>Y:ω$_P]^+t  Z9GC䢜+RV+"+&XR mMWًE`S ƂSBq:SGI,DH+6" B$t T(ouY6SB?yaXʻ1bĤ&jx)/ ʋf*Aظ.&tAgy͛GKj%;Җ1NÔ8j{ɹZM#{n 'Nq@ȑWz58׏+r99 ZLg/^}'&`Qu>ށ|-ɓGyzYHk{_DCtp4^VY=%Tu/;-B>C2;0DXn9=3a L}ԅ\5ؽ? endstream endobj 11469 0 obj << /Annots 11471 0 R /BleedBox [0 0 612 792] /Contents [11477 0 R 11473 0 R 11474 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27024 11475 0 R >> >> /Type /Page >> endobj 11470 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11471 0 obj [11470 0 R 11472 0 R 11476 0 R] endobj 11472 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 283.525 124.1605 294.525] /Subtype /Link /Type /Annot >> endobj 11473 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11474 0 obj << /Length 19 >> stream q /Iabc27024 Do Q endstream endobj 11475 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27025 20830 0 R /Gabc27026 20835 0 R >> /Font << /Fabc27027 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11477 0 obj << /Filter /FlateDecode /Length 4626 >> stream x\Ko$ W+zܶ{mb Iv0sٿR^ǃ w%UEH=Z_ kNF~yNKdXoI˯M /owJ{y0 W^z_\]Jܩ^J%˿ɗ9GFJ\[7T,R[jRVNA?$i$ԣ$RJҧiVC{9wxTj6}o(+C̋?*F߱V)e _}irs~27y&cg].ܵ6DV#CvC*t/3gvƟ):A6WbG^hY.8EO#VDU*=G8hֶv SWm3mi<.N]G,^~?Gn; _6zR:NZu @(]-lTA7 m[0y-nTsQ ]Gt#Ρ N,QCm-Z3[XS Ksm)wa}FGp(y7ߞ2 .5Z;(fm)5 >lJbj0`!0vbF>}WGUxXjû렌(ñhQ{ 2 ap gcaU1+VYYX*(cEYQܪ;ϝݣ]up[Qݪ̋vk0`tK5c4S -:!] l(Hޒ>Ӧݷ6in>QY;t7' `Ge$\wWNvN8SU ɬ)BmDw2}o,0behe7Ș}~:Sqc ?VݭZUSPŴh":Gf6jo6qaL 4N[5.׭JUԺ+a)blj +EW'K7,HaJ\qYQv{X50@\ \ݬ;8cI}uB|qlx3R(3fGBGF/r|orWOGV~lP%uȣL?ɰOmSW]m4V.{q5[Egs#ԹO_^^n ^!_ %p7`#AE*_kt[&i9#Nۭo(.[H $/|^+/2-&Й>T+o*j*uv +F-b\&-2ySҳvPQۮT}I'Tj[0"Qq9;(4lqviI=瀆3h@\)Κ*15-SmTT{g -FݪHk%<7[kt0 Qb:6K3ܤnYgc Q9g@ckh22C"{a rmj+b=tDeo..)퍋\О;SZX ](l5IB Y`>2L&Ù+.7*E# MUxo/WdN>h?R bgot,[wOӡXNg%]=GƳovqAoCgns#ceY[aT3lDV)Kۚ0f7v>vfywBDؽ؅$4"c^$-_&u׌}L$[ĄG0EA*)`LβݘchpC^BO{8VfnyE 99jnv8d|#{k'!ɩ ] fIOn8DCl[;iuyƯ7G5eK؅&phePŹzǴ"LJW(h-k%<㘋-sX[i!- 7 ٖNT;D" PGa `!;&!.680ЃdYu5$A@,e`ӫM͟`.tuڛN`t+^# v2`wQeϾp4Ϧi;1Lv1u`HgG:tzC0*,Xo\35/mPs Ȥ}*Ki8̹Bۜ5j{bɼ|xgN@gQCp(؃e8$l׹BEMaZOܶ>/"*7N@))+qIHzaIԑL2EG],tTxqLg2z 587 DQye?:Vt&\i ȁUqY_8~,S6W[a|[uxO4s>)l>݉ (i ]J7q3l =_!xd{t9FT~b ,I*V$}K\ b.sɿ`_h^Dn_-—,vG x9}`O;J Uoܹ8/ZWd2ڥmWA{aD_@7dS1Gl\0WBlJ2"p_ / yeMd@'֕4B':o.-}G>@6>] 5I<'~GGC&] ɞ=RρV#|3ǓY#||fҭOR;Α?}ô0E etu97|.˟P+^KQ7|!SMr8V1pضmVecIbOpE`h-2gzG1LMϟu0DGQ: endstream endobj 11478 0 obj << /Annots [11479 0 R 11483 0 R] /BleedBox [0 0 612 792] /Contents [11484 0 R 11480 0 R 11481 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27043 11482 0 R >> >> /Type /Page >> endobj 11479 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11480 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11481 0 obj << /Length 19 >> stream q /Iabc27043 Do Q endstream endobj 11482 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27044 20830 0 R /Gabc27045 20835 0 R >> /Font << /Fabc27046 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;7o77Z fڿc}Lhj[ߕ9p: 橷൫bOvջSp<I e!Lj;|/(q*e2r1""$oX*w19W䝒 jE3DTZĀ0dD@M(Ͼ=9] QRc7uc.@Jhx!(߄|j.P3Yu'\YI wRuf[l{L̇h]}ɖDVrk|\e8>϶g55ۏFx|1$ɾ d_ EA2T>eN9 &K.ADS0'rUqHB>W!KQN>% tweBԡ1 g^B9Ăbwo endstream endobj 11483 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1001) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11484 0 obj << /Filter /FlateDecode /Length 4603 >> stream x\I$9W)EJTYمm%SÀP7a>oK.#LvgZE',_-N/-IcU,_Ԟi(ɵ+Y҅ yOYhDtc4dJ%gi4?UҺ |Glo°2.'0U<4S@䜴HRƋȭ-c4P? qy70$Lҫ2Ssmm~$rz?a\ 6^@;Y`{+*"Fr9g⎓w^D)q@EQ<=F"k$=k}9`@BޔӮ>U46@bEjZz1d~oߡZ>5>@4o˟i O(eˢ3<0e~(] emԙ/}K Be |÷Wp\_D Cs($r>sƀקH88oE[cddd(-(| NgX6;۾lC4}xa/ӰpOh̔u[14>LRB*ee$H ) Ee*\ 22*6:WP'nyֱcOZ/1ҷ[{dYL7>o%KD۴/tvv.j.`XɁ#r 9vD[6fau3L94wOh$F]qi]ԫqֵzd 7eM׃0.|¨?A@߁;pCNϡ ևb~}tNdSJVsmx[Pl,KhڳY%#65Rj! 6@0ǸH!8 7!N7>&2" Қ&ٝOL˧k,A耭-&ȂuZfҺw5ZC2i,Ih,BFYAЋ 3 8h5kgKg: +7.o?ir~-w'C$~hfqyݱek7rD)Jxz"| FًW A*j> UBd40(uH7Մ UL2+]+Ԯdň8]}a.x?Uf1IuU YK4#M aE`]%B92!h_#)m"u!+4S{U#l78:A'PQi3ƀ5cѾ-Wu1Ilfa]=J&U,eVjQ2N9ZU!=}SQٯdL|L_kK:Il<}YXgܠjCiA{ss0`OyoI1j4SaŞU܀Ak_<+Ňmn`2v۞~Vc) wqrWAMu@Ђ4 H 7%;wCU { )d1LJ1s[e۰hԙ:6/Ki) #]6#ز F5kU,PP9a7Zr;(׬}]nWLeN-1MXS>T!J]3ł 6ƄxCVjf5hZh h3 *~弋H ~!S ta`kҸ7}l/[.դ{.zG5haPkyM,5@ vѬ;iPcZQZQ6^O!{rnt9M0!M_>KS1>.pAW}8 H8î^ch>tC7sÙ$=ħ' Zu?ZdӴٷB#%, \&!k,oS\N*<a;F䨏}6K^ i=yoCCDB u)ֱ Av,cѶ="ѕ[ŷOخ ,3ڸX'o=#p\)o5E}<|&]Ӈ9j{/v wҕx]~cVhbGPF䔕1rYAOƩptXMgNm=fe촴x2PۿhgLl8QDuhaq;C8>Mu6*E:whP|Pxd.n&: Ab<<>+#е<]a?r|[,!qs<٭=uo.vkoRAt^g:dwwz)GiMAvkGN-MtW݆ɖ\͍i)1nI,3Rwu-0KaJl*;T]u mfQQsay77 1: 9Nnc ';=ڴ.=x_=zka0z"gm=[yvVK2L V|l)xU`\sPu6=Ƣ7o*8ܐ5s`a V},{}Pz[i5f{M72㎁/wno=yKec][Hn\nu7?41W9ɤ5ː=1{ҋ_{?Z4;<*㍶ I괾OVΎg^R|h2b@u퀟3(RƳ8t^kdO]x{Pc|ur G@ rY{xޛD@& Ai6 I( 5yp6.j¯b+L|1I WIx xW|!y Vb5n%W҂HC {Lâ\lG<<4xs+5]:t@=t^-No{Xxqʒo \|/ӗrt->)E&g9tqh,,HLj".*ݘ{.:L'QC̚SpQ_e K1H بW2q/˟?h5}%7D:G[ Uay[(xV(qp0EӰ_ RM,/Tty5g4ttUyB#ŃkIǽ+K ]$·]rT%HT)b_Sũ G#_p.6j-}-%b|R _SJ4`z5UJ6v13-i)JK-wT︼݋HvF΋G֊+S*Qs~,؋u֟:L<i/q.|mr+#yѧ* ? &u߂gaf~c 1Vi&3h"t{8Ttʗ 5ݎ*T$&yv\J82&p+[tm-G׶Jw >9?sDV|cҎ֋#Y&HFvm 4kz\;Na0U).oDc&>>6> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27062 11491 0 R >> >> /Type /Page >> endobj 11486 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11487 0 obj [11486 0 R 11488 0 R 11492 0 R] endobj 11488 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 243.5731 146.4737 254.5731] /Subtype /Link /Type /Annot >> endobj 11489 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11490 0 obj << /Length 19 >> stream q /Iabc27062 Do Q endstream endobj 11491 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27063 20830 0 R /Gabc27064 20835 0 R >> /Font << /Fabc27065 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11493 0 obj << /Filter /FlateDecode /Length 3469 >> stream xɎcίـ 4В 栁&AOſM cD>b9W? I_ySYNIvzySL/]ㅔ+)tQh<<;)/~k)<b2f*Z*e/{%UN//?ʔ>Is iڷ6oau[wh9I\}qa^ Bj]&|&++|U, ~ 0քon}q< . z܈>]i{䱔m`P ;3*{V%<+r̀ kDeQ"P$@v.|eWӕb0X./huEc_p#Q&=~T`H}^h3[u: rsyaq[mZT]"iE"GYv"Iq+#Caٚ[{jOmb7'y1ZlR{N Dܕ9+2)Y$}| ֍Wv 8_|z+[w5EALclD@ڭ>N6qq=TKN-JlN7C$dڈBJ%",p@E\eҐuot| U1챫MdfG=DmD4눚(xĶv\gw:~nJaYtMLyeF.ssi~tdir Pn+W[ܽ4n uǤw -x+{f9 @d$0 ;xHd} LJ .}e>&|NjԚ~]׮tAwzAo jQ|QF`OoPD XY,(LtNA QGGne9a8+eNך9G 8TֳkcQuCDeeD[N?_(~y_RELhso_~?a9)<ZSεfI2B9`Fߎ=Io@!%*bDZgߢ9>BkHp%?R ʔu7rNw EUU6wY%㬒x=MpI#`LlB.8Gp Aa /2GxQ͵ gRED*Z\+T2S11 W(k&yOfuK;f% EjD=g0Yv&zru[[ 4,;Z2E 8vv (-ЂX2UTI"KwMtm=XiW|P6EKMd~oTsJz9-5Ƽli}oZ>;ma:fFT"K*VL &bw)bXIic;=MO=7N$fUOGfg;[<m=j~?i}i67) IS)<~HF0~רy& M4Jzؾ'_82?J׫5ߊ$nubmUŬ {Mr%UykqV#-H13ie\{O4fS /J\<C_ @:DOTh10ty; &E9t yTP7VC.NiC;*f:,*i׬7ufNŃ |ny 7 hi&>ġqjus))ژ?bHܳJ!3V@nXjT}W>1͝ tzb_#ʍ!(r͟ ?QX˞ -D'%$\OZբɠ{84;6^p^vzizNڷ9S9ON-ô2{evFd4@EG thiϪ5s>Ӻ_v<ղS<%@.f3>J'O;B': ~>+0sM;rg+;ϫ;-8ǫ4FP1܇ #|}ŷ <>$|АN fp1ۚa ( Ã/{<o6S$ۥ-b&ph b6LH6lBzTlxZc]ҬDʺ R<;r}t:[F{M"QIsܜԎ3{fM忇QSWjdPbO꒰r"K¿4- 989Ŀu VUx覡,/PdO@~" R<[XF(o2=*QP!^i5CA##G앀m{yQFa^cKeg|&ND6:k!.C˄C K捔Ɵߑ}v"MX7:fxBLö.ωQn0 u}]wd)ZALLʱWŦ9'є<ٺ X5x\?H}O~O(u&LjT>{G2 MQ}|7\wq6ᕪAu9;<##SXʗ^iL=˻_x{}/TYXi Zm Jlܱ00/&5 ( endstream endobj 11494 0 obj << /Annots 11496 0 R /BleedBox [0 0 612 792] /Contents [11503 0 R 11499 0 R 11500 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27081 11501 0 R >> >> /Type /Page >> endobj 11495 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11496 0 obj [11495 0 R 11497 0 R 11498 0 R 11502 0 R] endobj 11497 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 299.125 124.1605 310.125] /Subtype /Link /Type /Annot >> endobj 11498 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 299.125 162.6715 310.125] /Subtype /Link /Type /Annot >> endobj 11499 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11500 0 obj << /Length 19 >> stream q /Iabc27081 Do Q endstream endobj 11501 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27082 20830 0 R /Gabc27083 20835 0 R >> /Font << /Fabc27084 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11503 0 obj << /Filter /FlateDecode /Length 4502 >> stream x}{0;6nʅ L2Ɉ`l AjNX_u:-Vwu~} YՇe i]zgLx'g-ۛko^*u}e(VS_W :9 3ET͒JOf1Ζd~RN!0+_x^y>-@X):e5{Y<_ Zy{EK4-e8~o{#5nR3>bk/{-'שYc*DDT U9.f ] &!X&S@DeIyC-"V.0YK #?eXIO_/(!@:hY|y)e-sK@>JpӯP?@#H (}</'c_^F hH hKZMU2AJ<@֪/y~fS0zCxY2*ާ'\A[3oeq q[쐗>غ 9 E*S4:]LN1CgP8(ڟ6ƍ~-ٯ))Q~Srӡz:g:t ȀʽeH0TrWxxqG\Ƽ.6s9OduY&1Y\qXp To+[;U&8Tvb-ѧ ֥a4?v5!c!ǎ_ R ECU5)P'kpXlx&0s'z23AeU CbGLй0--W Aۨg'b̫ M)Tr)_yԎYvϪb1Ddg xw"/ƙB1SvVd) EjjGpT$03Ne#I ~POv_bhwLjgO20Y@4 $C4-UhX#L#DRE{G͍.3rD_* $ AG\ֺvst.X>6MH&^ѸRYahM)ld N4i9=hT%NZ/Y *>LZ9Vs9042٨X(Fnv)?2 t3rѶGDte${dwP3S6^WP?EA| Duy3lcN]gN._YJiwvdsiNtͮި9aFKuڴ\ kP'&ze~@N#uTGy0=>Ggg J =BMa u~ɳF@,GZqwL%4oˡ܁Z[;'4*/)۪+Tm7ߡ)n3:q[ዀ+Emi/" XPd X| ƒ }JKE~6n}*޵_G"aYDqK8UPo? NX:CQC PH0|q~̒v][Lv թT*'be:NTz#֗ͅ a l(^:P$8aMJIQ0|5 ){>ŊߵänLWxv1 jLPLCC*ak%K"Ncr`E=¹6!5UCQ]+봗2?QSjp%| UsivaAӒI2i0u?~:-靈yzgٌ7#sݽz*1ƚٺt2PFy-}v)/p].-Ae xVrTƄK֞WS{9`6.ѧ1k"HԲ]Ŧ ~;I1dh($<+3myܞt^ tUc 5( fM門㔵I\(kkngTlSƫj뙷7o>SR`uWV_VZԬkntAqS9GsN@Kh ^̥ 'p _nQUrj2}hCP5rANʆy,X#ISw kʶdK`t-FP6꺩5eV*k.il}tmI y w3C_Mlmzś6{],|"6$ n#^/ݿZ-P9},_ UXh oDc`}3 b5}uu >SmJ)OQ>O3jkm<#x`?N6-v]EJY__ֵDl¸b2Vd:Y\Tk<(,+]^+3)AfPb4+gF;NAQ Z Z ܮ-*WJ9ƬDOi>T+$kjR/Zg'ɬ8S HBFGNeiv1/Ȼ"`}1qV`\8ɧ2*D5|e*NBGZ/8>7)@0+3[+8@Om<%)U-efXY,/x}LG,{WdTJVlTcɯ]*`YtEp&#EՇ*~Sxo*E oEl3D_惨027fl>w?7bp6+,GO? \o,:eaJP/5rU7_јo+^AGc_(*so#`X d6 x(X yr#Gy&ZG~G{n`8g "`MSpFUK )?HzJ#8g/UsG:;sv,]b7 X_wrp4k}Nqq:ц[cry}wa8> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27100 11513 0 R >> >> /Type /Page >> endobj 11505 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11506 0 obj [11505 0 R 11507 0 R 11508 0 R 11509 0 R 11510 0 R 11514 0 R] endobj 11507 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 263.05 146.4737 274.05] /Subtype /Link /Type /Annot >> endobj 11508 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 246.85 148.0577 257.85] /Subtype /Link /Type /Annot >> endobj 11509 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 230.65 180.3482 241.65] /Subtype /Link /Type /Annot >> endobj 11510 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20211013063105-08'00') /Rect [104.1732 214.45 139.2412 225.45] /Subtype /Link /Type /Annot >> endobj 11511 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11512 0 obj << /Length 19 >> stream q /Iabc27100 Do Q endstream endobj 11513 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27101 20830 0 R /Gabc27102 20835 0 R >> /Font << /Fabc27103 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBhš;[TqݙVUjj7ze_Vp8جax} -} |ﻒ0Nˠaz^>17^NT$MZ\1h!9;Pьb `6P\0&U$c"KS¯"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG ͼOѯꊏM٧j gV#ج$VM^RuTkcb:txzɓ#-IUrm.\fy4(//d"Xm뜡5ڟAKiܝBj2gԜΘ9cDA^zv,Q) )evgP(y ?`E W۾{w endstream endobj 11514 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1004) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11515 0 obj << /Filter /FlateDecode /Length 3594 >> stream xڭn$ί賁i4#@n`䴉ދ?⫻G;FodUXoR˟Y4W*vu}f ~4uy]~~1,.Z5谼~=kOOZ+X CɎ^}y}PN&ڨ5~&Ym#e`Yи7@b L1U`JkKod6rtfVs f.Ov0Ս}-w`IMޣmPȞ !5ԥ$VG]b\TyWN֭ `E8 ,hr뀉ȔA  Ff/?ղPY#aEoDT;jGi Nµuz45)0Csw5y2 6Sv$ӾQV):0h{ 6Ǣ+Ӌƕ|hG!eFaQ<{7{ c)TS").4jr1پ3 xU[0ʠ` $;4vUO~{wJM"~H%FjJpOlMV̶mRmzF50|Ȝ&]FGK^M[U5F4A$.HXZހ 0f Q\zA^8y>i?fVpLk8~"d!H}`䠓=Vd䭽'Xd,v&}8V_,g Chv`DV >91e6ֱF5zGn/ඁG))8tՍ4zxڈ4Ҽ(!Hg\-xx#jZS}dž[ PM&Q>ZTE! -?g봭NՆ"ZKM/am!Mw fuI &6kka`MepWh*hw [E\E 2@.>2yHM>6A\etZ1S=ϲi;ZfW[,,G>i,Ԏ~!3et|Y{WHPY~>N>Re)AqҶ}lk,5fX}+]6w QZp!wS^$!"Hf;/x^N-M4[Eyb(CV?hkM،Nl ib'1!}G>ɟ1G9ǑSu'; b:'@PbwwAѮc!b"h&Uzv6z˝?0֐̞[ۿZ~Mkꓞ>'aWnu_~u%,ENJb+~{~! _~X XK+Vֻ ?^D^Wk3"kR.7S+7#Ua}E\x`Wn\ʹ!qC|R9L0GH|i.x)ro3m!IÝ)iۏ! 'W\9F:jj2@( -1I.Fu=YRI2>C%f=8J](8ZnЋ{rdRg?-3XFM h$hw1il',W /WC%3ed VoҝwXXsYA\BʠihE]૰k6pV&)W{ʗ)Oī-'Qz]ub@=U aZ;:/g;kYq1qӑLv\^4k!;1pmUo lPJMB/\f˰y9G+ h*6E\gA'5X&A_s 5=+cih nJUBºv d2}ouiTp)]I<rǞ#IU.?BR(۵U`pѮk$W/(^FI h( q}g6* `2<+2K<@D@D|Mp]bǥ7x7N)[> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27119 11522 0 R >> >> /Type /Page >> endobj 11517 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11518 0 obj [11517 0 R 11519 0 R 11523 0 R] endobj 11519 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 360.325 124.1605 371.325] /Subtype /Link /Type /Annot >> endobj 11520 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11521 0 obj << /Length 19 >> stream q /Iabc27119 Do Q endstream endobj 11522 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27120 20830 0 R /Gabc27121 20835 0 R >> /Font << /Fabc27122 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%&thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMO endstream endobj 11523 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1005) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11524 0 obj << /Filter /FlateDecode /Length 4213 >> stream xڵ\Ko$7Wl|3 TRˀoŜz //2Zv)LfD0" ZVwK.N{ѵjп1hgӟ>,9Ӌc>9wѴ;\J4N❭lAn Q':9 5E/T͒ bΖd)鯬''c\:%XeK+\ӽ1~XN^ຮʆ~ TՐ/BcM)y,U_SM-+韨gϤV?۝jÓ+KJ{~'4 xU2h)!Z_>m]ƲMǿ诨_`R|ԂM̬u)%uKXA?~N?@A{H|m=>vxL:P?H|C%7bXߐ8*n|!Cr}z V:P5mΎOy[ZN22_}/}꾨2.!\Eغ Q,6HTtζgke\ŸĒ'o3k Q3`[VSve nFnIƗG[(Kep =P{pn0%<4 έgnqT<;8QT1̙EsJl bN4aдdF+uHY๡osiWc!8SUl mU@`v0PP|:fՕ]vE]}mW]CDj%*ýJ8 ׀.6冁DC9r=Tn-]cn.KAgMwˠf;j# srmB]!'D\7(*p^v^]$wA"/%Q x`!?ҏʤ3ŕ2@D =F:s^ڋdJ_L;zK)^fa|u<`  }f>;J|Ǿwtc)eˤ7u0*R_x +cu}cFuyYK#9i 5 ڨݽ"vU Wܤ)k,m_xC˿s 4ʼn=},@ږ>I4H^d@,Xk,h^Pd b3TZk.6BO4 N{Y= SbG>ek84cIJW2Ը׸2*|=<а :J%tU,TeaaE ]*Ax;ߩȂzY55{m4_k+g`"4TՋ_J65kipbSa\ْ*z@3=4$ r-aR}:2[ҍ".σ+m<9#w o_a #*]e:™2+.*U'v M  K"!*KZct[[ JLR[f#͊M,RY:97ٙ(EjiJX._+ՄC9:V* u:+YCE uA{GuyŤSpz3@q4HEu8ԢM] 0v"[X#7oG~q;X ͌GmȂ[Xžj$s8ujlrB:+ c1u^+ NJ=Xq@+B*^54^:I@( 'pR3gI pҟ'28O,>Nc?'GO9p~ᝀacv@ؘ^F@f ˬ)m@$*H:  @ J*t=(Pb+(z7!r|]SȀ0v Qc:JBRP|ƿ럱}!dqZ]Ry{BִV;ܸz]+^OeV=nOcwa^[ W,,~pd<`6`9kM寛؟yۚDc6A;ckjszRg>>KByKH$xupfA%ٖ~A/`_(²<iﴞ,ڜQyG.Q҃bXl"y+Ux)uK1 !ym ״Վ>'f(K~d#ֳgVXK"@~Ʋms yIs)c> +@.ޟ"g/Ɖ/*ƕ\E \mxwzdYEWx uQ|\MZr%CR`'fVGj&SCLg:55  gs$`j> :}Jve ..Ì0VрaW.>?\,q ȳd&-mLϺ]QvuEBs(@ q',VgY]2Vba߲f K0O# Yatf .VHZ M`x|6_ uH s`s/ ߌ1nc {ݭZoz%ӯt N%/YQsĿN#}t&ԣLX0ċ Pg}|niP醑 ,D5tvWKC'r h3T M6|~eJFA\F "ވtÚ1 w/pcNVjYQݹ#}-L+mu$_HWW0o2mE6nD9yJ5Y.a;IqD<%x$v1 iǣjL e۵ӀK L Щ+pø(\q¨|L٤cgp2&v7`ۉѺ#[ÝPdfY; L'>mMn`}66=(#u.5og;;MYi9(OK%ZMc(Y1Y!'+GRôjöڒR\ h Xl2y/㾏[,΋˜'} ? +ihRI+"D%L.niK%O«s+3Ȯ^:K S;=U iù(BFFu*5 >F\ӒqhK}oPDh8Dk0aͽgoHCf4Dns.*0%DND\6kiq`. VPk`1\`k+ƚ.qsIu{pu)~]Χ|K5[lM RfAì9kr]>N˸z&폋99@G5D0=4XEU0^S(FQԡ{¸;so9? ~ĥ{~z,r^ĻvϜfsXn+3*X\"<ًIck?p9~Tm~F۶S1's 'bm~Y!v\'ߪ$#:<"5LsG5N/F~' ߗ S/sڜ endstream endobj 11525 0 obj << /Annots 11527 0 R /BleedBox [0 0 612 792] /Contents [11533 0 R 11529 0 R 11530 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27138 11531 0 R >> >> /Type /Page >> endobj 11526 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11527 0 obj [11526 0 R 11528 0 R 11532 0 R] endobj 11528 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 518.7346 173.0772 529.7346] /Subtype /Link /Type /Annot >> endobj 11529 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11530 0 obj << /Length 19 >> stream q /Iabc27138 Do Q endstream endobj 11531 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27139 20830 0 R /Gabc27140 20835 0 R >> /Font << /Fabc27141 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 11532 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1006) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11533 0 obj << /Filter /FlateDecode /Length 2081 >> stream xڭYn$W<@/P@-|kCƜd e~/&3KղzlSc0mg,~gz}Τh.˿oN'k5ΚciYyz5xֵq |EâQ<\p]R>XTNQa]u8k_Mo%Bsw9\dM(mäejkmhXAJ Lhq(e] QnXVmCtVx1-)&z\ڧZ/ꄱsôgKɭax`Mv^.W#NaqYf\j>J k \DiW7--XIq(D/(B7 Yۇ{vbbp7} {Q?h3&ԓp(XѢV^Vp-->{`%fN!X6T8yWGِaPO3@W,7м c &63bDH,EaERH',XNH@V>󍷐_j(9O0DD@pEBzI\oiEt82uKH|Ⱥ XTRۧۖ I0Kh= KbC.\Fl?{wOK;RS#\a+5Գ].t]o"*@+G7邾?wĞ n6K po`e5MQ&ۣ==6[O`?-ОhFvYMS<>Mߌ]ZL^9~K@.6?/T,?eSg*ڌy)1LEv~6( K<ȉ}r3|X2'9<_Ntk~&kƧ{' Jກ4ʔDRCS)G#uxo2YCͬUI5sSyKx?Xmoo}u|S.vMgq H9JCCO (Пش 3" yN짝f_O5cα&5t JD?c샖|Y;bl > lE$;d9JLܡXZ _R,Wi⫉o`R$ a^ JBڋs3J]:"0 [YO:-;},|z[;[͟P^fٍӇ^ma 8?53-Ӟh^iu0R#3јE^i)F(!}s-k8%ncl"x-m[,kyD^|v2g% eڴدi]"Qc1357`Dھ S4z)P,Vk H %{m=N{R-Rom'nYKc$;q崛aSҘ{vUv3iu@PV1>&A|ffU)w(l!uzh0;GM4k$+6=^7d/K*gYGu

)bdbDZ Lϖ^P+zM%Czȧij:,I'k\~SM!H;J;$T][ x~OH][wO NqH" ГZzP\_Am^9T- endstream endobj 11534 0 obj << /Annots 11536 0 R /BleedBox [0 0 612 792] /Contents [11542 0 R 11538 0 R 11539 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27157 11540 0 R >> >> /Type /Page >> endobj 11535 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11536 0 obj [11535 0 R 11537 0 R 11541 0 R] endobj 11537 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 369.525 124.1605 380.525] /Subtype /Link /Type /Annot >> endobj 11538 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11539 0 obj << /Length 19 >> stream q /Iabc27157 Do Q endstream endobj 11540 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27158 20830 0 R /Gabc27159 20835 0 R >> /Font << /Fabc27160 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫Jӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%NS~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11542 0 obj << /Filter /FlateDecode /Length 4313 >> stream xڭ\Io% W<Ѿ?/̭'rrd&ݗᢵ=yJ(EUQ#I-;%f#Zw2iR_,}rhպ%|~QʓQpUδ;+],u?=㝞zҭ|]>>o/2z2Ez.WWET.rGjQVWs߄? Jo J=%甓yW!h}+#\ýR ~PVP6wp\"fBPCk2_B#Ũ 0/U.:v+[0hI )J,I9M &nT{^@X<Ŧ4v="ЖILa2'e uw" IDnE5q,Zg%c-KBGlh?cM-yb~KZKXA~M!p`FjsMfohpzf>t'Ӄ @fϟ`6gs+{%CE|?xe 4QU:T#Цr[=avq͈3􈌜!b3mΆum\1Ւ0<j8\$qLإŹk A:QX ,ÁY ܩ|*6syba+1,n Z?zT@:[=yB6vW#)Ы6Z-lk[⴩iɆDo+[CX*ºW2ĚP Žδ`iK!8'ڂ|g(H+0x~aJ>Qj; <ۻåĂ 4pmxo-k=k^./4э[ ibҞ]  4@V/}mX'!;K#5750,re\ O$.1q:v@H=3܈BB a ZHҴ!(2R xWV́Ht'Lºu8u+XũUHgjH]q#7h$ fھ,Gjtn;?Sizhf@N&~zbC )?o/L]9ӧ`zY_`/zZ,p>TFBJX^ZSO?]^emLI@  E!)B U{ TLs &(Bi{W 5 ӭ@)SIks UyR;48M(_8b( HIה@ FknA 9?F&qu!jv3QϘ:#P7ݐI+VHp(*`$ hi6'r:s]luD0.l]Q%łY9EInZSIV7Dzh+6m5cm<n1.*i%X2T` z1BHf]RWrrT&ƤhEŘG0gRnak=1X9mIz?KNnҳh(l(67}Wtr ?WLD kCmCIt>N/Z:E0m 8X*fTΜCf\&WE `HJƹ eE`:܁ǒoy,"^\(Bq8-qx7, VNY봯܃ˏBXj 7|A*T F0Qpc|XK\d\lhfv%t=x>*VP_Lj.q4,pٞ Ďoh!W+9tGɜfpZ΂kiHZZx-ت |ZRۉ`!ʩl..n /Cl>b `uvD='# e_ 3<^Nv(f2&-)ȷ[q%[4܃,~G 0 ,74ǯWEU0(\ォ5~f*NYDȹ.Ucp dFYIYPNS>;2|odqwEJGJޜ'.x/e]nTWa3]V\ ,|^S!iqNX||s./; fE4;7GbkAuO=.Qg8 _MAvz=&8e>Mn.ߦ;kxT#8h4&Qj]_T!T8mzQiaa-$bmWkOT}<Sb-Bf1=ZXezv)j]}f5qE`e|ٛDE%L=ESDZLp>Y~]_ 5v+ ]Ӌ5O\tP1;t l:NEsAHOD ~EQ?WKZ,]Z©\z"Qb(uZ eJE{QXE?/S1M@V|8^Hq^v2m7APC,l􌽘2'@Jbsʆ_t@WCƹdm؞z1Fe+9M [W|317S1OSΜѺ§NSVIΦ*sD GN!:F 'VLԮg({='|}z_/r˚vVϏs."*e8 k ~ȇ\Z={gaK١ Qfr碎t۔xˡzžSKs0]`(Fgh%> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27176 11549 0 R >> >> /Type /Page >> endobj 11544 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11545 0 obj [11544 0 R 11546 0 R 11550 0 R] endobj 11546 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 387.773 173.0772 398.773] /Subtype /Link /Type /Annot >> endobj 11547 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11548 0 obj << /Length 19 >> stream q /Iabc27176 Do Q endstream endobj 11549 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27177 20830 0 R /Gabc27178 20835 0 R >> /Font << /Fabc27179 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%-ЎYzrv"ir\1h!9wr ģ$V-l!`aL4ECID_E+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI ]v4Lm)1:}ɓ#-IUrm.\gy4t//d"Xl˜5_@KiܟBj2gԜo.9cDA ^zv,Q9 )evP(y ?`E ۾{wKP endstream endobj 11550 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1008) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11551 0 obj << /Filter /FlateDecode /Length 2577 >> stream xڭj$_JǾ@QPj6̜14кmdVZ"(][mo\ۜ1bqۯv-m f_z6G‡|:_a(ʙ%VアcA?;J`PNA;CxigeggES6 a<$,D6Tu'_ g@*e/tm I.م76eQioj}Xo6N!/)RhPdL|BhT[j6nPǑ->doj:K3С7.V#"zL͖Iԥ/iFXMwhv/Fc !Pց z1Vuٴ+Q%7M4 ZllB(9hu/ƩU~n0o|]o}}SX/@ j%N \rzJHؖMѾ.5K/rt“W1yю؁V0e Bݫz Eiqm]- vˇ׶C Z0V$oZ=e@w\B$]oSH5ݶpJ>Jms @n)NQ4κ}!-뒒l[ƁG8f=xΧl4sxL5T"muLͲ5WS0RCS~kX[U{&+H5f>H#( L@x׏G݋pj9<*1]Y:>.*N>NQֿ;%WwUo{ KY}*hB_r,&4ι`ΕRZ|F/V1h)M%TlYCccy効I,Ok M"嬸Jd3å# /{f#թM.xHZ 6#{O4А1HiAinR}]Z*f,u"QORjVFPYE]^~\'_o劽"[Ws"B!K:XTIr_}^O{XUloxĶ ξc|ک1ๆ(@/Yǰr}Uk!pw9ү-" \Bf [BfKQwò"OQ+W*H)`! vhS/teng&fBߋ37{ee]&Q5:44r2+6K2O >QZM7+2B}Oz xvTP4DW &(m{OskXY7ng8cb9=!Ѡ /iQ9Y'A9J/]o.)^VbWfXg/8Fd'2䝒jH!H_.6WYN,' 1˭g Xٵʂ}Āb k.[U#x oރ7g)?t? Qh|>ZRZJ t`#*a9/t9]<+^}dv̤w2 FsgwxTPAKQ#{-낍Ol=l<kʉ'^ ]yrk$bGP#s_Bu07fVI sXͣ?{R'>$ Pnfh`Xs`g0z#"o40t%## endstream endobj 11552 0 obj << /Annots 11554 0 R /BleedBox [0 0 612 792] /Contents [11560 0 R 11556 0 R 11557 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27195 11558 0 R >> >> /Type /Page >> endobj 11553 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11554 0 obj [11553 0 R 11555 0 R 11559 0 R] endobj 11555 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 360.325 124.1605 371.325] /Subtype /Link /Type /Annot >> endobj 11556 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11557 0 obj << /Length 19 >> stream q /Iabc27195 Do Q endstream endobj 11558 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27196 20830 0 R /Gabc27197 20835 0 R >> /Font << /Fabc27198 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`%O}:c֯޽:H/ jcBrt~AG31H[&##((BBq˜Ti,M $WJ2%ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 <~UW<7!fZ5Y}S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwoQ endstream endobj 11559 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1009) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11560 0 obj << /Filter /FlateDecode /Length 4173 >> stream xڭ\Io6W੹S ~^sL2t_Om$n,ŪEM[m/yqM:cг*CSW}rH7c>8w;_\JwRvF\"{_u4X_7mL[쒬WYhCQ{mQ8- Pqe toLvp}k1}zr|vp[e ?HA^_$-S6ND) i ?&oJyp)%=ࡋL[/%Dr~[{`)k,ۤ[bRʝaYe<%{n 3ܿD8Q? G `,ۢ$sE|z?d769u=7Y৐ӻ9yD qu@96GG6گ]4S}S%(40Om&2Ẻj3\y6~9'>x;9Y ^uEF$4pn}N`2# p@ HaM HGخ`BԡзXlhwn^nUMs}j/m|v82y}2[]@]v߭}V/k 5;; ( 4XqXpTk*k'kr{͆bWY0y>W3ʡkv(P_W<g6Eex),P5n@ado7T̞Ae˶7Ž&v/1ez{m*'뚗+u Ml<0`3h7@?w@:p!nRKD &D8:8%-`׉wW"}~Pk!S..-dɐ<l5w&xJ pqtȘ7ʼzfa˨'z\+pEi<ڮǢ0QpػGnػWnႸXrpKn0I3>Rx5X[Wx yn"W{eYEA YFϽ*-5' Da$j`6{dfح4}IBU$xPGT8 _F*VLha=ZZH:[JTx7aiE S ]*xꛑjߌHCj#iRmRjhvcN׉PE.|LIYJ&c̰Ȗ%.kG=o)L ۋHh lFQy/MioRܙʒco0B9u F Y`ޣEX#y,CrR5bgѿ\9EqaZĥPriO"Bŧ V\5Wc=͂M,RU:ݷ7v:Qf2RGXLT|Z"7.iXޯdvM/BX* Zk +#5s׫ +I*BPj6vrj<ۈa\ a) m`U27vӬSװum`B,U=yi}ƢO`1T̺3uQ>[[C=֒ n:s}y쭞/lJL)sX}d|li(tfKhd]_gwĝbw|o$𺡨SCeÝ{zb{ﭵ>>sBy=;H~vDk+9S'W ,7r`Zï>2 <&1a`LMoHTkac7-2s2yȏ)9?r)[_MbV.FcO7fYłH٨ [w2&Bَmx+P^.d$T 4XjI䩮1n}*rЏlMr֛ IQM;?56:ÍvQcX++T܂ˢpopy9eꧠ{@ogMaS/k;ȺzX =ޙ”fG۬j~N)yb!R5풎U ,Iq@c曷+ 'x`W$5 ;߹6NEG+NL%^@}VPhThcK$T'0KĆ?Gn|6/>3<2 ማuqKw*{  65ȦFЩGLYw-nC/ DC ܣ]uu#mi`削ipmv7wy:$6cm:DgN /O$fJ.(0='L,XtS@KZ֟*R>gRؐ>/L+fm%FR/}g(wƭCl_@?CsjE7vU} HfaTÊ{b_6T e2wTvRBMp4e}m0Uͣ,WHEf4Dn5s.:* E"N6~ .;[94 F\sIpVt+L5.g`K+qcI Gku)vbMS56-h.3%bS?/h.VIԔ7RgM88CskBGG 7hvpR^>1ǞZ.pG3'x()sq69Բd)J8wNHIz I=1^8㶘,9|"n);72ܑ-7%ZSZwLXnE$^:UWjbIl2y/܊v֞P\a%;N/,q.VHqQ62ڭmW۩AQØc,^m/t/&pa8gfyw4Tۼ!=o ǿ0~62|9]r̝>ROr$ ,,gnxs&G!:Kw\c%LzԡG>&ͽtGbKGa >Q~}DT[pD#"`w|z;vfF֞#%'utI=v G/gaz%ߪ$-xČփk=2~7"; vyy d9 endstream endobj 11561 0 obj << /Annots 11563 0 R /BleedBox [0 0 612 792] /Contents [11569 0 R 11565 0 R 11566 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27214 11567 0 R >> >> /Type /Page >> endobj 11562 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11563 0 obj [11562 0 R 11564 0 R 11568 0 R] endobj 11564 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 518.4384 173.0772 529.4384] /Subtype /Link /Type /Annot >> endobj 11565 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11566 0 obj << /Length 19 >> stream q /Iabc27214 Do Q endstream endobj 11567 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27215 20830 0 R /Gabc27216 20835 0 R >> /Font << /Fabc27217 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`eЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 11568 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1010) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11569 0 obj << /Filter /FlateDecode /Length 1961 >> stream xڭXIk%7WhE[M0rr2s`|Omj{Ʉnw$Y;mwWi^t:lIԐxyֵv >>,||N.(oMj'B~FYWa5O֦NWiArg9$vJ"Ujkmh [JLpr(e]QNq$Op[x'љ?&`dN9M} @Ɵ5[+(N7Z4qx var9-㜳>% YPJ:-,Mʹ#E( _T}i}꾇юeȔvفhPg,O‡ ֛}_= q&,+r"jH<Y<ޒdl⹭齹9p :x1ёyc΄T4~0 ?eJ{kDy bl!` 􃆴1;FSq]r".my m7)Ma}.Mm<7ed>.>_(ᎁu^(d—GȞB/]F&2U_u {7(dt"3SeF -rLО̪kɼ=\<y\'!5ϴ5n)t$?^jJ#W+I'ܐ?L)-J6l%kHb1LHE 1PB7cb~M-"0m_ٖ^ā:B&SMQW1@\?BLy*Aр CÀt+kEλS3w`Ȼ{|fQ^*wD7^ RxεbM~S=fsP[%v-үH AGaјmR0RݥlZ7Q7x)b}!XQVSX_(qiUAX6ywUeؑ *M[` Ri[!UmpģU%$夙`@C/63/XH+(Y|">LNb&fU(\PYG1HW`t(kh5X9qT[ LdMS%L yJ=veiAnx&V!xyeYrۢce yF 1 or\Ey'GyL!}2&j/>znb.-J];dC +i]0-S- ռTԗ"u%x$o#A>(>lFT* sɘn[PG굴अ~,gR;$wt֑;0oժ7.x"$3\dSq-wV@ <)R|aXxɓq4(^)o+i(Сo|p![^ :p9s&Zj>{x|{62x6 Bg&sgW~;TP'#zLSzzQwIɑPB\sMe"mOzRen3<$q]l݅rqNf#K>эZz?<9QdQc endstream endobj 11570 0 obj << /Annots 11572 0 R /BleedBox [0 0 612 792] /Contents [11578 0 R 11574 0 R 11575 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27233 11576 0 R >> >> /Type /Page >> endobj 11571 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11572 0 obj [11571 0 R 11573 0 R 11577 0 R] endobj 11573 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 11574 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11575 0 obj << /Length 19 >> stream q /Iabc27233 Do Q endstream endobj 11576 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27234 20830 0 R /Gabc27235 20835 0 R >> /Font << /Fabc27236 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫Eӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽?$X endstream endobj 11577 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1011) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11578 0 obj << /Filter /FlateDecode /Length 3895 >> stream x[n$WY@s* ntO#KA[nU20fUTDdD]VwG^K1bT'ǠO0吲O/cVh[HfηJJs7ɺֳX&cҋḯ[O~xRߨ:^<< O6SZf(2gx:$Y9,4>>}%-Ye&&{u9{e9{:Ve6 Gw"2 !nTj:5pP˔ c=69р<%Dr{~SS֘I'u RʝZз;bPn 3uh9HzC fx&1GgleJr2=Jcv3%w`T42ԏ&Gf 7Y[<~ B.#{ jY6aNDyH==_RLŭvStI qk(YK7>~'9%(Pa3BJLZ\"QwI=,BUVc7"QEb]~#0q8dN笙P_P71ɱ8yj"t iw 4o(v'J(n]@/JICY ^ Շ6k`˟^nؑ(=9^e7/\K%^@%㻣QZI\ײ\e6Vi!d̓N;FQ)D\Wݪugb+;1("9,41 FRc YKDXIFj㗵VZ9 ޤW+L C=RZDFO(j䙩uJA |{xOh1*]kθ5?3zGlL:f&6:!d6Եita%T`1:yn>1!8`/4 (!Ozڳ %v<EF .>C,a_:LZNG!!/6{:9LӧTq(ZV?NT:RVp8 _Z2nBh8`C TBg+PB5zM&z!j&mT NhNo):ijTy^}V+*%Gqg7W[tL*z s?fIuRl>3"[rTPK4rBS@MV["f(=ym/h/ܹʓc0B5Y/so|# ,0P&GpɊ˝LՈCSg )CRRI1w?ed&[&JR{:=:K޳ycGfb2k"Q% ,E9/!~7ue4aPgk7Tj ,Wgphz m)]U\};] Ա]®`aua:X n5Sfd`p(V7UfV  Eʸ0PD͊NNStNn 'ze.8% ^z >f ) 48\>\^Ua4.a)1v+d,_ovm}2~apNU( #Qq@!H}ֹٟOuV˲z 4f`fK, Kݼܵ^dEz\ާ v[=b[nb{jq,{ZRw`VqI,3YƲaPN|~|7~dGlGaﷻ}Xi NpwМ-;{{2i}xMD]DC$([/UW>W9@pX %݆=>a'wBxhog~*P\Un3NjEhg tk픟[н"wPw.w*6gfGa}LX6[V  o_/alxPX E=1k{Hra?eczpyQ}Q?22pZ`\EJ""nΩdє ={mSK d߳-}\5ͱ#9mP8‘ _6B:$7GΨ֋DzodMߨo_.U ?cMw Ҕ, .0d/oAA*h $鍝jvPN~$WAʺ }jߥW !Wϵ[Gn2v7 .\~Ѽv6kӮ{`+VkF0"W18wbг61AѸz';KsrYykyo{{oƋ]b2ސ5q$x´x<!"'FCvô2Y)xIK,hO:~ڝ5vi8QHi=D\k-\ dB]h7i8C̣1$2&Ɔ}ꬲ>Pq+-R/dk~n1v+\}(mkmiImk<~W C5㡽E^bdh%=_/t mű y=|Z$XEFߞe(,\P>֝ӅEfÛڲb/a8y=a81!NoR)k1ENE@giVLDh3x*SLVnɥgϫߒPP [xhZ,55Lq tAi8u\siVePܒ6J iE#m%u8Zؗ\m%WKWk;Z]]:K ,-qE}!NDc C4X 2'=rM' b)MRIm ܿ&ݷv6³:!UC40C Dn ax?,xgm3?[AZ^]Y x,Xe2HѬi8^^bw+rzWOZJXV3&/b%lMU_P|p!E ]#P2?Af~p n'CyWDl%fȉ? fɉlPD^])Kq1X8r|𱄒z7TQrbђpWT+1~Q8Ӵ R{_q~HgQifd֜R}cc%xaF!.$I21y%Ueʥ) 5Ήj7i.TvFBF{B{;;(s~`}Q_{aef|D\?)x΋_G9"1 h]Mn [> tRﴸr%u4㡫+tܡy'Y E9zG0#{=]:4rgO{ Ꮟxc~y,r;9wCZ9ʯOWzxFT0uXp-UHL]&E>J> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27252 11589 0 R >> >> /Type /Page >> endobj 11580 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063016-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11581 0 obj [11580 0 R 11582 0 R 11583 0 R 11584 0 R 11585 0 R 11586 0 R 11590 0 R] endobj 11582 0 obj << /A << /D (unique_383) /S /GoTo >> /Border [0 0 0] /Contents (assign_bd_address) /M (D:20211013063105-08'00') /Rect [104.1732 389.4384 192.9817 400.4384] /Subtype /Link /Type /Annot >> endobj 11583 0 obj << /A << /D (unique_388) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 373.2384 199.7522 384.2384] /Subtype /Link /Type /Annot >> endobj 11584 0 obj << /A << /D (unique_404) /S /GoTo >> /Border [0 0 0] /Contents (exclude_bd_addr_seg) /M (D:20211013063105-08'00') /Rect [104.1732 357.0384 206.6382 368.0384] /Subtype /Link /Type /Annot >> endobj 11585 0 obj << /A << /D (unique_407) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_segs) /M (D:20211013063105-08'00') /Rect [104.1732 340.8384 189.8302 351.8384] /Subtype /Link /Type /Annot >> endobj 11586 0 obj << /A << /D (unique_408) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_addr_spaces) /M (D:20211013063105-08'00') /Rect [104.1732 324.6384 200.8962 335.6384] /Subtype /Link /Type /Annot >> endobj 11587 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11588 0 obj << /Length 19 >> stream q /Iabc27252 Do Q endstream endobj 11589 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27253 20830 0 R /Gabc27254 20835 0 R >> /Font << /Fabc27255 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11591 0 obj << /Filter /FlateDecode /Length 2595 >> stream xڭj$G_gCPԢ2̭O Z[&;-Bi +t:Z2umڷ5˚I7cb<+<mUZ#xss 64l1 NAyR͸4;(ZdYYUȣ栝 ttڛRIWx.bB42:Րm) #RYÀkg1\Ϡk=((ąϲ'0'<jA|J$2VĂx 4v3s?Ժ"+믈xîŻ zٰĒluq|/#U@ҎOzS%D g8 txBä]Fi$_G{֠0 taiU34q=S_D /ly?{^CD)w}r5krzT`1WEVnK)ߊPF܋b̈́13+d -CխFu[# `b#wf}-¸`oLmde+45CrPBM0!ӥ6N.%(7_d76kI9l?KbzyY+wJo,+(%,V0-V_*; ©_ F+CYkgsDk]bvݒM3;x [Hʢc+CD^qdmFK#ҡR]|<].՘НuU5w_k)P'zl9WMC~;Q/ƪ͚7?j! *~c#e]:RP3ǔ$|{` R5j7˼L " v \z!`,cW pu:K|ַ%9>a.vnwrs)tdU&r9d nJLtSlR`2XHڟxna Lw4(DkT˖i &T v̓[O7Yr*[-UXCq :8@5vN~ZuAG\W/>F=\8(5c=`BϬS1X ±c/BsGq{7/M}Uc6ud&zVr"|y3GQ e#RoX)7yu+Ƶ^<^}JRBJ!'b\\1h3O ^=#k< )1ťfb5gq=MLN//wz9f黜y4-1>Ϳ 4r~qsY@hS r-|e.$H#zA0T6X (Y̍8! ly58bƨE_: ]]] IKrFJ\Ry@U+BSI x"Gw35J|G ̓5K\P ^0^T)f.-tm~z2%wV2+>xc$w5Yo(ۥ'!V0ϲ 4xzӻ0`to<R5Fv3BFeVZ5](>Bhf8$+WϐRg img,. (kH d:ŅO:,pXbH2^ =P\nbErmKMTz_\\ļ2y^e՛ZgnR^~>rxgHkbrxw)?BD\3|i?áNHU!NuPpC:0,xeuG?5K )~*NU|[BfOQw21 OQZ 9lD+vA)cNB0#UXyH# tK'7 ztR2iGny=UOUne[V7%-E0-ex]wTUq rhdU `V|t,|M$|~U Ϭ;ꄣpW1"6[h'l0sĩoccQmJ$ x M6cx*LQ<32_>s3.Äz{L',;+1q:gxmH`#d_#uf9 !fOMqD\2\vVb3F Y/8̥n 䍖˴dϝV)ӯ +`ĪGFg'<ቫ^x>"&`h/tC7MA{lCS&HF'o d ^-'a~>gc}DOڳc#ݴ4Nr`#ުz*dWeߜgxW}dv,w, Fsg$*')uf"zCA>,;@N< kr`(bX* #Qb~R'$ PQppS>ӣAޗE><{` /D% endstream endobj 11592 0 obj << /Annots 11594 0 R /BleedBox [0 0 612 792] /Contents [11600 0 R 11596 0 R 11597 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27271 11598 0 R >> >> /Type /Page >> endobj 11593 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11594 0 obj [11593 0 R 11595 0 R 11599 0 R] endobj 11595 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 422.025 118.9685 433.025] /Subtype /Link /Type /Annot >> endobj 11596 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11597 0 obj << /Length 19 >> stream q /Iabc27271 Do Q endstream endobj 11598 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27272 20830 0 R /Gabc27273 20835 0 R >> /Font << /Fabc27274 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ethǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7ӬFo#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM1\ endstream endobj 11599 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1013) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11600 0 obj << /Filter /FlateDecode /Length 4961 >> stream xڵJx?czENvzU/ۤxgKp&G37e?f_wH1gmSsҡ':7gSν9 Lf KDNw:o H "x`goBVԜ"<)j}G36gƣh?@|&͜&,8LQSdR·9AFo^~tO@'=q6Q#}_~gliDz I ړ)Gb!vD+3mgcjoV>g)6h!ED h]E@@sAb"Oee}_?|H\iG0, RԦkTq2XrR5` 媙s$&%IJs,6I4g, YĦ VX5Vc=̪P6vWU4kgf7uvZ zGT5A%U R zGnUq)yBϝNw+]E uX{uHSgi堸rPFFN6v ki{@ZZ.javj1avjawԢhvȠBq۪́ULUG٦+]A@vOF.rWʆS'%n\쩓]tNvNvN)GI4}ZԨNWi"uRo/Q'tIH_NjW>NjN_Nרӵk7~7۫+&n>$5YMH?vɌu„*?JX-A(e%Ι(ٔp(a;XS3֦mƚ!)Yۘx9;LyN2 B x!#d9!/zp Y6{ "7pbvWXn"|69%fB8Q+c^F۳8,H/*J5¶`60h*HFu59hgPצ+uM}F.f=] ?3Vg6Bt& 8DJN͂=Ļ`qwR 'd,VjN*E8ߨH FU :%+%hqJh8LJ.i╘7֒8@:Irq{沊Tn(<*A\`*=DH:}W:A:{g3nvCpB/} ,+ mJTxU3Hݡ  BvˍnGxn *Kl'DC1kkhW2$=tmuQQjzћΥ ֛au,:mCT' g ު#DYYXϬU8 x]槛kX`M+E֛)19Yc+a^sc6``r2p򅹄2;sf߽^2ުاFeⱩcGJ/:2|| &^})ΦpUbtY:jfv޵n7z]H0f!&QAFԼ:iE1$/0F%,lXQ 5u83ޙ]1!~y+q-ݱuV@dGF@(\1]Q-jl+՚9S W7'qU'WB!\p ;޷f2]#bʏ\"@.( dg>X_Qc,= [.u26.u슞 K-AtkӜ-'T],]bn{8kYo1]D}Ytqk,&Tb\͢b*vF0a?ʲQqM7Wr9:Tw&g pKwhQܶƻӓP<H_2LU$j+6wZ 󤋾Ĭ|ׁjp-n]i^%}näXm ӯ^ Qަ޻| h{_a _!4!:;eBƦya(;,a ;E&42z=[ݕqa ]ɍ J[QZ 7<45뚲J9b7/| +]1*3b֔vHm6F3@~0]/g>4X6(qKS7/Mu:VIqrm$tZƺUF0g/hWwRԕdA^ܜRvVCkLȃ|4ڌ8N 1u~ 4Nӯ9?D1pazl;@;"Ё R:nRIr\m1Ƽ> O@[wum!,x>L@0;)c,1tHk̡$;?[IV5A ĕf}M8#ؕ::E(ztMUڐ7آLU7~cd??P\T)sߜJ71S3 !:;cE_7:forȐ+%rV/Vt__4I`>BCS!|zG9|/ ؁,]`m+夐 xp}Nˉ0r: ȓEiGq؝z?D i\wB!8^+, endstream endobj 11601 0 obj << /Annots 11603 0 R /BleedBox [0 0 612 792] /Contents [11610 0 R 11606 0 R 11607 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27290 11608 0 R >> >> /Type /Page >> endobj 11602 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11603 0 obj [11602 0 R 11604 0 R 11605 0 R 11609 0 R] endobj 11604 0 obj << /A << /D (unique_166) /S /GoTo >> /Border [0 0 0] /Contents (read_csv) /M (D:20211013063105-08'00') /Rect [104.1732 228.8539 146.0447 239.8539] /Subtype /Link /Type /Annot >> endobj 11605 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (read_xdc) /M (D:20211013063105-08'00') /Rect [104.1732 212.6539 147.1062 223.6539] /Subtype /Link /Type /Annot >> endobj 11606 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11607 0 obj << /Length 19 >> stream q /Iabc27290 Do Q endstream endobj 11608 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27291 20830 0 R /Gabc27292 20835 0 R >> /Font << /Fabc27293 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{Τ̴h<~~?va,6{{`B P$́2hނ׮nww+çCfٮ+&-@Bnwr ьb `6P\0&U$c"KS"ɕL jE3DT?s@61 Ej92;P ~ШY#rU (ģ@1P?JhxfxwBD>.PkPݳ(%W!KQN>%;2!3ϡF[ݛ7 endstream endobj 11609 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1014) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11610 0 obj << /Filter /FlateDecode /Length 3325 >> stream xZK#W@0H99mދ~GZpl̶Mj_vtxRWkׯcO1^[D뷣1IzY’TɎ'9slL ~NjL:+ϡ1>b~fܓ~d񋳑Ҹ'wLI8'-dedY) /¶=3?p®{Wf&>õkYj)sr[7"yq 7:?)ߘ29_* J!^)otp9. k0Y%iܸK9]t>~`'`Smgn 69("Yi3Q7CFlsO MqÚVI ?agiZbQ.xW` \H3{zWLZb*m|>:!!hCn}jUh&0QLtRH:b@8A7$B.:;*<`(]uI!O59[4up%E<(WӍ`(wn)>LbAdd7ll Nl#hG>6<x~=#>~kO.%f ٰi^K/~gAhx:a]u$*=6^9 `ZǦ}?MZP7~Òj.GWq[W9k@66nN7+`vxj.\8zXzϱM.[;A4pURQ,uwU\VPE66Κo.rx{<킂"oe: Psv1C Q[_v 1' YOX6#[/BŻ,16śE:- v9lQq*a  Ax.LEzEi{BMS踓(Rp*y:(n/qsQ~$M@^zRk׵ xA9m v a]=:yͦV*W8z$z{ SHho/xym:Wp{<=G`0&=KlRHj(GnFסݏqN<o8ݔ?8gL#0e|LRVg%P)p.u%a}$Ovgϰ}>s:̼xE(3`Yl<]koj>5}8A!ۭs.%餵>$2N~`&]79r/[[nՎ;d8A{=;>`FS7ݭRvsGb`Ė{MGV06]gh`\* ^ԣ&C{!`qђJ&~ׅq03M`ؑgq.SS4jwz4zsc[\cL,s|ڗdZ~!ZO'L\JH&k/OG2`I_@s|6T3ALoA;8ˑKKĤfpf©? _w rz(9J-6װ[-X $հBm"0LjE)sGp\nZB>XhK~tL D}F; PaX;~x7u?M[}sAFg5$?BktfCgD`)DWscqR]E̺X~p J '~a ՛nzk&;RX]5~RSm[N(bӳ4Ԙ?~\̀3aJ*Wxm_KGavk|xQ xnn,Xع~_DPa;ui0v/e6Zex~y'hYHw)IC7Xn+ok0D'/ Μg{!OWGU]/oLJAuQowzOAQeX[],YʓAD5r:w]U(TQ*nϴ>6I}ƞx.&By> i)΁w>ѣAx?z-> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27309 11617 0 R >> >> /Type /Page >> endobj 11612 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11613 0 obj [11612 0 R 11614 0 R 11618 0 R] endobj 11614 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 288.725 147.728 299.725] /Subtype /Link /Type /Annot >> endobj 11615 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11616 0 obj << /Length 19 >> stream q /Iabc27309 Do Q endstream endobj 11617 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27310 20830 0 R /Gabc27311 20835 0 R >> /Font << /Fabc27312 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`"thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 11618 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1015) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11619 0 obj << /Filter /FlateDecode /Length 4256 >> stream x\o亭_[2$m}(Erݗ_Rlό' `wb)䏒,Rl7YhZz'F~&/''/Z7E/owJ{y0 μgE1Nc2]'9ڨԢ]yG{{1gFP< >$sftMo%l&n- ^CZ/].[i'wjαVc6ZF֕ft@й%.<5|Թó?L)7?*!?@ 78ѓJ'bb`%kdc㽁R!$H52!6UDam[FL3N0uxÁB, UZAMMVhl(S9PU:&?+NDV#֊0 Ka#8vZjq ;'<{Qk‹jZ&,\SدrObN*U.uCBAQeRYPF Lp:ik;ڂhmTUD_};?L};&*<-!u#No1qz.(15g+?E:$Ⱥ(8+;ߴy~}HkO kŶ!tS tEc+/%KLu STg)گG`o Yo)(a"Kv`ǘѵnܻ~nfy+{7tr]ݹC-t7JW 擐(ߺQ@ wڇyh' s`A yN<< d^:7Mr EFpȭ(j:Ug+F#1,~dݽyE!a2hT2)mK8V_?U:r^>ǍgpDB#'̤5(Z* 5yiT^ufPARޛ +ےmK*[phy^}\VU EPc7]kt0Qby:5Ksܤn$&EYBBv\.90j6cB5itQEKK@|iF{buΕ{aFghNa2ܗXqA64U\9L~R<89co(v HKƆ{Puztګ4E#ޱڈPK"q~+ (lњxUYrި[C&t]1Z+K N8fY8!;ofN!vUMp4=,Pz   B`<;X XdWZ`&l` NbD<8M}Nb>Ni6pgFwI>'5:}rt?8]1u} P_PW6/<݀kTPO@j I!,@eanIE>IR/8$7nE?P&7k{ =q3Ώir|>ծtؙ??q2 0$]y `ɷ˻[ysV)Xo A}M,Ao pb6lTJ?^&L{n 2'zPyp `(A/ nܽ(JքD/}+irGu8-N-C>x⍉rxh0?zL<O<L<#`R" BD5st"U,UnSr J?PL/*(tH!*CiFD5?sf5Zd>?=-KaLS ldus٘4"RJvj ݭKcJMZM&&(jc:w1Y8Q"ƩZƝˆu\1!LvսDﮅwfkښ}Ƅ 7|F.5.x} ~QW>q<5uٔqj2lu;d%Uu7rfr0%^΀aҥ/qgpPB9c,g&p<¯|n8/HЗ#|59/W~PQohݺ3*gauYC9/_a9qk-on?Ci6zs=ݙKF{Ig>k[T1tIeG-7P zyA?'r> endstream endobj 11620 0 obj << /Annots [11621 0 R 11625 0 R] /BleedBox [0 0 612 792] /Contents [11626 0 R 11622 0 R 11623 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27328 11624 0 R >> >> /Type /Page >> endobj 11621 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11622 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11623 0 obj << /Length 19 >> stream q /Iabc27328 Do Q endstream endobj 11624 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27329 20830 0 R /Gabc27330 20835 0 R >> /Font << /Fabc27331 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11626 0 obj << /Filter /FlateDecode /Length 4963 >> stream x<Ɏ,w~E T(Um@70O= ߟظRK=$3 cg~̤/T vio~2fK⬵sLM߾O}zC8M giǫ__Ms>Q7}9M:Os V%̀iRNw.O񝄿pRV`S"ZR[=M B& .wR,h61 o]%gmZ 6Drfٔ6xľyP3wu2+{:YQJ4WWU)!PN I|]бV!9c@E{{ |!(Fҭb̌.aB 9h_A%%KJ(49^q/Baqd>[qz+ri,h:J L!BacNʄ>MK\U&h8G[nQA+M26cN=`@8ٕ Hŕi,I TFaa\N!*~/[3x`UQpWh|B[]˹ڵtjm߷t`ȟ4ujiн1/FPZR04 EuC5JnP >SnP+S 3ŕjK4痎*ـ 2r>/]!Ee-[Fܭa|Jɵf(km:bǪ v,FsfDtyGU!ۙ2gG]2KK E>0zf2u#[_\tױEG!~`1]h {;IUg}L sJoiWdRWfcA. pD͔hł{a ఐw"aE.<Ơp$@kf ^A '9Chd-`N|&``n_Hu.=! vMjDi#ln6Qw}=b!H;Td<LI~B{ V ogVZٻAuȳA:#өՐ:Yg;'ϫýAkF@aHr :,vc OZ3w@[`xb"MGԉC:`,b J3 iθ?҄сCCo6zp)T ;!Mvx7o@պ 2Uq0's!߅89@#G28C[ *#®#2ʲ<̂!T`ĂBP @ %-Nx{ȤJB >0Ρ$BdH! !&(0ܾSCtȮ1hpKyٻv6uxa8ΔRA"=h4(⸒pfVdI^ D|`N#Q q˂@KQ1ՠQ !˃ Q]jޥ|o+7)`)A\Q؎%9`}j1 ހq|;pI~`igZ =Ԋh`7cMKF#KAL\ߟO{Jd^es"AQ#1/gU4w+2D<$ qt7n Kc;q 3eE1EfP <)yZN =C]uݿ&e~ W> AԭOǑ \_]fThg@P;Jr!31#3a=[ 'I-иux-?,?Af?F 5CrߘKL-…,5π;7uS˵ZzC$^cٔ` |"C\܂>N`eTsCJ V`wFssmr-Ubݏ(<P{ fj&{"A+1pa836QxV&;@咎AAn﫝{1'eZ{' G˻'O=v(hy[=dof?j{"QejqABY8?$k9\[JļcVH}NcSr1ht[ʛIL9Zrx| ~Q(WE(Qt_G!e<AS?  nTU {gC]5t584qϥ toɚJgq9i= wrQ5gq=tjL/([,wp0!.rN%D ;zIE[O̷gyS )*[c#ޔ^?lad妳vE٪߰yS>.)ʻn[?j~hx+ënQ,ʃUcdej[S kl_Bg6wt}= 76lP%&f.*]uMj1ۛ1opC͢E|ۏ(ASaܪŔ0A-Rc2R0>[{1 tlo&+TZJ>wYc;1FHӧkl\Gvi6qs?& ,B6Sc\ }.͝cNU=2\n)fsiO>ZY:HQ˥cbǍfYdFVּ4Ocǩ.=l6&wuF=K2[ׂu}@Qb=utpSppxZc×UګMFg{ՏP2`M"j[{)dVC@P^,{jFO*!*fZ$ElrY/=-+t/VQqԇrpMر)N ] Wr$Uݛ*:AC;M<اS+W?i?u㸈mǐok%'kBWfݵ:JQ$v,y= ]Oc.\LڀX2ޮw\XjWZ[6ɸ*X"`Փ#]p]EBy3$/7ԀQ/N^օD |Z1geČҌҺbܛc)`8 %ԯx'\i¾ɼ::fƘ/$e,**~jEX?}0Ńo~(iGYGC Q[}C1DD? V9س$YJU !DkX. C<ٞ~Y O@ä!g2$^FA5rT#y9SOeM=F=`1!Q`Tshح3VP v mU' + vOf?2t lNJ/>8lQ湨W0 ycav Hluv h:<9Dck鼍>d'PŻC% UòcTNOthC{,Bk/rI-mk4OdyP~o=>FetoZ'꘵fJ <ƖKd,V4187>ھhKeIe[7lh^ы!YooziO߀52t^kpU b8 `Gv9NXG3K$ckCobGF:e+tF雖y Fx'`*B6?> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27347 11636 0 R >> >> /Type /Page >> endobj 11628 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11629 0 obj [11628 0 R 11630 0 R 11631 0 R 11632 0 R 11633 0 R 11637 0 R] endobj 11630 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 531.8 187.8502 542.8] /Subtype /Link /Type /Annot >> endobj 11631 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 515.6 173.0772 526.6] /Subtype /Link /Type /Annot >> endobj 11632 0 obj << /A << /D (unique_418) /S /GoTo >> /Border [0 0 0] /Contents (get_example_designs) /M (D:20211013063105-08'00') /Rect [104.1732 499.4 205.9067 510.4] /Subtype /Link /Type /Annot >> endobj 11633 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 483.2 165.4707 494.2] /Subtype /Link /Type /Annot >> endobj 11634 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11635 0 obj << /Length 19 >> stream q /Iabc27347 Do Q endstream endobj 11636 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27348 20830 0 R /Gabc27349 20835 0 R >> /Font << /Fabc27350 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫*ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11638 0 obj << /Filter /FlateDecode /Length 2135 >> stream xڭYKoW)Fp/rdvh_%G7!K$X7HRy}3mΙhs5Z)d^?`*jm֖l1 dG /X;cX")\: =$x7f4O|Ɖ&1?DW 4̲%W"`~1/YMn\0OBUʁAźPt1M`Yl뽍4*0?m7F9G[ᡒm\Vpۇ HFÔ R{{du2_>0Ckf/$zǽ^=Du9 ATlW+@{qpm4Z[}﯃ZcsJ.[j {“l8p#m^&uJ1ld inAdZ<=@2|3v19X9&4U12_2_(3M?ZnVEr8aEɔxoGpUz#W<_eSx _k~&%9N%U`P ?RFD5"w#ߞv|f]XhГ~'hu{,YkC#{3*f3 p"yMO9M[YFUg(̒,qwGUZIR QӖtBWB NMAt؉&̇%TGu^!2c`?oltVJ:we}&JxMRw3řJ U"&rG+8.`K.>34rⰕA.^AEwK=AYLָfdAּgQ~W vQmT?auǦ>OLYmxc%7zp :0pL/㌪rHgsuqX-k8)v8/0^x9Ap߆E2] ЀٙÆ]&c OH sQu.3lް@)RCSԹao|3W!nqsw7f'^8|}РgT>ַ=UXIu,)Ӟ Mi}_I&DŽL&Wr(=]ϸY Wq}bdbD`-&sҫ/HTVTn0\ŀ%I:.aIAlNp> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27366 11645 0 R >> >> /Type /Page >> endobj 11640 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11641 0 obj [11640 0 R 11642 0 R 11646 0 R] endobj 11642 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 329.125 147.728 340.125] /Subtype /Link /Type /Annot >> endobj 11643 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11644 0 obj << /Length 19 >> stream q /Iabc27366 Do Q endstream endobj 11645 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27367 20830 0 R /Gabc27368 20835 0 R >> /Font << /Fabc27369 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11647 0 obj << /Filter /FlateDecode /Length 4142 >> stream x\Ko$ WkV 0 m{fv"vd౫RQɏT/RK?dYNT8>ɟNN-~_n.D+^or`y]Bxv5*nt{mvʪ>魔w)OwuK㽫OaO'%K|0Yzڍ櫃E%EYm#\NA_F~c_'ҞzԞ RZY/*T0$ ϼۣR*`41@CCx( T8P>;X.я}7ԧ>]dj>:AY? `A OGJ#hc'=&Yo2܋ xxU Lԋ5>%MK~@ ӿٮKp]ZZ%J, S :!v`Jj6TV+M?K#Qo=hzOpM\~gXQڟzG(?m;#?R{HV$UV]ԫ^:B ,4*|}X*AOby5Tz̶z5TL)BNr >ӑ6'-TW;As:<:Q%wxzv.ε2KIj;<\H6I G:v^ֵQ!3vV/j ~eH{DfBfYm %GxXEX_c=bS; KSh}*An=^E<::RAIrpYrr%XfvcR+.PhZemN{.-bWY Ži|v]c.WIW G^+qp G^)p+ %ɓ~DfRFO/7+[Ԩƫݭi7 E18^g^h+l1XНZ8cU䙩}WHz+֥0N${T)^h/gM Bz-_)7CB g\ˎf#K'\`7,Z@sJrțgJ]2ޔϓg(Pɤf:#>5s# +'ŻfLd"wŨvKd!Hʻ+B[׿.߫2x_b\+TvhYp e!cA~_cnGϺ*޽REqǦzzk̂ou\{.-3oB|7a͏ Qbf{yiKL{g@HP/y$`9ĻMdZ:wJSxA*\r/}J}!Q/Yt/"%-25nR%Z V(0"ѨqgUhvHLHڱ``l@C LgP镘jO\yKX5)JJ\4+6,uϢ)Bg}lNQc'F5m_(J1`@RB^;w+I]E  qꌁq.ExpβrrCC6&}RQ;;U5gizX =pك`!t@,, k]'dɜJyXTtmXZ2#@qeaIپ8=8NSt^Nf$^ُy/'p#GItp'28H'f1p$N83;}pNpzm~vL o$^z @jHf&Pq/H: 3@rN_X\E" x3lΏi|||^鰓??q1aH|xrAd߱kaY+-3i_ gFbw_d*[KVYI՚ní,IfL}RI^%zJzcnEƍ9D g%j/k8#| &OyCsI_ƴ׻NW2J&ܥThN[gҖN"mUoq *Qb5IsNva.$i)I;AJ0r`gDsRىydȌO+?ELԧ@^$rKhS LjfwE.+V8Gz4Yp}>!}FMV/v 3#p<֒[̀L91XRg LrYۦVnH 8=ؤ `74",C 1if*`-b=ي6DhF~4N0%q8SnS92+=Kd*VKČfOgd;(z[d(R2;g:𹻃nJֿ]< {f:Tky7}7l*w w.܅dt3Qڐ#Y$*٪ E+ hff6iֿ(suWu.JJjV0Us%OgΧڞ;a2E`kX'^騕Fw氠!Vv:1̐Gl^{"B^<oœP%_dv|_\%`zciԒIw1KiDSЃG@5@.h#'0>Oc'5qE{טl m߈+ >`W:90P'+:O|zj˔]Fŧp3#OU9%v;Džp0O=~KjDH#f̝WZOK''\[wY'>wfL7@tۗ+=χ e@ ݇Qw'J_Cr>Ԏ#=kGzM?Ay+0\Ş%]>8aΟݵ|FbZ ]^y  endstream endobj 11648 0 obj << /Annots 11650 0 R /BleedBox [0 0 612 792] /Contents [11656 0 R 11652 0 R 11653 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27385 11654 0 R >> >> /Type /Page >> endobj 11649 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11650 0 obj [11649 0 R 11651 0 R 11655 0 R] endobj 11651 0 obj << /A << /D (unique_419) /S /GoTo >> /Border [0 0 0] /Contents (get_template_bd_designs) /M (D:20211013063105-08'00') /Rect [104.1732 388.0423 225.7012 399.0423] /Subtype /Link /Type /Annot >> endobj 11652 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11653 0 obj << /Length 19 >> stream q /Iabc27385 Do Q endstream endobj 11654 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27386 20830 0 R /Gabc27387 20835 0 R >> /Font << /Fabc27388 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11656 0 obj << /Filter /FlateDecode /Length 2615 >> stream xڭZIk%ׯ@s_!x4[Üd6t_;JiQS-2/"S+4[UOT3*x vi``̨ǯ}N㷃61k 3sd}S NuyVķL2l8o@:;e ,0;U`ApDS?]p|8L$0Up g,E~,ͻ[ڈYY!zE<㎢൤z]B&VZ4>@* iG&=Nک 8tx|^FI ~?:I:q\;1C63I$ 5B䚢|7'hN_cף׏&V/D.C#yպPQ1Lc2 +C;, ^W2*[ʮ @X#gFu-saZ0N7`&22o2+{^V8nhp^pLvl 6=Ac.nd76k|o `M_WOLÖ4W 2 J[ԀK0(9~7$N 6!wNoNNWr;3'5vPvk kDk,%^ZPvbFS0GR-DLVR󉷘%f%bUyȨa{rlM55/ڼDk Z՛àY,A_EpLr{'R`6nѯis&FS f"Qf  \z!`,}>f pu:K =3-9a].n3NP''oMq}-tf_cİY=Zq`x[;CUvj} u3$czlW{ABf?wAB3c&)r0^ht}o'v{b[Rh. TbV\難 l<_q7! EA]%gЬ [spCv'Xing,lڷb 7KW)q7![ZN 7ԳJM+UʠrAΠiH-&*ںM@Snr\g^}yޗWBqQk:MakGv|ׂ;?# <1qӑOv8a(c]mߚ8\/Ze˴Yz}VYf&rW%Rn^n ".|ڊ6{2|]&!^s6D`ŠAXXSn_̡'=Ua2wo3@.G5I TC#Ii~eԽl53qꚸqH }>{&7O>+MJH'ZPfgI '`|&bYZhoXQ*C GZ)^m*l0sĩaTYȷ,uhڢehIviJ$N6ɃwfhҠ!xY;ҼCi߳<5o,{ͨÇ9Ә2NBχԴu,h&?=ƹ&Z빺l'Hi`&?H(Ěx!a,v#U&x&闞 T_ #|L,  a3E98iKzgUjHr_ 56t [o 3LC=>Rͥ8reh*yQ\a|.]1:OܳBii*4 {+w=j8{bP}$,, jsgl7zwTPfEB!ۃ|Ywff<9#KXjtnP(bX*nGډ]M|ğxΗB5cgpzT#Թ(l_=s0P?rs endstream endobj 11657 0 obj << /Annots [11658 0 R 11662 0 R] /BleedBox [0 0 612 792] /Contents [11663 0 R 11659 0 R 11660 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27404 11661 0 R >> >> /Type /Page >> endobj 11658 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11659 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11660 0 obj << /Length 19 >> stream q /Iabc27404 Do Q endstream endobj 11661 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27405 20830 0 R /Gabc27406 20835 0 R >> /Font << /Fabc27407 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11663 0 obj << /Filter /FlateDecode /Length 5053 >> stream x]K8W<@xl@FfEs0St t]/)Q/[#ӳѝ!ڦ(E% ?ܨOi[$+;$Ky63'! ?)߬9ooR%_k}+3.} ~FyMG /7!/> | /E׏R;Tr%OL߉g_砱ZcvhG9a$S}|WЖb 1 /LM}YYog//\"^HL Bǁuq{R w  zW([G>/ۿhď޸WAoBvc{_F5B} zQx#ʧ:3P+Y!ޔ F}Xh5~yWPY3hݏHP?l@nh -d *J:OY10AfJi{=nnDZ/ _T-H2Zv-¦X) J %cMiz{=i9v@F7_2<ĕ"Q4)F7 5QMʒ@j/@C/ԇ׹xBfyQLWZ}[ơ3Xg?2tB}K}|ISl^S׮ClS|]5C&EgM+L` /LGS F_:AʗKU7P R=/ P6~Z8-\i*깡swr׌jPකo3Fq1Gj{gDv೒r%G+mݳ ?.HM}^xHS 983Kdg'$ uٖ H')8p_ "?(:M $_kS00J`\f 6ĸvwtA:K!y B/ѐҫ?'(Wڃ+> }♬^p=dr|./E:s!<:mri;7yS݅e(y/p=uw.W:RvZ>Ϫ*^RJӇzWjǬftEGNn'T <5B'Vuϕv3Wӌ"YN4f)֑( +N-#'sMawBɠ"}rҝh0oaR7%<-ХS%ڢBP3Qaqa6]Iè :FȜ]101MON# hF> 4@We,赐ZXPZ zO;roӵ9'>%CrS :4V`U;VC`<>?ةU|1E?j!w|1E?&rK_r_I`#e' `߿ D+A:!ӓ;Sn;98Hްr`bZ7,۫tC+{M$ NeIZH7\"D@/߰Ld<9W]E-HbJD3xe;K |k*k EKg\A%#s8g5 %щpkT7J]A7wN|w7s)7a7u, K(&-E^x^}z]yUhpBw #Ǎ5e qmy63Rd$or`0dxeD/ q:XY}#<%q3%1F'1Ytsuyᖊ zY,>s7q!LO}kF,;-G(GrcF&`bd"y5u `ي^ Dg2kgŀ!ZkȦ9f7-\O Ur 60u\+_hv-]xR;<JGnz9Ẹ?lxicό5ac!I7;g4(so;HebmwU֓u;V}Ɠ z7d7e(tk)h$yp^G `=7!ہ& Z0`Esi7yzJHaaԂx^JW͕StBYW%*&mbiAv!D` g\0fir9Kfmp(ωĂTeW*NSu'X S/@,LىVA8YI%Ğ+MYٌ @itպWf*);[nLd= NNp2S8)7- ((X Pq@)Nb=;MI5QX5?(Vs@ +RVRU3-ZJU2B  RJZh#KH wݩ bqVQq3-9T5 sTTi0[6@ 'g!hٓ))ي"AddlOd Uo˘bͼaLuyLrnLyjL㘪A41Ŗ{1@SlS[?`w,Vݞ66փ[m5Qm[5Q-j<;mVT(ݕ]?PxT:PAAb+~ʴFςjEHTubՆ=b{,b-b.fb{,*Hտk;u@QPM*QE?k~%|я2QFP~я2VPe(efcLq)2ņ2Ś1V: Gsb(G(T-kPq*6ljt*BQPXKQ8 GbCbͮbG(T 5Pq*nwo LD"Qf]y43H*yl5O)#cj0=QĊ)KKn&[%9AL\UgCS ].ܜu#nZd:5Y+lI(3?B6םsU?j Vh/mvةAPs`hިF[yJ1on?~=M7ZGT P߅} npvBlȚy e)"<ܖ%%/W³Y]z )Ji,N#ӰϨ4b\hjʩw7ܔ3\ ݇tS0) Ϸ#0 6³ D,F%1 LN3.CbN LqUqRzHL˶34VX Lw ̭f%%Klmb4ohV~7] c[/)xgdӂo{j)-^wtIdokjiČOv 2?'6?tX }gِNcO]Ɖ f%S5 RH Tc Vl}P+ P QKԳo|GM@vxi> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27423 11670 0 R >> >> /Type /Page >> endobj 11665 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11666 0 obj [11665 0 R 11667 0 R 11671 0 R] endobj 11667 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 509.925 114.9865 520.925] /Subtype /Link /Type /Annot >> endobj 11668 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11669 0 obj << /Length 19 >> stream q /Iabc27423 Do Q endstream endobj 11670 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27424 20830 0 R /Gabc27425 20835 0 R >> /Font << /Fabc27426 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 11671 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1021) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11672 0 obj << /Filter /FlateDecode /Length 5866 >> stream x]Ko$9rW@~26§^.j3_Y*6FOM%Y`0" |hm1'J.߾/5,/`Vp8456]}nͻGzW S%Z=e;LE ~S4Vh/e[8ս_ ^+݂_ ^)fߐ<*4ouWkGv%ƯvFk˗1Oz:jTk k"|'@|<[GkrG"qk&&fݪ KhS-|gEW r>7S>ߟpi۵dn-G  (/(migv2I"ϙl L|*C')#yXjj=? , @E:?lK˱-9h؞/K$h@vtbMa3+ɗ;e(ʏ8{9 !!Qc̞5zx+{?Ptl+Z#,H%G)t=B(I57jq PQA=oƹ )很~jx%,$ox\;}Ev%Yyvg^-"ŵޤԛ?TOUo>m"-"&->UC}~ OCXnݤ Q(M ַ, h`go};^tȑS_K\J).v0܍Gq1(J = ð ْý*;]QqlߡQ}q |7[_bjzJ ':-v'CѲ+^~@+2)|4Ƨh6+ ZȐQ}`ւ DIGl"DT&QwIփbfǔ%NTjqLԸՐG Ts gtq_LvقVΞZ+3u$;Q ՘ToKN,hR K U;ҫ5%6 N5OFMKHQjw-7[{  UR͚ G33"2XPo hyMAf,l/"%DֳϚMG5VV?_ҾK `JKktQZw}['HVagn˔npI$8E՟c9|ZU\VJɵ]X>Y~!-g0J^DQJDl$lFRAE37/ZYԫ˕&ö3Bpe〸I\Pc@5X<{89'h"lC䬸.OR2 S["bXe5[*Kqhd`fRza񨮵Z?lkTgSj5o`ikU402K c~UxKčãFZQdAU ̠̽f$*ʢq!3bׄ[M9rQI?smM?{4,V%j7Flb56On-\x }|&kV9Q iix'3}3Bag\アAC\m[ 3lQ4F?5^3ULM՝ebMtQTL#{ w/9aa8IdvjɌUBPe)Z200azZ)dhڪFQ_ }Yo8z];kݑ^9;4@S'1 Q̣U58S}wg~kQ#{|4.`sqT0f;ww`ƱVJ]`>^p<.'>pgdIUOÿ́hsLԎ>?n?$ )80:0+kF<0bKQ̀ƹ?[\U{#U({JS_ vN\!ݣ \)`ZF$!0~n~W_lnp#^{dg'%#-yc78lCs=$FwN d+@!);]M0>Ìϥ> .D \\|(l|.U$ I8" _5v-7[K*@H`n~)]'0vxd&YU-xE.W6(|i g)pӞ XЯ1,0XXZ˴=*We͚- $&;RCu! x r"vMJ4B^cw8^˴o إ0dzNHu[jLLR<Q}q0zP̮%kHCx?P8Ry%q&%* 3I,ߍ]AC:0,2Z*ed`8ZDՐ =ޒ%jWZתX% x7OgܓS@)1kT/dlDZta3A`f+v ̲"3fFH `Yh9Fjy̴,hѴ*pvhJBAZfxx!!JW4n[WȈ=oXkaFЁn \:zwF.k߆#vp F([oq9fQdq% D+hyCCwz/`'n0158+ Uaty=W""сѯ՟<4Ҍ-5ZE61l13.671n7Ξۤ>Ee%v;/9yK(@{y*5Un>:Q$o CÄs:5 9WX mT'+=rm8|65>+GAӪh7A$!ܝ !fZxAuoe].< {)+&1Hs^n~k #(shժAhăFj0/K) ;zc&̈́z} aԻGM; .%2[Hc%7jG+B)c?10 zh\&ٺkZuv6pBA{N".)eUqyˬMϿ %'.5]h@ Zoj'9[%*s7nb60 n V0cը帄_ozwm^4q˓&aKnI_wy׋Nі[Lۡmۡv[0@2.+Zq{/R7m f##[0$CñzeS~Afce7|i^PE +[6boUK l4k׋p6+W;+xO1nQL?\`j9_9mna _d!c(( t`3t,jj}NPPTvvIb˶xKo 2QC~-A\@ JpɈoi {sO%tDvM]&sy9d~̹sH|b^m_><]3e-i;=Ui@!No A V1G'N:2喎+.Ш%ַ$ M+4h&û|[ucqֈo AMCt0Hux MqYP,o(n30p5,5h Xg2@l0Qp>(J;݆} *Ʒ\1>u K~jF*ںu ]oh.FITN5țx TiTjyQ쑯wfqgEr7q; ')FJʙ8 ^?1z7TQ2͎JIj7TE . BS8N7y\qKڙ qIk9[GힴWF>!9kV 9t1ROVY]b+,%O[ӛ4To*l'H.?AMvY ) D]1/ش+<SBT\.. cd'\+d}6ٞÉoK\k_7piHx#'Ju*Bn90c4ދ~~}bj/u_OI,wݍY>c{FT0e(mWq;b},p ?[7$N_ME`[/"W&{DtOc "SkOfrGb:Wƒinzߐ p/ endstream endobj 11673 0 obj << /Annots [11674 0 R 11678 0 R] /BleedBox [0 0 612 792] /Contents [11679 0 R 11675 0 R 11676 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27442 11677 0 R >> >> /Type /Page >> endobj 11674 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11675 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11676 0 obj << /Length 19 >> stream q /Iabc27442 Do Q endstream endobj 11677 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27443 20830 0 R /Gabc27444 20835 0 R >> /Font << /Fabc27445 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1^ endstream endobj 11678 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1022) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11679 0 obj << /Filter /FlateDecode /Length 3610 >> stream xɎ+ίs1@`$x@AN/RU,.ni`z#bEYgznI)ӗW ˿ZRvJz/?IRT>+ 㓁^^0͕ˋ_Jc}ΰ˗RFi_DY`s^a>Kr~S*V7境e/. $ntzY?"K'Ş r#locٟTF#؀!*z$#_]AU!>wg͝)GǭR׶uq0Nt $H L8:f^6 :1L2}ybbbik'70њc9>2^ H͑" Bq;Hi3 z֕dQض{.L*H2D?O(p\84 QZg;r%pe&˧KNP&h4]y n")S̤*!"nWRK(cӳہ?hu+YEU=9D""UaUٳBؤH2\Kg4}s܏f`-I&PfmtHPO5g.{pBѮ֧WgĝN ɂkYsz,vࣹT{< lυ:elĪ֛Iw4-RI:Iw=~f3[󆺂tl~#nk6lk1{]fDy0cؠ+kr7Ę}K/짚\4>:W2[,`#yqv%[UX٪ۛXYeY۳(=$:ݮs)07CZBZ ;֠6>{y7&cq D;aR݉ ֔ZfbuZ,5@P:Zs^!e_r]-Sn^Dsb'BYQ t34rpؓ;2Xp}=Fh Ţ6` jeW0abH@(y߯GH=GL Re/6z3G{"^AJz-]e|~RF; U?]ru2䀘 &Ss2q:4X0Է9{s*enB=ȞLK 0 S=V7u:*\{0^@⥂SC"rDʜ+<2<TlZX~J-- e %u$4f 0`٩ؼ ØZw6۾fTIןR.&}'OCm)iz\u2{p )48t+A>ک|( bḭFӫ̃5^%Z-jF%g뜢gp)}"YYn[uN/2n>.t_n9?x:nr) :^'`GkFKCơF1a>_ <[jaz+\ۑEstYKىCZ[+cM{I)qoŀfXwՌaCd|FYih)p@$nע^ q$8VĤF ؟(A0Y‹wz`f/@:c+Yښ+m@R;I[2)p39.$)vk\ڿ=O ,0Ly c4Z=i(i'bApLjn>(_nVDiI5v(@eZXWc6+0+jL?y,SS8NawȤykKgl!k ll'iuW*F&bq>NmK<ՏUeE;m[hqdTr0- Uh.ӽFxc noH8-{zŐhyųzpVvB5 6])c =HoFF}y1Ǣq`w6]0o~vj5 QχWuAwV0wgWqq#bm>d0$6"f0 ?Tut\l1_|B^/@R. `o2*0!4fJ+a5^2n H 4$md;Z%, A lRMEttParTA 8tep_a}\ࠔ)~ pXy[dD;|5+'b3u&#ܓ5t_@ v!Q&Q _?RgtbфK|EqxMS ׿/LJD<бU\H寀|ţkIW VQ> eTx6m;T4Af*5QւUE?jLFj6.ۍ ~ ?t pF7\Z%iPzmZ i 8M-HᯃC,!luyυ3S+B.Ґ4`b{wU&*Gc;Ӹ"*3x=s08*$#`1L@o%<1䀌yUgz!S>rHk!@Hv@pN 8@ ϭ^kz&}OLM7טG̯cP}٦?3()lbxWکwI+xF"&˕|Ogz$#Lׁ,4HG-W endstream endobj 11680 0 obj << /Annots 11682 0 R /BleedBox [0 0 612 792] /Contents [11691 0 R 11687 0 R 11688 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27461 11689 0 R >> >> /Type /Page >> endobj 11681 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11682 0 obj [11681 0 R 11683 0 R 11684 0 R 11685 0 R 11686 0 R 11690 0 R] endobj 11683 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 164.5463 184.2752 175.5463] /Subtype /Link /Type /Annot >> endobj 11684 0 obj << /A << /D (unique_47) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 148.3463 193.7132 159.3463] /Subtype /Link /Type /Annot >> endobj 11685 0 obj << /A << /D (unique_606) /S /GoTo >> /Border [0 0 0] /Contents (report_phys_opt) /M (D:20211013063105-08'00') /Rect [104.1732 132.1464 182.9827 143.1464] /Subtype /Link /Type /Annot >> endobj 11686 0 obj << /A << /D (unique_721) /S /GoTo >> /Border [0 0 0] /Contents (write_iphys_opt_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 115.9464 197.5082 126.9464] /Subtype /Link /Type /Annot >> endobj 11687 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11688 0 obj << /Length 19 >> stream q /Iabc27461 Do Q endstream endobj 11689 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27462 20830 0 R /Gabc27463 20835 0 R >> /Font << /Fabc27464 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11691 0 obj << /Filter /FlateDecode /Length 3508 >> stream xI%^BM[rsh!48⿟oVz 165R}exũO_T*Z2ןӣjU>icFG;cj5sꌍWc+6ubkܯ߰Y7}ǝ1#Ll=RLW tgx!ݙRIUń`WW6Yd_;P3 @D:=dxn[f\~!JPYbS Dȑ..Yτĝ WR6\A4':zxl , `|&jLIVAǒlvRy0wu|獯k'˽wi$#I\v1{L]w_JAce^-Cǭ-jRd'FQoTL6 |MIT$ڊZ\$=-SCRQ[@T)cBhIۼӐ-Z7K5PI#*>[̐ɪ5 /7!HszKw9i9bPuS|6.΍Y=o;&,;֣" `_f1jwWiw$ h)<(*v3lym5\+Ev5˰ֵr1[61BP> |xP | ނW]x&F#K>iRR.W6^"ް$< v*1 ̂bZ贾 e8Xl]]=|ma%7֞اx[b.㾲'USy@ZchPO"tMHb E(eiJo(8xo;z5x`Q*6Rvcs Ʉ҃uA%\;*9[÷-Dz~nֵ,y,cVR-dnS)zύ˜yUj6ݺi]VR=s&x F [ ߿ +lRѯNuI}'u#?@h,!B2S_aƩ_z[ aڝl7xo'@@4GWBf:/wmߪWP{p_vqz@\^+q}Ӧ$Τ92~ލٞحGW։|J&N\ײ.UTүWmPYUo-zj1e.8l)&oM[)ny#V7c>(f׽ogU)5.NQFs;mC Xե_!Bv=x 5(@JbRW0Kt/tkNOX۠Mv|Oz=6sā4:>t%i[Yg \ODK?@AMIZdc".vD? 0T6 ~| [ju1:?N/<$ò<':^\[i4B,GLVCH!(-v 5ƺӴ5"q4'0~4PO*k1xR!B- +(ov0 BN n<-͘'-*3ྼuƶ,,Уt}!jF&|=<> 3*ГN1n1:>sNm6!saX26㙯O_LQ4n"E>!n>/|ĶYSweBufRo$ъi ff*lV)m=-}fG .)I^43{̚.ni=Q, ]'T/+Ӥ89o.* ny" +:K<] [#x&`P>3Ó^Ń> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27480 11698 0 R >> >> /Type /Page >> endobj 11693 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11694 0 obj [11693 0 R 11695 0 R 11699 0 R] endobj 11695 0 obj << /A << /D (unique_77_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20211013063105-08'00') /Rect [90 412.025 144.9175 423.025] /Subtype /Link /Type /Annot >> endobj 11696 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11697 0 obj << /Length 19 >> stream q /Iabc27480 Do Q endstream endobj 11698 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27481 20830 0 R /Gabc27482 20835 0 R >> /Font << /Fabc27483 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBNb endstream endobj 11699 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1024) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11700 0 obj << /Filter /FlateDecode /Length 4624 >> stream x&&GD7Xۆ|j&H ϮnV3juF ]t6K@!`FնI&;&IIN;X  H@/yO|;/vUpEK͊z*VoPR^ Cngsd8S}TlWma3e8t).^gGZݰ }6-lC]&iZ-_@0zdBvڰ@f^ [n-]C,)TEg1A^;6r{E^by넫Q  YGٴ>:}9we5|yf !YdzStHptMCOO|u#p* I<^a_v>JU_'y[)MAnip1pei8Ho%3{3RǙ@$ՈTmLn.yߋ>SbT]lDf6̎j`{Ksod^eSg;Z/ $E<$\n(Ƚ5XrJvjΉ+LpRH xWn(Q %ɕ-Cvm +PV1 tZk6ŃJ"+G$=45]~[8TPxGTx; _w;_bAg$Kpp:;4B:DHux'z%hQ;MB: ͍6a@lh^{z H۸ԆŻ6븹f]gXD`JV'$ܬA`B MчseȖ%U,-WTMTX^wKJe,:2.n3UM\PQHrF'?ۧ_/d5L*Y|ʴ8Rglzө:}4>i%IlM͙8^%"4;+j^xt4fZaus6c_7"l`qDM:T۱ٴ@:դx&X JWn4~i:tt`PWk_@Ǩ9i }ŝ:t:vj0%$nT ݨݨnO-ܠZA-nG-f flTgq5+u bS5P0rR 7+S w@orWʎyP'%\۪itMVV;ϪIXԬN3I ?ISIu$uRIGIM3I uꤚ_ ŎjsI 5J9tOe?MF5 s@ [:1{mc+R<)OBubiO^ 1 R_~t/껏myPx2.OY{C,~&oq9z[kAZ_˺sل =+"D~{_+x~Pl혛k;_Ӽ>2saD-I>nLI탃 2US㤍=n\~ ظSq~5T^Y7W,^%EcO:AA(e,JW'V)AP2Q6χyD&SQ΃R" :`[:q!iofּ&Jވʚ*.XD#j~ٚ&l2Y.d'$lh%o4 `$"$*?o@)AS .K 8\E% UzyVԂzB6&͢KqPDB"q>݆Xk|(V-N&ȰՓix_I XPYLI/`:䷭V7sƦ.U˫񢠵<,w8v "M~8񼧑EB]i,.7f$=zĨ^AZS8/X*1 u4<8B6yVʆfRͶq߱NjQp6ST647W/Aa\J={j**l!`~Т"$FZ34ANU)+ts{5 @~u| 儐`]3YDI|}(=sN\ d^+'񴠷ZQo2SLnp߉1)1t b(m5j}ki%PBz( ;[J>[Lޕ$&4qmBPnX i|"87Sݽoi a7<$ yq1U}D[g mIJ?c2JL&\63c)R=uҵPoTf_v)̱:;UUޞ {BOoYI 3RE9~޾\.yoR53OC*F'MOF^1:n m qW15_rS51z˴ٚ:^&[AwZ#1IiY[M= dn]Eݑ=='6 Hwj:!:Tn]}&CaY1N;8X`>x lVMVnKYrCt4tT dwj#~@s rkkbsԐeX,~ɹDҨ1 <2Mr@je4T<\<WsYm2y7\aKzXn 6s}2X: 6"Б *ںy\ߌ|B^m?<2M3e)Wa;=T a|".Eu( D enz mD4P`3\5z*Aؼ |i ܈-yҕrpK,\z@)a "k-ϐx :oAz٣.Wɚ=H?`WBn>^ ~GԽ׷Tmr m -KX&^Pw8>+3j* BGG>ŗ >cм ׊Yl_Y-mfX\ ﭧxsL_Xf&QGjhuZ-C*L[>jW͢_ 1<,En4qQvd2l7ۥAp/7nB:}ⰸ BoP$a׈a덥-_>(#툦7isWOgԃOqGO!6d8!`tz5/!!qS3|Og|_ >>Yؼw̵Îqw#ޔп#<:/D9(Z{|l+A]E10TT> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27499 11712 0 R >> >> /Type /Page >> endobj 11702 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11703 0 obj [11702 0 R 11704 0 R 11705 0 R 11706 0 R 11707 0 R 11708 0 R 11709 0 R 11713 0 R] endobj 11704 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 327.4731 203.2722 338.4731] /Subtype /Link /Type /Annot >> endobj 11705 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 311.2731 195.2587 322.2731] /Subtype /Link /Type /Annot >> endobj 11706 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 295.0731 194.6317 306.0731] /Subtype /Link /Type /Annot >> endobj 11707 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 278.873 161.9837 289.873] /Subtype /Link /Type /Annot >> endobj 11708 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 262.673 165.4707 273.673] /Subtype /Link /Type /Annot >> endobj 11709 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 246.473 179.7817 257.473] /Subtype /Link /Type /Annot >> endobj 11710 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11711 0 obj << /Length 19 >> stream q /Iabc27499 Do Q endstream endobj 11712 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27500 20830 0 R /Gabc27501 20835 0 R >> /Font << /Fabc27502 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p@ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} f endstream endobj 11713 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1025) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11714 0 obj << /Filter /FlateDecode /Length 2990 >> stream xZKoWt~ia'% ؗd6=dŪbWU7m;|n}VǠ.C-|b,D76c`5w>/|]ja36-Ò K^(N; 0!MƤGxN@dB0ީߧUC^Rv2phL9ex/ Sp`>G |V'.|9i%\= mA>8)GzɜDTO<9ˉGTُ~D "W7ij ռxA;XMM.0Х1tc+=$E=nj`46d JKwg^{V&)h&a]ĵJZi~1ĝTAV~my]Qto>KZ"Qig_-ֿ+"Wɑ܎KQT(#bضc@Q@dTW3X_'Xi ; ?J g Kkw{7{ڹ p3选`7pI `WR|NIM ^&x33ڽ zgƭo]Aq23};Ym( ߪhI}t!Z|Pdcu믿/XA/ MymuΖ%a _Wsv;8'w둫oĚ oa^|KoA2N+w~Hٿ]|7AqI$KZ8#K*eyvNn(g#c y+O,dO68G5KqNi ۮ8nqԏwxԀ*Eաn`5R|;mRR!](p}ǎS6i>^5an?æcvN@G6c.B?''p ފ`L g=2ټFr)a%ږ9T\)xfS!`ֺ` 5GmR7'񻻿|q }HM1-+x-;؁ZԹ `3kk@MUzF)q KՕʂKcl򗘄˛\ފۜҒ ȇZF{@dK[i|s`<#L9 fGޓGk:droEfFFofo^IaݿU^Ap.C\G^3*}`u lR.%5JӥQ?SK#BK|b"ܪ+<"]*6Btc|u% B[ Xt0ܧuM Q r='ad;Yjg&DGa:Y3V${_MxIs{w9:R&Β+cu]]Ns>[kkxfw߸ض*SĬ쟿A6痜ktF].J'+)YMP}j` J8FtRy3q ;^Ee/+$)g= X 8hNZ$DZ׉[xsuι~73j˼yxW7B}yliLyï8]ŕ_0T}o'{gg)Ĥ6۩ฆ8ܙ%L_~)i8EC.J_%/ "[BfKQʊiג?Z1"WAKkigYX}j/B2iWaf①E!G%IU.2\( HiNsRRϰT5[V,놸q%O|FMf@C ǮYLj4tidE `Vlt,?:!z&bEZ>“ ō|*N8w"տ6' qj@m=nHk75Kk4%ZLU3SK|!~C3ϣdHw-Rm& tV? ;,<XTxxv,w, Fs/ 3-d/f(3 PcA<(ț[蛷ψjpπ(TUKI]Ğx.ԦB9b0V:ƽ(0)At> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27518 11721 0 R >> >> /Type /Page >> endobj 11716 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11717 0 obj [11716 0 R 11718 0 R 11722 0 R] endobj 11718 0 obj << /A << /D (unique_77_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20211013063105-08'00') /Rect [90 422.025 144.9175 433.025] /Subtype /Link /Type /Annot >> endobj 11719 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11720 0 obj << /Length 19 >> stream q /Iabc27518 Do Q endstream endobj 11721 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27519 20830 0 R /Gabc27520 20835 0 R >> /Font << /Fabc27521 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pVЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11723 0 obj << /Filter /FlateDecode /Length 4678 >> stream xڵ\K9W<@ Tf:g a1' U /WDˮ!EEDJRZ*w!kɤJSɿ^4Z~}|պ%!E)wy+ڕ+wë|M{Pn)z7o_w|?"&r[jQVFF4+_I&VY&/9ǜKT FC׵ct ?+t]=~^E^Pތ'^kK Dw_D|}sf̴É>rG I` Y㑮F=o֒\S KXA?ȯ(lh 8Yy|%*c|I#g4 л(9Ћ/Lw9 腒b'ӂ!(t0E RO{cbyf7.v4{:-׶*DS'K]:x2 w޺R x<;Yd,=I`G EۥŹk |@J4+"W.FA½'UXԡ!㒁2"z,~8lj@PO a]wثtyICe{0Em(UvݐtC< L7ĕn࿡x(oj TUך4^ZƩGxQj\H ^s)/:o[38^W#qܣwFoc9^Ŋju˃Gt&f L0.Y<'_⮀V<9s5֛͉pEU<ݣr,+}Q#;pV xƨ`Q2"T աю>aĿ&V,ge3!H3[LŹj[T=sGUL BPǶ\BPV -ψA_+s@%Rl#LXGH#S9vuyA ԑf Si PgW`u8 &Y6_Tn kJo.q410-S5 $2(BLg*͔o}BK&4T᫴w寺JX2"ڣ"v?> Mgu`~K?qzUtFA}ak{`Ąqq aZJt VNUFaQLRAR[ n`l(^[%}hpjylmZjAVssU.'`&Mڬ`\p޺2,.*}rˀ斷ddLhۂ^zU\ZƗ.6sA{Lie~4&t~֫L7XMP$+'T8s_bN*ŗC!).I/kD`${%r%\ 46XYES#2lFvD j۱Pb.V vVL& c 5ًg/9ϲr堨rCC1.3rvUuӌ@, 8 WX=X:, 9" bPc:mH Vjfbl 3ltSWv& kqރY0z+ɬdpw? '1I2 'p'I|L4?Nc$VSσدIL3$}~]NU]HcQhK a)#Χ"ݟaȀ#7ϣJ]!Vܲ;?Kizhf@N. <ÿ tumxlD3xŬͿuH\5v |bHuϴ,Sw/EIi㾍6va >r}f8-0Ж*j"KexﯗMf4l%c@B9ӵC_eIlGHgE8lK7d xnD zYa41Ʋg~?GXGY~4 t2T3#gZtMA,`3-D۷:A*xn1N\.5僓JE3Un8Bbֻ%Btc@P.R4>b^ ( )%; *1H\?-\YiQ7KߺE`UE9^#T]W gde]rc=@$懀ftK4[}3Aaop_T6o4j9aI1SS٫/}g8;0vL(BKDZ\J˾+Sx|tV}>XVPVܪqa~\%1}q^An n~!Qa XPل%I.!oXԹ 'vS*Gwa:Q $*_;{e6՞2eJAE+VBB7gDAzR`7I`w9=:0Q~X~sX"f+t_*{. J?kq'p=%/[CƵ^W|ei 8wju߹U}=yO{OnE,q Owkbx.zC|[M)`iXL~̟;F =TK^k4ͷܑYEÐINwpp&yN}7s6 Z[u9 ;Tb/4Me|kk9Nyou|JZ.ɜmZ+F/֮Vhg;qΫ7CV,nI{%o_::Ӏ'".ɋ4[ ?J4z6pvft,mµuH<%v9M BoZBGa}%T`š-̈́ ɟbh?KP2W;+رW;y/-kk_|dĩF ǁFٕ]@ PtnGiϯGXl%ow>$x\txq{.0Lbj4\]9ϋ8yU=+KdtMZ]YOZTzj0Zn'Y7q#]H۾ Kj(h RcnwI Kq%VL cѰLIz:pցQO񪇫O^A)Vy[m=6-i1JZ~qVpDk_ǹ6C[x)mՕڝ9P&urd%sxn1XQdZ[>J&ݕ.;[g-aT Q=&j1 A84$APc*r(]qo*rK rޤTp]^TCp +o9,mrAg;cÍGqag~+p2ݒ=tuAcM.!{,ء a[IBڗԩJЙ9[Ü'ŚySj]ܔ:q UZ`G v}/ƌDTXC1EDmh(RSn@0/ 衾mcvO+LhLwmI B K|4dzUȭ:@O9E2z"iNŬ˩ov3bhg]#AzRއq{?^VkݭGs?o|gw.c9˅'>l99?;(i;]j71,b ].GVUs|- Ʋ~5IJRĶŁ  e;૔R^$(^Q8B%,U:~G_\ecfZ=h-';7o" Pꜵbkp*~},؋5sWLe)%N7\Qd`2m7A}ý_q{1Wu>_(PxlJJ1!)fl#gٞ5huW\~8ŖaW{:Sj9mgVM='qϻş#gȹzFϞv*94Jg0,;,By*)52 >_ N2|SvvγsXnW yPn;-Y0X$]G|(d!^a{pK,BՓn9 8.2c)ѱ2 |w'zEI{n|G1L6= A?oB endstream endobj 11724 0 obj << /Annots 11726 0 R /BleedBox [0 0 612 792] /Contents [11732 0 R 11728 0 R 11729 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27537 11730 0 R >> >> /Type /Page >> endobj 11725 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11726 0 obj [11725 0 R 11727 0 R 11731 0 R] endobj 11727 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 528.7346 179.7817 539.7346] /Subtype /Link /Type /Annot >> endobj 11728 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11729 0 obj << /Length 19 >> stream q /Iabc27537 Do Q endstream endobj 11730 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27538 20830 0 R /Gabc27539 20835 0 R >> /Font << /Fabc27540 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7Ӭjl?7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11732 0 obj << /Filter /FlateDecode /Length 1912 >> stream xڭXIG篈!ӱ/P%LƧSmP_{KdFZ/|6XU7/9,c:?os<XK<:={koxN'зLcG'$@),Kx M΅6\P.iCx8k>o. nӍi:fJkm@hcPi|mbem3zJDz}E6acJ$R1wI4wv&q)er]̝f_R.>EH!ԉm"#Ϳn"8qJ.Ee\Y/"1i4͛9tYNT7 QVncc  '"#_ G!}܃Ly)usX{*'hi=v0Zn[ 6]Sgh^oP 9(kft%-%$8o*ijD$3K<}6vi19zX8@# "||mWN>?*O # I] - <TMtJ~PRRP]JtX]p{af41t1C[8`M ' _2! WK1ͱd!./iSj)1wk7܀-7jTg T2"-|5qS;"qqB)h(b]dזFBt+iɰD]ٓ.%wO›^VAZ]mK*(s}̘G^ ^iw܈:p,BFѢv |6~HFZg#_a^E vO{ew52ZGd@ÙO.2?6%i\vB#_kN6N\"uZ_d՛:6m| |12x~-K}F6=Rz*[sE4#E괏TiGh{^,%13NBtÀJ@ǜ줿: f> ,X?!>8/oJCgJYW6#u'Ѭbp,]8 uWj)63/l)ui0f*P  #qdo{Xwo$wf<HmY:XygCyŋiBّo0ZOMeǭԽe% ۪ꥒ9 !+%I?n;- %ꁿ+=6VHz- 7zumj*zLsgo~ nܣ/bZzl*kX5th1&gL*c}Oڋ FzҮ~qÀ?0o5kML(Ct-:.xX 3>)EE R*HxG*tfJ4-w߅=9և.j8'e.K3&9M!ڈ{XevbN=ql3=$tuiL89 A ~Z _^9ҟ endstream endobj 11733 0 obj << /Annots [11734 0 R 11738 0 R] /BleedBox [0 0 612 792] /Contents [11739 0 R 11735 0 R 11736 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27556 11737 0 R >> >> /Type /Page >> endobj 11734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11735 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11736 0 obj << /Length 19 >> stream q /Iabc27556 Do Q endstream endobj 11737 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27557 20830 0 R /Gabc27558 20835 0 R >> /Font << /Fabc27559 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pV-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}XX endstream endobj 11738 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1028) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11739 0 obj << /Filter /FlateDecode /Length 5134 >> stream x]K8rW@|4̪`oۀ ;kUz.g2j-Ԥ%?vR4MG$vs%裺l?XͿ}}%WfLW$ޤJ̣ggp߹}᷇_?s D'~ S3+_sY_b:+LT4BW!A~Sy:Z_YPd&6,^H `sCES/ʺ7RrLw۟Xc(`, Z:&GO(DFg WoH N7!PɗQ*^_H70tSZ| )URuR\o%z@m/ VwzAQϊNb')(!*V.*I|,OqaX:-to$t$9WQ.R-Bm)La0J'ǴqtX͉w 0Tq`^fүswZ|i4 5\KPW7ς?sO~2Q%yI}VFX۪@YQ% L#Bf acX{K]oC\p_Hoplݫ̟<::SJxG]ù 1CU#G]ƝtX: $أ8i.?Dork/>yāshhV&aCGIqWW'Z?ۅy>WOfp|y :V_DTlzrm"h#989V6X( &Z@O2'#z>2 5LD|CfukoJL5.:.d1ٮ>6[ҘHIqZkdֈ^͍t 6uaɭ-VnP!s08daP& /ٴUTeHL߮v5 $Fx'fMUE7E[rBlF~h!>lpXKCҥgs=YFݹ&\',a#G.&9SP3RN;ZW_^墳oPj}Cz˜71VBq^^L_*?@#;> _ݒV^q\kJ1l޻r|5¥:[[6+G\[‹S1!5CW^Z ٺNhuZM-?Yj[W'm)&4ԛ "6ZE#F][jR99.,GtOۚg@Vv1s` p[|q4Ë-/+E8^1rL: 2r#[r߁("c]-qlG5 y$rPDAS,DVw \Pih oCg{.-L}BubT`"G g.P, eq3\ LwK>uFKY@,X\p>&+,|1_JO͕C % 4J8Q&5V_I !@|j8#8!Qpd D{'Ӏaӂ)XLV‰A4Zp"Lr,٪DoD`Ğ#eϸ:8P b @ _P7ĬQ ŞvAU}qHYuX{wRliȷRmC!πڴfSl4Fu(aLi[9kQl4FӨb[Qk0ŶMi6OQl4*v`2b&S:*s2b;'SO:*gTl)ޱ㎊=ߓm=?Ɗc'AO3R;i:8wRTn<ΚNpI)n3"'w=3P|F(6D(l[*F)p0I@U$#~ĭ1 ¤T{(@opb:=e7@Eb`3 5Xi*>#FAHF4[c Db[\ٞג3WU\ߚM[{*c>b{Sz*>)=;8j)ϧ)vb| g3HTC~a0gbCb^@g3P!Pf@bҋ)8[chRbwPc2G{c?ގI;=@;=%J석%vN%vlGkutdž@%JN%G{ۿӣUʭc D3C$^6>u``b L}w IdnJ'/\"lT6z_riՎR" ;aTؒ@+Yvٙx0z<ӊ$oh}?5A`ÔLB-\fj:U6±*" (e:gD*8`374%KTF"=SIPdsq<Σ @DRUﲙkD&fofzroh&S^ӱÈJ|EWQYǯщ߱ߨ3W/0gopvi bMSh-T|]sXr;ud&Ga9~ endstream endobj 11740 0 obj << /Annots 11742 0 R /BleedBox [0 0 612 792] /Contents [11748 0 R 11744 0 R 11745 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27575 11746 0 R >> >> /Type /Page >> endobj 11741 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11742 0 obj [11741 0 R 11743 0 R 11747 0 R] endobj 11743 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 625.525 124.1605 636.525] /Subtype /Link /Type /Annot >> endobj 11744 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11745 0 obj << /Length 19 >> stream q /Iabc27575 Do Q endstream endobj 11746 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27576 20830 0 R /Gabc27577 20835 0 R >> /Font << /Fabc27578 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ^ endstream endobj 11747 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1029) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11748 0 obj << /Filter /FlateDecode /Length 4534 >> stream x\Ko$ W9@  M!iB0{_zUUݞYdg]R("T_hw¯Tmuf ~je֬al5&Z+ՏZ<3 e(jW.'jK\YG}y{:hΏ˗//_:H>k岚JfEOn|\[G{riYjm’l}4ĩѫ :B=Kf;+k.I1!єQеI6(:&"sU~a[->inURX?n\A__7hZ?-?q }"ݠu@ uۺ`S/]x +&9% ZqJB!B?ԘcD355UZS2VL,&r:ۘRwj@$UoD-,VK Y*Eƒ5`|[S"ϠDHf5i5ioRl<49 #r-tw۷-lz2jUVFmQW~f5M.E_`,VX f$0g_+wv &A IƵPQI960+Ba4W'@J$N@AB1ɯNh]kߖA(.RxQnܙl<.U_,,kZ@j bCf+3N[~\ gl2GzD+a~zU"<%}LN -E%P &C\e0*^[?sW>Լ>.8 >mBAzYexh6R}$,%iw$BVvGdBH0 %)d<,d]G佦X  ևdI ^=~]aUfAw] 6crAiZQlZỌF&Si6؅Z`};;KDD8ش(X1LSe}'BpSă>çstmDDcE4:h3kVevS~ [v7R+VQd2M5:Bj#(z7e&qQ!caBaR|2^:Y 45Jx{rߞT%~4I+No`"5vXȶVӎ-H#5ir:G;,]DQFEHVA"7jOU z9h8qu26Q(>GrC-sG\[q+. ~דGCf v Ń1݅-f|ŵB,UmVfG;ރbosYet|hjxT19v YyvA\_LQѫ@A. djt>}tvr̮k:[=OBo gXn^@:?PrBc蟻3xz:ޜpʉ9ݗ8,B+UcM+OESa£\-mlʮR!60D\:y'ʺ\1 ui,?4=pp~hcBX &UmE9c:U2kLCGo]9B0-bˀZ{ '2LFC15r+E"x0>ott A=oLj+O @-U)$ImUO5~شz ttI2!=ϗ#>~z8O7#GCnb:}}t-_#{qX6ћy@/Q(X4#MA C\Tw|w"6QzTH-̙jf)T_s0_N3q]ɲ݂7M^XT Cz5~!Dt«0hM?tkwfT]FnqB-)݃e{9HBU{svA$' l뙚)k#KP`KI-،)逫 ƙw+qƌװbe1+,dƀōe!3j6;dМ#fh/B>umNq11zD}!H7qmZ0xcuАǼ˧zǁ.79P %9%p58v@K< Ptuvdp61Y3 g7XUm3zƯfUTyw-*j|`d?l=7)ڇ3ʯ 5Qrowo*2F{iiG015<˺|.#Md/7BܔshK},^t6EovX24>Sa9= s?^>ܰa];'p7Bn0kZXGRFW 衾Yt璀C]ƮD]|/*uX[nz/Ѓw?DLٺaSjo!!UG\7Ҟ=ܥv6fW$$*0hG49ʼIn >tO"[Y^'f(c0CgdSa:2iAo~jwK(Cθ\ZI#mϽc6uz語m#UweK9LQ,vRmDS|}GUl;ES0 5ǎC~bd&ޯ #ɶq dM@$yeT@mc[P011#;[@4;u~P7h֜ .}j ynFWɫ~Ⱥ2o+9` n~{=p!_44٧67()0>ݭtCK(LC!Yq`gZN0\㮢AnRzqQJե\QQ6"NTUw+l,Ұ'0L(B1u/\I_NWn> qWM eqkJ%Lx&UgΗ+b!:kEraWV.p[l$x.sq69԰Z&%bCL$~GxAO0 K"n)[;a[F^D3A Y+TYT-%zQ}le!Gs[7M\P} ~i]ZAQÐp/mGg|O?B{&# qNa,1#M7J(y! YWLE!_x_@tNR[5^~"_r2A [Qaz9KJm/֍ g C78F0jE#f7ϯgׅg'$pVzsXnaDD,RC5 O|p!I0TG|v͞j5۶/Bt ?R2ȋVFF+zەt>C":_%aǟGSsPz#ԹDOM?! endstream endobj 11749 0 obj << /Annots [11750 0 R 11754 0 R] /BleedBox [0 0 612 792] /Contents [11755 0 R 11751 0 R 11752 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27594 11753 0 R >> >> /Type /Page >> endobj 11750 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063017-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11752 0 obj << /Length 19 >> stream q /Iabc27594 Do Q endstream endobj 11753 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27595 20830 0 R /Gabc27596 20835 0 R >> /Font << /Fabc27597 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11755 0 obj << /Filter /FlateDecode /Length 4540 >> stream x\ˎ, +jz?Ӌ \ Apo!陱iOW#RyuQN'$|{jqv oI_,J-_~J w[|_~ʾ>- YJm5|7 XIӢ%4w/O”klJcCO]m,_ kHaO xZRQ_T)ݵe™L鵶ʄ& [xz%ObU^@^?-_ ,YJ۞R |8mp UAդ QVQgcVwɤĬ3suMrS3DWH*6naԸNވ>>{;A] 7mU`?l3> Z >Rv5Q>!"~$9^c#S:䇲lQx/tB^#d~X4ܑhE,K~"ah{'>,_oxyD=c1`t u`|P ld_Ȗ|7[OSncڤ[/j9p ˋdV;g#j?1 .W|%,էGUΈf@:B4kTAgk8T-dtE#6T)ʙ 3`pk0nn7Y^VhE#ՌB[}L˴&L|ĭZCT@ʦ<"b^q 9`ռs@>X>-@&}$׿X KrHuLxk+i#>Yd#dÞ&)CY:2gV/ Ov+3sH^BaYRz7a`D$N[I9iqERScf^\[3ޫo옩I+M~?AU("'LsxASs#]N>\EHYN|64W~1<PeO-r%-d l3F!;B%_2vjjҲ j' JTAԓ][mR\uKdteei}鮬.2u5GfcGzB1_=`^'5'*S1Vߊ³J40e;?6O3R7cѦ.Yo5سTL">H0 v/!J"Xw{Ɛy(zHΪeXZ$Ca8q9*VB %o !UTJl> Qi8==9rnfrn8^b"-_pkBAOy^}m[-,떲Af7i L凐/$ }y~춨 iXҙYBwsh:4x14}O[)Ҝ6|чW *9XEukc.,5NU Wy{|s4jl-M.FU-`(0Ь.Ǎ|Tu}LiCV q~6Z4{9 e)ʧ2X-T:O+kR;,KNnܱ5UJCYgJ??ޛL}.SS{!LF 8{J~k?*X̚FH[_H-6 j;eC3]f%7YXn0Ռf5+(V80oNnא:KCx4J4_Y), e *Ҟu#}I}D=V&A®QѸ%F V)Mؿ!myּu0֍ؖ_U<.<a)dPCLn63QA*,RCW_ݪ v{ K2xDt6-ޗU.\$v?=9z%՚ɋq)ot|~,S0yYϛ*2yAІA?"DvR*fT?^j,X, jFLb1ה!eܑ~+P{qzuȰQ.$tUrk#Xi<#hqXVwēC{$5:93l1'q7ʲs mD K{! \JâT>/9tlmfeq{a2XE55'oޠdtO|t:Zu qagSVQ#gVq"=9lp\Zp+ RXV`9ce+ S,`ed9yyQ`Ҝ:=:?Uw=sZ^Kr >יΎ}xu#kM]}Uco'Ixo;][ pBUpKSGʡl|> Heu ۶E\PG%@V rwoúUio\lB{f Rls|_ٕvB|m}Lg>S`|qfSmx xm|HSv:vo{2'pwދLh.)g3F٘c9] .7.NY|YZ92aAzikS|QcV;[\ܸ,ƒK6=qrh>DZ g<ffB\'hLifR}ȃ_mBwݧ{@9V"|_:ܸcx˲Yٛl[]l/~rkvm n[~,.f !9plZe4KIU &M_.Dk<특5k ^m'B\~~_Ac 7qTX-3?-?/?Ku<+ g .U-H[=XʮW‘ACVa͹Ay1+|@J2]gmӚe;=U eZ| '!8%)?CG!_rqRjuggHf~ZKTMNb'Z {֬Qgy&<2$q@\`Rb0!'ڐ0|,e V1),2y6/"^;3Jf Hب<;fNعJ 4]Fݤ>B"~?7' >S+hEjѿ__.ܦyֿ/zO'OXџ \Y:jreB1wz%sGxIrݩ-gd .\Fj4َf{V jcem#'Q0F9"v9H[Hվ#jb12]\~OIE)cIt/`b_O4 (&%f2[ח6N=fIVs_iGm_ g(̀7ـ sT<)&#t%y畔0?K>|yFAXmȹn \+<`TqA=$G$#IPt~mh `R]-$2y4y+|zʣ^by6KU<凊l&,i(]"ڳ=ь`Sc;?mz_ L- endstream endobj 11756 0 obj << /Annots [11757 0 R 11761 0 R] /BleedBox [0 0 612 792] /Contents [11762 0 R 11758 0 R 11759 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27613 11760 0 R >> >> /Type /Page >> endobj 11757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11758 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11759 0 obj << /Length 19 >> stream q /Iabc27613 Do Q endstream endobj 11760 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27614 20830 0 R /Gabc27615 20835 0 R >> /Font << /Fabc27616 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`͔ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11762 0 obj << /Filter /FlateDecode /Length 3995 >> stream x[K# W9@ !i s)RRU  q/v#lʣ<ߙmB~}kyP յDžjcęWˏ zK$;*/o-hPQ6Qħcm &88`dBp%zRb+%\АYzst[Yzi,puȴF,F85;)rNOS3OSLK 9މ{(w;ŞS&?w|QHT)4L,+3@,cG~u颓Zy7&hٶFMQ*ďʼnGG Q0 @F_L  "鏇ܣH~?܉tIDn`D ֞ SFtٌ`W (3NϺPІ!`X3փZ` }@엵-l7"uGFW R  7l nϷy!S8@LO u2@PXJrj|uJYl*fG^RVU-*&kwn8}MiLWw5EU4, AI+$]VkӶvpyrZK"d 9k"4(k/ӚZ"0B)O⥿JE@Qe^Ue] cFOǠ#z{ŵ<Q3j[e=HitrUllj:)C$K!cRD]Qgv!b`G fZm9WMۃ T(jO VZmK_)ES"nph]khKtCcpw8d59d18d5OlŽPqN>DD˳s7!Sj*w+wؖe;1ggj,"`n jV޺su@{C1MCBS6xS%lNV:|oIsAŧO.5K=6m3*XJ+vp ^ z6}{:JwK019UL] ?Lr;pr{1#ͽ$ubҟ>Bl7z2uNrLkpΎIJ ̣tv[L\8-&Iv;a\ٵ_,+jJ|2d {Lwlht䒬ԠNN`AVZ)ϬÓ'dVF>(m+.Tbx{b i3fzb擂U"_듷NL+>߻8vgpzաKtis Zֳhr9IQ7EZjveBzs(2f!ìD'@+r>S^htbc1XzmʇϪxm|e`wcvA"^Q Qj;aBv:GLkO1em(q$i.~Cbņ$&. ䷿/?n փ:{j}@Y|咰]]'fѦ?#UP߀ۯz[gF\_]m(zIӑ|>|bÞn,7J]o" Hf8Ft\Wt +ɫ;7.G gDu"KqfUTnZ3N۸;@]0~k${TyS`u,-:Ƙ ܆(뜒"TɆjutN;d}A0\}IyB{kӐ$_+<${LƎ'N~!|_VƘIת1;9d=뗠S d 0!ZUbV2.aULWPa2JMAD_R/ I4u#- i/HҮc:J]9[Η93w0O)u6|H (Î;GD4t_@iZwzǕo@ģחT1^c%2Xta_qҕv }9gG&OŅEU!/Xjo8 i '~hFJ'f+j\ j2r*J3 Jg./ERmpĪ2,ŷnpF7YR46C/IQ}bݛHNV8/V5TAز7E祘&Xp I_۾yїJ* _Mv=t~ OD?э1Vxlʈx@j-}Dx;+]rTUMMĜsÙk.zN.%(lΣx^]Zϥ9 <i\ gYs a~ƞ]!tp~0=C|~;ç}Oi -j L̟= rꂴI\ʿA Bε8N*߁1m3SȼƪVg{)3qc=D?O4Er!/JC31|G14 ~)_f A E endstream endobj 11763 0 obj << /Annots 11765 0 R /BleedBox [0 0 612 792] /Contents [11781 0 R 11777 0 R 11778 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27632 11779 0 R >> >> /Type /Page >> endobj 11764 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11765 0 obj [11764 0 R 11766 0 R 11767 0 R 11768 0 R 11769 0 R 11770 0 R 11771 0 R 11772 0 R 11773 0 R 11774 0 R 11775 0 R 11776 0 R 11780 0 R] endobj 11766 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 437.7115 155.5267 448.7115] /Subtype /Link /Type /Annot >> endobj 11767 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20211013063105-08'00') /Rect [104.1732 421.5115 145.6047 432.5115] /Subtype /Link /Type /Annot >> endobj 11768 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 405.3115 156.7257 416.3115] /Subtype /Link /Type /Annot >> endobj 11769 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 389.1115 184.2752 400.1115] /Subtype /Link /Type /Annot >> endobj 11770 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 372.9115 165.6412 383.9115] /Subtype /Link /Type /Annot >> endobj 11771 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 356.7115 192.4702 367.7115] /Subtype /Link /Type /Annot >> endobj 11772 0 obj << /A << /D (unique_563) /S /GoTo >> /Border [0 0 0] /Contents (reset_runs) /M (D:20211013063105-08'00') /Rect [104.1732 340.5114 154.3222 351.5114] /Subtype /Link /Type /Annot >> endobj 11773 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 324.3114 166.3232 335.3114] /Subtype /Link /Type /Annot >> endobj 11774 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 308.1114 165.4707 319.1114] /Subtype /Link /Type /Annot >> endobj 11775 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20211013063105-08'00') /Rect [104.1732 291.9114 166.9282 302.9114] /Subtype /Link /Type /Annot >> endobj 11776 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 275.7114 179.7817 286.7114] /Subtype /Link /Type /Annot >> endobj 11777 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11778 0 obj << /Length 19 >> stream q /Iabc27632 Do Q endstream endobj 11779 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27633 20830 0 R /Gabc27634 20835 0 R >> /Font << /Fabc27635 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' JJGܱYI ]v4Lm)1:}ɓ#-IUrm.\gy4 EŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwo7 endstream endobj 11780 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1032) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11781 0 obj << /Filter /FlateDecode /Length 2908 >> stream xɊG_gCc_)07 s|j< -tmdVV[Ẍx!QXA9W~OSƨ}0砃z{U.a2&E)\.6eqp}>Idy_j`.5< i?Y ],Ə92t]bjhń ;f>f!敕y#;=7I}Yd"ИBY'0!]$6e."Fx"2"۫_?NZb?;tIR30ꊄp~?̟/F8'~h'}8se.i#LM0;z0q ,0+ _w7Xq&\7VS{iQW Xi`agx^3l"5NVkJ4;_nEcJ{R]UsYn22'Ld+:w.9kKJ4)MA[#c--|Va`=(mv=̙g>9dV8-y(IaՎ2A:~%4۪Qb2|Uvւ`/M"&g_R )4pD/@Y !cbfv;p\ 2\ sԱQbclY-0kVWU 䠲lg=[_p*cɢ:Zɮ'6/p=2ǧҠ`}OC|R1PK8p B?wX0@cj"Ϡ\TsHN(ƭEY fY߅]"YV w柭l"큜IB ;#{Xp̛X9 &[<:$ 7x&7Cl!Hh؁B)d=%|3Q"80dUX0]7 C ë` g& W 迦l  DwŒ}2ҜAC㠳WqEs-yD5lhd-UcJyJZh$YUͽٺv&PN @Zw1 |5*,6KZȯBJ1ʏ Zqe Bwʫ**%U~. 3\Tvz$ )je]llUu%MGMQ:u0]% <뢤Pd!9ӄ7LI3~QҌ9@{ 7 fDsΖw)N3gx8tE )G)"5H쏌 "v[rѝ)h'ԥLOs{"Bݏ"zu1(FGڈh΁[c%Кb.DŢubwo~=6R~=@%8&x^W=3xD]G{W |ZʕP) Jo! 1Q-sLo1MLeÚۼ+y`J"zU* QC @ WإPCΙʐ>ӯPQ ڵ]Be-,tT4aꄳ6C5ԘD1/:x=AZwJ+TRW[ }ECN"CZjZwSjI u̷H$>Z|_lXc>gm_%ge#_aۊ\dJ~7o:(s]kqYOb&׻]oh <_X ۤ 8'OhݜR 6Ӫ`se,\Тp]чXL2NX])hAp:e".\:lx^T-=6d(4spRC@O:$QamC}vrjG 5 Uz}+u&,U az<]A/X:MW8tdmgxC% 68& wzrae̎cNK&pR&]#S| }bKeA4kNoD3-^+ %}o$yn榮_hCjf* +)m(L}j &+Ɍ<+qfRoahkjf0bK뚨v!O'z}F22Mf@K eW m"QU:4Բ2+6K2W ^QЧ<_ X%xT}xO EBD\kuBqG/2 \Gm) fѣAΕ ?Q~9$[:_0!& endstream endobj 11782 0 obj << /Annots 11784 0 R /BleedBox [0 0 612 792] /Contents [11790 0 R 11786 0 R 11787 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27651 11788 0 R >> >> /Type /Page >> endobj 11783 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11784 0 obj [11783 0 R 11785 0 R 11789 0 R] endobj 11785 0 obj << /A << /D (unique_77_Connect_42_toollaunch_commands) /S /GoTo >> /Border [0 0 0] /Contents (ToolLaunch) /M (D:20211013063105-08'00') /Rect [90 190.825 144.9175 201.825] /Subtype /Link /Type /Annot >> endobj 11786 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11787 0 obj << /Length 19 >> stream q /Iabc27651 Do Q endstream endobj 11788 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27652 20830 0 R /Gabc27653 20835 0 R >> /Font << /Fabc27654 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ϪzkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ>d endstream endobj 11789 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1033) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11790 0 obj << /Filter /FlateDecode /Length 5190 >> stream x=ˎ8w~Xç(rs0S,T]7GPmv @wS"EfX RKx>e;9iRߏW糓F˯[_?_rWy0 \>S9x*ҸczҦ SS-<}iP { ׿/_V\镫':U_H5ԠFϣE{aMJR㠔T_^G{Tj|cr>pz6]W&-1waV8aa0>'Q"y}gU~9fv Nt nj <&Q85q 31kD"aa51å!=D) Tek:٥-}+p9 ΆY<8k){ PxxDF$f5F?Ah$0wn?! &U$VaBVM@:~_F~2-LO8MJH3\;u=V/(Q?`ߋM0[)6 R*uD! @nWmq|YCtimhq@\X.;ݡ?>vyěӁ-C/J`񿋒یKBiF{ }2= ofxxCIrXx]|у=!0f$T<3dN){6 (̼czayO"5(Rwb|:il;c$ڸ4!)Ƶ'k8Н%1@tp! f%7x";LaZo%؇ێêllOQ t\^: H:qu/րY\uBqWxt{1`Rj=~5}=v@|7pwqH8\M&K&ir&wH"iI$M#ib}&nJ'i⒤?<ܪ9P_$g{N+' 77 q 'c&6!|_2Î FWW7d1rsX\H Y3X}Ka0/%/͝ yj_oJ$ H=:bHNFj@6:GHH\";ZJčm>)1M0 @zQLQ#ʡ_Oi*5d{B 3WYFT:e2:8kHnHlIsIgˤY ΪXq*<,fX` έe_s-ʆK:iBB`.s\Y\NI Z(QIv`[q֮grBxڎm؎6}|g ^8:~t(M$3rlo% q-$0%9+{{p!v=Ç|~:Mvac Ԝu+AF&I 2|SabǾ7|6zF({۷Te'2 A@7|/ɑ1^:/sw،] <"fQ'ҳȘ)KEuoyUc%KҭuFdw"ي x׫ֳ?:OތS$< E)`)kA-#˸`)w'>c|Cd,Hoy☾όN]P|%>` M ǘ$N#4/¦ZI Irol8k^z]g#IF¥2$ZtVkM6I!2],>߬bF7[vNAGa3A&@kL9$/]Wu6,=VtDAO7-235<3QZHݒWըdVs.3ifu Xf>7ɤaa6)*鲍7sȔlZX;+Ī̺8igOvrdnIZjׁrAn7NA:]/F~'єuT$C\@νԁy>P,+:'!ꐛqS|Ld.x dpp@\ VmAs;Z.rfqY\EnAFv. "Q \H*~+wJr7ŕ2S'L͸hok:?EV NCT-6$n)޻Q{wjԆxOtG=QOs=ѫ J%zQ|(77QwE}bɇ>s>D=K~X'Q|4˨O>#OjڢB^pOn-5UlŃk*$nB>< /葸'7}`9\!V nARUyo^h1m:Wł7dX]b65|\pT$[^i&uNů=߼YM#Skߨ-T̋m 0p#'"F4/칗sVLgZy7hSR}U=Bmv,jS7VSKJ|鼪IuVii:ޛ>8+il\Vk5aItϢ|jL׉Y2KRCb6 eEZ5 t+;h9(ꅣ ZD>~aeessA^,w O]\:a EX Gt>Lމ5^f: /D6rict|k2DIwlc^ы %e8 @ش KK )UX JU v9ˠSxEp)2/YHԾ;7 8s'J+њ񞊱mKO+J[>yC^K6^Z2ė}U_ƽ+SwuJ\F'ܧӝ CC хI%w:Ds\D`S t#kД8Kxvm7%ݬ)^LMZǦ_l3Bo<,sdjX |RMZae uRYm.(E7yQԑV4֬xZ9SJu`y#xX5=&fPjWIH+bM(L6XKg8ᓏTܖS/K>]BD7%#* `JaA> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27670 11795 0 R >> >> /Type /Page >> endobj 11792 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11793 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11794 0 obj << /Length 19 >> stream q /Iabc27670 Do Q endstream endobj 11795 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27671 20830 0 R /Gabc27672 20835 0 R >> /Font << /Fabc27673 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%oR[-48יq|mau3ͪ? l?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11797 0 obj << /Filter /FlateDecode /Length 5291 >> stream x<ˎ$w}E dXP(2k={ݱ16s7_z"2=B(Hb ]^,,/`~:^cϿ.ZC^/q1¯u Z+Y>s-[¦-^Oz]u)d>.eM%)N$H XiMke@Bg3wQm7򸸆6.q|~T[$!楬2x"xWqyTҩ-_^K%"\VǚMԦE4q 2.$gN&xxfZ2p4X<ӻtTvZW8dLXu#< '];) ݌'C8:4`06OTgF8<ڸ >`匎kH3-J_$",@kIC[@Y#W>^GVXK?2pm4q*x˯Y~AF_tVoNY6>X*~Zw3`zLO O;yoDoє@w L dd+4.z!Lv>a8K5x[<#vl~JVš9gg&^YMJ~]U~&7|H`9gѸ4NQ|zH iW \KOpI˂Vf0Vglߛ,*,Zx~5٦jcd  CqX8OL=)6:[A{?ԬGbeL*]d$5 .f: vz&z y(pʕ''2g Mkܴ ;O:&@3QZ0J?pdN\[\p/[X_[sKlh/˿ c[LZ Ap=6%Mz_,xz`3c Z=2x {" %՚FxY<:a-9 R% FW1m͚`u¦ q"!ձԄh"&W4!F?wn$9n6j=Fu@"PHBr~LXI~e"CفWutp^PucMjd8ҫRxDyv֯?)v IA}Y^# R.A XpdVJX [T}x:S ]{cWGP'e뀱zƬf Ӛq}JPf’aY bnPI(aP܇B9$t)O 1 Cv-@o`t[ vbYk,#`P;ΒRA$ŃFǕV'\&X@ja qٝ_,G&TwDQ\‚|V Q]j+J"V ~ ȣH׷ir,iȡCW;Zx8w_`?"}i'P=(N Lă}ӱՀ%p p#cкQ$[<vo±n~JdeK}."Q#~.>YշhMް&&_Fs떥!Ll#={G) !.X]0;`v r~(ұۺr ix"OV1!:VV 碖gF؍?ˡ0ڗ5 4+0` VR\vc,~ b:5}F ViuxM1&^.rPbx9x5h1Hπq`|Q=ʑz<<FAmQ8(B<1;#מQ9˜r"KzYRazchwEzk_p@/䴤TѨ{s@d'M|lߟ= ^aXnD;pvn4u[:0/[߆ ߎl1NI4 }c51]`rEpA"o _/l. 1vS^"ZC`׉V V)Hn2bCl aVQB\vݐ%d)SkfZ7ͨ)pK'Mpp3|Bh-rgPXI<'=ȇ:omw;:oUӨ+PֳPu>0]/}nN0`1czISbz6u]; Iؐ,oڢ;nʚ6t.k޺A{j cS 4# c!?GICeNk9ݴ:U42T PG9D .|QMhncr>@N7 Yn!<<F5𝜨{ rvاyVbw$`Zan E;;anM(g﵀AGtmi~̽<":Bo︌<`}Gm=a@2+5nsiC 8<*yE}J0y gٗmw 2f *v^t탱Z|gak ؕwh|m G>c}/܄ZKZJ ~8Q[t'E0;.wdn)Ժ Uiim/=O, KGտwoݸj/-t8w >B3І l^E\ Wo] 'yZ7 LN/'* MC_q(%D}]0զ0s8h+6OsAƛC&̚$ĂQy/wy=ă[Y I R"t>@ `: +F+hEn^ ܤ2Xna/|A^/߀<.[ko²w{;P TpW>8DEGq暼vbbRbشkB |6?41:S^j옜VMউp0@ŒRr pA(sQ,x!=KK{YZӬ.k԰bz+ƚqے:p?(}c }ǙVoyGoo$@ViBfA ^(CAi) 8:#=_LSm!#V~xr*~^~+0'ġCr$2T!X[$hxI'&sB'е*%bCLTCr| @OQ5 KBn)[y c\~i5kһQj(uZ eecɥ^Tm^b+ YZK Q mdrpA2v2O7,h䷢!]<.~d0Mưє&aN 2 ܍s*`Vc$W3$gEtn8ЇG2WV2|"[&<|օD•(:|&LJxҎ^[U,x)h3%U3}I Dȟ@ I~[< :9XnaJ?K1y>`Qylj-E>OIHcʧ7a(S`z$#ԹOBp\޽`A 7# b endstream endobj 11798 0 obj << /Annots [11799 0 R 11803 0 R] /BleedBox [0 0 612 792] /Contents [11804 0 R 11800 0 R 11801 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27689 11802 0 R >> >> /Type /Page >> endobj 11799 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11800 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11801 0 obj << /Length 19 >> stream q /Iabc27689 Do Q endstream endobj 11802 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27690 20830 0 R /Gabc27691 20835 0 R >> /Font << /Fabc27692 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`FЎYzrv"i,䪍A ?wG?{`%( Zn\JtG ƤJS4dLdiJU$R)!^\y( ^rj\9 " ٷGӮBxg(eFI%o#\\@Bl(Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ْԑJ_%+VK2 ufg[XLoۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}Kh endstream endobj 11803 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1035) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11804 0 obj << /Filter /FlateDecode /Length 4907 >> stream x<ɮ#9rw~E Jv> |*ό16)=VI}!7+f}eݖ4>R*._ixcmJM+߾rV:$nJR_nJ].|Ui>>z/}C_W+|2&_ʘо|M|@g+pJm(m~e^۟n6a GrTX|(oۿm_uQ𰠀F&nK*=x% fOΖv U `Gl*)ax+x$}9oO.C9\i!N<,sXP8 .=l=^37̛ l2x:Yi͝i `ƺ2=mS0FWr\y0VIk6mHàfChsҬ :J5sRڸ7 "kYxci*d(2hyڸUQ ;'JJVƕN@F%+g)J>5AQCQסN|:tYʍ0q~e 8@.A=*OaiaQnZ aAN,bt)oc;M$ս\@ $' Y vSҦm (j{8 ja%mkA_S%icx<&&&]4FF$󅜣jW;(tn1K{z2&gw&?5Z+ԟ8'ct :+% k-QZc bte(~i6(04,9 q ᚘ=28AfnlPf2.2A390m:g%Y4LV]_`P;^ sӶfOԙfPM;QMy۠؂ߺ؄ 3 !U %Ks|&(:>ү{=;ߣcՐ* r49!R)6sJS=zI&aJ*eJt`S*,'7Rk,7$[<4+id 8Xm:4k壃#t?cKacQEɵBftW}i*M΋2^Y0z{) ,1lRL 1lY*+ yb$wjdpqfWI= }!7&/;*Vvl}1emA=wkMY"k!͓Q9 c>FԪky!>CПW|w=(y7ZgqZS=gڊfl_r|g)3&>eimX~=SGuoSۆT^s(7 Z4ģ:දΔI^GTDmE՚ZU0JANkE@`K-퍲SQIU&ei CY=G'cfer[CUd|6t.jc@i3HiWZ`<50Bh^UǕnJUbb"V@]Xn]d^`߇6D Zp^4jܻloph ޹ꯉ;lG/P4ylg@^oH5*wJV;ws>baR .|MA jl"B@[ڤ>:1Z>}Mziu5>I=Vyb",z8gp@2 #-3Òw+(:ۄOJ0 ÷Xk(ı@Tc# T;՜l豫tpxIKy)y70{_nAbZ4p>Lk*ҕ5zWPp t o#LWB28m WЪ h uvW۱No$fAPR|Ekb"+qN^, %51 0z {Ga؀oa#lG[P g]g =HӢ\"F܎ YfqXBv ;׋]RX蚕JtHa<%Ί`tF6~Lw3{/gI#1y奥NjIS`#~=J<('+.WBvS8_ʎỼbBw!Z ٭6r<>O'fn>8 /*%Ȝ}َx}3DDҺwCq^8VPqpd8ƴda =S+g콂 \=R:r؛^W[3j]ZE<){pp#fc;y#:x:*/BdgO's"w"mH#+h1b][K`VN㳃A SOnDH|.6t.' ^5-وr F.L|;g*#,;vLޚ,"P,$ RC`Re>HQ1CIgS H?|'q1#/-F(aRO}kd9Pk׌P{[A݉!vS ßD0v+_Y=%÷į1'55ZKMҦ:2dQiy;\b\&;^TLx:N/DO;b47p^?@&y&߂:we߸;~cأ}.MP:fm{y 8pQLZ8 <߫,8J2<-M]0_mIu8MeJo.T:aJܕm}x_9`p:02~zWlKz4JI=f' 1{]󅀿4¹.۔MZS6Bd zŸ{iU~?n[AKBb%g5N+f4gLBVW{qcK\+T1\('B]ٕFw ?3Da`9((= endstream endobj 11805 0 obj << /Annots [11806 0 R 11810 0 R] /BleedBox [0 0 612 792] /Contents [11811 0 R 11807 0 R 11808 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27708 11809 0 R >> >> /Type /Page >> endobj 11806 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11807 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11808 0 obj << /Length 19 >> stream q /Iabc27708 Do Q endstream endobj 11809 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27709 20830 0 R /Gabc27710 20835 0 R >> /Font << /Fabc27711 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛl endstream endobj 11810 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1036) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11811 0 obj << /Filter /FlateDecode /Length 4938 >> stream x<ˎ6w~P(]0SzAſ"R*+ΖH`#Hyeq'oKsKKuem.}q-_cC^ kiκ\}~KﳵD.@k/|7@dz^z1ˋm->F-i_!8 7;La HgKjXL qr@~)w $ܛ5Ȝ}6kh1zzɲ䎠kkM&ς,s Hk즆m.yx͌PKQoNb6ȔDJ\aAD&8D:a(a"x1&1ei!ZSڝ\\S. & N¿|D$PJ0߅ p@7,.8Kʳ ֩0n!կtΜ]>O9n>A,3(K/Enu]^ASᚑL46&HY9NiZ/6gmwׯem(օ2NJ40T =>M/[sQ94dNfֹTmfWg[ϼ)& 2v9~ڻؿC4-Z,"vnorp!ѡ,ʶ$C?JCc[]̿s 1KyZ2Ϋҍ`YV9K;|o.CiWqdmZcH:0 s0`8W;.XbdI"DQ4=#jU (~nK-gO <50jx.=f5Y5wy? 4h?_SuŝŖYݚ|9eJ.@BEDSO.ːH>#qwm̴(*F3>l (v+Xr~p۲v&۰;TfNq,Ĉ_n3El/_MO 0۵՚05v4HKNڕ{;e?|lSZJ-?s ۋ+s@Ac % (yEU Z=4D25x C DRH p=R[}.x./K\sZ >˄E6W$wZ`m@_ƘQex93+}.=) vMjBY'"FH~$+D襈|-S&7'+QwD{w H99^:P:UB3μή)2޵D^m5(WߖC đ詡(XW" RF#L<4S0R0:Y}"ћ]d+a%xXi#Mx?Vm5|4؃Ϛp)lH"<(+^A!_ o0ZdTl$,%%8d`-D5fւ+FứrLʰPY [nE baTT jtaG 5"CpDZ\I#ƳCqm@A/:mT(޽e:3 GY X"X$xvWt!k%NȸJ!lFT ny5N#r k72/yR{Blx "/+yZ DoNu=oxW*ʽG @ ȣH7d4Fa;' hm w-Hw'ˆ +i'0;zOn" % NA铸R:ixFdeUm R߸v ]vS:hG8z4&'.UU+oQ*o~SzRnZ_%0(r IOևK$?P)oX;us3oZ^lHT. >[ Wy--~:`3o&p 0aIŝv" h ށ=9C=u3:u{<ȥ@u̅ܭ]n0[rjRTŨҍ.\2daEIKFW|Tm!\Sܥsm*ob4 ''۴-o'.g䜚nH;J/ҒF k!%eo$tpi4 eœOF7ZTḛ ʞ~(OgGh_1L7X>=/IŔ.OrfNǖ@8wWE/%UQ"Jl;L~qHqaVoUZUJcWQB~ Vη8Ś> Ű@DJ+į^nz/2$oxsO`ިL@ga UV[$Ớ,OmTe+6[-ūC0.,𑙿l73 :n3 & L C|F_$}?σ(^n cr*x.XH3Qف3'&VxA<GMGթ*lFhR)h3Mb]vUf 8(Ya8v{+VT.a0Z`p##[!q(b[ndjKolW_S>j]+VCk\`Z[א^uex8L`kk|Ik~;g]V0fY`7c_Mi|Hekux:åj:o=& r5DyPR)g<7v]y3mnY][7 hc ]՗y |n ndv^T٭'zUC+_6ͭ~y9˭7Q~NU2&rc+#7ڻ@D>{>lsgq@]z\ڒ E ZJqt%_7hug@Q= ]ȥ=eC3 VwwϜKY G<,簭Xqls]ã-x"А8\ D]k).'\}T`mp -tZO`({K[>֐`B|3/oU4E wWgK3k1tqcy[Љ݁iXp%kmtZcn.weO.an1_n\ o/߁#__Atiey @Vdh,' ]~Oߡ027Nzh!smMK. 4XmYK%gy2#Է`QH X#|&_>7uD굴'-~61dq$[#U>RRK K̴ܨW/[Vqx*ܖ~ִ}-x$8G{n?>AMh'` T/: y CǗ'}!_tW#~E=/2x^.5Σt{CÇReTŬ0~x=1s#?y87Єfc,=LzX~˽< !]lrN 9K9O HFi- ܗo@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27727 11820 0 R >> >> /Type /Page >> endobj 11813 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11814 0 obj [11813 0 R 11815 0 R 11816 0 R 11817 0 R 11821 0 R] endobj 11815 0 obj << /A << /D (unique_660) /S /GoTo >> /Border [0 0 0] /Contents (close_sim) /M (D:20211013063105-08'00') /Rect [104.1732 107.3078 150.3292 118.3078] /Subtype /Link /Type /Annot >> endobj 11816 0 obj << /A << /D (unique_664) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20211013063105-08'00') /Rect [104.1732 91.1078 160.6527 102.1078] /Subtype /Link /Type /Annot >> endobj 11817 0 obj << /A << /D (unique_681) /S /GoTo >> /Border [0 0 0] /Contents (relaunch_sim) /M (D:20211013063105-08'00') /Rect [104.1732 74.9079 166.9832 85.9079] /Subtype /Link /Type /Annot >> endobj 11818 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11819 0 obj << /Length 19 >> stream q /Iabc27727 Do Q endstream endobj 11820 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27728 20830 0 R /Gabc27729 20835 0 R >> /Font << /Fabc27730 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫lЎYzrq"i,䪍A 査=0'31H[&##((BBq˜Ti, $WJ2'ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 ~UW<7!fZ5Y}'ܱYI M^RuTkQ>U}ɖDV*YZ yp3eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH0I NЋm߽?G* endstream endobj 11821 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1037) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11822 0 obj << /Filter /FlateDecode /Length 3320 >> stream xn#ίyq/!r@: w~BXf;N7dȷ,oH 7ve6xKݳ1˴E5* ʿ?hmVGxp[i"܌G퓧\oE{5LXt :c<%Sy)_(V5R"/Y^XQd㘾[h.RPS X].UA[={Ǫl~oVΦkYjP%3T$M2gU^&n¡S1lc+Hhg*)zkX`ѢCB")[a5Ҟpu Pê0P5 ǸRY|>>M].(qhO mAE;1`Czn(,aD ģK;ܱOA|0~|Ms Bm7n2Y ]zwcA{ևX3ю)Y8P-Y ; 0&}]g NTȐa];^C#3;dR2'㹴U,DmU]l⌙'f kg2X%Hpɶ(MRX%;PNpM/ᅢaI$R&A=&& >t]bD9e^Yт2 B¬p|{Cm1j#q8'犛.}OQؖm-l M\lXıFN8J0Ma5T8P9h+[08)I46"yo3˥XHN&.ȇXaTFq`&86Nt-@"OfIltdp#9؂Yd3$T%9^U n-`GgqnX$2Ndq]Yy:&K+{K^CўIjLn 5>k$FkV42FQrQc!V&BpjâW80߾tp)xYĤe]hTafOc\V.) )3D ivr*Lt0+Ī*yJ` ;$X 糈KCaõ.[|W'7ޜ5IQ#(QW(\,(z/MN" q%rA=6R%NTxT%`x %ݙC{$< ̻)o!׸ȄemQ&YUbyYTwZKRC XllH:b.?!Sp><57|E /l-cn9xW~;xQ]KP>IK 䱅ȇ?glkvyTdcIR%gﲗa/̿)$WM J+ŬbP%bk1!j p*{iXI,NpNOr{D < D AaSǟV\85h5ޘާ5l zq= f 7V\&)ۼn,Ք6:ok~P~ZD ]Lov8^ge7d܌7x ~h@ "i1[|?!HEQ]?X6I׳rcԔ訦;`iE[ Rdd]k-/nM埖P_χU)eAQXJKH lOz*]h1}݊k+HNIꈛCQE7(S! }kcRRQ:N6Lu*|I ;]zo.C4wL1ǭՄ?ru&h/^r>Do`ă$#"^˛cs3bwK]=}WpI7S^iǨ=xgu|X){y}+fOsҍ dW(0U`|OADi⅗lU6'nT_מQ^0[/˩[SwcD54|<P\tV,>w[ uYō&@&/[7kH60_l@`]HZllCv,:}lDGz{ht (@UzBd"UE&e/ xUI|MH]B`h:hA 7IirrqJx$^xE3E@n0}P֎@[_qǛ_I'A χqlhr;#H*`bY?`Iρ2kQy&:Fb#|uO KiZ/3i .\}3O"d3U4>ODh8w}?'kL:L C(`pv3;-8*̠(^x#nWxBl`=}A mR! ocSX=&6Mu'vu'^TEKVhx`*V>N=cރңAΕPX z &ɚ_Oe_^ endstream endobj 11823 0 obj << /Annots 11825 0 R /BleedBox [0 0 612 792] /Contents [11831 0 R 11827 0 R 11828 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27746 11829 0 R >> >> /Type /Page >> endobj 11824 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11825 0 obj [11824 0 R 11826 0 R 11830 0 R] endobj 11826 0 obj << /A << /D (unique_55) /S /GoTo >> /Border [0 0 0] /Contents (xsim) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 126.1017 709.9] /Subtype /Link /Type /Annot >> endobj 11827 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11828 0 obj << /Length 19 >> stream q /Iabc27746 Do Q endstream endobj 11829 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27747 20830 0 R /Gabc27748 20835 0 R >> /Font << /Fabc27749 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`Xӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11831 0 obj << /Filter /FlateDecode /Length 1129 >> stream xڭWKk#9WКҫ%A0؞0a˞9?WDouPf9s|g.ی#D y/Ԇ1}q*zn~gGy ΋ ͺx.w}Δ]#& 편<5|=8yr_\vB. x^ N^fQ%xfC7S06ti<|Ќ8kZ{kj̬M.ڟ%CUXۊGJ"b3Ls~:M͕+X;RŲ1$ Y3a;Gg9!>2^㺬%bߺ)E=c]Fa_6%KMґ-;)љ#x8<|ǧ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27765 11836 0 R >> >> /Type /Page >> endobj 11833 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11834 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11835 0 obj << /Length 19 >> stream q /Iabc27765 Do Q endstream endobj 11836 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27766 20830 0 R /Gabc27767 20835 0 R >> /Font << /Fabc27768 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`լЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11838 0 obj << /Filter /FlateDecode /Length 4427 >> stream xڵxzsKvF~2{(B'Pф3B]*1tq*S[]~i*{ 3,  P=*_v5&E!2)Tss!05$cus4}E r.ʼun׵Xȣw ` lxyH=Y'ӗGX7G3r09UE9X`]G{cO|O 0%q#ת`z"om/&fL=!S_cQe<3xkXA"I5+ǥjWɨI jԆYEg?۾^g\ lsĽΎ2-dLa.T@]uBK;ЖThvC;*7d vʇd:ՂytzUE& p ޣ$ט[\n. q [0e@փw\Ҵ"tl~%߳'wΥ>ߟ|M| `AI]1~̊}G/D+K+syZXq[= Җ~̻ؿRMo3NW"0˪MlbË,&/sЏF/ >Yg22!qhJYumwc<YX7yu.޽Qv6cZ>=UdGu0o h?#;0CR]&؅BFzQx /&eׯq+'ozV>4#e[X0+5 XNo[Zr^KJV^#);RwD…f<t:k/Lwb;dK@$!xyǸVLqzW_?@NX:Bc48P).LwB^! atsP:NN,̱i:1qKSK1LAf7Oڐcj;I| uYY[Jab)ısa(%W,̛rMƙMS,3/ԒFs5i閭|tK R&'0#~۷lv0jUٓ9 -rt`i+fs".%K*s>I,gl >Yħ މX-VfMhmʹ>֩mS[f{c6$n@ۀf*n6+N3.}Vå2Z:w;EKX P-8a &@q`ک] UP-,ncnc膫Y=,fY Le~,e>5Xwi0l<@ljjgр3*]+;deNF,ݥ83'5'/鲀999?kNf4Ɍ4}9eN'y`~9n;dNfD/}K'ĒCv,3x˸eLbl{/[9"v:n/U0ʄ=yUɀ}= i>n)s(Q`y@}8wKˣYT]'lZ0aSD _u5a qݩj*|dZOAr@5Ͻn^Q%Xám<,z//5;.2?Y,p[Oiv B3 Iw^ j2^RFL1$u"%,R`B i[~" YؚTs=JyW+a9H`A]6UUhJ=fMjM>{ GtsGMNW)[S1G3M |p 5$a[|F>gdsg䳕s'sʯiy}\ 7~G~ļ+N2 fӹ &X0U,}B C1-7[aalG w,gmY4WyM@\pRcz Wvv ʢlJ*hCTصu+ukhsst3"?<*U3 (߁b3G2 ,X98!nZ| nRsdpqH#׷4`S\ kq; 3QVGLE`vMfBe&K6 ptc[2w99V~.e`KeW`Ec[!n"Vm{̱y31Nil=@]Q}TJW3*_'x#hBg!b:P ?o.NI4oɛXQCKk !#[\kz"͖ދroҞ9LJk8ȣe*DK=e,#G:/H Wy֛PCESLoĩĦ x$zW"P~ey"mo'{ɨ#&ZlSy鐚+V(id+`YcsM#%Iee۠n7޻Tz6{i^N|p.$m@UU$cRA :%N/`mxc⵳|˪Exo̙xCZmp +m72倌~_z}grlr"gR9O<@F|LdTȘ^]uC> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27784 11848 0 R >> >> /Type /Page >> endobj 11840 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11841 0 obj [11840 0 R 11842 0 R 11843 0 R 11844 0 R 11845 0 R 11849 0 R] endobj 11842 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (checkpoint_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 179.1657 608.2] /Subtype /Link /Type /Annot >> endobj 11843 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 581 149.5977 592] /Subtype /Link /Type /Annot >> endobj 11844 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (log_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 140.6052 575.8] /Subtype /Link /Type /Annot >> endobj 11845 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 150.4282 559.6] /Subtype /Link /Type /Annot >> endobj 11846 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11847 0 obj << /Length 19 >> stream q /Iabc27784 Do Q endstream endobj 11848 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27785 20830 0 R /Gabc27786 20835 0 R >> /Font << /Fabc27787 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`Ւӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11850 0 obj << /Filter /FlateDecode /Length 1539 >> stream xڭXI\7Wlx^܆r93'*-==6A#jjD]i^?>1t:Y.s6hgM%}y~Wk[N_TPM %} }OO;2&asaMSg8{Gk*^֨/' ?7 8`a$j;݃,fhV6bF; sɮVa0kB)E}ִu9 XLD_OG)9DnVMkzAJF6}^_^0WwGX~:J>Y8=lX_A2X[kl,G؆x f?`z`JAfRC=uQ"="O\9s(zw+"3- =0 8|r.zKz4RQj=2kH "URt"w7ϘsZVZH"[8T4uWqYM&V p_dpMN8pҒkCؐ!!Ś]=qZrL5H:͛biM-!t.8ǖJ:`)!Ua ]b]PH3nV L'wXlySsZs͚7ʫ[(/Jw^miob!w; cd_N=wH>H,BIc9$j!;6e 'kj;ˊ7[ ׫[m-JZZ .1?6ydZ8|QzH9FcB>F1SUT!m껪ef#%8*wMY{ܩWKKtLS@ipBEd#{Vpv\J{12x1 "gsmMx;\Tx.oTͅP})(~n7؝wः9xsY)U&9#F8*wi{$֓yR"0u6\e`/H>"ɍi-=ti^iH:Nj endstream endobj 11851 0 obj << /Annots 11853 0 R /BleedBox [0 0 612 792] /Contents [11859 0 R 11855 0 R 11856 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27803 11857 0 R >> >> /Type /Page >> endobj 11852 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11853 0 obj [11852 0 R 11854 0 R 11858 0 R] endobj 11854 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 215.525 114.9865 226.525] /Subtype /Link /Type /Annot >> endobj 11855 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11856 0 obj << /Length 19 >> stream q /Iabc27803 Do Q endstream endobj 11857 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27804 20830 0 R /Gabc27805 20835 0 R >> /Font << /Fabc27806 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p.Aӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11859 0 obj << /Filter /FlateDecode /Length 4447 >> stream x\K We$ iA`?H\v1AV%$E%LUjdNTw>:i|}Ժ!|<)rg^xy.'F>{i],?I^tg U?CR a6·S/? #`_g꿕4i~Y z,5JRvTxUJo,*pP1rjc#=uxϿssɲ.= !k? 倅j&5~ߥ 2*!#4hJ*A%4xfp#ZiOȷosH <|C_'#g+|y E)CBRG2MOJSM 6ƟfEwQ tz H9Z;$ pt8 "7tw{~Ƒ瑵YB ;,1Y?m3Y}{I,ZXվ[@d@쌛 tKo~rY 7wK[Y; 36s\iǸqBɺ\6[`Ĝz=Q| 7ZrInDXe"Fl&DAwhl5?1S9`fn(g``0?=*9ɡB6VcaTUcP@qӽbg$Ah4.2yItU, auJ7 Ŧ4 ~P'*ip' b-#ƹ~[d̜B=ȨÈ9m\G LwRO2V@y Ě[@y IJț@x ĊțƊ.KRU^rMPj'ZL0y4WKT zNbAp%$1vD'1pzJ8 ㆩN]1#grEb%:Dp[ m$fez _93p̸9J}pj,|7ViW-f8-U^ H 'V0/W-*{2sxPO?N?t.jO+g{xc'Olow&HZ%.Gq!Jt (qGk7ht_!J$sfT;sP/Jܷs~";狠w/Jܾs *q!01;?G9ꊟeɴ6 bHHuf@2l <~& Lb%B4R2$qnIs P$ޗҲ;oJT9P@6MP+߇#b x4&oYHҔųȔ,/|D4/S }I-(WƘ3Yjc(+|RX_d_U’L} gqdaTYq9="5:ɟ`9>RrlM'"k>6 ᣨi麔z_AZx<_{'w ƶaEw%ɕ O4(Nb+(zr>g9>q$O|M Mזe4z&d|N Mno紃!R YzB'jܨoժ/.,PZ|&[DmU۶gJR!<#"PLtxjaD, R8u&- ?; ~S^,b`@ MŜ+)Klʀ)2K)ss g`WKnY?oR X9μ&)jq)uܷ].Hv~.L qI5wf0̘^q#elHPֽb5|W9|\X5#)詌%'+cI-+fF:oҾWi'oԪ+j7Sv}мՊ-c.Ҹk[&&39|?G+נ# 7L/hz{-Z(pr:O2\Z's7>o,8\n% /V]:I UZG qwYe橦%Jg7!C\~( "mrRCD|iXm5&=mv-.JsI,.I)*uDBC9@DvfpܡO1-p4ͥLw,1 ƥX xLبVqFJ}_K,z]JL|t=78|Z]cմ eL/;96$IEsuujJVB\Iܣ]cB@FGz.\j!tE"6;0BNH)K*H6k 2E%)v, $4`_h$޹D86>k9—zGL0gȥ?$}qFV7i)bS8.)5{}FUt-",U8/R +dc-¬箘,& K| i nܹ]XJ.[ !^LvѽDjofvY}_ \;jLa> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27822 11864 0 R >> >> /Type /Page >> endobj 11861 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11862 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11863 0 obj << /Length 19 >> stream q /Iabc27822 Do Q endstream endobj 11864 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27823 20830 0 R /Gabc27824 20835 0 R >> /Font << /Fabc27825 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0yf endstream endobj 11865 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1042) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11866 0 obj << /Filter /FlateDecode /Length 4768 >> stream xڵ<Ɏȑw~TI|0|zgۇ-7R-nAO${Dju5'JŮuά}yͭƬ~鯺Y_Z[7a>>pm~wKO O(xYtקդP/VQ^Pfe|^ ~Z =]ĈB .z7w'/G~Z-ޮEdW|8ċ;^No^9Y.z-.9c:ڸĴWFu{fa Oy6aD%,N.ec6QquA[Gm֞&-cr+g37Fjgܸ?wBPqF2R~P^662, 5G]+Bo˯V_ѧ߁߫*84q_̪m@EN2 ?з (,(kg~+_߀\vr+gp/6 }gsgxF <~޹ϩö~=s+ZU89ػ-e=٠WD' I:m~a61󢸹W`ﴐ*z;o5CU^YURgFPIobsYߪk3+Mu$oh%LUEqSF C%cIeVMnjTF2id&1^%Q=2AGw4q ep"ިQZżRnh Q,ILu~.;=anB) Cnaމh-Ӏuh( iIdYIȃrQc0k};StAb'GHIo7{))C㖯>x{*M"3iZ鱏iٔ21{3҆ x/p;oo"#pMK΅?U#gFl9itz[D]vt</rM'YHѢ߈uP9G辉 F(UBW^0z7rތ-> s1̀0 aGɁzHerٓ]?EkPYMBSQd;q\ Zj%g өj޳#Y%GEa+uzݲdÎH3;Ѱ2Nx5V<5IXى-:{:]'-Y%G|D<Yфj^ /2?o߲n.ԎZYEf9йK׳_ \muL\]$*{dSMs^_;{tuĐ;n0 t謷DR"SA#zX+I91!nKΪJE^ Bh[X¹A ,s=,n 8y36 Up.16c]H6_c` 6.b[aR e٪/|:Rm}~W9ZPUat봘 v櫃LpTR(*F높IVW F-qo50mX>LvR\!8&nТo4lx2@FqHNb!~S+S3V<. 29ŽrP}*cjԼL\3gnl*ڰO'v hJ́)E"V`^ Vȟ= T9̶59t yL8_ ǤX)ﶽͮwGz>[X$>{V ~j%s,w08ԁu칕AjݙP8s "QVgzPИ_wG rCe:iԦ3׹J1=6pĚh1YlŃ-ebqayΓm , 2Lĸugt@b=y|Gٌjkv'&Gg·n&uOfם=jGMEX\PG&l5qv&j,h=NKkkx HP!kٕA d'tj% U<>PƖIOװ$vU(#]iHj|k GBeBg =P9V -șqu_QbπmƃȊ1-xϸ56[ [bL@.:<+VJ-hx8&5X{ɚqq_pydXi@/5Ud+R —.4>8ݸ-Z{/;,cƒXH@%1[KbEKs~uX B5|=>%9`qGm~[nYO'| URS EIP2 O?Jܡ5dqΨbh[c|Y j?I9\$92I'߄,;"CFQa)a_}\h @@r#K{'AW4i(VS^Z, ѶJE #MaB}hanw+:; k15}&_;jZ&ofġ76Q\/TWC1@ȯ!Mp^|d,vîޭe8ߵM=` u^n<!흾rZXS4< l@bXk>?#Mϻ svw66ؾ=$i``'0Caη&/XmyB~:cyT[;>ٸ>x[mnEFݩXmt~WHŖv;#74+s2~e6wےG)h)\VK5;o"!xh\}M L?>o˶Ӳ_ws~\U  U{5fCE2kzGΟ;xf?[~3`qMΐ0{5lz%bMᜠ iI=ܕZqb(pP Z *m{]Q{ʹS+߳ u~d+45fXLnyNF~ XTJ%Б0*SeICll"CvRJ*q 4(+b ꂠ+8Z)P4 {!fTWvnUb1_?|B~"~y~J/U9@T1< Q#P.d$|aࠔ9( jgHWIsw^YeQ>61a-GJՏrAE/-jkKDvBS^(s;_꜑7p @ W BGG"\*<ߠSQr@؛(WڀIneT!Z]$h0ra{A:zotaCLD~G XDd?ck%!ai^؀y [G>Du1aF6lKY BY8rX/[MӚ13y&#ƅoE$/&eB{x]tDb أc.3ylOR@s*!(C(u#v gdpPSV:!ަ<¼B5?js+V|yWq[=Och=yO8o#`햀; ($gGWBJg$Igy;l0  DTTV@D,>/BCst7런C}m/;x7*VQV{xAsEg_`JYBdW4uYNߧW ,9kX endstream endobj 11867 0 obj << /Annots 11869 0 R /BleedBox [0 0 612 792] /Contents [11877 0 R 11873 0 R 11874 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27841 11875 0 R >> >> /Type /Page >> endobj 11868 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11869 0 obj [11868 0 R 11870 0 R 11871 0 R 11872 0 R 11876 0 R] endobj 11870 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 120.6578 161.9837 131.6578] /Subtype /Link /Type /Annot >> endobj 11871 0 obj << /A << /D (unique_553) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 104.4579 186.6567 115.4579] /Subtype /Link /Type /Annot >> endobj 11872 0 obj << /A << /D (unique_556) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20211013063105-08'00') /Rect [104.1732 88.2579 149.8452 99.2579] /Subtype /Link /Type /Annot >> endobj 11873 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11874 0 obj << /Length 19 >> stream q /Iabc27841 Do Q endstream endobj 11875 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27842 20830 0 R /Gabc27843 20835 0 R >> /Font << /Fabc27844 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}D endstream endobj 11876 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1043) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11877 0 obj << /Filter /FlateDecode /Length 4064 >> stream x[I#Wl@i P `~53aϠZb.`,_,oRK#f#}ɤS>5 RVjx!)ԻQ+RRRg]YU*YylHp-^EғR@뢁C 􏓄\k X@^v5 r/"iI#ֆ2ދ=ݏZKbF+$ g̒m($Sʯ8FZ-=R>56;{O*ŃYϨ_no6}ҢYrB=3zQT+a9pZjST':BMSl|ӘwӕAU> m6>0ÔrU~.[mSC}E`o ?E`j0;@=@'ZabJg9sOYE}-!ظ YyYW@g5*Zhi ߾eֺ hS-j`j)A+ЦoA[Up ;Q~6D7VPT&Dz'0{UHگB*$E!v :͵PdƂ 7 V  YSJ+&l,6۽QG0N`( 5\JAĺ!X?5;&k${'Gvشڔ٘-Z疋B#Z -*zU޺C 6sst[.fSCH1ۀvseXqwshj-h4 'j̬*n6lL@Vi;f%5~{4Q6$66d⍖,h^_rr kKVi|h|@젇_X3j޺Y[`8PfC?I{7]"3EB`=7;8,bBtL T+VyC^ Q6u}8)mMHvmFje]*ı#=E))MI۔|B;]jwsganKLb}l g {6A "c'z.9NRn[;/CB q;hǷeaNےOt'lj {NEGej}gvUA6zƋ"Uj?\:]$i -9yɤ;e5D{Fq.$FbZEr`NT$ˠdh n؂c͛^v=)U>x@{TLSQ4]:tӓx"C^L8dXAe`l9(;@uc [fI6$/SK.JO7$t2+?ZAk2hW\|'6m>oiy`.5_ cK fpc<+?OoOȏpл7[e;@dtJ w;+CXaCVivՒ[(%p:CMw4 Ȣ=ls[ŅƲŊb=ӧM|eU?5 2=]a3 HѦ8pB| 'N>K+t7.L9`IbB=>;/ݨoamKP!nrJ!(>z*; 4A,-?OgvpVlϿ)à:Ꙉ OT^@ޗc=e*׽oRڽkX+k,cbMbB=dA4E&WJ#?9x 0႒3_@nh<_ .1fo=5 b9D=h՗hOv;dnvTL(|t O()b"O+x.mXX@P D/$Qiu:Bs.ˇ9Ő5 )5.nJKJ (|Cp&$ƌD3o[}8'x`CK6a:Vo[OFa ÃZ8gڼr+yEvW$zh;ULgfOQ;E1|LLiD.ؿ+,D/A7Wdy=AߧW:_VdFIFJv+{*fK?RhȠ=+#5y+74/].Уlˡ^&k"MCU^%T !!z~'bP6~r+Q'xqv:ѡQ Bǣ2: TG(R*3IJ;G;O\C$^' Ώ6hئ2> zΏ#Ό C+lb?g[Y.)>v+jrmbmy>^JL줿R2=k; Y'~]@ 17td@bxhR-L fzlBA Tp@5B2T?3K/> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27860 11884 0 R >> >> /Type /Page >> endobj 11879 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11880 0 obj [11879 0 R 11881 0 R 11885 0 R] endobj 11881 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 166.9282 709.9] /Subtype /Link /Type /Annot >> endobj 11882 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11883 0 obj << /Length 19 >> stream q /Iabc27860 Do Q endstream endobj 11884 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27861 20830 0 R /Gabc27862 20835 0 R >> /Font << /Fabc27863 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x~?va,6}{`B V&́2hނ׮nww mw/g+RIE\1%9wr @L$V-T0EH(.$c"KS"ɕL jE3D,ƵaPdDξ@lIHBJ.\[-: ufg[XLۏ"ÐL$3j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.QTfpF[z7}Kj endstream endobj 11885 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1044) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11886 0 obj << /Filter /FlateDecode /Length 1150 >> stream xڭWj,7+nՒ ó0EʉoK8ė`RJN=ԭo[҂}~]mNzR7v{{yYD\^^ǝ0߭O2lñs ;\?^~NHqG$aΗlCp%d'yѕrl)55`.^S.)(R,ەXvZh C4P* _mby0ً}\G>b_ Cg7c&:v>||dn3|Cܒ?@^`n5;S, t>f.TO vG\yCE=ȳqQp**6U`4yFW`K8QzmDnDH`8b6p3#hSpHa޽lHyn̆aRkS@[LI:7j?jUao xWլ5o{Qz乍AM[}FͽN5NGSoc_OñO7<}6`SigL]e'S1`,n/՞r0^s*QcvJIjPF+ײѤ43VX'Uikx,g9SvYV2.s< @5̂Vee!l@0Ϸs]Xw"wgHyVf@WA9QARX6bJp)M> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27879 11893 0 R >> >> /Type /Page >> endobj 11888 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11889 0 obj [11888 0 R 11890 0 R 11894 0 R] endobj 11890 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 452.425 114.9865 463.425] /Subtype /Link /Type /Annot >> endobj 11891 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11892 0 obj << /Length 19 >> stream q /Iabc27879 Do Q endstream endobj 11893 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27880 20830 0 R /Gabc27881 20835 0 R >> /Font << /Fabc27882 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pQ%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMQ endstream endobj 11894 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1045) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11895 0 obj << /Filter /FlateDecode /Length 3939 >> stream xڭˎίـdI fg6`&ſzVL%XoR6oKޜ+t2Yx Yqk){Upyԋ3x\bjwyQI(.#=zY}9 /:o\\ Y5mx3\ݒտCpVӿLL|\-mYm&VhLrgc ܻ1`A"Ԁ!;@@oB,Pcb[Sr4/ # eQ}HpBxI!ߵOfm~п@Jy0 B3t HgXP_8ןӟ8}]z9/Ɯd{fH\.faỎHglwkΙ m7`ZzP k*Uf< 蕰E 6 $747Xjy V & N'FӦ֥Bh~s@Dp*Q'CUڬ`B MчX-%cI 2`yˀf׮)6@kْFs MG5VFre/]il<Βh0=ٯvlc{\ *atgl~yTٱk{N;]KD.~+}7i R5l!#0::]ۊ T;6ł vhnKMDzzԁ=^܅S7C! BTLy"Co&/!c8 k,E{-%m;$ O>vS Xl7B`W:Tix/>hgMT{0{Q53 q}+?HTuCxlH B'p>*V+VZXcnpm(e3Z( 5Pj H6>Rڲ6L͗J6KعrP}} TTfRMRME, Tގfb=nXb -Y 2 ͕5[񏳺_.w8~h1jKCI& 1|_L;\(oNCر5:Z, -zW>){[ߙ7pup-.xX&'!Emtl}s]{wT,`>RԶ.nkv ms+%qY =[`ҒZI-? Owdž/K4Uv Jly.[ڃR=b%r@ԣLS46d̲Krz)u"3mٝUbqǩwShE+0(ZЬ>1aE$L6 v͏BMXA8FSWfll-HګN޼-m5J[Ү冗Z:wL-e`]*y]i9UC0!ui,y$:KRU);Q\@[^2 am+vk^Dt|]2{=׊R+voѯ.Ӆ) YrTM8x\ A 0ĵ %*J5ҳwׁCf;LҮvncY/-~tWn4Z6"xm˰l^^PcmyF D,tX]X@5D v 0WF)qsKMgphu1vcM/Oc|^S1bZ2TD126N蘒8DK6M9Ϩy,!{Ϟ0>G­^;V۰h' >#nog]=32rx~ ! rN%3,kSIa:|`!Zԡg̸'l9]?]pf ~,',6(xN ~ ,6jGeJOUI|j?ē?'~?h蓸Fٮ gjofxD4~'$ [a ;N=uʁ)?ѣAoA$O+0f endstream endobj 11896 0 obj << /Annots 11898 0 R /BleedBox [0 0 612 792] /Contents [11905 0 R 11901 0 R 11902 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27898 11903 0 R >> >> /Type /Page >> endobj 11897 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11898 0 obj [11897 0 R 11899 0 R 11900 0 R 11904 0 R] endobj 11899 0 obj << /A << /D (unique_542) /S /GoTo >> /Border [0 0 0] /Contents (help) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 124.8807 608.2] /Subtype /Link /Type /Annot >> endobj 11900 0 obj << /A << /D (unique_712) /S /GoTo >> /Border [0 0 0] /Contents (load_features) /M (D:20211013063105-08'00') /Rect [104.1732 581 169.0567 592] /Subtype /Link /Type /Annot >> endobj 11901 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11902 0 obj << /Length 19 >> stream q /Iabc27898 Do Q endstream endobj 11903 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27899 20830 0 R /Gabc27900 20835 0 R >> /Font << /Fabc27901 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11905 0 obj << /Filter /FlateDecode /Length 1513 >> stream xڭXn7+x y @@ANr .ZhF$E_*,[߉ڃ}zۜl9>zoשEJ>9s\Jh3/'(0XK4?lG1' :٥6w(Lz ǃ!v}\ 2o|߱h+ZEO;6b<8%{Pw2(0t` 0 ڡw `csVݪ|8 [/X\#}0 \)E} ɥd1fg枲L69[}Jn#I>{6ÝZ?>'/`'yq9}?Is }r0\g_ݱS`g#SRS?N1 IDF -a["4wΧwidkšO/h|\ 'A iפ/ph]OʆwTKbXqL8I&9J6gKg>\}1!S Z{vlJKPݜד ^RnQL(tWby| =D]oWE_l{s5E[Oޞ7^кc%fm:C:Wfߑ7w-n1¶Xȣ t6fs[q1/4;bxd}Hszˌv~c8fef;1i[܉׋=ʎnzd_4zkfWșAљdټ+;د~#Rz| ׈5&>oz}۳YU.䶀g>.fYJ MW-izSf׾/'[%ܱw5D嵛ӀU%!e}^fP9T~t@Vsc W;5hc %¤C-(pdkhRBz9 6{"]T6bO3%G.#n@qCfp]afAkĺXN {eV:{ |㎔(x)oXwbJp)Ml1TSE,-e J^&n)ms[=TŽ\f4HlƕN>ϛqd5|}صؤ(6Q'媅&2 S64^QwƊ%%MoZ:ۻPq{ۼW)+*؞i-{&pQtP<pNxwL:gxq2q`-f9곕*P>v*XB5 ^FyZNb0"1|׀m̡7䠼 endstream endobj 11906 0 obj << /Annots 11908 0 R /BleedBox [0 0 612 792] /Contents [11915 0 R 11911 0 R 11912 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27917 11913 0 R >> >> /Type /Page >> endobj 11907 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11908 0 obj [11907 0 R 11909 0 R 11910 0 R 11914 0 R] endobj 11909 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 384.725 137.278 395.725] /Subtype /Link /Type /Annot >> endobj 11910 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 384.725 175.096 395.725] /Subtype /Link /Type /Annot >> endobj 11911 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11912 0 obj << /Length 19 >> stream q /Iabc27917 Do Q endstream endobj 11913 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27918 20830 0 R /Gabc27919 20835 0 R >> /Font << /Fabc27920 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛM endstream endobj 11914 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1047) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11915 0 obj << /Filter /FlateDecode /Length 5191 >> stream x~ݵt{sk7W]^o4..Kоyzxj/5 q ֔h8|a>|ra-%&*y\_͚,|_/ 4(SeK3Њ._(߀ P zE,z/0 ^^"Qh!y/E"y7؁d[I1KvO+@L&u dFw ϫw^p y&- lFzq2Ѭ햓3+hQȢ##3DR iRiUr^"bgݬs5z fi1y-aV濸Fm1OR0'R1z(j@i4x +1}@t4 w{B'=wrYMeәMɨQ5dwڽղw%. 'Z-, J9Ofϥޭ+T.ضV=#+.kͲHh+$ kYyHUzU}:т+=ӝcoYLkh!jql |>hgzmN=*5:E΍+y<ѓrr9>#W^eߨz.C]Ŷ.h-QH2bwW_E #iF*;$&b~0끕XRƩ꠾8a錍GP@8\q:D,&rfAS *'Du|&}XEN -@PR}Ez7_Z Q hᅩa쥆s cWc'S\?pOXjjzeh}2P%oŇX0 ʹ}k4jQMb斀U-zMgzd l8qahtQCoT8A_&O 5kQ4ZS?e|сǝj_ !eE?`9J&S"0D o<U(#)ʒ욜:&˵#wŷ1A}F_`g g-0b: eQHwꭃQ;'ϣۯ 8֓YZeKAU<;WfP{"PjAKn,6wցbu݂*0d֌"hU̵ [u ic ʘ ހu?ӆ <1L'TQ#VMr_ k/ 5zD-(GsN f mfnf+(b!rU'|fƅƒaU bnHIha@CPt8W*4)Dc {t7*hյCι耷W 7SЁmgI@Hac0HXM!4$?:2pElt m``N-qe'/X2JtK9GQ <9U;SHz8SPZa94vp]ePVkǑ;QT@y'P~yF21M3-F@K@-4j:-E﩯_x 'l3l\9rǛm7;;3lp#x"˶]XJcm=l$v{msݷ/`"(6F[.7|v֘\bSMb:r7"S|] *,¸9z9N%TG;aiٰ9%vmg= :l"c\׌Xd'a].Vy;d$[2R0Ü[uEu#pw-]GnҪmNSM|"^m_>A<&יڲ,~{bi4m֘;#ϔI\tӭWJ5.ЩX[[Ջhw34wk^}.ʎMG$w:~Մ.`>dW/o5 kN5=Ȕ$#g/\&yW; U mFh{W¦t40át'5dɨ?rjT5jvlue0I]0M48L ԀB˩ ӹt8u Z ĊmA~] M|}'dYJ(oqŅ|V(O#վV#: ^rg0gk]Jk)L7ҾiM-xL` -åCx θ4wD.S-m!|ޱEk{ga,u4.F]Y.'ukK.ME[N"E2(Ъ-r~uS7ZRَD1Qe /0|]H0{Zȥ2#p0:˂?M̙T#9Wg96Ԑ.箆?9L|^ݮze<7J9]E:'?t*u+7.M֓NV77 xNJW3mb`ϯ{!ϯg <'%y6 7>KC8& It0(MWxj?B5~'?[Nrq"s_CqhX!Gxrz}F:r3 _ڳ瞟A鑍SJx? /PAЁz校G0 # endstream endobj 11916 0 obj << /Annots 11918 0 R /BleedBox [0 0 612 792] /Contents [11927 0 R 11923 0 R 11924 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27936 11925 0 R >> >> /Type /Page >> endobj 11917 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11918 0 obj [11917 0 R 11919 0 R 11920 0 R 11921 0 R 11922 0 R 11926 0 R] endobj 11919 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 276.8424 174.7052 287.8424] /Subtype /Link /Type /Annot >> endobj 11920 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 260.6424 159.8332 271.6424] /Subtype /Link /Type /Annot >> endobj 11921 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 244.4424 177.2792 255.4424] /Subtype /Link /Type /Annot >> endobj 11922 0 obj << /A << /D (unique_246) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_probe) /M (D:20211013063105-08'00') /Rect [104.1732 228.2423 187.2012 239.2423] /Subtype /Link /Type /Annot >> endobj 11923 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11924 0 obj << /Length 19 >> stream q /Iabc27936 Do Q endstream endobj 11925 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27937 20830 0 R /Gabc27938 20835 0 R >> /Font << /Fabc27939 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p1QthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBX}`K 7bNFzqHB>W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?e` endstream endobj 11926 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1048) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11927 0 obj << /Filter /FlateDecode /Length 2998 >> stream xZI$W<( *3+|S=T/6-Y[L5RHoӓ"jxoY.RCxI! b_ן/ :>/ 4~:xI?}v;c'c1~(Ɯܽz/L~0?:#ѧ_?X cy0Q$ ~g̽~>0*+Qm{l׶,6[JExILlW-vBuꉸψ;"'Xk]yb2yB6FWD}ͦ4^ 2$<zr%9cQ |t m$W/6%z UR8,A來(>1о(&hmx]1%X]Q %e +;'ϡv CFB/_*%QIaZ"pЌhy,?>7!f+ ~ 6\h.FmD~x|{f]MTHu^"`B3^;@e<\3 * F ?J&B#$'*'# ץjꐺG~U)]y#AtWȀ9P nK7 \#06" p Ep>2 xc|$ Н[)jN0S r(_ BYsP~\I%3F b֠9aF3> :dFm!i`qO-`frӶy֡λp)"  vrFvT̝OnKnAALPOBK !i,,&FHn ztjΈdtleQ.xѬЉ”NGQw25:9 ᵫE} "_G!=ϑsr)RZ rx`x'F2mXZa &)^!S{F nlh[b`cN# 5u=`!/m=#|'f6ݫ$pyd5N .}}o=%=̏vn6X~L'Ȓ@vu˃Y@zP&jkJU(`SaTŕ k73‰y j즉-v!K(*H"gZZ0%ۊmŵ* _[{eCO[s2 P2 jm[FyI S~;_+"]d5ر~0Weqy#ֵ,v*;%~N[]& m%c:*%mٶY>i7]mu<jU’cepp~WxSrZr+ ']Pʤ6HqN6TWMZUAfI"q .VVؼlͿ)q ܨll^j )e+@ø=صaQ%KW/dp]F9W۽z:ϵlnӖa6Rj ndxP2L žӾzkߍmݍo>AcUjq@(9f (N8X'"mڅJs ﵍9o$OdhӞ6N#mKKݢjXξ:QF~/tJ=~thTS?F6)f>U?>[M47)/%DOlO^,}.K{֍!@) [d]{Rų!X\2vAs5`[s/dv en~_!RŴ&x $KK4ڭu͋!7%WגwK>_ Oϣ$z,mm(}@Cpfs3~pQpLJ_m0 vOm?jjM lHB4e6ٮ/?jQ4DnW#M5l9U%T3n e%4kdVBU"H>oJKUU`V]m&)3qsGgҔ5NܳTF3fnuk+{^7$%-Ee`@C|sh}g. Aoj@++%-h(^hDH 3ܞWY_.&>@!O_$v>rpá41xq]r(2fGd=Jz[ICal@5`1R#1!k^c1؃GxzG;V;V>pQ7;{HTPVyGY\$vP>Y:NȑYy9wU(TqknlJ8?Dyl#xIbP ]G%i{08|Уx'@g IF4 endstream endobj 11928 0 obj << /Annots 11930 0 R /BleedBox [0 0 612 792] /Contents [11936 0 R 11932 0 R 11933 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27955 11934 0 R >> >> /Type /Page >> endobj 11929 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063018-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11930 0 obj [11929 0 R 11931 0 R 11935 0 R] endobj 11931 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [90 399.925 201.1385 410.925] /Subtype /Link /Type /Annot >> endobj 11932 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11933 0 obj << /Length 19 >> stream q /Iabc27955 Do Q endstream endobj 11934 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27956 20830 0 R /Gabc27957 20835 0 R >> /Font << /Fabc27958 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟB>e#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶk endstream endobj 11935 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1049) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11936 0 obj << /Filter /FlateDecode /Length 3817 >> stream xڭˎίـ) 9>~ŗX"$\M[mτ: bd?Ik?ԇ9~z3&\ [L-㛽3g<#B_37~|R߈KdorEGڋg/fNlή_VN)8 /<&]~O" T X甜w@h?Z<@?"y #ܞ jk5m@J R3xy]_n v;_ ^3 xao΍~0֒:u2syolr4O`lAzC._ c;,s+Dín14wdMz"@؈(NXnpޓssJ̣ 1ũj=HlCI;*!lY3ZC 8Ă8֫kG7i QQ5'$iT ޕqʠO+lT1!Ɍ@[.{j.$K`wGfsT|PVnYQ&,z #[nﱠ^L;j>ݙ\qc7ͱHi,ߋ CNRz[}J/CA!SB;D#uBdoC!_V<3o] 4awP٘\IyD(̎rS{iƟ N`3yXmyI }zQ o]p`'^a*Zr@^)J ^oD&eĢ]%AO"ˈD0ZDlW6FBĒo$WȵG&!Ƭ6{2']1-zLU$D#65Oϑp2~+93mㆃ@C*gPeƓ^ [`SOrCsF뚷ת-I{jv)ų6تU1jYZf#paȖ%.K-)]S<3l/"n%_mTgMGUVzrV?_^Y3%`{ݞmlc 3dq+ȝe.}P5dǮi mp9F{6ys6(~"1M06 r\{7I}z,Ua3c߬DzA4d}z|4rK+7ztdvA,uF{ujP0gyIP ܡpk-p3=5a4. dj[%CK }A07!3PLl߫@T\G jCE\ksSuӸ+Q; ,^xz?T`XMZ q{2씭PjAnAnA%̡NB[โ>Z$QӴatm{)s!tS+8Y6DQ6T$MW/! ӽ cTH^27,nF0|SL n a!sQ6ײ&P5b$/Ydu}0,V}^HTNqg W+#uhg/Lh]z$:C@rA8ۜGIe`tzZNՄЎɯ3PnUBEDևGd+>}甝T>۲0 &ׁiQҹ)۬l*DCX!΍0 3dS:"EnyH)v|W*: Z?EXDEЎ6@yGn`2N ĒYmL.h0 ./ˢ 0r0DZeAPWؽ ]{YIԚs7(وЧ(@̲GV{X"'ËK kյg4W_x0] lڥi/ĺeoRn ['c 0V+[w)L5;=`xh"$+]ZdtIa(z\6I k  <.֐yȺm!aM.̰107PԩxYIԩӅܹ]hc v {P9db3nl(0^oIn_rus{tk/K\(~ci/k99yFV1;>fƘm1[v )HTKez nΆfbWa7 |Ʃn)_#WOMZK.@-,0i?,tm朆I}pqR! /8?>Sskq5,xᏎi?FPїE:ojLL'YNj`H, U;}cX4ƫVC5+KdEG>3z}/:.UTx> ۞3ԊPG*ܥu`W6jϹ0=ye^t7&. v1gz|&n$iExb3dʵ{i7EMe&㦘lܤ1ngqDgFC617bҜQ}\\  - # tkH,{44V@`k|mJ%[T \fT<ݛc4 tM]Y2x4qSskdQ댇l4u;SM.y"dKbfxHm0InLiaiu_I`S"-M;"%LgTbbQ8c[|V]w!-EvneuV~㥍1nMD^ DյgYI91}TX.SqM,:}4-EnTHqQ62ګmW۹AQǘc-77iњ2G9O>D;>!ox&mڴXNt b-]u/֋\MA\ŝ1^ҥ˽gW{A.Òx-lwy#;(,&B90XsH̟XYy_y>/˻Iz'ᙅU'I~}5+* ^ 0$B[-z'9gCUa5Gb<^En6ؓ= ]X 15 QL Fbz;~{?cR,l endstream endobj 11937 0 obj << /Annots 11939 0 R /BleedBox [0 0 612 792] /Contents [11948 0 R 11944 0 R 11945 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27974 11946 0 R >> >> /Type /Page >> endobj 11938 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11939 0 obj [11938 0 R 11940 0 R 11941 0 R 11942 0 R 11943 0 R 11947 0 R] endobj 11940 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 154.6302 608.2] /Subtype /Link /Type /Annot >> endobj 11941 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20211013063105-08'00') /Rect [104.1732 581 169.2217 592] /Subtype /Link /Type /Annot >> endobj 11942 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 163.3477 575.8] /Subtype /Link /Type /Annot >> endobj 11943 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 153.7612 559.6] /Subtype /Link /Type /Annot >> endobj 11944 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11945 0 obj << /Length 19 >> stream q /Iabc27974 Do Q endstream endobj 11946 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27975 20830 0 R /Gabc27976 20835 0 R >> /Font << /Fabc27977 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`5ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛrd endstream endobj 11947 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1050) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11948 0 obj << /Filter /FlateDecode /Length 1520 >> stream xڭXn7Wllh `A0 ]yiFl.9,gߑڂyx2}Λiߗb~:'㽹<.KcE㝝J\ s\J3/;sPq(`XK5?o>LØ&RM}g&M P*',:n is\ ּ3߱+BQ *F̓.}@N ;DŽ5\6X+9vFRyB0fe-6-@蔯%cS8@gOCIu_&U ?'Xb <mrɂ9D|6\^07xvB(<OCD @3g>S&^mc$:oQLDa+YOzɹ08L`=s\vd}mKII@K:N2 K !2HsMa|2减Z7m?7Y`eD \cpspu0p&,l2m_?{0FX13Vgsz,%)J6TfXpBKD"'lj|^s5D|: F}D?C mA&:v:saΛp^?.6w;voclCXͅaxFi`ӳ\,{,,}uQ?{8:V/NA%(ymD1͈̓o pq G`S ne4T̰qzאZ{k#:7,M6`f2ogVUV\*SZs[#T9\[YԝM٤_W/ >$SI7r~R DUjM;e3z.W)qgpʨ=GP42q=7V\S,ܫHvNxj̏ۨ}|=q3e:zEzj&{9&$usDذӒB΄G< Ox 2 df9賖g*гgvKd:Q뷟C;JqAxFQMC2vZgK=Ytm]Z^i>"M]j=;C+Xo$ =9;M^~O6 F  endstream endobj 11949 0 obj << /Annots 11951 0 R /BleedBox [0 0 612 792] /Contents [11958 0 R 11954 0 R 11955 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27993 11956 0 R >> >> /Type /Page >> endobj 11950 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11951 0 obj [11950 0 R 11952 0 R 11953 0 R 11957 0 R] endobj 11952 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 319.925 122.505 330.925] /Subtype /Link /Type /Annot >> endobj 11953 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [127.818 319.925 238.9565 330.925] /Subtype /Link /Type /Annot >> endobj 11954 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11955 0 obj << /Length 19 >> stream q /Iabc27993 Do Q endstream endobj 11956 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27994 20830 0 R /Gabc27995 20835 0 R >> /Font << /Fabc27996 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nYMޚGFx|1$IjQ<<%C9% 32 GKB)K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}x endstream endobj 11957 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1051) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11958 0 obj << /Filter /FlateDecode /Length 4279 >> stream x\KWlr&h4ᓼm@xU]Ҝ<)eIE0AojNxɫ__u:],V_s/]}XrH/wƄ>9wO.vޙ'y+Eyjk=|Wv=a/֟o4d&+WCO?PgmxdpuvMVoB22i1ƬM{619 }]/$9fl i3WGڟ luQ1;+"ϵ6%-(tJ%%AD* ?>+ ~YCxI!`5v.RʃYźX;sG7p >p=? `ޟw| |踌_P߁ou. Άe⳩jкʪ[loN?3L/!x(/ =Q(=_znXp64Wdubóq\sfQ⴫eYשުW/e[w:nv0Źt\]%\ K1zX PG&uxMQG,xP!ѓ5xs8-\Jj/'<ꖗ-82G,&z Y%wQp#x((S]a>>0byfQYч'q^> >q=F4kv֨R:DOg=$xky ?iDWLHI<0ӧVy@*SO6`<`l11Yhr*waxpH;Hzwc蝚 CqXYUzl&׻&z&oR>UU5<<<07nyulo^$UZX\"ISɱoѶAn\aqި^QܼLExw͛ Q­moj<.ka_D nq0Bq ?;*y<{Ywܶ:ύ~/,7#wYci7ޙ|A{u@HE;p<.Rڋ-KC,#U(}JK VDa&jz'F{)jqPȠ+XRũU}Os"ҙq G{K\ m3 n1ŁVRΞ:*3uꕨEvBPBK006/mMLF7OZZxF󵶛K^8 BCUe]MZ &}+ "[2TP/k4 3l/"V%BֳMG5VV?_^y0%`[̰g۷3 ..sG;8sCƅeBʥTD ݖCw iBW"WjD$۱2BHXL]nڕuea (u!>Q| RPzc#x^HlcvSN#sFy/-|I]YDI@[1gt>~=M YI?>yqAH}~#&̵s+P$i_8 }_i~K3W? 8Ě'sKUn#[S'})[YRUp_|׹vOw@$ݘLxbeYI*bI˒Ĩt72y 8u2p_9aCclHQȒ.Y3sZbNW G7.84`vs ^y#+e?3zYCꩤ4@Lޓ]K kj渂=;Ig5b<,&c#΀и"B0Zp\Z)½+DDWWnb4wspq^,$ZzVM58NLޜBM.=atXRbFtUꊦNab" 0OȈѩO8Ii((:KI j{r ߹ш'OŻ֒zlMi8yƭdʥXe%F#2Y+pd(E4 ,/ "+jo⩌ƴXF̏.<~63?xYoVm>_|:uq{V^`߱.k 6`e GS&V/8ؘFx_,lHϼ j.8h%v3 +&*egmF~zm<M9xϋZ "1mYgFYZف0FՖΚŖ&˂heA- fY. fYP>~whIJu0:9Txm1@8'O)U r, Ʌr>gR!"D'~…6! n8&D}.KNn.sXYrW7-o(cp7>weV9jw bUCNk7˼wm;MsR0L,Z݆YͭQeGQ^j,Ulm7-"QӀ8Qd#-/ݶUp>n :ͣ5l6?=FXfijߜ!Hx6-6SHvS7헜 ڹ0nL=epʁwb"Om/K ],2L.%)" ㆸ[,Fi>e@ns*iE#m;Uڵtk1jϹļ2?<2ԙ[iw{ K D99.EuZq@5\dʭv jo'EU - ܜm&C_N@< |iȌm&b.@ET rres'@Y(l$~H ,֨Ac0m*0W&ɛ5:X?Rn>T~{Ox-jL0S;__\T)k+ߜjU(OΕBGG> nb_{EJ{b#qV!pGu6L@NKk-^z޽`TJVlC9/F%{QslڶI%΅7).>U6N\FB&{T=(jsWfu&>ٸa:fo El+~h\ף|'|Xo,Q뿒18%>:g!(wnzwwq+p3 <@{ggIOD5rvMr=Y0<{9 Zc=ĝp`lNzSGp}#˩:k??w&1Q,E endstream endobj 11959 0 obj << /Annots 11961 0 R /BleedBox [0 0 612 792] /Contents [11973 0 R 11969 0 R 11970 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28012 11971 0 R >> >> /Type /Page >> endobj 11960 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11961 0 obj [11960 0 R 11962 0 R 11963 0 R 11964 0 R 11965 0 R 11966 0 R 11967 0 R 11968 0 R 11972 0 R] endobj 11962 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20211013063105-08'00') /Rect [104.1732 284.5462 181.0247 295.5462] /Subtype /Link /Type /Annot >> endobj 11963 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 268.3462 145.4837 279.3462] /Subtype /Link /Type /Annot >> endobj 11964 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 252.1462 166.3397 263.1462] /Subtype /Link /Type /Annot >> endobj 11965 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20211013063105-08'00') /Rect [104.1732 235.9462 195.5447 246.9462] /Subtype /Link /Type /Annot >> endobj 11966 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 219.7462 180.9312 230.7462] /Subtype /Link /Type /Annot >> endobj 11967 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20211013063105-08'00') /Rect [104.1732 203.5461 175.0572 214.5461] /Subtype /Link /Type /Annot >> endobj 11968 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 187.3461 165.4707 198.3461] /Subtype /Link /Type /Annot >> endobj 11969 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11970 0 obj << /Length 19 >> stream q /Iabc28012 Do Q endstream endobj 11971 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28013 20830 0 R /Gabc28014 20835 0 R >> /Font << /Fabc28015 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@ʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11973 0 obj << /Filter /FlateDecode /Length 3212 >> stream xZKo#W@`r@& Oԋneٳ2dU^lo=:],>2\53>et]c66<<;\pL Q!JHD& a Yf“xX3,M#3BaP2 &I /bi>F>ذfj؈l5|,oIZ=γeGGmݧ3ˋƱ5EW6 a<($,DvTuz_\/(ֈ$]qc[ve֧ڎf [B$uCщ5Q Cv-f!5(NU +6fȎ%CIf4p2h ebмnL.xO5RĚlR-nޏ=ŶD}@t~5LNv7Q;bbѲ鸫}0]4 ZBע'D~1NjsEd'%~/Q0rg~,=JKXr^Bp/Q)9@v CR`A(J]6Gc)A$7ܦ$l>}e$95]Mۗ 'y C p d+誵yb.}/RD MY'I C5+ϊ&/-[(bcĉ-G m#b:[Fn9UW#1`^)6`s[:hBj_C-Szx ǟZ_],ֿO?~nN=%h{;kEXB*@.hb \AM3”1qF0ZM3aKI7Ilrɝ[Jll!Y%$6 <8Gr[ 'X1&|->epFT4xW =%AvN{뭬k1[6%n݌']%U0Be:sM `\kk1?M #n*+Z32j0V+&2bk[s %&>#,{ ,Њ IF^U^I |+/v`M 8޾nr]]Ecb.ڟ8_x,ӷ (xg$A/cvkśtڞ %ڈ9OB6=.X|Rc[мU\pIu/ȘKg֯P*kʜ~:mRxnyh:%E[q!ݠzk:߹ڨQVFea(Bؚ]״M>4Q|74v0!'wO\ P~? Vℸ$(l(Aj8ET_ew7#nɾ!(`Bm8vM"3@T&N/Y=7㳶0/[q,y;>n'9\ן:> %mu՜gM5gLf߰96K O y~O2\"lIKy: {?(Z`&-އTdן4xw&azB|x o߻MP߁|Fɺ@  h`[b.,,G_ѓXj%V ~;b.س6Qv. :Vzop!;YEiR 緢4.(R)/q`v$Y,СUs,0f|3I-`%&dm{+د4EOT>2>H5@U t!Sy+32noVKK;I]I@tF|3>ZT#kn\ɩ2>hÇn6W!%\% ̈/-Z #м)d觫{^So˷yB__;#i*Lݧ F8MXySOӢ7DI*n⎡OTEϜ$2}_P5{vs7jtzjLVB,# ;7Ku5`f-68Mωz%4 2W]*%x(Q2hNZi(@vkMj}]ޔ\]K|&^mן{IvuS,m}ZG4vn&b ~7"W;,c'dB#}.NІ`5$,a2KqLY_j=h7)¯`jE.d>CSt߸x-lJ ZM7 ?5Dh{dU+76^x2㦵7ݩ'7 HzvR0?TTGx=u;2 I і" *e1ue7H2MM#hagIr -/ 히i}f'=܋yUH4E߶ :,Ij9+\ȷ1qUZYZ)ђpҔoL'?5i>t3͇hFVICܚaFM/'u1M$ޘу֐ OY9yOrB/Ĺp7Qh"&Vl|K-ddzm.;RZ4~2S}[f(bӻ4Ԅ?rRs${^,^ qU/?xv]6 Y6#w/TƄk;e2=h7$*D(bT67R x.j3D18'yHw-I&@U`ă< C> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28031 11981 0 R >> >> /Type /Page >> endobj 11975 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11976 0 obj [11975 0 R 11977 0 R 11978 0 R 11982 0 R] endobj 11977 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 319.925 122.505 330.925] /Subtype /Link /Type /Annot >> endobj 11978 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [127.818 319.925 238.9565 330.925] /Subtype /Link /Type /Annot >> endobj 11979 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11980 0 obj << /Length 19 >> stream q /Iabc28031 Do Q endstream endobj 11981 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28032 20830 0 R /Gabc28033 20835 0 R >> /Font << /Fabc28034 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 11982 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1053) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11983 0 obj << /Filter /FlateDecode /Length 4322 >> stream xɎίsp' 699)`&|mܪ7II.Ƿ|d& ;}~J*M~E?M<ɚ}Wcrӧ/`5>S'AAY)[l*<"o{Kӹ=N~^?/4NoSо=0  q Y~A7Y`it¼y ˜t(D5AhN>/Zk}Z#L5OxR4x lwGna Qz( #7giN1JCg"ڡtltu CniO`+Ծerq2i²ӯ~ Ę:4@d=Rso1v&dO"`t:t |\Hmw 9b'dU %f|h0 [agcjW8g2;auvO|j=Wp&|O4+8{;,Ș.g}G3d&+:{f'=qlwA\iH j(8GI]I='@C'v:̃ 9pIxHs%`kR923XEQVA=Jw;gbT mk{ĬM5uɬ,~Zy Jg-U`3\r>s+PrjZem̋^% Uֆֆ5·56Մ@ u?yȣ'ty&IRJt@wF.c;nršQG΀zorq5MbP"ဓp-ڋx5.w 41[y %MyCE$fj c-FJY/T+R\1Ж^nIK=ej{<' vn콘\ |@.q F^hQ&OB,Iʳd'Aς;Z(f11{XzPɢVْ:\b˝JՀ.U3@5&ILJ`XlhX bbDqfM(R^;Kݛu^3k}ݬ(ւ^U hPInbTц`U\ q޹sJiW"B]@ցqE[,9rAqeKzuڔEWpV x{l]2tPjU"7,jŵZԺz2PŶ+*lӕe솢/]Qܕ0@I Y;.6߯nCSu`iRjuP'uc{Qufe:WyKԨN[5{;,2F"le잗wQ/cR'tgG:0\ݨZ-Tn(!vNjauI Vu:x'睆 z'{CT:BAYB [Jm(WcBP+ uckӥ}ݢI`LqS6(!G@]#?nEXWMAwmagŤV4K"HuKTU`5|RJF%4=r!_?0ؙ!6\ Ex0*115~k Rw4ՐI'L;rIGm(1>cG&&#QZB #L0^:uB)FTCHU H`BDU-I g_Frekh Ђ%VY`vL|5d8 KUɥ$׳6M%Rt~n\i-@i)y:O`J.I«Qz1t2''chbzK m-HTB>tOkW>嵌QA:{ &RB^35C~;(jRgv}T`?^0.^qN[ǹv&'HP'qy%w9\8\oE[V\׵3rܾr OvK\a/ęx:"`p[LVBB9ne>kܔ;_JφGgrz׭M0|r?n,x;)L7bF މQxnWZÑߵ+0N$5϶r^n *4^˶6%="O)t?y{ܰj[ / HF\غ%|? _Eo endstream endobj 11984 0 obj << /Annots 11986 0 R /BleedBox [0 0 612 792] /Contents [11999 0 R 11995 0 R 11996 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28050 11997 0 R >> >> /Type /Page >> endobj 11985 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 11986 0 obj [11985 0 R 11987 0 R 11988 0 R 11989 0 R 11990 0 R 11991 0 R 11992 0 R 11993 0 R 11994 0 R 11998 0 R] endobj 11987 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20211013063105-08'00') /Rect [104.1732 205.6462 181.0247 216.6462] /Subtype /Link /Type /Annot >> endobj 11988 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [104.1732 189.4463 175.4312 200.4463] /Subtype /Link /Type /Annot >> endobj 11989 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 173.2463 145.4837 184.2463] /Subtype /Link /Type /Annot >> endobj 11990 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 157.0463 166.3397 168.0463] /Subtype /Link /Type /Annot >> endobj 11991 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 140.8464 164.9372 151.8464] /Subtype /Link /Type /Annot >> endobj 11992 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 124.6464 180.9312 135.6464] /Subtype /Link /Type /Annot >> endobj 11993 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20211013063105-08'00') /Rect [104.1732 108.4465 175.0572 119.4465] /Subtype /Link /Type /Annot >> endobj 11994 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 92.2465 165.4707 103.2465] /Subtype /Link /Type /Annot >> endobj 11995 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 11996 0 obj << /Length 19 >> stream q /Iabc28050 Do Q endstream endobj 11997 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28051 20830 0 R /Gabc28052 20835 0 R >> /Font << /Fabc28053 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}^ endstream endobj 11998 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1054) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 11999 0 obj << /Filter /FlateDecode /Length 3329 >> stream x[KW:|?a4Z a'oA0O&[Ҍ=/|]j_0.Ò K^(3A; AWg'c8 aՐm" S ԏ)}80aV>>˜Þꇶϰ>8>(<9L=x9ˉ/GTٯbA6olO=E2ugk ti"16H1ItqQg( 'TBҝFI>?Y$ Vi;0C6gZvQ5"o}R͆|IK1yz`_і/0r$cz~RklTmQĽ6l;1a (2*{Y,_H!n2-xoLȸq]Y֢f<5Czc:tZE:@0F23 j`M_W*ni X %,.ô.xx9a8l_\p.plN}w;{NZ[&* U Ъo;]%%ڱr_:n:].5PPZ:vW6]Cp"~3hcM}lkY@D˼ F55~A'55's !s+.#Q/X:Oڏ \%zO,ay6;^xs/NA=2-Z6 M}wCu*+jML(I0BMȳz1$8P<|$'$ۼ7oP eћn8$eLTZ5^l3;bFT=c H#uX Z(.BcTǕZ`ZnHwT$lMwo˫&<$7,>y 5g(#LJ ҺH_6v7%T40ܭrՎjBJڏnS#6}= "0}eA,l,DD (q E¯>c>4Li=|m|j L!/.`@1 sCvi};UkLc%mYP PSbcӥĺGB=1p!UpU5]u {ֲ !kuӱLmBQ +wm\ѡDDj[("n)>^5$Q;p{ Dr-I3&Mtxto8ay?mDu_c}2`l62oZc1K?S&պ`BYN -޿}ԥD>?蟰$7o3+*H>DzOs =N8%1hәS}9c$@yZ?5y ȿE!+^ى^Sk;揢G*˙Q?rNi!w- pDac=My@R@ 5~7 .i1tg!VR"HxicB!x%5\}Br,7},,ws?Zo gрH 2Uj^41fG DT84w|X'^&E06Dk}bYc&-{%E{U,em ާ3XE(yf}frQ>O7bq%6|6at~d5G Ȓ]DOC%]QSc cXfd5,)Y4P}4ve Jm{L.(8ExՋku/:/UԄo_=4m't\Hnm^\]r|$>1w0ϣzUmW 7ho`w8N3@ZFাlwW~38Vӽ)]b{#f;66!! pa_cڼr}T!rWw\DNVy[BfMQ4k߸URHA:#rҧT#Pg8UX]L<gGֵ\jFIJJSf= c5MUjޚg!Nmɒ_E??zY&5ǮYLj4t}S@,X~#eA6 7+Qɣ℣QĵfD;a#N-tq[/ Z4Mdej|"Itp?b6򫙾ZσLB O12{m"u8V :膥<'A9yj6ݭ(R4aL O,qnsKSٕ JGc nX^qxCjLH6ҟVy%i{ϪYEⶊ`sK$0ZsL#'3K r\,{0x1r^)WFց1dʉy |8B?<)Fv箟 Uw*jW)27'-ēDtT"ʷ ԰Bgו|G1Lq/?uZ_ &ɚ&l endstream endobj 12000 0 obj << /Annots 12002 0 R /BleedBox [0 0 612 792] /Contents [12008 0 R 12004 0 R 12005 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28069 12006 0 R >> >> /Type /Page >> endobj 12001 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12002 0 obj [12001 0 R 12003 0 R 12007 0 R] endobj 12003 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 384.725 124.1605 395.725] /Subtype /Link /Type /Annot >> endobj 12004 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12005 0 obj << /Length 19 >> stream q /Iabc28069 Do Q endstream endobj 12006 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28070 20830 0 R /Gabc28071 20835 0 R >> /Font << /Fabc28072 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n` ӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL Nw19WJIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ 5Ժ@AC5N tweBC (cμs?`|۾{w endstream endobj 12007 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1055) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12008 0 obj << /Filter /FlateDecode /Length 4076 >> stream xڭn6ί9@+|nw&k`AN,3_ݞI&m%X6yq.0c"пӋ~˛hL<3xTbw3٣yA0jXa.Ý]z,~~Q_r$&+WCfJKGf2.~QN?'vO1vYt\IΘ9 ~a[{08e;f1[RTP[ 4μ5M̴jl5Rў-hTUIkiB{fUNV{D%jn2$V&G,k.w!7MShoeN 6N.iRIb9c{?@H&RkƉ{6I}z,WUa2}۬(d#аjTѦpUZ s޸K] 4b+7X60Fj{׫ 94>6nv)խf7 ]]bn,\gY,ggn,eWX7fhJyXTum ȍ m t%l4 ;sR`&999jN\ɭɭIssR͔ڌτ[Y٘V&D4|4#sjF/M&|߆[͎/[ݽm?Z0\㇌U򺪽H GGubX?fAy}}Y{\6 L3I5ʫz~lOx$}\߾?{|N LӃCR!{Zۤ$Ɯ~^@]•4O)pj%$ DmZy#fe39, ӣLӳ"<&E d's 59'0y9WY(nX$bA/ -p'HJc{/j[Rܾ'=ˤ)Wz#  RH` wb.*Z-PԾZ6X lM-ªջn.AkS/hՐ]%t}cCznaa \5ݏw9eLwm9gK]@AmjW}!m|S,֭Dh0_0</}oQ8%;kS^'ܦ)4-/1-)mcdˠKr ;X v– Tey=n󭩯NzǜmBD(w;㢚f5E,@Hnu^%[)yhB 7 Kw&"UUq8G]Ψ0 ͼ}Ran -r.;1A?v2(a Ғ6 ,ycs37GC,ePƇxFU-,Ú˩0qpt/DlͧLCYmNotq*W,Vp▫c|-~DX+s\4tN fTHQ*ҹrlĺT 8ITUdm5oTlk~ݘ]6="Yׅs6Jz#}‘`m/otMė(+sped:׎ą*wbSb%P(> Rle(V ʖqc6d]nkZ}W]w9«k߹T۹ލym#MN;ln=FMR)/hfu4';/={z_?NH޹|v~iJqI`~ltnҨS) ' %}EpyTg!,"E*y^khoe2bfPd 3bSv65~1-n Xf͂EpB3A墝0-psCyr3I8|U()K:r;^딫-n{jM⹗hW7*.Gw{( qrGʅm#Q>1Rӓ*vf<>ґ6N& WL`&XOi ͫW^Hhj! ^ltX1;$7aKm<eXZf|w`Mvar[$s,ة@]y$oC1-~^݊|T>|SU-h*g*'Ţ3OU?Q]Iԕofe$fg\skdm!@#Woiv24.Ƚ"d>1ǙxHm8ȣ2 F{T &(_p-w.WiB5s dfj|&N'&!!!gyu=\iY-mF\'.b۟+xmcLXF&"/ DյeYr*#[SsY+deaGsMG-U!$n}'tNE:9v~fKvDԧ>+ L;6'K?y^O-yfa7Lql}6o{mp) W3w[.W'Bg>Uҏ>T!$^Gp>2X10 P<ʁh5,p=\7˽{'} -0 vŇ_CD'mk|& Ls!&O '~־ETp E1 "bYPо_˷++?O endstream endobj 12009 0 obj << /Annots 12011 0 R /BleedBox [0 0 612 792] /Contents [12022 0 R 12018 0 R 12019 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28088 12020 0 R >> >> /Type /Page >> endobj 12010 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12011 0 obj [12010 0 R 12012 0 R 12013 0 R 12014 0 R 12015 0 R 12016 0 R 12017 0 R 12021 0 R] endobj 12012 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 543.0346 187.8502 554.0346] /Subtype /Link /Type /Annot >> endobj 12013 0 obj << /A << /D (unique_701) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20211013063105-08'00') /Rect [104.1732 526.8346 171.7957 537.8346] /Subtype /Link /Type /Annot >> endobj 12014 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 510.6346 180.3482 521.6345] /Subtype /Link /Type /Annot >> endobj 12015 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 494.4345 144.0317 505.4345] /Subtype /Link /Type /Annot >> endobj 12016 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 478.2345 150.0652 489.2345] /Subtype /Link /Type /Annot >> endobj 12017 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20211013063105-08'00') /Rect [104.1732 462.0345 139.2412 473.0345] /Subtype /Link /Type /Annot >> endobj 12018 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12019 0 obj << /Length 19 >> stream q /Iabc28088 Do Q endstream endobj 12020 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28089 20830 0 R /Gabc28090 20835 0 R >> /Font << /Fabc28091 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7 ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?$b endstream endobj 12021 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1056) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12022 0 obj << /Filter /FlateDecode /Length 1990 >> stream xڭXn$+x iLjQ [|0|c%_DTiZ6RfpyELM;m7ѣT_^uos:E=;j:??~ X&٤_Ke~7PMv3pvzIGG8[[32J:jZG(xz(7k3"LFBcԼIjbl pM#3_׶d^u9H!3 coGXG^ۤ,By{`:Qbh\|& %if<JIHRb eafBt&QO>_]@L=ֆcxЯbR ~J O<(xA" 레=Zk#l="^[4 kWNѺv%98Z?XH1PStvH$1 5VJwz}W:uڹe=kZLXhl:[sY3b#|6t5>TdaLPC%%µH@̡䅥>J ie&%oR>OqiuM&dz'Х&Q *Paʍ Bt;m-|x:ƹuajvМ>%Y}Ԙ=r,7lO ƆFM(0o__h C{e6PۣsĘBnWZ *Zi+\M%ADWp_v\] 2N Ct bd2"ѱ18NSC>\\|cxeF]~0w>ƌx?C;8h,""gI;$9r*6l$e a8e[ ׻z,=HX \&g~mɥS޵lFը(E:k#&?lmj]_\2-ê5n xwWkGJ;'H)4=lyǖGLU[SԽo}gp4Ѫ[hǙD\kfP!ċLL com;mWWN;ME*(5f 8uDҵ$b[*6=MqOS-JM3 /)2S值ØIfy2DXV9?/u_UqޕqCLZfi3J~P[?7F)thL]*e)"ܗuk-ҽSv䲒 2őJH)Ie lFG>!dm|M;.N@]}.5Tk=]܏RiE|fř:vQ 6&}<:<%}vM/|1).M?ŰS<˃z @` 鸆P<1dq_'6|yƯ?O r8ιFy;Pdf9܋d[ x7tZ~d|]dqYx&!Զ,cUnNI'-s[>ɤX{d\_"N(/ =1 C  [.CC_H* endstream endobj 12023 0 obj << /Annots 12025 0 R /BleedBox [0 0 612 792] /Contents [12031 0 R 12027 0 R 12028 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28107 12029 0 R >> >> /Type /Page >> endobj 12024 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12025 0 obj [12024 0 R 12026 0 R 12030 0 R] endobj 12026 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 428.025 114.9865 439.025] /Subtype /Link /Type /Annot >> endobj 12027 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12028 0 obj << /Length 19 >> stream q /Iabc28107 Do Q endstream endobj 12029 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28108 20830 0 R /Gabc28109 20835 0 R >> /Font << /Fabc28110 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n``ЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽? endstream endobj 12030 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1057) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12031 0 obj << /Filter /FlateDecode /Length 4241 >> stream xڭn$ίs.s_AZ6rr)e~?oRKe[#UvnMfsG*v>UAOLMM?`5[߼ooIޞ}T+k޶Woa"U}1 ;MAb6Lz%'=kg\5%ן~Ί Mfg]S(sҡ2.K/2EwQ* (OLαh-E_$!#u#{'e`z;Mؘaa7GE=5b;g1Z&m#¢ѧ0~2i²OmbL&^-ϥ< toPrc_bO/ `ޏa瓆12BO?# ;AYu۠&vI[ɶJR$_J<(`Rp+g*)6 N}J̑s$Ї6}BFe6Tx:83,j' 2էz4\[b@;,TՁ"ø08?I_H]Ǹ]@5(vhA q6C;;GzODz,2SfTBzJN[R#%뚖FF-[^kE)lvp (nf۔|w1mx#)&5&EW<oIpW6Fqzp&&@. sk"7[5b )1/" 9 tq9KNP'H֢Y#l_Dt4{E[_PgAAoH;(uu~0/=Ե֐, yKMfBmN!2Z^U{F]^Kڻ>0* H֫7}[&Y|aqd2r:}ԕ޸YbœĥvIi',ؠ9!Y|`@JZvۦS_;ͺeǰ;kRsm,ڲ:VVkym#S֏5;]֔'vON WyTdk[y qӝHEef ru\8FˣJVdӐXY1=z@4"oUnl6ra;dgX\<"[0"N #pAd:v<ǰ2^"Or2+#Br%/$nٺäJf`--]ȈhE{VtK--[eᆵ!8zU^4 F07`#F[Oq!$hMt&;D7)>xmKI L>wҏ?HNM|P8j34cDzM.Nʫɳ=5e^4:S슳DO԰[Ոp*RQR.aޗA{TכpuUJQCTD aW?0>w_T U1TNd3y6z陿#ϻuV:.nOU΍s|'{GP9q 5dn.F~ .5P)5f*+&gniOU|`n׆e/8t&&)MXPlIM}հf LCY OTTiw^Kik7[@p17ZgYY% I=HYE@Y@5~z1=A?_HDBm,/l3^^γ/ۓiFJnH0BO>^#t?^x|c,&}.9GCQGvU7fi5Vok&hQ+su9K! b a6Y}0aaj=6mFJh3n~Zw7yڮîT沥 k<7Z KM C+&+!,qN N'\:h\.S >/4m'2 V PWFțNq˒h?`WhAoԻzokޯ|ʧU> *_Q]IT_f%I8CSūQ+8t3kj]ܾaOܤK.SfK8LQjؿXN-IaP$=S+qѡ5/pZxH_\vK%. e% Rk6w,{JVl]Y,-ǒKZg V24/}esMG U#$_ &uU*oqUlˉ/ˣ~qSg|v(/ETF㜊+ѡrE#['̳zi'6qq;Dݳv?.!&3\$:th]}|zL+sEJ΀*`ԾG'I3|]n9+g\ M(cdT0 *8i'(Qi{xjHrWo`;;F5pn\ gk]S4W7'u>q#a]#Bd҃}={> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28126 12039 0 R >> >> /Type /Page >> endobj 12033 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12034 0 obj [12033 0 R 12035 0 R 12036 0 R 12040 0 R] endobj 12035 0 obj << /A << /D (unique_542) /S /GoTo >> /Border [0 0 0] /Contents (help) /M (D:20211013063105-08'00') /Rect [104.1732 415.5346 124.8807 426.5346] /Subtype /Link /Type /Annot >> endobj 12036 0 obj << /A << /D (unique_711) /S /GoTo >> /Border [0 0 0] /Contents (list_features) /M (D:20211013063105-08'00') /Rect [104.1732 399.3346 162.5392 410.3346] /Subtype /Link /Type /Annot >> endobj 12037 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12038 0 obj << /Length 19 >> stream q /Iabc28126 Do Q endstream endobj 12039 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28127 20830 0 R /Gabc28128 20835 0 R >> /Font << /Fabc28129 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`%VthǬ_{8u SJ* ,䪍(査=01H[&#`6P\PS)4GCID_E+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fѯG&DS384hwm-־0Z|fOr;L$u$r!o VMsV7#x#?d_F<<%C9P2,|<30-s@,`K'o.Ŝ|B毗bj;j,'9 ).Q8ΡRNZu^lM1T endstream endobj 12040 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1058) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12041 0 obj << /Filter /FlateDecode /Length 2201 >> stream xYIk,7W,v  98|OmZzfiwZRGVϺY. |QGCcO0:3֝<xGyU?\ sQάvOENxzfr$DvK(:IwUܲ3a |Gc'vl|HƆ 9d R9”kB<ʔ7tLEkC^4X*#؃D^ҢA^lX} 5Eb$jzMEڣ8ZrCI43 ,ߔ4 hIڥ/iFʙXMTnV]hl !j¶PۛZPƪMw7$Lf6;DHee4EET~5Njs]Ex}'(Xu:ʘi~Nm\Zš6h,U) =s l~ =ctyQmZԂ^e:<xE] Rc)9ϕ8pq!`م @U4UVVRs77Df@c2K&5nn/q2yO6N G?TMvq[D%po"fb=Xr#,?G6[ y_PZR NMw; ;EKJy"{ތ6@yJQ[ !ق4t/ͿAS S 0R*&J/zVhh5w1&/1Cœiw[.ŮT{`v#RoJPWe^ F؛z~7xھs MeJq8'[\I1މq1^R9xEg-Z؇.cvw'.pU6,ƮT4` E8VB̮$VWޕf@m8K/|NPksA >=5B6l #dlJ!BɛC1(c`D5-\{8Y;VЫ }-x?ϐ*79^mqkȌ5=7)!_KF1j]/<üƐbD3hʘ Gl3HȰ# %*7M)O0 z)-4#HRՌK`qƭі2 +z|BxY&T?,mJ2&ځ@Y,XAxD@K@B{&fE(XUq`4(mIij*-m{LZ&4)H&<f"4S |<7綼 n.Gy1C$2oh ęg"('QN8S>oHז"bغsL{\ \ !C0b0wi)39I? FKi3lq*o~ng+p]?~vSb5ɵVeM"0>? D:wԥg#r,9i(ȇn=C3#y2DZK0 ݥ#~a-eAp랔?fA ?vx< Y#Ͼ_:xwOΆhD\#oǿ *`k8 VII[RxR߂'^$ PDXa֎!9#>;q^~A><9v endstream endobj 12042 0 obj << /Annots 12044 0 R /BleedBox [0 0 612 792] /Contents [12050 0 R 12046 0 R 12047 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28145 12048 0 R >> >> /Type /Page >> endobj 12043 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12044 0 obj [12043 0 R 12045 0 R 12049 0 R] endobj 12045 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 331.325 124.1605 342.325] /Subtype /Link /Type /Annot >> endobj 12046 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12047 0 obj << /Length 19 >> stream q /Iabc28145 Do Q endstream endobj 12048 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28146 20830 0 R /Gabc28147 20835 0 R >> /Font << /Fabc28148 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 12049 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1059) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12050 0 obj << /Filter /FlateDecode /Length 4832 >> stream x\Ko$7WyL@Ru3Sڃ4@W>J6$ # 39}̤NߦRglJ_SW?Y3wu~N>&7M>N'*rcWA?.ջ̂}[gSkL/?O^=u ڷ #~5_?OzK[zθ,LIwYh}&3Yk,SXC Kpo:E:C]A ԝZYYe%,頔>qJLcã"Iܲq0dLeČ ![mTͣ=}dV~}d lܓzy~6w<{{\ߧ$~Y~df…w! j2.nK#,dgK.*NpD:Zл9N{)psPܫ4:0JZ7"Z\{ġt[@Rw'K&UoRK]2ycf1|y{g'$zңnh,\ƍdikKqZq£yr܂Sv^3<*9 v?:]Aڰ`TG]%"EѠ8PL(" uXPLgOeeQO"BC>{)'韥^+4a~B[(di81) _ i3$$,> XAFr2r xjۍ( dr3A* zV\y{ tǨG`= 7v ԔwF>+v ~88jgZ# .Z?ff]O 6bځcl0NevŬl=> x39cd#@FAa3i6bޗYDL.W۸CO,;W]q(AyYDzZV}>╻M_H~pfegY-3UqÏmUvg*l ߨEwj ,~7&D2gytGҭwi],WHB;D=N"s*|;bTߨ?*"{x >vmmVಚ-9evtmJʹ+}sDw6=O/BOo ؑ<҄5xM&&oN $x7z00^yښU!d'2RzSyW4>$7&<:BogL>z18(fQ/THD#55DÕ fWp;lAC TBgPjORFd5+yC.L\};ƺы-^lb#nRmRjZO[ڍk+n\dh:&̰H%.kG#o)Ȍ ۋHl lFQ~7)VsA{Lieɡ7!_}OzdyJfOp ł˽JՈCSE߹"sTcK e㜋O"ء\CdiBU"Wj8pO`ԦCg{UN3:{lv׭.6 JQc@RҲɗJ5`6@R&oJiW"B0P]c`|Gnuq5M+{8z8 mJeGpj@^ofd@79F5nl(dIqRi6Nyx2 #kګŸ]J 0q+Q7H zEu?_R{%y(go3=QÇ1rn/oyjo˻0U<P1v3 Ѓx|lɤEUyǂ!8!tФe]4hJF{C"4":C!IXIeV(i PDfe-r9ܟZE0*(U DA!Q16.:0.6 w¯zӁ&@C.ʏ{ڈ5gTǚU RBm zPbndhle3;$߂ϟ-Ÿ1yg[ƴM*E.u7ͬtXmuo7@ E ɮKM}ϝYH`SE#V& 7VGkG1;ԏx@FPک1-Q -Ѭ]S=YNߑzXaY4D}~qf(ӶY5XD!n[+QeJˬxN\Ϥ`lM=8ћ*"ZE?x*u /?ŭ Ca;i{>w;[7P/4Fu}mMj|v#>v,^|0w3sN_۬jhq!J[_0[Xx)`&& 锇BnSȏ(1q6T%kt攖`3 sJ:SҸJwj >dǏjmc,̉i3/vF3xcMfk{|?uZp@|6 Ȕk9 }CgRC~ozGMx-jD5xQ2 |H5隚?ũ鉯KThTj@K( ;| %N̚yVD,;zv"HR <$Nr+zBʛ7\ f85_p.2^UTݴc(R$PN}`4p.H%ii؀P.5kһx*|P]qVu(+j]KR+:X/SM@}fsM&G MM$.]&lE{qYD_w~Lδb+U紸{#\} Wa3M~#[v=NYZ3(7Y|55>%p̖]NJz4.u%q;7,쓆>_,zrwDʷS$e6ٶ`:g˕~"*x;0X$]Ԙ)=)gײz"* c/<){ɳ%edR'{N$LfR.>%WMQ-A$ v{Grr y endstream endobj 12051 0 obj << /Annots [12052 0 R 12056 0 R] /BleedBox [0 0 612 792] /Contents [12057 0 R 12053 0 R 12054 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28164 12055 0 R >> >> /Type /Page >> endobj 12052 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12053 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12054 0 obj << /Length 19 >> stream q /Iabc28164 Do Q endstream endobj 12055 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28165 20830 0 R /Gabc28166 20835 0 R >> /Font << /Fabc28167 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7RЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfX endstream endobj 12056 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1060) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12057 0 obj << /Filter /FlateDecode /Length 5308 >> stream x\Y$9~ׯ}@1o=S0>n0d-tL_G5=PtgKLv<_'5IwKHzz:>59;EE]q2LJM_~CDtӗg_xYR>y)')N(eRqugBJal#abU$0R,IZV IbԠI98&˛ = >]X=x1SJJOiTbPfX?}qџ>-bO]D_tWYE2[aoZa_ fㄿ@l3T5FD<W k)8gj&;ϐjZsD= QR7^ hB ){*.O^Qa+7GRG;G=V`E+2-Dyl1" Vc1r\̏$eF jf!rt +^)tHv q6n#ol񬺙f&kڵfJ 6@5DCF-CdR;%Q ")ms?0t3-]q2\Zt6Idt| M H!6&*R%.l<̘nwKnS|w +~Jb»K S2KbbNSy,1͘OJqF pOF"nf ߞ3f*: FDWIuo˥׳ ,ba! Pq( @H{e$~,_9,@׳t6s٘CzP,2Mw S"X vd1E J^#̱S[҅[Vc6r_t;}`26ue2zSoŽ5G|@M MCe*/ƍa'-ix+Agb9.=YG^Cd7?BVq0W 58٦mk{lG6xf>yW[G:t<5*̸Vy|%I/&A 0L\h헓dS.O{5w )6/Pt׷DJAI`Yr\pV#887y;xSE% s+7,: dP`瑤8uSNx$0=ta-R$s0ũ]?ISҀѽvm} Btu2U{ 1N2+W:S3H > d@ ȃ4YJ@ .7FS1`eOD]ޡ K%4Я'3\&-TF&wJ75vqd֮l`&$UJ6Jv\ל~KIeɸNmT_m;Z6f:'A" Dy|D0K(nn١! iJpvd9mQ5QJݒPôl 孄4n2_M a9+c^-xL#sx({"*M9gyO,y FPB :f1lʻX':fZi`p̱ ~% t']"NIE'ęJ+W2ҡ0#h,hjHQzk*wљ̆H%׊Kkwi#^Sp4'Msbq,ޫ6Tʓk`Q | % bHiV5$`!C24 :Ɠѓ UIeak2Xߍ5Kc. !Ey|op.Nq'9+Iu0Az)9z' J}bjW2mL\-R;8q\5-oɬe6EXK";m!Ģ>CV ̰mN}gͪhnQd<Wqt^wZr @RdZz|X2s֐4chexNӒVSVX='sN.Fp/ӝ^kՂOr[QBD:%-=\UrNs6YIy用6oR=Uڼ6("9`55ETDBI5-FdO+HeM*ca8n^^ Փfނe\wd#bOG͓G"kdl#Dc}AY.bTbM4<(.ur%U*P 1 $qf%yB0T5̸nVJЖUmGڷ,^2 dtk~8w3ʍ|b/VٷUVM\:;SaVgOUgWSJ hz/)#0[3j1Ej*?v"^fSX5;z%^( /A)HRΙkq"l4r2}8HuQTSq O }OEiΞ˾n!Co5[9:@i*Zw>{+6_x:N?ZF%.&w+oWdyrTC"tYA1&x;G./nA2ܫWg iEkܓcbz8ܿm/ 9N}5o˼#ͣϭƋ{%'C^8ź_X} s({N{3bP܀v/;.Cj N?HnU"ua z Ia oCͤ1?e; v%'#S-ƒ|.ɞK̘r3!?RW3cpL[.Oˌ*-ٖ@p[ 걃ݶ@ٺglT9fHlG~T &3Q֢116untMMhĶє#7D{yCI*DcD#ndGTP8 ߱ZQ̰D}u\:UMu:vǐ-ӻ!'_/O>%]ghӜ{i}RA)т90DDMì~]}lw_GCYUK ^ c ؛MFg %zIny0/5Y0#xCI5-<921Q`Cޚf0XJվN;J 1P?Syrl;l vVI` e![t*Ae!l%_#ʹW3_ͩ2R'eL꼲 ` ?2j-mN5Hg˽b{=䄇y8G1lTgSÖ?1Wj뵴F7m*xaL'ҵ!R2!'ï|)- K[\2/DUJKo1/\F}1N Ykt`D2uc˄mlEW0!Y\9.kk[Ή̲}BV d1Y nx5ۣ=g1=.N4f__! T_8o](+iDyXK<9x,9{X˲_ຼCm}xj?~3ׂk&gD,%H9.S6R!GM&ce+_V{iXr?BSTPGATFaI3rC T^Wy+ܹ Fm9$Y- endstream endobj 12058 0 obj << /Annots 12060 0 R /BleedBox [0 0 612 792] /Contents [12067 0 R 12063 0 R 12064 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28183 12065 0 R >> >> /Type /Page >> endobj 12059 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12060 0 obj [12059 0 R 12061 0 R 12062 0 R 12066 0 R] endobj 12061 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 541.5 183.5217 552.5] /Subtype /Link /Type /Annot >> endobj 12062 0 obj << /A << /D (unique_54) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20211013063105-08'00') /Rect [104.1732 525.2999 150.9012 536.2999] /Subtype /Link /Type /Annot >> endobj 12063 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12064 0 obj << /Length 19 >> stream q /Iabc28183 Do Q endstream endobj 12065 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28184 20830 0 R /Gabc28185 20835 0 R >> /Font << /Fabc28186 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7&thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jl?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMD endstream endobj 12066 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1061) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12067 0 obj << /Filter /FlateDecode /Length 1912 >> stream xڽXn$WY@s_^|NG40""*CPgP\"^ꋲL\z~Q}̪T4dIꕵ'm F&1.T;^IΘ tP 3 9М)X.2[#?LX\?M샰ZYjۻ^>\ܴeYВdzxxM% d'YG||PO`K)-0{Bbu3+r$[xNa"hCWƉ3qvX]=:r&"{iK^\jF & s-:HwiU4>#)J|@X>QyH`6 `Wj_`Uq?~TN=bĩ߀?NxcI#R;Cшb~}ߖjRCc].oda8!E.ј3Wy"Xώblml>bU/MBQ;* ^T*0uHQg\]kkζԺ+n/ޓ ;@S /'Mc!2^4PpR48;@Qt&5VtZuE}f[pU{9}sU{5--j~O dU` ?3bsuzyt_dl .R~/rktWmٌO+&nbbFLN/uj^BƢ/* -B#>D V|&d zbQ'Xי۬ |f# i^}2] ڪWy!w;d/ofVEY J*!6U\C2;G$ HT%±MuO[,mSR3[J-3faWE`;Ti}gnE^>˹-/#t[Up۽ļ GjEf0n<5~!n3q5H1#wgoM]vBzȮ 5~pSciؘ-:NKi:rcUځq7N6WpSю Ԏh#.'1K{\V3?5hj|gzۉމkQ06>B ׈.õ;ĺ_qyfc<;c 1(ǹ[_!ڈ{X&ȒD{R޼ OēljĄE6fy\%|FГaSk|h?6_oiJ-{ endstream endobj 12068 0 obj << /Annots [12069 0 R 12073 0 R] /BleedBox [0 0 612 792] /Contents [12074 0 R 12070 0 R 12071 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28202 12072 0 R >> >> /Type /Page >> endobj 12069 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12070 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12071 0 obj << /Length 19 >> stream q /Iabc28202 Do Q endstream endobj 12072 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28203 20830 0 R /Gabc28204 20835 0 R >> /Font << /Fabc28205 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12074 0 obj << /Filter /FlateDecode /Length 4406 >> stream xE6=&yvb)_`n|[`?DŘ-#blsC>6UADB-^`Dyю5߂B@8%Jɏ#St@nZyR p!H︝D9g"u6` aac}8ܟL%EuBӟMsf @M$XHp=UKi\$p3iö~e&1 5 z o}բtwfo?Qs?G `wFڟ] |!oHn;6w/D1ewG}J vSE3n])EsX0qp/DC&]QSr]4V8Rbvc,< Ek`1pk0 .إTG!%,U]W*UAAʹ+ڛC&15{ۖ{^(VR" }ۅ@;<״~a8] QmScakӗ=_AĮ?;''U졩ZҒmw2%hSBLs'zd oNnl6HŪ,ch*f:˻F-f`,w H5H][jVO1AXsH7l' i?g9D# Vmc?j&Wモw`>WH 7y"2EdǷ?W$Y\ 6H:|y{;xLoQN@obG { aQȵFp/FpQ'x!ǒb-Q ijnN@ M7ۧMF , ꛀ5}̪@a%jڿ~^c^Lr8!pS˖#N &䘤3j|p ڊGq{( v vokÂohT 6kEj8q A bS=V]jxh .#iRzT]굍[kIjtqATUZB`|3WH%6,Գ3_&kf,U^=VK:Iu,:"+ p ʳEpd}n\=dSI+nIy-S `Ǫ宙{  Mb͙Bw{D248:+b5O,av݄&UU;nJofDU F5`@%ͮܩ&=8cL74ԆJS1€C@l}uՁV7'ܳܶlPsRAAMrLnnUtZHcYpS {Vv[-P )E'Ƞem] ]i3O*A١+b@vV {@J^. P'f\]ɲtuNvU'z#:J۪FS!"!ѫ+1BY`g5S#j4.~vi·uH<`(ƽq-Oxt*^ۥQA(F, kdz=uob4 RZHVRp\4 }>gm}ԲpZq2PsU˴'T Ziµ[0 &py5YVJBc35,l oh,Y1WQ ~5JZf/A]< nXkIl;%V {-0z;rD)q1\qaR d=_UZG > 7]mrn a;f)f(<v|e<]{1"A;5n 2Wd*<&0X)AFS#*i3FL j̖woø"\9ur+IO%w,hHd!ed64Fq\<ĆD׶R1x>nc$j9č'8|bY"p]- z[ҥ5SZ􌉻Ide”1iԐ#tRq!>Φw}Ȯi@ jxm/` C䄅PYjaKRݦZ;3 0]*hEeֺ $psun% y"}:J իTBpwQ1Lvk%Z|  TpBLLk| t$ʽU &lȺVĈ;[OA%8s4@\+@L C[h uR}=R Sf ,caJm4?Q\ wihzsj}ꕄ?5:5_-pdyvGnvMyW,'zw8S}7ꔵqGL<58xZ819Tk1̭PE,CLDD XaCMH4XO=P/rmkgXNdlcm=mxGDm2A7T;Ih>xK M,t*Ƌ#5ZEv$1n`ioEC YWu!ABiǮE:a=^lR@T\5:gqVm(g ߎ}Sq77MP`j3Pm竢3R7.3[OظHOj{vO$`TH CʹƙǺz>֎ֵ\ O#T_bH8Tցq`̾|G2M+ B_W UrPo endstream endobj 12075 0 obj << /Annots 12077 0 R /BleedBox [0 0 612 792] /Contents [12085 0 R 12081 0 R 12082 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28221 12083 0 R >> >> /Type /Page >> endobj 12076 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12077 0 obj [12076 0 R 12078 0 R 12079 0 R 12080 0 R 12084 0 R] endobj 12078 0 obj << /A << /D (unique_659) /S /GoTo >> /Border [0 0 0] /Contents (close_saif) /M (D:20211013063105-08'00') /Rect [104.1732 517.3038 150.6042 528.3038] /Subtype /Link /Type /Annot >> endobj 12079 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 501.1038 159.3712 512.1038] /Subtype /Link /Type /Annot >> endobj 12080 0 obj << /A << /D (unique_678) /S /GoTo >> /Border [0 0 0] /Contents (open_saif) /M (D:20211013063105-08'00') /Rect [104.1732 484.9038 150.2962 495.9038] /Subtype /Link /Type /Annot >> endobj 12081 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12082 0 obj << /Length 19 >> stream q /Iabc28221 Do Q endstream endobj 12083 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28222 20830 0 R /Gabc28223 20835 0 R >> /Font << /Fabc28224 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo` endstream endobj 12084 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1063) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12085 0 obj << /Filter /FlateDecode /Length 1989 >> stream xڵXI#ǯ@ľ@!J`|*ǘ*CeD.*f#R/~EDo[Dڃ}yۜl9=۟/zoKcCѹ|Aou&ٹBû}㝫x v>@PQA!@?0F +vBrœ,\ "9]wg/8x™1]yB"cn]R"`ƒu:F*,W6CB}=DQWqhMs0uYjq4%V79}Fb%@a;G3uvrWsQs52)o?rͧ^mw; oL-ӑRx7Z1lq`]|㎔Ԫҙ\DgGuG<<.75P+C.+y M܄)m*ż\fAJLI/yHe76n>5Bc|ň)UֱvOxj;1d9k10+>[zw@=Рޑ H]myAqyH^{䓌%xW.e;KrF8`UTڑ%$ں.'=xEԇ s>^qD#@OnOcA~T_(MJ r(Q .f endstream endobj 12086 0 obj << /Annots [12087 0 R 12091 0 R] /BleedBox [0 0 612 792] /Contents [12092 0 R 12088 0 R 12089 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28240 12090 0 R >> >> /Type /Page >> endobj 12087 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12088 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12089 0 obj << /Length 19 >> stream q /Iabc28240 Do Q endstream endobj 12090 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28241 20830 0 R /Gabc28242 20835 0 R >> /Font << /Fabc28243 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 12091 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1064) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12092 0 obj << /Filter /FlateDecode /Length 4998 >> stream x\Ko:vWp=@iIYYur'迟KRn.#Cj'Zgu zXeP__/A;9>ÒC^}vgL3p] ru.{%wSk_w89 o_C_CߴYRYY>ï%Y??f/ 嵝ivJѱ,*gH C)1_uP@`̚|dhx{&=Cj{e|&z ({C `f^[ G2uL: 怑RQ d^砃L+9Ć]5@;n̓Ќa)j[f0x)-CnY׵Ē,^nY08߬BGѸXj1xW ZsƁ%%=KᡗZ@CD@g^JR?5v6YY3;纔A!ֺ% -NޯO3pns1  5T!s t{pHPMUbA<~ 9.`K`Va]/7i_*#3Xԧ+ l}B-bќ#wAqUh7!,J{,x[4OWM:^xX̐֘~j>jrDBbRkٜ~`S5ssIcG,jukRwf P@-V1OUnܯn@]},;;j.;$ANv"O%rsDyYaG5yv9oA A%d#o!zdxr2x> ηs_q ,n\o)k,vm8UOVzBM $WZ3V\(!O^Ez.VZWdbJl7&ڃS ^azd£b~P˸+XRũU}3D35=(ۑh*q~s%\p2t`թT*gLuz'z%jցX2ОBU$ N=OԛԻJIQ,[ͥ^9C'BCUxIYKÄsʰȖ%U.@-{CS h^H{Uݺ/hRl<Ɠ0Bl7|} #F Ya>2-,ņ˃JՉCT K,CJ!)/Ikd`d1M(JJm;i6lBڌu/FclN٢`ĀLD *Xl(J5DRʅ:+YCE uUͫ69f ]]6rvuya:X ,ppGp,uXHs;EEv`B,U#+X=OA+C @n;MJ\y(pR`n NNn ''ipn'I9U8Nf8π? Nu)pR;'Y"}!~Nj>NjP>'ueve8+IN'NtkW5i_ Hldv`5 A $> +@ DZux;SoC0:!||]SȀ8`0O>ӘPy]R+My{bU <(zT/$*I p/Ӵ0} _b~G>sݘ0__5%oT7SA$T_~||fnWٿ?#P3#Pһ3E>;Sb8 Yi9ymF sWFUw9ϓ{ΗL*8iOoWp!a)ZJchH.bԔoENo vM+Աw-&Hƙab.dQ&|Q@ =U\I?W Pg] ح+~AwKk":rOc+,6RZ.ᤚ{8fɫbI6H3uJuܪg{$PsȲxhcؘrSM#[sKC"s:/qh]!7v'(slbs|K٢ 5MW39-g%<)&l^Ʌ0\No?u*ʋf`s]@dx4?:$i>aл-ky]<xoe<M9;ss?79O܊p#\qMjbN?%o,H(}b8k@c:#rjh}3WMy.p01!6NlB5-m^Q5| t>ڛj8]c-4wWN b4;ނ GI'iB=0l h}8fmYS=[ w1dneۃ9;cQ %XԌ%mՀXP68>nAl&q2[[LPI\3 l41_|Ʋh8!Fo,~WSvndlBIS'ECw{C 1ስ>yW}dCCpUʦt܄,K*xQ)KZrKfIa +YC&gcCb =gDxU)8d's1r>2OApW*L!O3KNR`@_RfɃ.yz?%OUv `0L7(TA-R`k:~1P(m$W =9Th+VMS5iJ9ΘwY1ZvO˜b-Fb[$W<4Q}"]`*Aw9ÍS=\8m嶿w}{ 1D OurQ[Oˡ?- >ڷG)N*jf.!o-6΋2Ѫc`JgOYbN.zݹZ$,gJ J̬'}ǝ1Z ^k|b&ʠ8eҕ"qIiu,c՞snGj˼湕xWoj@*-vOc!(+P`PMБc~O.`D0}>Q ѦG10S9dxS:f)aK> rͿ"F:m$SLubZmejlk`1Ko_L<.qsI z~g z~ Su.R-.3Ó6~aj J5ٚտũYyӍ2J4tt<@S(r%nzATZ݁ {GZInlRľI`S L"%TQqNjIz7Tcb{F+=!HZ(ty#HgQibVS[gLXE$^;PꜵbIy|,܋u({Άƹ&‘ꢲv4dK?)tnK1^:.|lx(&̇RҌ́~mp6^seHz*S0=p>x%3|Nʱ^wu;)4vIl\Iq_ 7}ڱbiGmk=8;3o(0b[PBqly!}*~2C> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28259 12101 0 R >> >> /Type /Page >> endobj 12094 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12095 0 obj [12094 0 R 12096 0 R 12097 0 R 12098 0 R 12102 0 R] endobj 12096 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (checkpoint_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 128.3886 179.1657 139.3886] /Subtype /Link /Type /Annot >> endobj 12097 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 112.1886 149.5977 123.1886] /Subtype /Link /Type /Annot >> endobj 12098 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 95.9887 150.4282 106.9886] /Subtype /Link /Type /Annot >> endobj 12099 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12100 0 obj << /Length 19 >> stream q /Iabc28259 Do Q endstream endobj 12101 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28260 20830 0 R /Gabc28261 20835 0 R >> /Font << /Fabc28262 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7r&thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.QC͠*mтGŶ$d endstream endobj 12102 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1065) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12103 0 obj << /Filter /FlateDecode /Length 3822 >> stream xn#^_gԾ `&@smBJF^}d& ';}6gf ~ieOL_~K]vsɹ˷oG:) {5p%ygk٥/?ja%j} Pvdu9k}g /Z*s1A# iQ&9YW Cad ,1'e4$X h<6OPRiތ[[*T0l-̐\8.vvQ $\y ~H1R=O x{dfWpE]=F`q1n_Υ!^/sL$s(D"Z4d3-]43cR%Rb#Sd"((,pNKFA, ՉS+MtsY4H%B=V M>IroVxwa-+kYˢ9bU6$b> L2uޓM=`6F2PgkGRli0󙯵F4;tzVrba⎄I`ågU5e%u6<[ ܒe0e[^wǣwZ|MUǺ|—pi.JΎ^/X<%-{jTݨ(R@B)494HZ?ϊe(zD˺_MfJ !Lӊ!Y 0 vaUwjd􇲻f 86 lK'ڮr54uGq=[,Qja OjԄ9-ALr9EKٓsKS}SYȎ <[saOǦU9JSF9FM="`36!Q '(y^`|ʐ`S"fΆ@kD=.T`~jV^1MKw[Qoǥ5EZGne>$VW ]m<<{cswܚc6+ yzփvk<~NBh}JPY܌HUk$h0f~ .`Irg2~lt\yȓ h\?EZuɒɃSLSͲIiNjNiϪGڳG۳F{v۞\pSlar;:XԴ ;%h'RNf~{  ֧ ׸SFBK.L]9c1lN9y*Ⱑl}'Xik{5 T]kC͗MeOtZw[cKQ55-59muFCãg^C9fhm stkHKXKDk(֞vlI:^A71jU |0\K3pΤo+Iba҃1SL0i?&'؀Q(y ;fѴHy_KؽbZn>r\$dCtu eڢ ث񇢯!PeXɼE#uBn$ϭ<~*Bu\=DpHf-ӊ}aIwot.H%5Ҹw;cǯ r,Dp&`Ӭ!+5oTFˊHOG\c^6]MȬZqC&2B%7dP.r} W!k\s6'Pi(iب3urO1,ְOj;ޠWKXkVxjYcDl;;9.v!it 1z lȾjb-!_[7>ГZ +ـvpsJm2Z `iGv' *ht0Cv,6y1#a>d-x8jnst(mB/Im`zlϯ/v!;og`K Mt#p® 8rs|z7#jypWwBT (>bӇhnpׇ;i;&:63C}9XW[ՇS=a:˰2l&1__%EA]o8M|>@5Q ࠎK[IF4ELuRcqd*lV4+ ]߫O:!F{M#QIiROdOSRS?BSըkjd08cOꚰr&C*e1Me7J&bUMC- (cDy e]bǩx*[Õ22v 0\{G>ˡh;\] .ăO]¼&"a/rUǡ};{ Jl:WQ, pekF endstream endobj 12104 0 obj << /Annots [12105 0 R 12109 0 R] /BleedBox [0 0 612 792] /Contents [12110 0 R 12106 0 R 12107 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28278 12108 0 R >> >> /Type /Page >> endobj 12105 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12106 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12107 0 obj << /Length 19 >> stream q /Iabc28278 Do Q endstream endobj 12108 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28279 20830 0 R /Gabc28280 20835 0 R >> /Font << /Fabc28281 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=϶ff^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 12109 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1066) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12110 0 obj << /Filter /FlateDecode /Length 4615 >> stream x\K$7W<@z$ Q@eUz= 3bQ@IzEDVfVngeH!Qŏ"Ȕߤ+f+Zgd2oEtױ*%:U㨵??ȃpl|dZ]x||׷zOF!++_dо_MT"Zr[Zig\okbV4+ 4 ݢe*Piƒt.Eޟ֖t _,|NCg'$6< KwV:Dx=?K6,!Und |La;.GdG XRaL \E u(噦n;D@XS@iJr5%2 ñ#Ԏ$e[ooJ + X@ l!R ܅!`B`)jS@ F;48qySY@4|>(oEe0܅Zlc3; +3/‹bdf~WX^گx~x#oفiu-;rYnacU#W6p$麀65roJRndұ1A8hhA8D$f {y`hH1/- .ԥ(,Vxv i\H{n#q+mre*έ*$jo8u;.Tq ݌;VMٌPڂ ^P z(00:[\R 6|SyTZbY_&?A% ^Y7 n?7\k3*w{H-b[ٲ*>l;囷?[QǤ g)Tn` 7)] -WP-*cc,D/0+ ,o27NɂD ϝp''  N  @ICz2@.V0g ;$K,;P*=6|T+oKzeke]wث-eP*[%(Wz2e(vhlF6F/Dzm"{TDz^ 6\j4ޥ  8DRZ ߭,2*0aco10|zn }+U*'8t9vipʁEWyU_ |N;elV0+X{,eXHs݁EEvĠBu۪ԑ'MM َ ;n0Q$X}'8=85,785NIp? '1I~'Ap 8$v1#pw8a=?NGNwz7#p{$/8t_ P@]{@ `}g&:Rk LZ,_,g ۀ42 .Oi&ٸ0ܲ0çCi*P}퀝L q?!x[0n8հXz'C,t*9z*p)=Jqq\}#s`=&X\S%G//. }]SQ@)j`1zӊ($ W mk`J _{9(*\BOMx;4|%lֽ{!zJp8F4ۂcۺhL2E&8^y%Q DKpI98U|u]{07F\8dwTȜ 0֯%ó5۲?Bx}0u1Fc4)dE)Wg*oo=F %hl/0&z6 ~lTTyrf +ѕpeDuǙZ^НE-bLeiD2-YGU5da3- ^ZN[f ݚOl>] _GMß!e#=p:bly(#2sp׶dg{d t-˜KX,嚷 | Żl61u[|n&NO;tl'WjsM4R$w$ICu e.JtSZU94Ck}TSW2'䞰m-uf6Vq f~cULR:==JRhmTLmϑSZomvwNL]fk+j<I]odvM\D@D_ 5p,duu06C#^XI7 #|L5'IE?ԅ-@p)ZINhӀSjX<2׬>´dFh;<ܢ(D8̫=cVn潎ǣw m8~H~ۧȭOS]:WyWbsN>q{NݶmxsX+05Lhe+ѹ Zmg l\ pl L81|ݽ"^ʔ|q%dWzCMm%-tJ.7\l97s>k;/xS[pi-w{_JDKJH!Lmޗ#UnEE̍0*۰>"}mk|՚Lbzv+|U&Ґ4[MD_J3 rY,0]O02f1(\w+Uye&mkİb0FF ԵdƚqsIM`W1w+Q<'(W7آ/-p) 5Pp555-\j=BGǒU.,kARÑJV(Cof#Cc w f0Gb_p.~-pLTP1 ^BL$~Gp &H)>;W"δt寭x}t{"Qb(uZ e14wK.h<[dkx)uѧZT$/ݰLvQu5 1 Wf}?ąNK$AX,CzC \(?':Y-YԞY)ԃ2%X_#-z G!ev%1@́|:?1:ל!Ak sXn7!aGG~цhrH>Cs :lsBrXs$ ӁR_f<)gv;Pq=T:|"iG}d_F~G1Ld\(_ endstream endobj 12111 0 obj << /Annots 12113 0 R /BleedBox [0 0 612 792] /Contents [12119 0 R 12115 0 R 12116 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28297 12117 0 R >> >> /Type /Page >> endobj 12112 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12113 0 obj [12112 0 R 12114 0 R 12118 0 R] endobj 12114 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 436.9384 159.3712 447.9384] /Subtype /Link /Type /Annot >> endobj 12115 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12116 0 obj << /Length 19 >> stream q /Iabc28297 Do Q endstream endobj 12117 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28298 20830 0 R /Gabc28299 20835 0 R >> /Font << /Fabc28300 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV%o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12119 0 obj << /Filter /FlateDecode /Length 2533 >> stream xڭYK$yzK MA=|سf.CJeVu]j2_q=篦9,brYe2ffo^_A 9-'bG'W&m^AcPϴCx|y0bE;E,Ԋ1%DPm ^.֦|g89_ʱXO6׹3tUubk( uq.o ce]HtxM3!:\-dr<͡,s&-`!® ¼,ɺB8a !Pٺ#槇1?$J6{fٕe Q ZZ]&zӚU-QDw>)4O-}"z9Rqژƹm} h| CPyFZ"A|R$*[j0׽vZ`CNpɉV18_%yP&yRBj~NY5-VK+oBu);U'yEFU,ӻL?TIʼn~0. >+Bj"CXXVQI)3\Ma8e\FJJBh+Pj6ŧE O7ɳnw5A otu|Wemz .`&Ťi_gN7+H[1ZW`p, Ǡ%&G;]%x[]J\6eb>}Ü_"z^F,Z]}pt/1ۯƛ,&,6fCd,5A~bb)ڻ[;#n6|{R$!8SHqܲ# 3MCҀ:#w1De (LFeY\nF%d>gFw`|- ã^IA'!z@c۟TSn B]p8aMo22H(H/;c_j3"´,8WeWo~qxv,ͅţRmd3ZęX< 4fn^CʨMKB!0tĤӜaF&bɛO|$~ o~BxYe٧w.Ң^I q? IfL#цxL_i79,ki8>s58Msu%Dr˰7 mQ']_ͿF2  h̗iC.2?CX$Rq|lr  Whi/:yTs?3x̖b['iYHi7z R*ksE"=)oigE괍TiԽn28Z떥:q&ѿ~r:aK% >ˡ9I¦j4@@oZj̲lb{gbUGP٬Y%~^%Z Eտ$:"K 6ឌ^utxۤVR<̖2X ݌YsZaIUy2Xﷴ0?gu]uH3i-,;+P^4B }Wa&4)'8+rkˎ+A]71UC%K% &$-է ]i dU-)(RUe Ntѕ'$D`ݕs9v\g:RVh51s--5z o,f:_Vm*gL*c}O˃F[iZ;i:1!{&3ł쎫 EsO=.=]-xk~E߽((A I7;# }zg:vL#9jƻsY<=lj80$w/JaQw6VYhO\-i 4}$d..̈́$8%{s=6 aIOjVm> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28316 12124 0 R >> >> /Type /Page >> endobj 12121 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12122 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12123 0 obj << /Length 19 >> stream q /Iabc28316 Do Q endstream endobj 12124 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28317 20830 0 R /Gabc28318 20835 0 R >> /Font << /Fabc28319 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~z| fpYw.Z 5J8.y-xvw7p*ЖYzr" YHUC.$G7:|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " gE;!%]vhw%Tsh8rj|=B/}7 endstream endobj 12125 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1068) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12126 0 obj << /Filter /FlateDecode /Length 5238 >> stream xڭ<Ɏw~E dV l`~3qʪnII& FZ.fgG*vyy[jY_*M,ŚcWcr˗'aY[o޷Vk-?,ZmE}q.KоyzxjTm[,>M;<),M0|ٸ̳qqږ%, mtNA Ox/\]ES% !m/&(eZ0䠵'|M쐒%t)|*h]q@p=1` =qۊNuTxpL L o6B@uI`@ j j <[#ԟ4[Z "|FbLP={J %v&"?o]|CZπz1k77-R0. xfb0c+^v3@0H+BcQ L$1tYayWJQr^` ʗHumBlwleuf Y y%du +GH>o szUcTo+[CXn=&8T$v\D:kP\]졨ZQ؍hN!(>b@W=faf f`%,.UpIa=.+N|uѐ{\>64lA򄬅5&d/RcBk2aQѐ`)?Jk3)K<2Èi$3)C؝=U͞aY1I ɜ"6i"_DV<oI`W6{Pl4vJ?+I%OZ7K,sM/*Uv;7̈́M9֘^`I9s;w%@$˚&{%bX0nBڌY^UӬnzfD: i@Jr;H>Rƅ;V2M,b}+{}{58r@q$:mj8 >IilvjavjpU {vPZA-@-f z,Tcq]5+u bS5PrR ;+=R {@w+~ea<|w.NdɊ4uNvNvNꝽϨꪴTH+1Ng5Sh.~viuH|o`(ݳ7^}؊R< 6ET=j`#Z5 Rmп5x syKź(AF  6R4 %#: BP@ ^Ef0O=&G>dٖQמ':ca'ls@ Ӕk3Uxyׄōg.f Ks&0YF%͉1La SٞL5;{,%x-y2S$MaB4Ud|:JIjAaaBIxs"\ꌁ"(4 $]j(9[yJJ9;D> *ۦSS<'ǎL9шsBi ?;13iTmͱ k_jarnֆ}lZa׼ڸ>\`b\NdLʒ,$E껀/Aaw!,SJK"n1e0鐳*?GG*`O ͌T AF-"r:Z ӄZrJf#Z2:ѣr,G_ѤbJ6$=lb hFp/ VA8TdV 5CVl` P4H1`S%̎N/@ŭLpxQ7OA. :UxW{%IFFB˒N_P k?Gւ{ x0(2`..,BA,6dVZ.jUfX,&hQp(V6QH(}X+CMHB]4ı Bá SFA%j5^A rn/Ce;KD k-IzXXHJcq+AZ T0Zc+=I` ԣa wDQEaEB9AŮNR%bE6J 2ShoZ ˱q +X:Zx8w~Gzlh!ma{gZ =Ԏt,Lx}/xiRdJitz!"}oΑbDJAWUPJg9R& lgQ_4zV&k%%ޥ_V$q:Qiv꽥+ ^\yrxԋgXf*hɱBzvТG ɓw%zpcfy#/k +坓Ovtg;L%BY~S[lð!is{#`~&H])z }Ƴp !/MX1xxy'S~5Cl%Yk)[بIy'b}?{/x&c!չ i[z3J1$z|; fpWpEaۉ;}CڒE| 2n;CJW}AhdunK \YSQAi.|>Y5pp_ZߖBҹ| ]KU8d2tw0DNҴϥ!tFdGunkE-Yuf)c3lsNzRprwc>}|tfnFb:YPptÊ' ,34.m6ᰀxpӽ޻Iz -^WW\C JL0In9V|]k6z|]8I.큍IU]?!3F7*ʴKtG4]HC%"0h8#)HJ$y=P>x 7_r|4A G2?jR[@@ؼEiŶ+OynTð&Vu ibcbhԽɏێ6]obcz9M 5+!:\pǕ1{>`pQ{PvnD|Ѻ羲Ib"=- 8HPM w>bhTưw٥]2dC=$0|5^Vł5)l`=4,Ͻ?3]~_ˆ ]wA<\p}MܹL{k];&gUCjR޼oXP<%ƺ)sLЙD+KnvRV*fj5/xaMGck>F?Tk<ҋ[L-UFa6 0y;[A+T]Kinc1_>|B^_~yn]3߄rݵbx  w|$ZpWFP/qё(r(ihP?@ۙX{[)KMCQ9QB19k1\x=}.(]) v'r2_F`63,{!=puaj1`^fcM8&38:ʉrCqZ-QXo$#UY`n/j55eZӘRTkSQo{yyW,/zsq(\XSf 23/8>YKR**a1T*Tx!J/:.x#ç |?䒐[ΰ4d0zjjGw/Z P꘵bJ},ԋ ֿTlEG-yU8^drĸTYd;@Z2l7ڛAQÐ-8>?ݾtv@R a6 9W3OrAb?PLXBsVHu'>/|G޾8.KξT[+*=d*q%{{0ށYJI3d>k{'Bb ~Hv˻>˸}An/Ix鷾뷾%!I-P W? 5z^UyR?"OIHG3ۅtj8\}dHSJ8 d ă%5][ endstream endobj 12127 0 obj << /Annots 12129 0 R /BleedBox [0 0 612 792] /Contents [12137 0 R 12133 0 R 12134 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28335 12135 0 R >> >> /Type /Page >> endobj 12128 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12129 0 obj [12128 0 R 12130 0 R 12131 0 R 12132 0 R 12136 0 R] endobj 12130 0 obj << /A << /D (unique_664) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20211013063105-08'00') /Rect [104.1732 528.7346 160.6527 539.7346] /Subtype /Link /Type /Annot >> endobj 12131 0 obj << /A << /D (unique_680) /S /GoTo >> /Border [0 0 0] /Contents (ptrace) /M (D:20211013063105-08'00') /Rect [104.1732 512.5346 134.5552 523.5346] /Subtype /Link /Type /Annot >> endobj 12132 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 496.3346 165.4707 507.3346] /Subtype /Link /Type /Annot >> endobj 12133 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12134 0 obj << /Length 19 >> stream q /Iabc28335 Do Q endstream endobj 12135 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28336 20830 0 R /Gabc28337 20835 0 R >> /Font << /Fabc28338 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ>Z endstream endobj 12136 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1069) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12137 0 obj << /Filter /FlateDecode /Length 1884 >> stream xڭXn$7Wl s/P@-Rs 46^,LfJnb1QqLCi޼>L:lT2fo_/ֺv0.%?⥜%WOpevI |`]hZ0`EP9 몬 \IO4JbfJ^$ˑtWDgM`\Z;d;j8wv}TP<>O_]ZL~K%иhyLs\mkw[ŶZq>/%2:_w{{3tG}rD('hXN^tAT%z4+ pZO݂BQ0n.,&|-0t|r8.ͭFezt!x,Z:“y^(Oͭ Զ5PÂ:lM!='(1{4rTNRʇ5Q2U]Ia).0OxXJiW^#6fh[C5\PNe cA\Ĵ.ޭpѷtg[!RqĥX<]q8'B|1uPH3ne=1#λS3weX{wkeS~Ue>}-nyGԝy2~˴4 +H:H,BJ#bV> )~a!.ĸYꅷįW(Yfw" "2e2p eڼw);q^kA1N/E?b135:7c3BXO@bgfU)w(l!S`8w$kѬjr[۔*5o)6T2/)~+ 4Ӹ0$ܪ l<=/+/3uC$1x"-540\EyΎ/-ʎ}L 4UG >y\75RJZC6a[ll5/GRqA)>VF. IYU/okp#굴|PK~o0"J@f?&%{X$fnyAKr5pPj6`||7aXdu﶐^+o+i[}_2qP,2hQ#+BhSx#^ ^ YI+*3Q-_6 Wf1ĺw>tguYN-ӞwE5u74b*#KIJսe#xMغ b&2qH" ГZzPOX߷i  endstream endobj 12138 0 obj << /Annots 12140 0 R /BleedBox [0 0 612 792] /Contents [12146 0 R 12142 0 R 12143 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28354 12144 0 R >> >> /Type /Page >> endobj 12139 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063019-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12140 0 obj [12139 0 R 12141 0 R 12145 0 R] endobj 12141 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 370.425 147.728 381.425] /Subtype /Link /Type /Annot >> endobj 12142 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12143 0 obj << /Length 19 >> stream q /Iabc28354 Do Q endstream endobj 12144 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28355 20830 0 R /Gabc28356 20835 0 R >> /Font << /Fabc28357 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pMЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛD endstream endobj 12145 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1070) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12146 0 obj << /Filter /FlateDecode /Length 4446 >> stream xB7`Y[|ީ|?u|1>y/ܖdb@m.$NaeLC]|/ b2. 1 3;aZ"ADa~- y/}A3<;)'@xLrԖ0,}O0j+ M.ow&ĤT(5’ FdP0aPYd'a%Fcǜ@.k9JA3& U->|DC |E1u=+uFCml\SlQDZmOp,{6}?mai\cZ],x`z Mi;&FecBjLUD`:է @zFz(A  RO@d؎gR,#sl"K I6a(͙=d; TgaX4lAv.XjG4kEfX4`Є:BC Wby{dJy&.٫ɸ-w ٷط!7>g$Uۨ{r'{XjnaHdM6 ~k+N\z^:uJ_ּ8T~;S"v;7OߛCQSU] Fj#WѴ>$IG=!̓xw\Vw$jY=-N|p "<F,I{5@¶z[-Qo\!a}vM14"(zD >>!EDU3Q?nv4/nuetw r{ͼaXDތjCޏ^F}t O3A xp~uM߹YÙ'gbW^b7CQwrM+ *8O@^hz,CSŶ)hR2B͢y4W_+i?N{?7;Dby`_?cI W  Ighjp puŽ¸5̕mQ z>z>cܨ֠}V#}Fg/&|_A{NF1@쾭?[r?9\j㗔U& 2WG lD_¿Ay}]@A@W0/PLpC%E'm:q$jc.,5[t`qLc)ܩeI[\,_>MħxL 54Omz+B:ZBiacO9NQ,yp0,.FRǸ>:#8Dl&-KّH*&+. H[!+$́z?ZB ~g涄p{\d/Զ0 ;1 ĻM &OedYKi Y{.R:8TG227X&b[ ?P;ޔ'$la*Qsꓙy`jmtiT!l[Ŗ٦5M] ި*$s@3?+p%-pD ŕ*^ x.^[0D| 5yj^ v2-+ݐVW &%AbMH] tS$vAɂ4W>y:nm:rBk唡gJGA]vep 44;ٻXDzk;ѻ` :yy%r)v / niKZ.H@Kt!uT&~e][k,iw? :vX\9N-I_?ŧDS[.*d(OZ U(Lr~SL؂5 Z>ӌ&K,;1l6Rz1ߚq3[Y1Gf`m7c(S6nqZBݡfB,+q%6(J[Fo0vsbTNSj.# VR\@$Mg6I:_>3zP-}ڍg̭Uzf-hfp!k>ZUG$s78xGq^'Z:oyu8r!?vDG2=oyԆg h{/gzh8KDC%1|ȞLnHR>nHGhiSC[&;Kԩym3fJZf^S-qJ&'܎i,F.X]$(U1A~Jۥ/B"Cͤ˯8&5p`e͈Ջͅ[b\U0/d'#PvK9F#q1c7ʩ b\ZtUԯ,-1Vgt^?oK@Ng۪ <{eʃ:}#5[5ۛ{iX$jr\ICyKK|V21OPc&~'Qp9?䒐[ΰ4Od,㥏}b݋NF>1k6 ID2|,ԋMe) 3)΅7Y1.TLFu|F{V>(Rqf;򉾪sәvl. i 8ʐBq4 7@wn{V> qbTۡO_R\{_!C^pO?|?Ji+ƃӣ\L.c ʆEbBB^9 cϗ=  <{%yJ Ԥ/0rTBw }! 2|sRˡA g"J0~83xS~L'$~w>}f4u/B{"8ṿ`A ? endstream endobj 12147 0 obj << /Annots 12149 0 R /BleedBox [0 0 612 792] /Contents [12157 0 R 12153 0 R 12154 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28373 12155 0 R >> >> /Type /Page >> endobj 12148 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12149 0 obj [12148 0 R 12150 0 R 12151 0 R 12152 0 R 12156 0 R] endobj 12150 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 371.45 172.7747 382.45] /Subtype /Link /Type /Annot >> endobj 12151 0 obj << /A << /D (unique_393) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_intf_port) /M (D:20211013063105-08'00') /Rect [104.1732 355.2499 198.2837 366.2499] /Subtype /Link /Type /Annot >> endobj 12152 0 obj << /A << /D (unique_385) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_intf_net) /M (D:20211013063105-08'00') /Rect [104.1732 339.0499 202.4252 350.0499] /Subtype /Link /Type /Annot >> endobj 12153 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12154 0 obj << /Length 19 >> stream q /Iabc28373 Do Q endstream endobj 12155 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28374 20830 0 R /Gabc28375 20835 0 R >> /Font << /Fabc28376 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}K^ endstream endobj 12156 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1071) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12157 0 obj << /Filter /FlateDecode /Length 2660 >> stream xZIWy@r  T֦SyzSebRU]ݍ:/ޓZ}SFi;O*V=:gT*thouf^6Lx 7,7tPWO*LV/iY.F!uPV_LYǾ(^T592$"JL%&ާω9LrgE8&mQale \<5BKL:2ċN`i`F( Z`G`NexHsl:y6~qՕ&b#^Spz I=tٱQyFqo|u&ucc0rhKԁ>fm,08+\G׈s=b|A90zDaTd}(Kymo&&U>-!AR1xlwd3L]sa2T9 [k6ihSR,p9em>#197.V=!\5$bx]*r&hTf>6@=c%:.f'm =vu&}n-Dp/y1!!tPxy7VSܢԳf-Ǜ%/^ `,s2>fN Hugʤ/)pg-ȑٱ:hc%QZ7BY+(@ xB_,h0SVA =@`;@C\{«0ы;`0"K?:10=Ȃ2cDfƢi= Gp\b̑w#}{|{2+G=G q1:.di2Dx=ØݔkPvkFS`e;T?2>Dn̕'66bW9LC2@[ @FF M ,=okaR5LFt];xv&ƌfi H7RhXzvl}Ow7WA Y/>ŎD@+\ָ_w{-t<4C@D5P C푲Cf룧9΃-cҗB5mS"B32$ϊƬ<~5Pu9fr;F8FdDiUwOyqpDOZv޷,֔8=vֿ3~S eU_!'ZBrh\/sDB1@[yZ)V@lE*>-҇gϾ؀ʻZwoz#A+ўDJ-X@3[\ѕ{$&$sO~bޅ?Cs.eZe߁Mp{v~\`3l .7sjkw `} ٤S1lbѕp( tK[,$fO@KcC9KTEnEKvJq$[+aPٙ"?H"׫pI5,4:Mx>.T/b;7.ѯ7=ь4 A+PV&}LԼ:MhJ<ŢwGޮ{U  &O>&l@O_6nIij0=`椡W52*[RS[Z>y@\CmsL}5d3^+`%k#H>3Ŀo멛 z bt=B،4TUD30?. k״432v?ogGwƲxeF>.~Ѣace9 =ƑKmf@1!Űb*+D]r\Ԑ VBs XS/0<Ȑ_ϒxxTظbQ_C$Vjm.Û[e !GjTifE^kc52_̟|."c}Oso[]۬*440[[ }7!k@ 5>]p=+/'$t@XM tNrF@LۋPed=u8 $g1ޙN7I^=8E(qknaۑ8%]G7|&40Bv33=l\ b#_&o4L 2Y endstream endobj 12158 0 obj << /Annots 12160 0 R /BleedBox [0 0 612 792] /Contents [12166 0 R 12162 0 R 12163 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28392 12164 0 R >> >> /Type /Page >> endobj 12159 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12160 0 obj [12159 0 R 12161 0 R 12165 0 R] endobj 12161 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 370.425 147.728 381.425] /Subtype /Link /Type /Annot >> endobj 12162 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12163 0 obj << /Length 19 >> stream q /Iabc28392 Do Q endstream endobj 12164 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28393 20830 0 R /Gabc28394 20835 0 R >> /Font << /Fabc28395 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛQ endstream endobj 12165 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1072) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12166 0 obj << /Filter /FlateDecode /Length 4326 >> stream xڭn_$͎C&v`HvsOErѮlVW׫˗,]>,,/`_POX<>|LnyzYqup}=AI_hOTkO[iwq!Cv/.O. d%ho\=\5OEdxWKpD7Yam4vi⪵)n eM:TBkXkeX7Ak{x,xgQ?X h < aAa aLC]pf>P 6R8G8¼/Qi_%*<#:z'H} p^gX~b Ԏ "d'gQ@<_a-Tds *+O()w(e iBԊhc:+|Tz"ΑsN@H'+s2%V],2,?A)n&߮٥+ ;u)nQuYnY;8LV&r`h؇n R>V8_W2Fcifcb7ͬ>-qO)##+罒ŽOKෆ18}m qw:e;Cwb}/ĮCc{hj^Yh `mNp:=85G=!Г'xs\Vn;M(Rud_{K`³nqmi؂Uv-%61D,%5kzL('SΚt\Չid,T]Pn9F\<'y^mc`떪fKۄ|#W Vmx#9D# 4dm_DV\gwot5N)t~)*3*r)qfۻ=XwDR;v2M, b}ԭ9ŝ:t:vj0[UD}KI:HcQ Q 4U-ZA-ja@Z2Q7}抮ԙ'ML@ٮ+I@HN^ NJ,ݹ89R'U'+::٭:٭:7Q'Ui٪z!v[;1Fg5ҷшjj4.~vi·uHK\NF-5}Y*tafCf~'_rRWOJxHylAO&dMNkX! WH8^1ԑ-$::"zV @|"]k,i*O?$lN`gXv3\ўKw8NhzpC**ZJ4PXEUkqF\6|W1NY6CCOk%Ex[xƝZqZhō93߸,ԝL'쉕DovSZeRz۴LRE(ZKqzd666I6VR2Xp#_M&i_4Is1Ub7tխ} 'QBt0;";`r)MᶊQ.=0r1ʃiQvSQ  W>MZ yu0@6VSk8cLGZ1Љsk~rG^ Dp:tkz> Ů3|$ԏā8uW[@Gw*[ 9@T1< As14.+FcHg҆C_䷭41|'d-9\mAMw5619BfM6 WbcҕEPO,\*A)sa X;©y4}8sԻ@\xXtC[h Cq (z?y-oz%UopDg-`UMb|Fq1M|s}FLr՟* *\-/8 \WKr/8+~/0Q CyTށ"C<"eၟNZU0j=6PW+īT1 'y◄tTϠx`3>|Bd:W17}`A ?g\ endstream endobj 12167 0 obj << /Annots 12169 0 R /BleedBox [0 0 612 792] /Contents [12177 0 R 12173 0 R 12174 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28411 12175 0 R >> >> /Type /Page >> endobj 12168 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12169 0 obj [12168 0 R 12170 0 R 12171 0 R 12172 0 R 12176 0 R] endobj 12170 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 371.45 172.7747 382.45] /Subtype /Link /Type /Annot >> endobj 12171 0 obj << /A << /D (unique_397) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_port) /M (D:20211013063105-08'00') /Rect [104.1732 355.2499 176.9712 366.2499] /Subtype /Link /Type /Annot >> endobj 12172 0 obj << /A << /D (unique_386) /S /GoTo >> /Border [0 0 0] /Contents (connect_bd_net) /M (D:20211013063105-08'00') /Rect [104.1732 339.0499 181.1127 350.0499] /Subtype /Link /Type /Annot >> endobj 12173 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12174 0 obj << /Length 19 >> stream q /Iabc28411 Do Q endstream endobj 12175 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28412 20830 0 R /Gabc28413 20835 0 R >> /Font << /Fabc28414 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12177 0 obj << /Filter /FlateDecode /Length 2581 >> stream xZI@s_io2|0>i1 ];\m""c2"[ruwA]]E[>Aw1a#ß@0+ymow^D% s('DC砝!PY1)]mʜZB $lL8et]c66MNNW!\*1#DiȤϣv|E,3_IhtH ž0k<{+ Q,;;L9YM}bƞD衵q-~>^>sfyQ׸6;~ZJ|ZteƃB’LdOX N x5a_\/(ֈ$]qc[veX֧ڎf [̞B$uCщ5Q Cv-fE*H?ܚM {dG?|}$sSY{NwYrs4=K-^,iFXM`w!.hl3!5a .PwbbѲiW?F o[`w$kYl 4EϠ7D~1Njs"y}o DOa~N0v8vP+8Bp/Ȑų:c%QZ[6c]jI a^ o@!/x< Ĕi.C>mlّA<*w:B) fϤs4;x Dg{ٓs|+{˅lO8BJޤ.G40ngkCRR_C QzU6Ň~0>to$~@jBpqeוxLY 򅀧<_ Oϳ$zU,-eikާLw\axW Dy1sӓOvZ2x >ک$ ti_cڼz}TECH6Vn3vn =EMߍJhג?F^#d#bMSþaqSmdU`V]L<3uo'*w2B( IiOsSSS۽{V7$%lG[$WWt/+4+ZˤFHg75,%'Hm^hDH 3+-wCE&bBaGsMK뭗沃 J۲C!ַs0Rgr~䝔j>,Zw$@O o8Jh,Lkߔ/ A{LdCJ67T=Ke"a|]!g"cuOym*fP@+m  y Tpߛ  A>Gx|;ޤw *o0 > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28430 12185 0 R >> >> /Type /Page >> endobj 12179 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12180 0 obj [12179 0 R 12181 0 R 12182 0 R 12186 0 R] endobj 12181 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 437.225 112.8525 448.225] /Subtype /Link /Type /Annot >> endobj 12182 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [118.1655 437.225 174.821 448.225] /Subtype /Link /Type /Annot >> endobj 12183 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12184 0 obj << /Length 19 >> stream q /Iabc28430 Do Q endstream endobj 12185 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28431 20830 0 R /Gabc28432 20835 0 R >> /Font << /Fabc28433 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x~?va,6}{`B V&́2hނ׮nwwkOջ]p@Bsut;_h` j*"$TT Mw1)GJIx5s剢xy"ZĀ0r2dv"`IP_g_5kDN 9]%iO#Ƭ.PBl(Y}ɾ 5Ժ@AuC5ypf R{m-־0ZOĴ⩔L$u$r!o?%e:3ϳ-nYKGFxaH&5l)lȱ2a%xp4!b2g,9/\09U1" ^o/rvX9 )evPFK> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12187 0 obj << /Filter /FlateDecode /Length 4833 >> stream xڭtE_nmK࠷d5[O7rp7N' */AD# Ddu"_DX\ϒmh;WvlQy"WWw3r>iNY;ntoOs&S;?wB{rw&fz _β!'G0C@^8 ^)n'3w4 ] )b++PBh: Wkʜ`5DW`Tv$by;RSջ.rBh {qk( RDqBdҩ:+#u땠A`SscFK ? 64mT8'MFƥJQj7ڨ=qItqA}sѯ&j KX[4ϼWMXX^WKJϾkP[}L{fA6F@g̿EczRɪVՒ:Zf˃NՁM3@=&.w(Xg6I4gnzdiw"Vj<&4Q;kߋj}sQ3ۈcl(ՂQ5 PInfTѧ`5\*q^1rgN"C]AϽՁ9xVsܜ,lwN@۩9N]uU-'鴣Z F-F- WGjaXajaԢjvȠFu]3O]W7'#;zWv&ȃ:)!wNHVhOS nddn]>NҲUϩz!v[;1Fg5Sh.~i:70d7em؊)R< 6ET=j`#Z5 R_m05wTJqyMź(i<ɈIQgN$c`QB$- ׈HA[=mgapU\r;.`U>[5BދԪ̦/qekK|MmV5 Ӄ$>V*J)q;lg S bP`l U#I-:d:bNbՓ./rݺ5DCX*dlÉ8ǗQ# ә Y)OHDxNVAsuZXet@Z#l# v2][ (uΣ,"eG-]b-O9ZaRmXb k5hUVBZ%O7Bkj2a#we0x[vYٽN&:%%NCh[0taЕ{;1k \7uN_ʘs9j)xVm=cl I.P:dT6:A=)2&i5Ul/"r(1F̩(d(%aYsJѐFQx6F79xۧhv % 躜z̉x .C2Vp ·c$8 ;1vbxӠ'qy|vC7Bw=vG>G EPhV@>#b} <ɕ թqű褴F?eLF]!8`fF6ƻA*MTV#7"FM %0CpsLqCːB+E;-UvڪFY1-uGi睇 V'qreڂ+ѵo`HCۈɸ& R:,ٴ^6-Zo> bSZ #3JIݲN6f1CH$)I#;'wi[$D8ljŠT8$ayh*N}nCDG~+n!6&Ol` b|7+I\GbVW|6>ʆ^gY@ agn04,}]V;or9{u-e1'5/ۦYh"LJ|SRP PKaU6HO%w-hHwSx`KdV-Hہm\yollܫ7<ԃe66+A6gWM UedX%NtFS857fI%;dwF 9K{p?/mqvqpt~8`u_q`0nye~Asklcri6yU^2(^9M=ܪIx%ݺ3^d׫^TÚ-4P;~L훻Tsalf͆G :UN$MGSNﶲQfqA6t͟D]3=YM_UxWw1Q IԨOO EO҇v=En5 PNNަjIs>gq\sCl; #P A'{+/ӌ|uI9RkgN_kV7ٝ2slC̭u^RP틵ec}w49 %[ݶd.T7}' >y `s ̻IUMH:ugrT,:nʒ.УBT/5R)񛽩A7^8{' S9/,P 6[SccR##a՟* *\-ȅ"=߮XMj7a+DspHk8ɣ<*DkxX F05l_p-|^ UòcT(՟ІN wf|KBni[;!uVx&44&0v7`3Qb=y&mN.<Yx3?ttDPl_Bv NYz~\?yR'$pę^}g<#=h\ wB"8ϗ ă%5#~] endstream endobj 12188 0 obj << /Annots 12190 0 R /BleedBox [0 0 612 792] /Contents [12198 0 R 12194 0 R 12195 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28449 12196 0 R >> >> /Type /Page >> endobj 12189 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12190 0 obj [12189 0 R 12191 0 R 12192 0 R 12193 0 R 12197 0 R] endobj 12191 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 181.9322 608.2] /Subtype /Link /Type /Annot >> endobj 12192 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 581 159.6022 592] /Subtype /Link /Type /Annot >> endobj 12193 0 obj << /A << /D (unique_514) /S /GoTo >> /Border [0 0 0] /Contents (split_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 198.9382 575.8] /Subtype /Link /Type /Annot >> endobj 12194 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12195 0 obj << /Length 19 >> stream q /Iabc28449 Do Q endstream endobj 12196 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28450 20830 0 R /Gabc28451 20835 0 R >> /Font << /Fabc28452 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12198 0 obj << /Filter /FlateDecode /Length 1608 >> stream xڭXIo\7 W`OR CѓӤ(K~?[IkY"q'ZN[N4ӳtØ&zv|D~0 ^mC(v$xhmnxڒ_[D׶ESˏp#lZm*^01t NVE]IbbN/ < >]k4f=kke1#ێOќ/ɛu#޸8E[br4X3Kb&eN3?eIkYMk&AB,_a nxNؤ`7"G_xi{S:Wv*By;-b{8k^Jur>B Sp֜ YJOQ4*C\J>XYB ZJ[?$Qx;EE9xi]pr"5̉0a϶mޮ7nTVbr:)&' +]lĺp^FX-iIՁÚau#0+IcOTk?<)%_YjCؐY!y=kRvs1 q넚7a[uU-J:)ꊚ2N}xxb1.reHP2nV LF$wXizmז7ƫ[w/Rveۨʹ7G̝1c2ޯAGbPϴ:H5iIsz89gxoyj!;6ײ5q%zY zwW[e~ܾV@OjəA.)j5Z6F^kF5jc!JLoĄ}7;O-לծBY۲軪 u]W4NR^ӸTTdZgoHޮ[hǕDO(\gs$ēLs¡.I) b1g)P$Y/H 1Wwi (!ƱNN%¹MPNM+'C ٟƷLVee! o(r~E?ruYc}BPƌbELΪ|ρ/5ʆc_QhL*q!uwyf:Rvc%[V׹=`{ջl0B$H?,;W >1ȪmK+^K w:G*Oҥ`w,6Vh}zk~$fn?v=PsJ׏V&,vm,u9wEzj}{ƍ ` hD;.!a[|0q''! `8o 734:JCaJ!9esAy.LZ&FM9v7#r׶gM=i}mYX|E=t4FF_4G|DSZzs!Fc.d_ endstream endobj 12199 0 obj << /Annots 12201 0 R /BleedBox [0 0 612 792] /Contents [12208 0 R 12204 0 R 12205 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28468 12206 0 R >> >> /Type /Page >> endobj 12200 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12201 0 obj [12200 0 R 12202 0 R 12203 0 R 12207 0 R] endobj 12202 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 317.625 124.1605 328.625] /Subtype /Link /Type /Annot >> endobj 12203 0 obj << /A << /D (unique_77_Connect_42_sysgen_commands) /S /GoTo >> /Border [0 0 0] /Contents (SysGen) /M (D:20211013063105-08'00') /Rect [129.4735 317.625 165.3005 328.625] /Subtype /Link /Type /Annot >> endobj 12204 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12205 0 obj << /Length 19 >> stream q /Iabc28468 Do Q endstream endobj 12206 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28469 20830 0 R /Gabc28470 20835 0 R >> /Font << /Fabc28471 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< +).y-xvw7p9C;f٩c85 e!r!9Wr ģ1H[&!#((x¨9 }' L_E;L jErM "fZĀ0dD(Ͼ=9] i(ZؠM]wĘ7H!6}hx&$߄|j.P3YuW!ӘV@wݝCR\:Fsh8rF[Xݛ1j endstream endobj 12207 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1076) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12208 0 obj << /Filter /FlateDecode /Length 4814 >> stream x\Ko8W<@y RIjL=,Ի3E@"UIc@bK)GʒEEjq2uZz'GU*8ȟON-_ln.D+_^3s9Q{Au!_~˳B%=|U>/sd`GG'U!L#\RF8=-EifO$ +u/ irS~Y hJ':F`z:x/ _W~'MJiOqoGtt/u©VG)IJ?BLNl @ Νr/nz|)-a!gc^N)"rГjĊ=lHCp%-tO!J, SZB-kЬU8 4{fp#>/ȗh#p\N{A ( ?נ.@_P_. UȵlA@tMGbz[yvuMk&q)-'"|TѬ%v/j]n %[b>2׷mSLGn?- uGmLm6Vi:ߚ6 ѶNc3Qќ#Y`\+ԂSHՙ6.2!Vt c4iN=;#;&!rJ9n #\EcNԻjebn*4 MeqY.(U`‚bSY;j3ݜg;7eax$:=Г$?@Oӓ=NOcԫ*=ῦxH?m=BQh  3ץ̌o]zy}80G.sYpW-.y!VoA @]`yęM(io"^xcWe;okRۧ{inya/rt-l> aTPa%+#]FLb{ %u3 Tط]"_N#+ s4ZsvgG?A,uj;6Ʌ7kr7#X[ѳ4iF'5kLnqHaaC3nFCbhN]Ύ'Cg_o|Z%5>PQ\k"\cWÂ/U_H_F mHt7ł>CqXՆm{Fק֧nD^ʠ ["ӗ|nӘbDĜf&=-:sݫ(ubEfyb(h1 RMv Rt8X6*dqm ~dTT0aնT'E?^,+g*;8T9$lޓ+j>xgX`g@7aa`aXmXHYEFvkĠB˪VrblJsg Ȭ,tV<6HXx|͐g#n87q#|{ %#oJ\3ɷTb$5{&9ɷTb$nP|A%*q$eP&.J\7w qy;fEf H-{30)Vz&|iЧvɵkBO" wjZZE M[u7[n-|8ԋ|L))0 oH\?Y`q5=o%u|9MY87ξ~?a#cs_jvwz361S[^~x//=8}ޠ_+44,{ \6Y~<ҞhL|X46-H+; mܼrWYQx/]Xv+?֥x/{Q.:!Q|c}ߌ7(uSE㬔ȣ㝚TNaS&c=םDMf'M`qnYטA0@f';KҲ"?G1VuDbL m,&r :sWmd},+n/~IS\ i48V-w&ރΰstlq"J2'0s>?a 9]Vlk4 _nF۹ASos/}E;x B,:;ZXqu}ΰQMXs{0(~" _E Y< F!|Pmfm8N,A$mH:{Y&=?Ȗe#熡܏40,qU$ Ck[1p7`Hdizԝ1"o,?~dNZmCA&Mgjb,;m$\ϧƤ)/f^Ğ _Sj~T^o&J%Kyw֤C}8u["wwȮ"3z]ιetū&PT2h#)`w'5?5i&W_Zby]l@)aԥ7JQL*w64{_u[V+w|<"N5['"1$ Z33GҼ%gWԍ!JOfcYyF2t_+Eԟ[(U'ztoD7?^hTi~K`~Lnig~v}]1ve'-Ж"à xH;8y3B;YAs^J5hiև [yAa9L Մ{6t7D:=Pn}i6qE뜋%r7-y1g^<ݥY0m26p?:McZOxqAXWxTɔK9 7֧y6\ĵD;P,vI,#m%qQG 2JfQtP`q\$s;)n'sEfUKjD1hcJ9K+ɛ5cXX?`p.hzv '5ڥ5QU \ƴAٴWJg4IVg:9:O[ti*i*~ 6)0u=s劂4/5I_Ig-Ch+J\ b.qɿ`_hm/^D86>K[NIR0ZЙ`e=t,n.RN|kϥ&ZQa~xn,U8/RKS+xVmZzb24Wt(@eyQJ&[ &QOm&Wm^Y"ogf]P\}%GRTcشYuF1NvjMRX3q3S\~%n2f;n4p )4$0Y&&wH l䆋MWRW)2‘ݐx>W&Lg&"3A:0sbLp> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28487 12213 0 R >> >> /Type /Page >> endobj 12210 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12211 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12212 0 obj << /Length 19 >> stream q /Iabc28487 Do Q endstream endobj 12213 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28488 20830 0 R /Gabc28489 20835 0 R >> /Font << /Fabc28490 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nC;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZGwypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Y~oC2ktd_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛa( endstream endobj 12214 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1077) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12215 0 obj << /Filter /FlateDecode /Length 4605 >> stream x~pȨ&)# , WA mO})"=4 *\|>a/0k30x-sNً":` aliE[(835KhXu b n' A }.sJw"€YTZ .-TOf鹍dSEO1[{u`7.qD,"t,)' 5Y'5g /4T0g6ĸc"ӈlqzԢň`DΈu6e#Qt3(;>JU"6#ft4G+ ͵ LU" UiEKZbRԶZwiUZԃ4E,h`A,h5 0(;VPҀ ػ=S.޿¼WYړëگ6?6f)ɽ;jt!M,C޾m5 :S2kS/c8 ܠBʸd`c;#D4R@+#HM0+,. 2^h}0`>Qs _1Sn qQmRӰlXorgqKw |Yi@!!1z' l#/[E󕜔GNK*(js50H#dm.X9!o:> dWOUA/#11)iBCeij̯A58Ynx=M,fVv.M@=8*+Ԛ佲_.atbvbRM" ]zk/N&`XU0mՕͦfA76#;XժHXLۀ0Zjëmnn{҉?k0{!S  >Qe69@D2g|2Mk mVHkSkbv)2XY$lRPൖȿ8`[I84.:WxCA\߾h9.ѶFugRh3[ ַpj]ײkjפ.t 2< ){g-v!v'z3yq{/JL c}>(/!=NkrSMiωQxhAHsNTu=BpD?s2/NR̘Nz,Tߪ [&FrvOS_=#'JRV5P ~JRGV^Y*8Te gBJUi[ʮ8y3ϴvQ])TH}﷑)\WjL0Nq59-қ)=̻)C( +rWbI2 }>1[q80h%U 7qpCՃ{ޯܙ#`{f8FWuZ/՘/`ĕ꩎jZ@^xo] 23Nԥuϐ5yY!5)HT dZ]B2Sţg9ykX?-#-LMvxϱpruXOZceR/LI0 Qi{eZt?n܊a$=+̝=7?+˸Svqn9+v-k 9uф#vu{_欗:A,XieUqW,h̡՝63[;,ZKֻ^Q -8]d&SzlBF6{LG- PU5m3H1rACtd\H#<-Oֵȑ6(ZDeπ#x D0xSˉ(f_-s_8{Q ɘ>ryݜr!cw}tL['T qRStT@nTk[|^ٲsٰ^s > 2Xr0`8<OJ.6żP@wM.9ea[M::uuVkmFR%W+mk `t~X6ږOXvN>Fc~d _Gq&4,8Zj9-nP)$f3lxLz(`nmge序,Ӄ$Nh4|҄bCO̬\^HW4TwKU2\{[zSMjܼsƒwX|9ګkGk{zo[ѹcv6[/6쇒;mX i,RB׫sc< hF~cXM8G-,&NC{mWx7 n+mvpW=  3w@ODړZ:,=,)d&Nb|J|Naw?Y| a :-خ,o;-%Q?l>eٖlGXq/ْQ#rVTRR8>{8yJX9>̶w˶|814žѭ]>{x9;p(,{s8 o0DlA1ZUۙ=m+(ѿ;ٷاoC>p~V@6й7EhZ8rǗUu`D?#tpMtzϋ sӰ 샛] 3tFJ?h5*OM mphS/>@\-!ӻ= ErX3cWS /[`K=ڝ !S %3ں\Gps|X><M3R_e&ޏbx yisxiFE|wkwxE*V.Shc)d u)MA-Q:,at`3uVWn!D]J_hr2EV\0pX)0YWX$8J"eqdW1H ߻@]R{?ůiC-9>WUNRL+,9bӄK|+FdMԿSe$k"R0tpU@.p,H-?%nZ*oAR{řxHk8Ge6Y8n|)k_9~@tTD!C:f8l3ȮS,B2#b6Ka\LLMը25\q}<O |=zR1٦x!{m 4+|Si)ḍh^=^B?b >>6L5L3   DTovCǂ"蚏A> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28506 12227 0 R >> >> /Type /Page >> endobj 12217 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12218 0 obj [12217 0 R 12219 0 R 12220 0 R 12221 0 R 12222 0 R 12223 0 R 12224 0 R 12228 0 R] endobj 12219 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 146.4737 686.7] /Subtype /Link /Type /Annot >> endobj 12220 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 187.8502 670.5] /Subtype /Link /Type /Annot >> endobj 12221 0 obj << /A << /D (unique_701) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 171.7957 654.3] /Subtype /Link /Type /Annot >> endobj 12222 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 180.3482 638.1] /Subtype /Link /Type /Annot >> endobj 12223 0 obj << /A << /D (unique_471) /S /GoTo >> /Border [0 0 0] /Contents (get_filesets) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 158.5077 621.9] /Subtype /Link /Type /Annot >> endobj 12224 0 obj << /A << /D (unique_548) /S /GoTo >> /Border [0 0 0] /Contents (list_targets) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 156.5607 605.7] /Subtype /Link /Type /Annot >> endobj 12225 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12226 0 obj << /Length 19 >> stream q /Iabc28506 Do Q endstream endobj 12227 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28507 20830 0 R /Gabc28508 20835 0 R >> /Font << /Fabc28509 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3\ endstream endobj 12228 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1078) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12229 0 obj << /Filter /FlateDecode /Length 1335 >> stream xڭXn+7 +.0[, `EHQ>ɱ &#J!E7m5B\~~սt]Nc6'SR^^PNS= ~NB( a3;5wTMj06'=p3?6`;x-@N;0@0|Xbq fES,N{ٺ bɡؗw7}y$Lr؊?_?C(Neȣy9݈glGH1M‘&܈g&D` \Ki&$ԍ$:$eP I]d[tM&e9jmE'z\+5 Km 0.XUԪCYD9{>#3vj6nX` 0~zS`;^z٣ w#νH`8&DLȒ)jhw+iܯ%ьHOp`k hԶ8)cϰh]wV[G5Op\ueF$)sZ9SjK`IڪDwD7)l4`UIgi,L2c tFY6O $rcܚHkBo3Xi7ADXPqtDM4BZ*/ܤeKxjQjYxHuV|vx?!Brn1d ?_66m&x7! 0n085GIt}(NF8Y|uݚpt)g%Ke cn7^qK"u)i; \& /|VOViT΀""%W_sT85bqt2S+obRX때j̆uԄ\ ,lB:Qtf V768>ה~bekz{ܩ5J&He7TEH/px<h>{|rk;q;q`Ɔsh(x;P :Uz|3P{9C< Z"X㱰.\f/8w׆Pr7=RgK=Y)mnO2.Ј`X~ˆ1ƻ=h?i9Q) endstream endobj 12230 0 obj << /Annots 12232 0 R /BleedBox [0 0 612 792] /Contents [12238 0 R 12234 0 R 12235 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28525 12236 0 R >> >> /Type /Page >> endobj 12231 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12232 0 obj [12231 0 R 12233 0 R 12237 0 R] endobj 12233 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 397.625 145.297 408.625] /Subtype /Link /Type /Annot >> endobj 12234 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12235 0 obj << /Length 19 >> stream q /Iabc28525 Do Q endstream endobj 12236 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28526 20830 0 R /Gabc28527 20835 0 R >> /Font << /Fabc28528 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pQЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12238 0 obj << /Filter /FlateDecode /Length 4566 >> stream x<ˎw~E Th|[=ɞ1 H``f֣%aT]Hf0o2|]̢ R˗ twy/,:&[>/:d5\y߮BO|b⻶^UWK `|Z)GOvy[o]ǟ_ƒ^3.5%寿(8-'bfN%-IUYCZ;c |"+]OpcU-Jɖ5F@q 'JC/@ef O@Pp s|T0adH5dJ)x Y|5)QjW<קRŭ>H+>Wfa'SbA$UEGDq򯑾qqcy1 %Wpzbc=#:Xa}eiD'5U2|FG@e7wZ4{wsY 8 4L^;+[.B0 `jm|:zܕsyDih j@K;jKYf5##E Q18cpulP ؊8f錍f :ACڌJTXLbdžN=Ϟ6*5 ^ꚘU3BlmxAh^ڝQcwJpyuR]DѬB߭O#aᦈ, _M LfLUبPo5Gޛ&[f,/́:i4V>_޹YFTFtK{w}߲,@O&|ʸj<vyTٱiFx[*Ą5K Ob˙@vkB248HR5,qvۄf#TiNi2ǶQzk8u XfM:-9Ԑ$ #f@{ukV0fe(shM%aӀP5hJ ٚ,W'`׆TƝY!qg3 ln͢Fؒlвт$يyT [=P U6ٌC~g@Wya,I1I T͜ 4`IXԜ7`x]I_92(c8i(cÜzp(c˜԰sh.3'Y"iNb(!vkNasHd9 NE <{t7̩kk5&|Рd,?ԅ#vs JoPuk{ Jΐ/S0 5 3 1n  vYφ3$I`H~ޚkאgzrq)M/"~ā}`* HW7ڠ8_lз-NK/"p8>Am-8]a*R> upamNIlb*J<&>c*v`>mP[mN}h7f҃ ?ɤ#i.d|L²]ɚBt4b HPhq~ .NԾ(<f.wb PM+Ujg5ytX1@D35 y_ ߘ9!N7˅%,XGdLXj=}plB"ܢ&K᭐̕'l}Moo5շjf#UyxZ!x8iŎbQSX&gftIe/ۋԺViMۨPd-š!ì15{bO#0H 5GoZk  1O!Uj]Cl0יmP1y i-$vLΚf"rT'ePrnDu%i>O`_൙ dTkf}(+&YZPj EisQ]89F?~*lJQ9׸ciljP2ÛQs8fd ڑG,O=Bl)i|ha w)FkQDu1^:,n]C˚?`,9ZG4 P \,̇D©JT`,b/skYP Nf9N{4V (d -@?\Irs̹$~^+D+WD|n^,-\cpPyްĺTnL\MuH<]/.nM|q'[4|_6ꝧZiMWb fѸhX!sL QMtƷv5XޖDG~D0J6Ԫna˕"ǔ=ݔKjKS/-hWWNԖ\e8}:_nk %jʽׄg-mSlO[nbY]~/{w0[%4 G|[EtE0fA}ɮ>:Lr.I, lRYϻ0 !;X$!JH (i@] yLB!-u4~y(nC>UǕo|7|C@e瑰Z|Au1 ]I_` ?5:5!hd}3ct %Nݬ>ZUdѫÑޠ!q[d&FK%*NLW)5_p.T_Zoܢb14.ȵrjѡ?^8a#ʎ1:G_rmkg\2XCZӣ`AYo,ZJh>ظSL,zDb[O .1URفq鄘{691 Qs~OJ't }sL* qNQ̵>n[h,1D&8Eĝ9Ɵ|8ӯm;8r7,SJ̀!i7 Ugrds m>*gS |̶ɍ``< 2*X\XQy{?H1<_g7_BZuXk3Sy8O`x;4%2'zYKc8^;1}#r ]e#ԹO[_ "֪9hGs endstream endobj 12239 0 obj << /Annots 12241 0 R /BleedBox [0 0 612 792] /Contents [12252 0 R 12248 0 R 12249 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28544 12250 0 R >> >> /Type /Page >> endobj 12240 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12241 0 obj [12240 0 R 12242 0 R 12243 0 R 12244 0 R 12245 0 R 12246 0 R 12247 0 R 12251 0 R] endobj 12242 0 obj << /A << /D (unique_213) /S /GoTo >> /Border [0 0 0] /Contents (get_highlighted_objects) /M (D:20211013063105-08'00') /Rect [104.1732 504.1384 217.9572 515.1384] /Subtype /Link /Type /Annot >> endobj 12243 0 obj << /A << /D (unique_214) /S /GoTo >> /Border [0 0 0] /Contents (get_marked_objects) /M (D:20211013063105-08'00') /Rect [104.1732 487.9384 200.1812 498.9384] /Subtype /Link /Type /Annot >> endobj 12244 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [104.1732 471.7384 204.5317 482.7384] /Subtype /Link /Type /Annot >> endobj 12245 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20211013063105-08'00') /Rect [104.1732 455.5384 185.6227 466.5384] /Subtype /Link /Type /Annot >> endobj 12246 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20211013063105-08'00') /Rect [104.1732 439.3384 172.1972 450.3384] /Subtype /Link /Type /Annot >> endobj 12247 0 obj << /A << /D (unique_229) /S /GoTo >> /Border [0 0 0] /Contents (unmark_objects) /M (D:20211013063105-08'00') /Rect [104.1732 423.1384 180.4032 434.1384] /Subtype /Link /Type /Annot >> endobj 12248 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12249 0 obj << /Length 19 >> stream q /Iabc28544 Do Q endstream endobj 12250 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28545 20830 0 R /Gabc28546 20835 0 R >> /Font << /Fabc28547 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4~m~oC2kh}'2g("_@9 ?ɜ Fp|s(dWLj$x2ڹkƲΡLH9.;2Fsh8JF[z7}K` endstream endobj 12251 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1080) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12252 0 obj << /Filter /FlateDecode /Length 2038 >> stream xڭXI5WTEj 8 N̅ۼT$$q=g'm :ۜ1bq?IhOZVcf&꧗1Gg#٘_E =38cGaL"ҿhYX`{UڙZI;لG,x!) P'ea)G^aeRS.3huwj֜%7(+~SZr@,>FQ3e 2֨f`E=p$..̮E; Q dTX(R "%Alvm Qij ظO%r*²p>hsŌ a Hf{Ź*I$ӺRAm47b\S=ë~֓*#) NV9- (y?GIxxRYk_  4dc8w;w5|^&(.H뛵?afg͠x?{nː?(,1ZKsw4:jG69mu_0$}ᄣoWHXX[LWt"ǫt\Nj\8]hcfyl4Qk&dUVthisPE?R+ĵ!&%T >$Sk4b!Tg VWZS >CV}7k>oWP̤Opyޡ4 ڊ =^*J@IO9fI6V;5H:5!2kqxEECp7-/r\? \BcmqkȜ)jznR6JCN1j޵RcMS#au0S3STAXNAn3xwQcfu(4 =')-ignef?2U͙zm[,r܊!-mgL/+Ӕ KLjn",%#(8^hVxOz"QVۊh5X9rW[(1uj(ZK"goL6tfdƒϓ`pneXu|=.ce  gjhfp3n=9 ^3tm(J4QJ |871שKKHm%)LamPy1]AjJ0'釱A8R}ptgJ*ot[1Nw8%p&YD™XWkkʊW[;kȗH<ʷ#r2],Ϝ)ꇞ!ב<#ϵKI:;akFZkp![ʸk9>@Xa<¯eyvlwlF9o;_N&:^zDz8G~z.Dy;w=U0J"@zRdm {Bm.DXk_8Cr`'Hzt#w9\SvAzp` d endstream endobj 12253 0 obj << /Annots 12255 0 R /BleedBox [0 0 612 792] /Contents [12261 0 R 12257 0 R 12258 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28563 12259 0 R >> >> /Type /Page >> endobj 12254 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12255 0 obj [12254 0 R 12256 0 R 12260 0 R] endobj 12256 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [90 428.025 122.109 439.025] /Subtype /Link /Type /Annot >> endobj 12257 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12258 0 obj << /Length 19 >> stream q /Iabc28563 Do Q endstream endobj 12259 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28564 20830 0 R /Gabc28565 20835 0 R >> /Font << /Fabc28566 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pѤЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Q endstream endobj 12260 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1081) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12261 0 obj << /Filter /FlateDecode /Length 4087 >> stream xڭnί9@WԒ{ܜ!ɳmܪ񴪸vIU[m yu˫uVǠ*CϿC}XrHW;c'gշc{[uhDioAu7ȽmcӟW5N&+OC?6KZ 4x3<]E90?33}Z1kq]i1>ɘr㽢`X<:qG:z*E/·uUF?JDx{WGYO>/%B`DЈt@sOR? ncǜJv.Qt뒒󠔿 ? <5Dr~,KXIM%RʃmXźX})@[BQؿ~zJO@01s~4֝;_y ]wt`fF|lV e-Ix{gl`][闐k+ $Z ]LB@j!0fCYB uA2יˉnAO.ʱ5v=^f1/+DNosiWcAT+[;U2;CwRu'R!CDI= UXBigk܂ _lJR\9 ǎ |ʺՔ-6j:Q87|wHAGJ떖FF-Ju˺ T0>mv̲{Vu f>;EX-+(dKXSR, ]4rL.m*cB{6yo0F%,6⼈.>ġpA]wցS]&W ڥ\?91wy>gg[ [͟lLcJ|eoUG E[swL#g+buM^VCk˖͔K%,3ٱKȻ yFcܴ=pWSf+e? .l`E TENcm!2?y) 0٧gZP^E@,(5+PAX }JKUz0PĺWH G"!\6{ă<3-Ҍ%{*^՗xPGT 3/q=g"Kp\p:C*T2Q^I^ Z $4X*xm*$8a7MJKQR#n>fk>fg>RRژ`}6#sfFz20'~ #"|߆A{Ij6G}ө-S¥:~x\*kڋz F̵c>gc~=|QX0%̐}{N } MDa wj9ɲ|jdkSo`9A7_Ψy_Цbl*_vxT>a?WMĺƽ@ߩ6/{aMaf)Ѯmh?gadpn2]ݞII౽qp;p'C"+ig2nֺF' ,&W,29tMVlU;!հlg ΄RB~bQf/~N"^ץָ]6Y HβM/z(QǙѣ oa}IWPsX5@ ڪVMm>gJ =Tr#@Kn`q)L=Qa7 xdVOf(Drs@S#zn%4:{R8"kL>܀@ɓWx;Sn }*PnHeBt+sPQ[svwX2yϼp8HfƼ}/T/O*?"I&y@!}K쵯=H@;TeUq[#)וhWm2i:)Rms]̆66P]L%xC^ݱ^8מw%B?F~q{1"=ݛΘ=:ks{ 'qRor'y{r1Q]ZSFuX$3jXjAϒ<4LӰļ愺.{ :zĐ'ۅW5ǟ޸xk:hcyȕrus{VxQwܯ(}=^FmF}ǃ,D[yu"eB`ع~q'cț?d2%"р&,[f qt+٤wLG?}tH_Hk!oTMV7e=˝Z/j1Ej2⡙M۴y6kZ җxEPM,GlU%\N!BLJMʹj_5yP0~b Y;Alu"T<LW{խ/~-`Bh>TiC pAhϙlN,q7.*hE# ()W{͔'Ֆxs/3Ю:S k@=U a873tFuZ!lDTӒp#m:I 69 m`&X#E< |4ˌm&bs99%dV}.w)09?L }d+3?6[Bz]5HRZA2Hl8@yZwQǕO|קT}]@ex^R?_P]T+K jq @ !@#W ^q* Xi-f񈉇I lxT &(_p.e_K/R**a)V. f*|!N#7 ͇7|4?䳰[Ͱ #J_ZMǘXF"Ȩ"D5:eP9F%{Q5d]*`Ymp&#Eէ*~Sxo*EcC[:.|r|-LLgr+h\@ܰǤbOt"íDd2aq-@k2~c8|-ȅl}L+so3`X w16 xc> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28582 12272 0 R >> >> /Type /Page >> endobj 12263 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12264 0 obj [12263 0 R 12265 0 R 12266 0 R 12267 0 R 12268 0 R 12269 0 R 12273 0 R] endobj 12265 0 obj << /A << /D (unique_108) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 252.4143 203.2722 263.4143] /Subtype /Link /Type /Annot >> endobj 12266 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 236.2143 195.2587 247.2143] /Subtype /Link /Type /Annot >> endobj 12267 0 obj << /A << /D (unique_110) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 220.0143 194.6317 231.0143] /Subtype /Link /Type /Annot >> endobj 12268 0 obj << /A << /D (unique_113) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_debug_port) /M (D:20211013063105-08'00') /Rect [104.1732 203.8143 216.8352 214.8143] /Subtype /Link /Type /Annot >> endobj 12269 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 187.6143 165.4707 198.6143] /Subtype /Link /Type /Annot >> endobj 12270 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12271 0 obj << /Length 19 >> stream q /Iabc28582 Do Q endstream endobj 12272 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28583 20830 0 R /Gabc28584 20835 0 R >> /Font << /Fabc28585 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vJχv͇׳Sp<I e!swTyѕ/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐى/P|}{Y#rڻ3^JTLP1 )ĦGw|oBDM>5TϬ:JG\YI ][{i4LTSb:tD&r;L$u$[dj.\fy4 "bH&}%l9lQΡddON) &sAy)Q8F$!ċ륨e'uݞBr\:;2Fsh8-,v^m]Xd endstream endobj 12273 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1082) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12274 0 obj << /Filter /FlateDecode /Length 4201 >> stream xڵ[n$W@r_|0|- %nr3#I̪Edq]ruǵ5X2.avf_Jޯ>3cb_# ~teO kMs0l s_?^G%[áq>bfw2&kQ^ J9{z<fLU1}3޶'&0j];/YB2xfmXcy% \<|g鬫֙Nfn0LCс$?֧XLNN~gx:Y[]DekiHz!&isś[ɦY.rJ*Hcof|" lF~3l<,**N4u:,Y] F A仾A}`qŒIKdϢXIeTvE>̇1Sgy`R[ ]3p'}8X7gYq|ۅuei),RTLԬ'entIi55y6! &:[ UgҰSwMDl<Έ{Uů{0iN [k;Qym *0MOnCrh#e75 f]{b[އ&U gTM,p7V&G@YGj"؜~j*N1_;E.KRJOOj.)qWURKx? #4f({BHJj#t& k$g7b'U*Qur񫖇TͻpM^ȡܥ(}NwfL u"/Lrn5z8#&̛q\ aDLݴI%&xh.u,*[%m1ODZvDp.BC8}- w*&m) ~ALqemQ/*U^1-O]QM7vWVrC @^^yuΎ2wrf3#k"qbpWdw$uߺS~nWt݄g8׭0qK[T @$S\'8م]RJ\\8%pF܌ gfy$C2q(y3]-JQ1zn:ŻX&kpԟ S\i {"; Q[Q9I([Ԯ+mn |T2Yt4ҟ)Ե +W(]3\T}k夶A~dL%-fbi}&N6p@g [H3ߡAY)W)J8*c9!H=BR1Y=[Z0}cn*zsv5-.tYߑ} *BoU_P~U]] a 1 9:4{K'O/72ï>D~|7*>jjyDzT}F4Dey5U,͊ݒ׏r4m]N YRp=zIiuɹ{ Eěo'GKZB8&ȳ97G?ј ~GJjIY?}3&Q|OD ԌlCSIoJB0mP/pFϿ0͛ RFQ#U \G< N:8lLR): s\$Hȗb\\h$ nCKԅq ty^q# lVL(x.tKkU5PI)1n Im."&qBN͜9h ]ܼ2q/˚*<$ޡfeVYT aau]h _()Z]@8uqAtLId֔ ~Y# "2q!ڵGpRTԥ70!u,]s6Rڎ Bb[T`HhGǣ= >EZ%a`. <&<# NW ol6UD#xO w0cMgϤUL.%akhF]'fgeWdJTލEV/l=pK{?B`T4d0›9p44'KCي +q=>st@AFwAH[&Mw& 'ۡ/|&<,q5m\E3$MNL0aBHX9cSѱyT([J5pbH aNr!wՂV0O:hQhj' Qo@dz=CF ^dEX.³TfO F>h(ի]({{gvwj;A> DBnO٦,eOȣ[S:r'tS>TSodCW:\} s\%/tޕb b+,2}28my->?M"}e}7 ݗ Xxғhb2ZNe_ x9M%-'=Tj_ ~ǛͫUJo|'/aVd m'+ÅeɗkOOHy8~$"'#Y7I:Y`SO+X5:s y=dd]ˍ+_޽;[֬@)AX8@iP^Xipr'ʈpelcFzahY׉Ep5s>1\2~x_ޔpTUZУv4f }T8TE :YuF~=@j.M c5x2\a\/{+@_Q4LߞDjAeZ;YvLUBߜ[B t7oKE>OvҦ}t[Gv}/uk%0K&jY嬤Ri{ni{h2kZd ]a.*\Mo9nV~UYnHi4:#Ue-Y=+ڢYѐqG)GQfDe#h7z^jVķ֋S[TJ:37YRkb:󢱭멌zfjE.>OŹ=-#Y7/{1ƌuh:7&p٧r^s]ӵn0)01JdIx뭗 طOf*,MjLĩF9r[Xh,e NsE%W_%Ţj|h^ُ=稜v^x6!r^.#$N$eTMX/:>jZ>,x>}m9 vI4] 0!BY9q@<=1g2_>"EӹiQiy> ]MLŐzØ9G(=2; \6F!C?ͯV endstream endobj 12275 0 obj << /Annots 12277 0 R /BleedBox [0 0 612 792] /Contents [12283 0 R 12279 0 R 12280 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28601 12281 0 R >> >> /Type /Page >> endobj 12276 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12277 0 obj [12276 0 R 12278 0 R 12282 0 R] endobj 12278 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 330.025 147.728 341.025] /Subtype /Link /Type /Annot >> endobj 12279 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12280 0 obj << /Length 19 >> stream q /Iabc28601 Do Q endstream endobj 12281 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28602 20830 0 R /Gabc28603 20835 0 R >> /Font << /Fabc28604 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12283 0 obj << /Filter /FlateDecode /Length 4131 >> stream x\Io,W` 4Z 99=/A x⿟ڸuf$9ϣn.],VW܊ߴgu zeYSRUƘhn|sm)oa{nZҒ6ߚ}P'Q/:^<< _!'mPd&tvIVrYhUaxmG8-KX˔M,ge1V-9e :Cy՞(q{g|zH/T.wEB+AZիVʁ eA?<%%a/z u)_z~ /cϩRC)*)Ո p= 葉a=,+.,DQ}4AV[Ud$qpM K vǾCX.²]Q{YĖ3Њ'j-r^  -.`.B1 }:j jԡ_up˔,Y^Qy8i #ܞ +iLȧ;mk=[<4Cv;?<>BwtƢ߻Gy)<$=%?i~qDyʽzpg&k*fp+?\J'Ǭ,{˽?@7^{}]@2^ ջdkAl'9?ʘC3=14ͨ77/ŮZsY '/PiU9V׼i E<$:^G 0GV%G7Ra٭ZD8ѕyGqD.(.$YhF1(M2ɳdm˒|_,sa۵/C:PNüP=1n}y|C]`hy]*eq%釮uݘu81IlŜ| EO#LLx Slkk [BVd^>=VoI3 ӄbDԚf&$NΒjatYfmD5 z @TШɊTvh */E8!~7ee4aP'kmo10.@ոY^(@ۤS2;j'Zu(c]pۃ`Ou@,, k^''`ɜJiyXTumXjr#@g+@}7-p{prk89AOiW85N Ip?N$8ׁI5|jypR0:}TρӥG"WI 'ubt pRp߃[;~T 7S= ȂfVr2u #e@P'ƍ4j9:"X/֟[j܊8§!T*4_ag!~~aH}o|=_PqA 3㵏lH tY\;xcj1 yħuN+DsՐ.:ç DZW@gc+hҷ.Rۑn{tKf5UU4n+L0pY?n'cq؍e>HPw_ƹ~\GokybqmQOx%{1Dp$*(~\84CQ= CX(ZLb\7'QvV$'nY9~QAUe.{5)`偉ͽ,OHEf4Dns.&:* 0DuBfsrY\@0]\޲0`96Gu6Բ\x$o4cSj`܃])vow+n]o| S_Rk4´1Sl1=h.VIT_%ʗxBHB4bͯKPd ͵L>Ӻ? n)Yg=CmuA`S§e%")u;"%i_IR x;B)MGCR>%HpCO֜R}cZuK"eT&kSN2}TV.]qM@m;"'^ޤsZWdArwj ͶTḇ+KvD7'Qajf.ۼ!;;ʹogwx}.n@ϰ8 7rD]ry+ugr'kt7>Cw{˅ro~g\)z ~JTuh"37(`p_wx9e(tӕl`F)UXMt,]!xq] :rYWm@T#ٮ\E]5rt8\mW*r+טT{bw/i~GSkݵ,P/F~Gooy & endstream endobj 12284 0 obj << /Annots 12286 0 R /BleedBox [0 0 612 792] /Contents [12294 0 R 12290 0 R 12291 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28620 12292 0 R >> >> /Type /Page >> endobj 12285 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12286 0 obj [12285 0 R 12287 0 R 12288 0 R 12289 0 R 12293 0 R] endobj 12287 0 obj << /A << /D (unique_387) /S /GoTo >> /Border [0 0 0] /Contents (copy_bd_objs) /M (D:20211013063105-08'00') /Rect [104.1732 377.7461 169.4747 388.7461] /Subtype /Link /Type /Annot >> endobj 12288 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 361.5461 172.7747 372.5461] /Subtype /Link /Type /Annot >> endobj 12289 0 obj << /A << /D (unique_420) /S /GoTo >> /Border [0 0 0] /Contents (group_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 345.3461 175.5412 356.3461] /Subtype /Link /Type /Annot >> endobj 12290 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12291 0 obj << /Length 19 >> stream q /Iabc28620 Do Q endstream endobj 12292 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28621 20830 0 R /Gabc28622 20835 0 R >> /Font << /Fabc28623 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ffthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM* endstream endobj 12293 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1084) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12294 0 obj << /Filter /FlateDecode /Length 2621 >> stream xڽZIo$Wl*!-m9>)`t۸TuF,-[ɱ6gWN?6gu X27uhO_^Vcf&׃+Nј{~/PB׵&~9c߲,ɲf5ҿh `Lz 4&U?}򚢭SD 1=Lxx$~IÅdL|cP |>˞ÁϠW_+=dI"SE*g@sĒďuw]hyV(.O#/e]öfa3@TnMPT/ BUÆЎ;k.qiM wӓZV(wS%.)LZFD-V3,>q]{q,LJVܝ~Ao{~~{ykU6xHpEg~^ZAXhDě0ocm k mkT[#/a==uYcALk]Sr>{8pL P e F-<933ǓOf}{qU!֝GnR<4F+9U%<x 9b)lR|GvM+&]}w@IZEˊ)I!+D &< %q e _9 B f1'?L]UDl Csd8$+E)@cd!X\3]}U<`6\@\$EPu~yuԫ诐$R@kᡪd0"6MfPnK.%|&^? <2]YZhn?Jwi^LE+ys!|p_c#v;5B֐Yôe6("wU#*6*#=EM%!W[FbbMSþaudU`VSL3I"QD^k E`HRY t!Ƽǣ-ӰmSp3! fޖ1S! |s[ZY73e1C$ޘDhHtvVNPN8| ]0MĄ3aό8^D]2\4)n?)̾- ^q(WZ/#5|x)HqzN(bU/Jqx.hkPcsB|xX:ek7eŃol :_CI"o)~Șyut(mZ}' :L0n`[B /F*i#> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28639 12301 0 R >> >> /Type /Page >> endobj 12296 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12297 0 obj [12296 0 R 12298 0 R 12302 0 R] endobj 12298 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 372.425 124.1605 383.425] /Subtype /Link /Type /Annot >> endobj 12299 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12300 0 obj << /Length 19 >> stream q /Iabc28639 Do Q endstream endobj 12301 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28640 20830 0 R /Gabc28641 20835 0 R >> /Font << /Fabc28642 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12303 0 obj << /Filter /FlateDecode /Length 5047 >> stream x\I%ɑ|_ Iȥo.)n!2Uc//ޖUtgp w3s3|_;ONF:], 7E%hg_>,9w;cgڞB'rzi)sJOup\bom3MYMt4a<*f2KV}}NdvS3n=%&O>8vP͍=[7ǎ_ c(w(Kcu-ĹRw#׏w`PUoh;bh3qu)/<{:[p8X@cg0mi=b-lj38·}rKpQ2qS!.71|/p.5 Jp{e50x[Cgg/WLd [}((  M؂5 eKe7ӒFYqKlXwZСa-KjZ˹ZK> 3U@OVG"9&CGuUfqeh_%y M$9`ѥnluSkFYA|ʴ8eNv\`Mt;2H,MJ&)/Iu gdPH&R[ւg<3:Muat؜Kc Qfu s@j]=K3;'fL%M'0:ؐ}uzZ ,7Wpv֜0iĉɮj5*: n`pۃ`OM@,,;m28&lN`I^qa&L9Wrk=#++X{xq''t8vpm.P 'zr]%85f&[8K BT=Nz_lpNv Nj`gN=8MWWS+4iМ=pF$}"Ou+G\ N(uԉIG'OO郀ROj5c'uP@]XP&,c&>s1[#XpLIi)'q\~#sf R_ yqAH}pBqIu:ylOɌמEr 'm6 vmNDq~yeSi{νS_~Du|cBgUsn0s&)q$x{R䤲Ӊ"B)Mg9s|?gIn^-. 50-x0 D>M¤vBsO: &]4mVܺh|:A32"KKqlyObƒYXܧ^Zxy[ (bF@d)8ù`]B3,KZt `{XquKd!D<8 HԤdΤ0%yqqf8PFBR> p NH9c#@R$ ::[x*_iJ;E7Hb `s*$!DTMxOvH-njL+1 8 p {U>zLU0أH'֯ѕQ?OB 8ep,#]b`\!+捅A7B40(̋@b:dD0($G$qEHs8"DWJZ |kd`^'bAʨ‹TP@㙢(js:`W&Ut&pU; 8)h#x,\PMm'/* <.% rBJqnb" @o/2RN5ς<`C4$}9A9❟TjHe\ޟV?؃xozniqQtNCDԕd?OCHtRL@Y/YY+;~ VUO`lM ce^pi%-߁dUdJ1\>k4]*KrlO_[ktqlV,[mz8X sѺǮc H#kFkw)thw $'ǁޙ:\#Yuq6+mG /!DSS/-iM7ʟdԐE*z~ό5(-8tc.47JpLV!*=.mbh>)Ǧ})4L a.02Y&/#s`첆K>Qe,g-/&`ɖȜ[s7 g,+w1],&fhn Rt-L {4﷚XV;1r:`; ݺ."K& ku\;hHztܣqzbm?E[>qgn7;H=y; ]7\9eM:K߬69tcUD}oԔqݽ相eq/ӝ~Y^ݳ#lےqVVuԸ7ٷ&i,ZOyUjaMER8ήkV4Te" rY;tu?ڂy۽ ΐ[>豯t:W7 Sk783N%,I3K{Bĥz\n{ F.v_B%Pgb՜(oND"ʹj\81r3%PS!%&ڞK!/놜P=*?d p5J `radEKC0sˋ|Gg:q}cu=ƻN3y1.\k|fR^mPsIwum]I^GuM}!"`w]TxEv2'=rO6kiZi'IRo*J)6+dJ{CaH">|[$峘[έyd8~픖m1CI0"Ь'('9~ey$U6KU\S/v>ZooR9r\T!5On #(cqzmsv,>La!C66W`qPڅ"O0aN4O_i)Ƴ8"pwxc^(Ȼ _C<}AQާ/4Րx;qt~cgjfB9( }"_,}ey/Tx|by΋sÏXˆ &5]9<_ïaab2˸ݸ9qgq;_\{ @@,.O\HLj3ʘ0$Afz_ t> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28658 12312 0 R >> >> /Type /Page >> endobj 12305 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12306 0 obj [12305 0 R 12307 0 R 12308 0 R 12309 0 R 12313 0 R] endobj 12307 0 obj << /A << /D (unique_530) /S /GoTo >> /Border [0 0 0] /Contents (create_dashboard_gadget) /M (D:20211013063105-08'00') /Rect [104.1732 451.2384 227.3347 462.2384] /Subtype /Link /Type /Annot >> endobj 12308 0 obj << /A << /D (unique_538) /S /GoTo >> /Border [0 0 0] /Contents (delete_dashboard_gadgets) /M (D:20211013063105-08'00') /Rect [104.1732 435.0384 232.1252 446.0384] /Subtype /Link /Type /Annot >> endobj 12309 0 obj << /A << /D (unique_468) /S /GoTo >> /Border [0 0 0] /Contents (get_dashboard_gadgets) /M (D:20211013063105-08'00') /Rect [104.1732 418.8384 217.4127 429.8384] /Subtype /Link /Type /Annot >> endobj 12310 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12311 0 obj << /Length 19 >> stream q /Iabc28658 Do Q endstream endobj 12312 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28659 20830 0 R /Gabc28660 20835 0 R >> /Font << /Fabc28661 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy45ۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12314 0 obj << /Filter /FlateDecode /Length 2385 >> stream xڭYIoWy2/@C@=@n0O|o)e%Pd_}LҼyl3)h?';g>mgC^ %d>Z@:Sc}~0OB[Z5O:[tW`m).2u;p^p lk\g8X"y@5%'ڊ(Ck6 'l鲭(L$3(2x`<rab2p+a{:޻I8+Y.pS=l ";w3oQ<_%rׯ;2vaǝvD4,i\<+&DKO寥o$Rr4Z4gZZR-x> Q %#x%P8)lRX|Eu3(>)HoNsvFhCz,~Kxn=p9EkժK&iwꝩ}$elyD:Toߡ.>-&t2wGCCc*j]s{-hu|l gSbpd|kp,rL* \)0&ѯd GS2SJT9&%ֺ~4pE${>V 3zJ(R^SEm mþ跎"QvG]Fr͝!lɺC͉HpZ]n Kګ=jbOe4}Adr,̌kaഇͧ_Gޖr5hW)]@s t|;_ bǛ?^Q]i(n=!6xYF5@ST1u=+Bb!{H;g;J*̌k`S#Wn Nk"[`TCq sA"SDZ`NN ҡy,d&4;{m8Fp1ݢjY]^lK A'( TɵܽH ϟorE*nN[> r|7l@4WK(I?$Ԓ [ ! zKrBQ.x1_5q˶TR4~6qA3xzA@y1ef y&f(ej.q[QO:->rWCO97|Dc~feާ7.ܶ?93iLܦt:܈ (Yu]/i-72HqG gRqgK,)k`tӴCI6%ixTvL#^kN2ӎ-E!d1 jMݛ6RgYwXoQ)fS˴lrt% B([ȳEB=:)iwnyT3称XS8HZڵםt# L>M"9G>^N>0pG\EAVyk\Йah/u,bL4&^ć|3:Nb(j<Xsڿ)iČݭR;2%T=[љ>|?!U]l]5NQB'5B!tPYb1 !Cfg< endstream endobj 12315 0 obj << /Annots 12317 0 R /BleedBox [0 0 612 792] /Contents [12323 0 R 12319 0 R 12320 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28677 12321 0 R >> >> /Type /Page >> endobj 12316 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12317 0 obj [12316 0 R 12318 0 R 12322 0 R] endobj 12318 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 344.325 124.1605 355.325] /Subtype /Link /Type /Annot >> endobj 12319 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12320 0 obj << /Length 19 >> stream q /Iabc28677 Do Q endstream endobj 12321 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28678 20830 0 R /Gabc28679 20835 0 R >> /Font << /Fabc28680 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`ӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XL[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{wg endstream endobj 12322 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1087) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12323 0 obj << /Filter /FlateDecode /Length 4325 >> stream x\KoW)=^`o!i`aO/Ax-RTXU_Dk +/N{ӥlϏ_E9hg/>L9˛13xR,W+kw ӽ~YyQ߉Kd& + gmpL[%Yӿ B+k;i˔M,Cp{bu@cs^e^&PHz RSb'h -AGoSX:c4Q{pˆ[&6sYFAmk]<^?ݫ,ϷjHA%>\@bGXiy?N(Bƺ,_Xq*"qK^:-D'x$`TLKRǯNYc%?hAJ FD`MagvBJ>_8<:cQG{>%3^FR~G'3jljk]M$b f"܍-fޘ:0Vi0I!#4{pIqDQ;`iXqbqsJJ,ЊG@Ow]Ƨz7%O:suљ~t:ÄˤRL(+|WGWz0u_}MY|@3b?S)Ι =" >S+;O:Z";U6o(vaPܫHS]ӮcF}s/ǽ1OW<22TUI97<:'00}yCc2 GC< у;C\7MDi18kH5/$ZgygnY%7泓Zq٭Zn1߽TLQ (x c} ϝ8pZ!he ʉ/;M7M e#t"""Πx/,I>_S[/EF;눵WH>A];ƕq(P507[~ %"EN*-DDx9$ {d['Fvr`D☌|f͡ 3{V}EmǦx~j7,=jT]hj\ͨQͰE;*Esp+QH3Rx5X]ן8dWy bOM@HpoNZp<Tx'; Z]PTސez܋~_osoT&bOr@G&!YlJ|+M_RšjUmY~9HG58@#eĭ Ls%Dy 4;B*2TzeƓTuE S Y*xjSN@H[Tţ6KT Dh"?-KIYJ&c̰Ȗ%.kG=o)L ۋHh lFQy/MioRܙʒco0BWWolF Y`>2M,c;ۇ)+2H56NY\JdT| g,Oŧ V\5Wc=͊M(RU:#>ډ2k"Q%RѺhU^p޸kCn%˴hzRN0P]c`|AU jpz5Aq&2&}RQ;9U5@,  ,,\ }`v`Q]:1P&mUOVJjlJr6:]+NJ,ݴ8ɭ=N\prk8 ? '5IT'IpRoOj$8+Ib#pRpR>?Nn'ubvz7ԉ#pR{'IgNL /So= ȂfVr:E#u@P'ƅ4rΡ^Ͻwf5nC|^밳??yr0 ;P]A]ǧ+;`$;ەeƵ~^J|$ǯ sΰ_dJI-a^Wijܚm8-aG8(UL{$=oNITsP8G܃ !cݤȚeG0CK|w&~˩%M>u>uON \@6]ȧg)gjQ+;?&-A#djoNTU%_kV9)6eEr& npZdZz1{ #9ܳ8Oٖ x;ԥ3}",鵽f,%{76Ln?ozs7 &߮2lΘӹIy_Ӽi f[.Ŝ+;]`I7DXic˔]KOe:a/|Sl^u<`׾1ߝm5#䎹ӎF@b QxnUnYw۹RUav+'' 9UptaYMb!,083ĕKcb.j zs$3{.M3DfnVNQ+Yi\XP8 m]РZkp1}Y Iyħ(~vX{ً64?O)g?-mT#Hv)i3ݣ@d7-iN].E pYJfV1ufg$HU%H^K06\σA+dE?wV 'HR՘ޮCb3%9MtsR{,f9Ut`zxWIucO[-t99i[u~拾7;/EY>RKD9[2TXk$迕_k!זT=,OHjmWgQw4m6.vʹ|uK>袘YbZEq.Ii yXEW[+9JVxLk?D7SЯцe23f2 .Y9Wn-RTm3tvѾgi!$^GGwVO4!qXs|~bl,rJ;r-V%0|r> "*xZRٞ "0؝+P9ǚA yή;b^{Wv:G/" r^o'~^0C9pϏ`(FK?Qt{b̃-o2 endstream endobj 12324 0 obj << /Annots 12326 0 R /BleedBox [0 0 612 792] /Contents [12332 0 R 12328 0 R 12329 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28696 12330 0 R >> >> /Type /Page >> endobj 12325 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12326 0 obj [12325 0 R 12327 0 R 12331 0 R] endobj 12327 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 459.973 144.0317 470.973] /Subtype /Link /Type /Annot >> endobj 12328 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12329 0 obj << /Length 19 >> stream q /Iabc28696 Do Q endstream endobj 12330 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28697 20830 0 R /Gabc28698 20835 0 R >> /Font << /Fabc28699 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fSthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM^ endstream endobj 12331 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1088) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12332 0 obj << /Filter /FlateDecode /Length 2674 >> stream xڭYKoyf~!-f RuXlG#vlUG2-W_U*U,MIUO^1^Y`l*ƺ`딍y1Q=J~i.{C~08cÉ0 Ǫ JL9*gK:ZI r5!G7 u v#H F "ڈx\ʁ s rn;#v,(E6|$qj#qM](^2ZK[;6F4&B򇶸$m"LGub־WHE|$kcб&=\S'"tMX:.GVju^WBCp8RGfsb@񘒄wIPO661`-F>zFXu͟|>RI6&:QqF崍4{DY8$p/PI{#b΁_Jv'pJ(nUv.FPF:"٘qqNPDq!!))];MeÙ@SNu4éZӷ8ˢ:Wk~ cleHnXW8:2&}mPqHVpH5;=1,j`@[?xi9peokTB׍RԜd&5! ?騯)qA8Z\IF?Rz8+w%_J~XNVxo$M7iQߥ8JwާDDO37Uݚ+G=~֣u;ͣ4nClm؊pZ|͸F]_ԿF0W)oЀɩˎ\"e5`ΐ[,sZ,;w*{{ W ޔe 3E fu?n#e =brWFHA[q{#U?"u#V~2X놥:hC(@9 xi 9v_THgC#lcymSĊ>7'5mU8h\;E⵽IM8ԶDX^Ӱo[J™l)1j\2f};4cVB9e#2Xv!x"y4$3tE7r ^npH1Ӑsxl~uq襇 e%nۢԋ9NpRlI:{ ȀƔUێEzYu{n!f鮣|{˝tSt*f9TOmoH f -ݻ>!3nkx~Ș>'xެַH}0o`&lC Ԙ/X3G+g\~•5%kJBdM(tr [KCSx.}^.9ޅyҺq2؎s *h47JĜĥ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28715 12339 0 R >> >> /Type /Page >> endobj 12334 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12335 0 obj [12334 0 R 12336 0 R 12340 0 R] endobj 12336 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 306.125 140.4735 317.125] /Subtype /Link /Type /Annot >> endobj 12337 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12338 0 obj << /Length 19 >> stream q /Iabc28715 Do Q endstream endobj 12339 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28716 20830 0 R /Gabc28717 20835 0 R >> /Font << /Fabc28718 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nࢤЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwoKb endstream endobj 12340 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1089) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12341 0 obj << /Filter /FlateDecode /Length 3692 >> stream x\Ko$7W+z 0 qܒCL {%EJvM,H~zTI?k ?ʳ:=[dOu|?>~$0吲O/cgεޅU= 5~bupYGk)7fJ d._RNeUojvlO1vu\lbmm7noј1˭RaUG۔-T=TV`tOP|J @Y֖nuƱk|L3f~cP,؀[/&?OSV,!ngn+H0Gm՞ȂlbL[=X4/_ 8xnEMӛEÂUmڒUwq<d V/7ݤjh)c0UE*+˔nD{1#z,M&MK/)~'N)?/RL` %lS=(~?>Lc!G|5KJ蜡2C?Tt{ XZٸiF3HF-#.AEC.檭w9R)]d#6"ZLSpNx.M4^U6u!j#\َP$'" c@,]IΎ/_|_y{}aX?cbKWꈟՏ eW=]c>՚7+kbzfy1+bft]?غ6ܮ rjgzn ~ :x!|f|.k}yg@Q|WpA ^ʋ n/o.:x'Yk*+&T->Ӗ`_(^$Z^ G#a)Q:b<}%UTg/ncҨ+ T83 ;<14iAMfvա3TQ]^Y/EZ dhu a<R%AzˑEE6HZ[%,CUiYMJ )L6EFLȶ$KVg!\dd=ڂN=[֘:"@҃dKgJL2aXkҿ^ sJPLmȊ=ɝܐ9qaZK) sIk+{7-`}KLJKH2:%6}ͮD5 $x ZI֔L5`׎nly!BFcyI> u°{#u}' ,W1% EC%P2CU!(!d hpح874TPNi 5nT,eVX EaKH[yT v)TadJe:]WvNL-s|SU8 0Y:IZ8ި58Nk'j<#BLepB9e)F3ʄ:vv$ĜzNjDNB!a 'ǼNBojB0:)HlSxN-VR .~%Ԁw:1<} _'Ŋ1^u}x-, 5spzu'!)XY9$U¨T~rH q5 QXl/)\Cv g|{,!f?Vf|W@J©@]vH)~Ѕ;Ed8T':U+ԫ_*NCJRE5R}#N[x3ԥ!6CfHS[!qvH' ʇeHe@{㛈*?taLߙ9\x,G s]wHA?W6^*bE0XG0Ġԭ<W͎sQ'yC\1}R~ɓO`HNah];Eݖ>ڽ]^L|]Iy_CߞE)N7>|s˭ylPC;LF=o吟E#qF^8I0}=69u|FO9/ͥ@H 2+Y>-M!Ξ2 eaaxpZ5xllG_*a-Sƕ,rȚL_[?,ݑ]SIXʮi߆2kr\_l>7O]:Y IYG s}!\RǸL=<>,j@c&җnt2~߶S9pT'Y6tWsK9Q65Ǔ_?آh=Hq%l[`)SZsR-ीN ,1*%<&Yo9"lY$O4pY2..Yh{pkOILpFYY'k\:+ڝDUYa(n)GP9/L)J1C6?ljxnqCmI.t+Ƌ0B֚sRR5JHvoJPw˳y<C\˶0ݍ G9/~#O|օ9xQq53j3ܲw5[1fAWy&1歚HQn5Cjg)DyiZg=r  `3σb<_zzP|;$;(h`d9Gt >lGOxڶ)šH4>qY8bpBۺ÷1|RUɅbo)w5|oIKacۿe! ?A1 endstream endobj 12342 0 obj << /Annots 12344 0 R /BleedBox [0 0 612 792] /Contents [12350 0 R 12346 0 R 12347 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28734 12348 0 R >> >> /Type /Page >> endobj 12343 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12344 0 obj [12343 0 R 12345 0 R 12349 0 R] endobj 12345 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 12346 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12347 0 obj << /Length 19 >> stream q /Iabc28734 Do Q endstream endobj 12348 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28735 20830 0 R /Gabc28736 20835 0 R >> /Font << /Fabc28737 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+"%;x77 f߇]&`j[i8-y-xvw7жYzr"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%(\)ɔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12350 0 obj << /Filter /FlateDecode /Length 3939 >> stream xڭ[KWzfI999 ?[-dvO7bJrquVǠgU}K^砝կ0吲ׯ{c{;gק0:+OG랔]ޮF*Ї~]/47MV?])^x3ܝ-?~SN'v)&cL:)XEdO"Ƥ4gw2_Ih0  42g>ɔŸӓ+K>O.RT#Y ?3 >?|w$>Q:/?Miz5X͔9OEz@Y^Kȭw;5˔?EpGFy0Eȭ In5FZ 8dy_] L!SaXPkڵP<$& 2"Hh~Dg1V#L0Yw**_KgX  %ψ!^d<^]Zkcids¯ l7`߲݀!MKcmÓ-5f>%!mZ8iK[#xPB|nnv@,u1k׺G#Hnԋ~ߠ_sa& #Q  `$b~PƠ9lWz]%իs"%55.p _G{S,`̄y huB*йdLuz'z'jQ;EFB a`l(^/_& .z^Po6R6-&F{m,.3t"4TJɰcm`0!ɦòrfXdKƒ*mrˀ=_BS ۋHh \V-MG5V~tK `J+Kg}޲¶[1 Te,crRub4qC)KO,A}SFfiBW"Wjղf&͈ZZatپfD5 F DЩݎņT lѻ[jTsa΀JP"B]a}Au\-mr9ŕ 8t9vipʁթ: ]®`nm aX n٭n u\JyA$۱2\-.tS<,(pR"`NNt] Nn '`?=pRJz z)v5||,eNi!'`K`42KX.Ï1672(ߚo6\mtnb>~|>xw>!n V/"޲z 0ϙjvIqg8KIA;w&Q;>ۮ|6RgvӸִ1 !q<ٌȺ֏k4nNqCm:74)źo)?X_a ՔٙQ)&c^%T֠{g9fp`ȇWg|LI ֦a]ļb}~ʹD7SEa<6 e232ɥgORPmg 1*wM&xYa*J֘'2gIApaJZHv-]'n3Uι\H|Z3yneM3J (=rM3ɹ(gE؏D+!| e.z2VNSn}#jSI#tD^_ 6{4rkMI}Z&Є,L36G(^6 /C AJ?$G>w&צϥz &P`0%izjxK-w5|H`3ƴtJN>( T=U_pbI^uH^ 0 P:tȁlƚ 18Mz+˳d_{',߱)ˍ:VT+4DwiE;~P7&]ȇy-BM!Q> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28753 12360 0 R >> >> /Type /Page >> endobj 12352 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12353 0 obj [12352 0 R 12354 0 R 12355 0 R 12356 0 R 12357 0 R 12361 0 R] endobj 12354 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 543.3038 182.4767 554.3038] /Subtype /Link /Type /Annot >> endobj 12355 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 527.1038 187.8502 538.1038] /Subtype /Link /Type /Annot >> endobj 12356 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 510.9038 192.8002 521.9038] /Subtype /Link /Type /Annot >> endobj 12357 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 494.7038 179.3802 505.7038] /Subtype /Link /Type /Annot >> endobj 12358 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12359 0 obj << /Length 19 >> stream q /Iabc28753 Do Q endstream endobj 12360 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28754 20830 0 R /Gabc28755 20835 0 R >> /Font << /Fabc28756 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pɪЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}Xf endstream endobj 12361 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1091) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12362 0 obj << /Filter /FlateDecode /Length 1892 >> stream xڭXI#ǯ@fǾ@!T`|q.%rQKƈT|EDگ[Dڃ}ycۜl49=Ow>Y2;wsv>=8ߢs3Nc`BT^q7ґ.@d8@YƝWḐKQ5Ͻ5G>] tiڭ.Ur9U^Iq=\gY j'ӻz> sI!CtVERb(#NS–nwAp$m;dּ8qDY\r3{e864ݚb0uQB@܃x}ܤOJ< Dc%W8u; \^?sM%f wJ+0Wt 1u<hA~πIЄ˜ 04*0XK]w.#Bz4?[2ggP+LQ+_ ]|`Gk}b55@~I]Nf_<*rmyTi  &|n){TѶ9r%P(/3q$J)ɻ}os.̾z6w}B<]ZG8Op86mHAX?2@}" " JQPH1iEyT.:>"#{oLd1|^`&ۆ,- f _S3R/7E:1IWvIE ͗6\풶>=jت>,> ,u#_}iwsp!)H]SQ >l~kS-ڏz@)XO?_adǸBp6& @]_EZՐ#'YDs ؔ{uTRnQܣYwbyٝ{wѭyf3LyPj`4՛p(jkkf mxb`>]ͷk)o?p^mqh<*?44tTnfG:I~M|X ]f'<^ b`kYڰ^6s܂`9QjcakYdߴ+_1?(UyRvJ^[A1f۠:=@sL17#~;*r[3Wv|EݲrӔ9Ao4mGnegdfmceq+ꎵ9s%ѿJ/Ü* "2CeW @Wͤ AYY>B<b4)EU^JjA5ZH&UCcMt%¹M}OW ӌzI%3žֱf{#{3 Z[ˉ 6y]U^Ix -jh1a#*{vTPT;2aUp(1:IB.qSs9p :Rv䶒M6B[5oޕ5HC ".7Tw7|p b>mځHz=vV' PsYt|(AaSq~~h6G?|#N`Ϟ*>~x)U'K}`&^JN"'7§1?.ÿ9!=$sP ۞ endstream endobj 12363 0 obj << /Annots 12365 0 R /BleedBox [0 0 612 792] /Contents [12371 0 R 12367 0 R 12368 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28772 12369 0 R >> >> /Type /Page >> endobj 12364 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12365 0 obj [12364 0 R 12366 0 R 12370 0 R] endobj 12366 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 388.425 124.1605 399.425] /Subtype /Link /Type /Annot >> endobj 12367 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12368 0 obj << /Length 19 >> stream q /Iabc28772 Do Q endstream endobj 12369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28773 20830 0 R /Gabc28774 20835 0 R >> /Font << /Fabc28775 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12371 0 obj << /Filter /FlateDecode /Length 4622 >> stream xjK^BYY&ldvZז])=h1h?xsp>omDKC).VtURT:ɓƹi,kց.uX8k;NbkFgmNG1"E[ ԣΒhsyWx445u8\%vE_eV1?TMW) n !-tYtM 9൭!zCIź eh}0tjD]K2؍OyUpL2{ F .4Q 3( ʴt?DnGfefZu,@}OOye[<^<$ڧyYЃ&T >1An{PigL*f i3UGj^ h>/"΄gojiO,OP;c J5=2,{:n?́i9&Š4&{LL=r 1CnFh~gc &?{8_ 7e~/.N~odYCes !y#s?种&WnUf,7Ðz9mq~a🲓m5,㑴zy}[^`o(o1ԔmWxשDEJuSŒWE؎n$#T",}NCEViU05%߈u .HnI AwjRcĩ]cqZ5õVQv8aׂTܩΞ5 땠jl2Xj?K /Kyj'#nRzT^w7S{$ C58pDfk ѯ&\"RبP/51M-3/́%[شg5Hty+/Ch,TiA* zK;~ߺZLJVO\25]u4Yȇez_ P6$2mh̀>!NJmK6IcutNizif--X$ 0O*a+bd@~6 d@~g@Wya,I1Y'srGz9]p7'5'5'n JkaPS}GX.5(e'xjM>Юjw%:bf5Aa=%&qZ$Ӡ*ʤdmGu~ؠRagEPB 뚀';dPݻ?A}}Ɯ)sIzKqcRdnhJ?~I3DTk6F1 O}~>3!rUVXN!~֓V /׫ NwD=I{iTytIk(`D[S,v2EtnXMgRyl5mXCkȖGԠV*2~ğbMkU)y}n"t yJ*%+$2pdDH)&]$%";Ó4=GO8(G0ЭZ{r1~^Z 7#w莤ֱ"*osc5 ̘ u7rc -5@qMD7GwnPQa7Qpy,"cR[ ʾ}~?r˾hH bVSY[ 7 lqN! L0KVӑm>9*Yrd9,0)L^5G mfgyo; qEAa ­0 x[q*aJ 1W* &k%5>g5:p[ne=mLE~"TYTp^Bx'9*"BӋYG F IC3%R[y8wGZ_h[o[zԁbqF>1-: ]~te{Q:Ewv w On< Lf*k iH߾su1̛|s- cõ7Zo'VM?OT)Š-Mvv*Ud|_Jpr)C$c +U=M"ѣ 2`IxZCwQSj>S7!Z2)&< ?_Q] wihzqj}r?544>RKY5=Z!HoTq[L<5KܭTJQjbzVfӎq1S+qjѡ?^8F 21z~q%f7a`Fg@O&oȨ%Z,wpie˥TٸSL,zjˍ'G jLH.c\:!&?tެMĽxʶ9*Xr/|,O?3EZc`4Ω"ZQ܂#ܭ?_S,q ~>R5|5Lt4rQK> nYxCn-nިQ:sY3|Oiwt^[>hob'ЅPG;< /Ȩ`mSں| psmHg^gmg]qW5Q҉+ℾg mǪqi,f>ՇB fq{70^A鑍SJaϰQzTA 7@Ý endstream endobj 12372 0 obj << /Annots 12374 0 R /BleedBox [0 0 612 792] /Contents [12383 0 R 12379 0 R 12380 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28791 12381 0 R >> >> /Type /Page >> endobj 12373 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12374 0 obj [12373 0 R 12375 0 R 12376 0 R 12377 0 R 12378 0 R 12382 0 R] endobj 12375 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [104.1732 252.377 175.4312 263.377] /Subtype /Link /Type /Annot >> endobj 12376 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 236.1769 178.0272 247.1769] /Subtype /Link /Type /Annot >> endobj 12377 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 219.9769 183.5217 230.9769] /Subtype /Link /Type /Annot >> endobj 12378 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 203.7769 187.3167 214.7769] /Subtype /Link /Type /Annot >> endobj 12379 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12380 0 obj << /Length 19 >> stream q /Iabc28791 Do Q endstream endobj 12381 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28792 20830 0 R /Gabc28793 20835 0 R >> /Font << /Fabc28794 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p&жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLޚGFxaH&E d_ )2̼d9 \?`Ni)QXI*d~{QUh^@wP".*x Pڢ_Ћm߽?ej endstream endobj 12382 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1093) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12383 0 obj << /Filter /FlateDecode /Length 3757 >> stream xڭn$ί9@/@C[2c99M2 MLEZR+,m|9?j/t:],vme7v ,܉R^BQXTE[[@lZrKaF)-cu,>T}v٘7}VE0G.-& wEf!#p)W]Tȭ#s8 ڿC1م:´4p(/d|UuYJ-<7/]\r"؞0RG^(_k%%"z_hQ P 5{gF0þu (/݈>4<@`c`ok+0E c~5>A78m)lgt%[/et"9! $$\m2?!ov}qm-ȇvEV᳈r,1٥TM# v`٪m/?RH[[RL qj܂墭 yh%j`+NȃobP1;N i?[R=Z’U #u%X) deY|GHbu'_' ~uCĻu`Z(pm |7Utc] zRgA7lr=>YY]Z@~Y!YńBrՒPwow,wq7k;bJ^~W^9j3tqう6T,IKѧ~JoCJޙs%Ց4.cTf;=FyS%}B|793^C*1^EWha!غ؂H`Vo8ƅ"rۍOwA$J,͉&0rpr9 ;iFp~ԃ1RI?>|f2ĸa qKE.#vD%XP6upn)>uꯛw;5>ą7jSble[kT;;#{l˟_,&o 6/۝s $jxCuc;մ<2=l쥟[cn^rKq̻U9"ŐJ<( PCCBuIZvD}6uӃ%y8WGOC bq.98r$gq^G y mG rj(2+ř!ēbaȇIe/> qMo&} ! e<~cC`w4΢)}8Z[#(vژHN3xbRO:@'ab\ V~y|F_JsR6娥՝t{4.(,3(9tD>(9W߇=mi,\N9؍Q?X5;փ&.9OQּ6Q^VJw"B䰠U5D#<Ǧ2-da_Hؽ7|!P7n{r!o;DH6{|G+erԠexcOB@55`t'Iֵ+.X;ZOc;b&n]uYUL.GH%Wm 6r 2ȼ&,-z_t\zn!ūx71Wטc>W['Qf]uMXGt }t7nڶ/=^j _3:5rc/| Ui&gɾ6۩ذw%0 2a39f!pil/  \Bb>YS2! ;Qj-$#q"MS]Jʺ չ tG2}t:bܴ(KJFAJJSf= cϞYSj-m3X{%uCX9N¿BwԀB|gy&2覦 YY\x`CBMP>3Ó ō|*N0 "~m h0reUzah֢iJ$+S qJ_ ^5zi=N Crnex!mzsL_Xf&oQGccwਹ.;1 ǖSӵ#HMbtM%צ b n l%/8|K]H ɛ ~Fqӕ{bf ^ 95sV0fFwKUI ]OR',DBI:oʏx V`ϒ/}Υ|3D1E&PEL Ui%W)274OօX(%2jXǾrϠF5q(4 ԃ57R endstream endobj 12384 0 obj << /Annots 12386 0 R /BleedBox [0 0 612 792] /Contents [12393 0 R 12389 0 R 12390 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28810 12391 0 R >> >> /Type /Page >> endobj 12385 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063020-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12386 0 obj [12385 0 R 12387 0 R 12388 0 R 12392 0 R] endobj 12387 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 329.125 124.1605 340.125] /Subtype /Link /Type /Annot >> endobj 12388 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 329.125 162.6715 340.125] /Subtype /Link /Type /Annot >> endobj 12389 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12390 0 obj << /Length 19 >> stream q /Iabc28810 Do Q endstream endobj 12391 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28811 20830 0 R /Gabc28812 20835 0 R >> /Font << /Fabc28813 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7*1|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}1n endstream endobj 12392 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1094) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12393 0 obj << /Filter /FlateDecode /Length 4141 >> stream x\KoW)=&1C$A`O?LwYUHQEjRgu zXeߏ_)hg/}WRUΘ*̵Փujs;m(#N(XpJRm?˳N@~фva7IfJ d~]I9,4ff}%,Qe&ZPEI3?O ݻW P6ZAGBS2A" I-9gF5?+'D3p~=OQ˔K> LD>>֙-_O#;ee_rAJsi 1g|[0P}߿~տ_@/Ghlk@ >O u{`|%ajr~769Õaɶ&_mB.wY $6{ˏ0DM[^O<ԓ m)eYMq EZ9%j,3`f~P .|i̓iP xRSQG-f.[TeQhJRA^{Mu$|2 dgcĘXI4z'-|ce̅T GoT$ Ƹ(Q &pDLx̉U3745zLq8lj,uj!̧i]wث 4o(vaPܫ ]iW5^6T*YF[nUU9V)uY@Ѱ>e=@qA?lÉ&P ѵH`F4.[n.K@@%Ae*kGu-ˍ^Ml"<`^% PTV=; o>^ jx4 )CQOW,:,Du QtLhA 'I:z8%-|ʊzmd6wVþ";yms4R ȝ"ͨ|VY,Z?0xb t7FlmvoN>bGkG/v Ϸ9&eS o鍫"ZsOUVdNlf* 1(qb;*I7//xꊂ<&|*P(IG[q~-z>$] `h :Yry0yAo^!ˠןʞduq2W4.JHs#š$]Ylo_48\nKu;WcB'i? $+W#\(!OxElמe@,,ABH~a/Piۜ%l݄HT=<`_lu w/PHJ@8QHG8@#eĭ f+A&ٱ&3;B* TP^I^ZԸ/M.h.ԾT`]Ƴjd@H[Tţ6KT{m1㮫MbR&0}+gE,H^:*h伅 3-/Zn%B*޳]GUQzv>_^Xs'a5YXm3@|@eY+.w*U#MSdo+2H56NYBJ䐔Tb g,cr ӄbDZqObԦGg{Q0:{lȬ=Y(FA*U۾XQ j@Rʅ:w+Y]E uF{Cuq/C{ur9ŕy6;8mJeGTdW_+|NulW+X`.p{p,yXHہEAv`B,U=3X)MUن 7mtѺl2 ;8)Qw''T87p[ɭ᤮l3Nj0'pR'I4?NaVH'I gIu8pRgfwI>'7;}zvNpzP6@z3z)65@,38e?^uHaKS %),_`y`RoFPV<>MU; ' C|OrJZ:4fsOԓV(z~鄜|CzesK*$K2NzjL(?ӽwCo*> rGߘtY粹)cX3u-[]LL|1I&8-5s-H/LIlptIiDA^:ݪvn̉ܧcJzkV`2,Lk @3E/"[aE{%qVJW,ҟ9 pQn_%&X)^G[moqF[TY1{6q'0vr)y8]=zG;n,`;'bSg~H0#JjMiXz"/̨"DՕdXY9mF%[QUf 1Ybb[%UbPeA wf ͷT젨a̱ }ޚ:欆piwDqWRl^s^ҽ"*{|{UHpkW^:__SGqwՓA4g?r/!:$rZkr$mvN aI^;lR`ķw :4A``mOOB?,"~<=§>'˵ n<0^Σ|r; VTԺW}=F+tIf,q=gy!s;svPX\;ɣ|PN3;5η90'pzT#%މHOx:n=s1f2 endstream endobj 12394 0 obj << /Annots 12396 0 R /BleedBox [0 0 612 792] /Contents [12405 0 R 12401 0 R 12402 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28829 12403 0 R >> >> /Type /Page >> endobj 12395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12396 0 obj [12395 0 R 12397 0 R 12398 0 R 12399 0 R 12400 0 R 12404 0 R] endobj 12397 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 412.3423 148.0577 423.3423] /Subtype /Link /Type /Annot >> endobj 12398 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 396.1423 180.3482 407.1423] /Subtype /Link /Type /Annot >> endobj 12399 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 379.9423 138.1357 390.9423] /Subtype /Link /Type /Annot >> endobj 12400 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 363.7422 150.0652 374.7422] /Subtype /Link /Type /Annot >> endobj 12401 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12402 0 obj << /Length 19 >> stream q /Iabc28829 Do Q endstream endobj 12403 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28830 20830 0 R /Gabc28831 20835 0 R >> /Font << /Fabc28832 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}7 endstream endobj 12404 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1095) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12405 0 obj << /Filter /FlateDecode /Length 2586 >> stream xڭYn$W<@/@A@-!dd2 3[3,2Ɉ`,/"(8c^yf3)h_oOߜy y6gdy~[X,;%ky/(%ryu@o]uY V@:6ixl{ڣ 46a<}˜kmH!k&uip )Ipg)B=QheZD8kY&> R$IB;e=HV<=Luγgf$9yx.TV sqN-]^FdY;vF;4,i\< %DkKنwތCx5vj^aLdu_ 5{h(B ^N.P^&Uˊ:=^#HikA%05f\^zZm\*2&(>vWm<ީ& &M*KAHBhk(y,K"-DA͇n׹rt{k2*EjKqo }Tq!\5i̩ƋDs՗v':0i{s7~Sk+ůI!N6֏0}=ZbqύiuJ.Ôc.XS'[0z54ybrʱ{9$Mio݃=T@& Cjyo => ԝyG; P81Ue dWRWs-AQf¸L r1rx'Ƞ8aeo{G:N).Uo*bNoAMd$9#sv8ncc5@sX"G5,hH9h3dL<(00.+f.-?ڹ&/J Ʌ)EXml=\˞6.LѲ`v.Ϟ]rk^lQe\H[OJfVi)GM[F\KVXE.҇qš(_d D_UWK` ܩ&NoN29D:ś`i.|:7ߨh?+RID8\Wzv20̾ɫ ؼI 1dĤӜNw }ɧkwK>?] o~@xYe٧w.ҢK ~? KxfLF=hw]#ί.K0O/f!נH=l#^GM}Qa`ֶSm!^uf=GJ['A<FHN[~Ǚ[:m=:zyeuR8q$ѿ|!r:nK!^Ǯ9Iª4@<3UeOGL/L(2x90)>xBe6џ>+D <$\םTy'1N:ʷO0$/oJ94bVYT[֮4}ğd.BSb>p>Ii-UVz2x.x] endstream endobj 12406 0 obj << /Annots 12408 0 R /BleedBox [0 0 612 792] /Contents [12414 0 R 12410 0 R 12411 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28848 12412 0 R >> >> /Type /Page >> endobj 12407 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12408 0 obj [12407 0 R 12409 0 R 12413 0 R] endobj 12409 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 452.425 137.278 463.425] /Subtype /Link /Type /Annot >> endobj 12410 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12411 0 obj << /Length 19 >> stream q /Iabc28848 Do Q endstream endobj 12412 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28849 20830 0 R /Gabc28850 20835 0 R >> /Font << /Fabc28851 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7j ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?>r endstream endobj 12413 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1096) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12414 0 obj << /Filter /FlateDecode /Length 4395 >> stream xnί9@+|S {6 Iv0sOmf*֛%joY4;%e|}[JY_6]}U,Ś/ί/oq9Ywd\Gҧ+?B1CgMfl9˗?-/_7Z.d%hn\=\5@Ƽ^3.՚矔]~_On1#\XsrZB^YndvgrX [~\CX#th+| "SwEdV^c!`pJ[f ^OEXYӂm\~3Ę:]2;=2)=& Ϯ~)"gb/Q o@ P <ÂA6]663"_hg{'Pm v5m nQnBAF_`Jow9eEZ?%5RU&ՙ"wf[ |Gbeq i9z a8z̶f}ju"x8: gH_<w9MM]{fho]A 2xt_PFN@OzDfmy5y͢@߉%&JLSLT{18"`.n1̞M ݴ 8j{a h9m:&YIdd|cƒ*? f}CA&S=D#uZ@0\Yq0n XLZ内lA TgOPD5x&z#hal 7a]V.Z.L0Ы*:(^^Z捭Ԟ9 _xI)K:ѯ&΍"RXP{ 4bޛXf̬/n%[mXg5Y>_ޤYSIC0=Rn,` ЃI32Y7]t4u=\-s clZur6>PݚM|@lH0mBY^UlmY8͊D z@T-A% S vpHGC7qNgӮEKAXý: 1 c[ŝ9}-?wn׏!OMQ{ֳ8 Pjrqr<$c9|'-V|}`xl-4=:$q8LYLVOAu&F0}( :,"VIr([5[--hw:9LJC\iGB#}Iih۞.RyBtjX[RĚ mvҟ⁳l?j)йgM]:?\Ɯn-HV*{ a5XU5E];H_f[p43Rp5=*БNyT4#@f,U3K(ڛ;Uԫ^wwy6w߳g3 4`:9 ,(QŴ_ 61 יMTUa6k lMnϤ_gekL[}+jHd\W/zM+ΖYz1 rE[f-!9"Ue;ԼS#+G@i=SIALlĘm+kpaH y9 8ދcp7%Њ3ÙT&V&oTi>.'ar 482&?gJHꚘf]:J79=ػӳ(:w'; JYE[T/ bJB@K]C>A~Lfh\']1":$Qx9ŤZ%S{qƑ ԗp[4<nujϺK\` $p%!g@U]CtVdX(\Un灟]~UU[ ^#e;$ q}Mz"Sɫ(aCh-ӷQqrl*>.nhmMK[vܳ&^m4P BDE36A6p O/,RKpͺW9+UDӗDNՑcYv=..SE} yʧ3uNFYdMei]Mŷ3-ǚBeii\vfYAO87 |P_|*;kz.}Zy3nEXu 3tVͭp7]I.v)ix˨sLteV9FX9ށ8Jt"S8AB̲ڍM%fѧdޱ0%]8rbۋ*H~?{ѽ^?T'/ݱO<(Nke7M_`^ȭ$$,J̹oj=g;W:m@jq?LӣX5:̍Z,p|ik0;"ڱxy>aJl]$+'LهzP6|Ńh1߫۳-Bw_~dʜNsH&e~=1KDnnVHj^3w4kͤh :>)Y$SVr!0!G{gOlo;NX _ ց^ qq凮bq/<J]]J tPR(Ro%H`*2X lܸ矪Ηɥ4) >h61QMVi~6z]w^l7q RRa9|Pȅ )R0E[ŢôgJ8 Ǻ=|@!4ـɯo )ѬџtBoxvkF>+lHCF fGICu k-LV 7#_,vwG hE(K6pV@)W{ʗSW3ϳtGu@'= aBamO٠NA*À(qӑ*vɘ5aI,O[iB1l*kM=Geț=Ɩ:Zh?Ppg0D>tPSU hUTxѧx2|Eu1M,|s*}FӖ W*0t*p<@!h}U[\:{E:!]>R'Xf=SQ$d0qDak᳜*-^-;%Lĩ6C}DKyIzcɶ /rI-mkGXJ_kOڞ <ݚ TjfEeCNjyoԚ"k[ˢW*7Y .TV\Fu|{9(Rqf;@z~RQCa٤ 0o)ܝ)=˹RΖqM0!kLGx}FJE +n𸧿mo9[V6{=QU^d['9ː0W`ԾWɴ6|?_ <_+'%Hglbfs_߮FPrށ"貓 Otp&^ۇczjm@"^ ? ܾu˗%>C: ۇ<16 x`Jl~:<ßo ԃ5-+( endstream endobj 12415 0 obj << /Annots 12417 0 R /BleedBox [0 0 612 792] /Contents [12424 0 R 12420 0 R 12421 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28867 12422 0 R >> >> /Type /Page >> endobj 12416 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12417 0 obj [12416 0 R 12418 0 R 12419 0 R 12423 0 R] endobj 12418 0 obj << /A << /D (unique_234) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_manager) /M (D:20211013063105-08'00') /Rect [104.1732 104.5912 195.2422 115.5912] /Subtype /Link /Type /Annot >> endobj 12419 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 88.3913 197.6017 99.3913] /Subtype /Link /Type /Annot >> endobj 12420 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12421 0 obj << /Length 19 >> stream q /Iabc28867 Do Q endstream endobj 12422 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28868 20830 0 R /Gabc28869 20835 0 R >> /Font << /Fabc28870 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`lЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY-[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{wn0 endstream endobj 12423 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1097) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12424 0 obj << /Filter /FlateDecode /Length 4659 >> stream xڽɎ#ίs@h%uI99uAO۸T֌A,.-_VgboRWX2Zۗ磱럟Cq'h/Aۓ1b|6&rgcJ}ZұX=">i)=Ginh-<]e͓#LNj\~A꙾tᶯI{b2ݠ˛X6!ل ifs1.V'\ٞ\emҾPιœ~?-p, ||QVEI}K :LFSnrDDwAHb\Ta >R.a4GtKԵ@]LJUHeb[3̢|,r%K^Xjb4T̙CqA;D3Q|z~,C"%;}*/DQ"Fu!(#}C1z GUG)w$)gX~]R@3+aH*VQzE(9* k:`@Q:6{:i*;E7 VD̴@uAHGԪCyދ}8I65lei25[*ip>z|NU?# ~߿W  2*TXt]Y-=? Ut(uDF3+́!TĊmcai]aX,&Pp(VI(JF >ޣ&DK.裨 q@{>Sp(TPn<:تQ[K}LugɀHe `{`s"9̭ȸ&X @j7Pl qh:u%S`# Dj(H(Q[Vj>-{X)x1nR T]^XN5y`ch[xw~Gz!fzgZ =ԆӀ~ӳ5%H H#ԃS TVM^0O׿XMpXi4Hנʬ(8/A1rO@UzKlRH%9B7W ,"4ܡEjTgFQ q"-ү 6,EFn-Sl6H2j:.Dl;by~u8wk mb+D 0#NΒ a@'XlFY5 (a:{cɡ3/ .!FY`1G^ (ap@Jp~ZQ*+а%vX,Ҿr!rҦ&Xnak1mE) (ai|&qlRB"^04amkhd\T,O ^nN *<خZc*!FvҺuvfhFa[\8I"ֽ$k%gPn$jg|a ʫrMM"ރT,Mn&{2z+!V8adăuG՛j5Ģ#ҲU%dr~(x;6 C!z4l{^)A6edv95Iqj}aMY c{&a YO }mdB. XlUN*|[jpp H$@eGB6 ۑ v$F݌H4++~fffWFb6}:7=d8o!0Ks֬ a(cLt"4p93wA> 4/ycy5cZBY2YBOjݚ;.=L+85O*nÉzyi M<f0TDuk.#ʟ$UdWIvoX]_a}ܴtJ ?/~C^;m6W]]=)&&riZ͊ P*(Y\7 AMgƠTb:;[ZH";E`hqjWQWpt1#Iz1;-vMBj/d]y?<:`CBtcM,Nj/whlCyD^2L՞`[$&l16ʆdr"~P!#E_J566sMwm|:hGk¯nu޼5@qb&nyPL{Q1BR{~yMJ=0B?Wk^7U4xj~Dɗ72zWN7&2tš*6:%'i¦h7E$&Ǫqo+>yfVVXL4$^W)7V¡klBXm\ǒʇufQY㱗xVq5w}BcKlD[2If@0 <.b\/GםO?͉6`d ͫ-~ӽ>ZH$63a*#EU6]8vB)sW b ?V8OQP8Ap؀O\YŴNU r G]ic5YZ)IsYyM-8,#FT a%f$S[ g Pʵl CI> JEC\2vnڊG^{ūն~r?ZvWK< 霨a4N$MIBO<ї_,e/<]W' AC.ֿ}YZoP7~mCdN_rx#K.L~Xr#m2Xz]ɼe 7<%yd*߿ї?eR`lƎ̤ eFΡ3~x̷7f# B x[clM]V|^4•`28d]R1o.xvP\p5Z6\ݍ+YI^˅cхy 2U>"-iGGhENNN Q fM7Ana1_|B^m_yne&]3Uכ@W{h \M:썷sGt#sѓLrta<|#ٓz;59:>M=cUg ۝i r\7A;80ާcגrBzxD-$znJŻMu[3qo@x$F͘GL@Uv2TĈ.OB>,aٓT{H%u;\7%Ls<ޅRMb@MY ,_Bi0tySH@,X^5o;+RB~O(p+.Sqxhq)ArW3AHvKR:LJM dd*t RT:U2C0#C+y<[2<EQKQtn F!ΥAg4D('BK>uwE&hvf)rMK륏& Y'6YeO/8}KI 8]BV-`˲_ݬ"W^1y\cezSȫa%nw-.rGlJ!`6$9_2X#X> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28886 12437 0 R >> >> /Type /Page >> endobj 12426 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12427 0 obj [12426 0 R 12428 0 R 12429 0 R 12430 0 R 12431 0 R 12432 0 R 12433 0 R 12434 0 R 12438 0 R] endobj 12428 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 195.1267 709.9] /Subtype /Link /Type /Annot >> endobj 12429 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 193.9112 693.7] /Subtype /Link /Type /Annot >> endobj 12430 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 180.2547 677.5] /Subtype /Link /Type /Annot >> endobj 12431 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 177.7632 661.3] /Subtype /Link /Type /Annot >> endobj 12432 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 182.0037 645.1] /Subtype /Link /Type /Annot >> endobj 12433 0 obj << /A << /D (unique_309) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 617.9 194.0212 628.9] /Subtype /Link /Type /Annot >> endobj 12434 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 601.7 165.4707 612.7] /Subtype /Link /Type /Annot >> endobj 12435 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12436 0 obj << /Length 19 >> stream q /Iabc28886 Do Q endstream endobj 12437 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28887 20830 0 R /Gabc28888 20835 0 R >> /Font << /Fabc28889 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 2ꧪ~f| fpYw.Z 5J8.y-xvw7p|:m֯޽:H9,C.$G5\UJ=ɨAJn\&# c̙h;IHNQx5sT@<ٸs@61 Ej92;0G_g_{ֈ.{b(ؠI]&w2RЦ"G?w<;!& {VWlVbVxwRu&[j{JLh]}IDSRj.\gy4~und"ɟZ`O6Oaeΐ"ky/ KqܟBd#SZ(` hT*VEA ^|Uv,9)ҡPϡT[Xݛ endstream endobj 12438 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1098) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12439 0 obj << /Filter /FlateDecode /Length 1325 >> stream xڭWj,7+nՒ xl";@!+'!/R/u_%6=*IN=`^lyTohשqv6rǗag(wsE{:L `قAag#ʵ !RVmgۍtaZ0ɉ.ّZeM)xseq̅ |~&s5C 0qb\f}-FBȄKYWtv6S&_@֨b=]Am(v 10p~8MLOLQ ;GlU֒mlb56RZGmlJA9ة˳} \SQYoƮIJ+ǹZB*53J/6bNX6΋`O=|BP afDep_GG y 2 Ө [\:;u1Sv!}ac^hv.9qhyvN޻ܻ#.YE p^ NfQ;%> L \*R)fxV6qr!kD_K#1a'ͦ̚jV-Y59yK+2J=)Oi5*l+jJ}[2xq.,y%ѯ'*/j.*$/SyIp:BE BZc}E"J1/w*QcvdvՠV#/Ii9feWB_i4KyӷUWl4Dz--6MBjChJxR88SQ3{z3CPT9vWK׎DhxK`"8z^ɳpcyҗu)+"؞wzK6G'iw<0 =>ŧ{'c'! `V>p“^] R%hnx@k{> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28905 12448 0 R >> >> /Type /Page >> endobj 12441 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12442 0 obj [12441 0 R 12443 0 R 12444 0 R 12445 0 R 12449 0 R] endobj 12443 0 obj << /A << /D (unique_77_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20211013063105-08'00') /Rect [90 360.325 111.626 371.325] /Subtype /Link /Type /Annot >> endobj 12444 0 obj << /A << /D (unique_77_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20211013063105-08'00') /Rect [116.939 360.325 158.4255 371.325] /Subtype /Link /Type /Annot >> endobj 12445 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [163.7385 360.325 192.707 371.325] /Subtype /Link /Type /Annot >> endobj 12446 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12447 0 obj << /Length 19 >> stream q /Iabc28905 Do Q endstream endobj 12448 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28906 20830 0 R /Gabc28907 20835 0 R >> /Font << /Fabc28908 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMQ endstream endobj 12449 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1099) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12450 0 obj << /Filter /FlateDecode /Length 4376 >> stream xڭ\Ko8W<@jl{Xf{K_άIK`0"`P 6\k1bT/?.A;1ÒC^y`Lt zVgٺG%OtOB{b]iur<__o4B7M7V,,[lIV7_BO;Kk;KǥTe&VYEN3ƟI/3!RIׇP;|f<yFH`؀gꙹ>`Y5&xC:Wx˿DK %ܯ>05mW7;:H)fAf +sKXMN(N_WFb,"M\@g~4-KZQ \vmX^k-00\w3qđQF!O42|Amx0 d630sdM B~OYdz2"Wj#7t,]'oqF8lQ 5 - C<̈C9|%$]iNG0|TT݂IK 'xn Y$ 1ss0]]Ǚ=.ztf d_ߛӮƂ9SUl a6HۺQe(aQVBG s\g!_&B \3g$LwoT^UڹZwm8ݤ@N-^gH̲DHB?vQat=\RuDBJkxbeOz¹;M1ҿ&IB:Q%mLatA(." [Ŋϗ@nka+k7o6m/I+=zS^Xco[2smZjMjQn.:/dD4LHa)0W lXRB T>2=4Z ZϾj6X[ҕ.f.hσ)m,9#>ǽM;۾mU0$+'Te,cRub4rC%KOe|%#4++jxٰ Ej3ֽVg#6Gd7:Qf25tdc@*ՄC>zwK;F ?d]/BX*z;[ +C5 sכ +'8t9vipʁթdnn`a7@7\a`Xp, wV7:YF2WR{(63Ud;V w Їڕ0QDb]NNt] Nn 'CIp? '5I 8NIO4 T/,~!~Nj~Ԡ_[wra)23ۊ]3z)v2ôsi>Z`p6*z Sj0u0bQ..:|JR`yѭ!~:Rg>#hF |8xF*4^RT`MU3. kC'uh3A1+}x*q6l2Jy}Xٶ0&%>>"=mrQ;S)._`A5MGQϏr5唑mOm8C0=nFܷy ?N]q/>q?Q}B--~ŞzIO({OV`ɯv]A#}\Ɨ F~1 !u,Tu/dPJ+SⷅmgnRƞ"sێU%O?a}.qS#Ug}M_ /% _KDh`=OrrlJ{Gic}8B_ʪ0ćjCUrt-F{]sIa RY=_ODÄ5LW᭒t}l0C[64{9Ari< 9Tsm`4It4|`f:fufcuڋBusGC"Xza?ҹyTy0vjl"շqÔh4f04 z C< |iȌmb@ETw9%d6~".{54DJ)[.I0}֨AcG)YV2Hl8yV&۰UOb|^C>bZ2S39zJoX&]SSW9:+oiџ* +]#/<=rr4.nZ4P +"'f+]r$VHT)b_S$l0sĩecZzTQqbL$ xLq; I|"n);72URjj۽kgTJVl]9v#%{Qsl0bĹ&#EՇ& ~PSdoE cót\*G19%0LJ36o)o xŗa) \\o,FpH׌âg~C=QϤ\?NiK?zs?Ӳ=5|Z.ux po#pRg#|ԡGҧxӑx?؎3с~ϰÞ%](Uq3j3Og>Y;L/AD5s?X["b endstream endobj 12451 0 obj << /Annots 12453 0 R /BleedBox [0 0 612 792] /Contents [12460 0 R 12456 0 R 12457 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28924 12458 0 R >> >> /Type /Page >> endobj 12452 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12453 0 obj [12452 0 R 12454 0 R 12455 0 R 12459 0 R] endobj 12454 0 obj << /A << /D (unique_515) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20211013063105-08'00') /Rect [104.1732 426.9384 207.7107 437.9384] /Subtype /Link /Type /Annot >> endobj 12455 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20211013063105-08'00') /Rect [104.1732 410.7384 195.1102 421.7384] /Subtype /Link /Type /Annot >> endobj 12456 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12457 0 obj << /Length 19 >> stream q /Iabc28924 Do Q endstream endobj 12458 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28925 20830 0 R /Gabc28926 20835 0 R >> /Font << /Fabc28927 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶXh endstream endobj 12459 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1100) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12460 0 obj << /Filter /FlateDecode /Length 2516 >> stream xڭYn$W<@r/@ZTֆ dduwl\dWI"V?US/oY* y}9>_/EY>vM}g{e# x'yǽz P1LeqF t3Wc۫ /|"}%3s0(G\DCg!4X\ ~Bx^e&ٗ±(}AܵIxfڹh GYpkEίCO3ފ@>H'}(!v I*L!^^ǴYv}U^ECjޤne"/r j!3Rq Z,7*X)` V ޔex?nf&l3Hu3CF4PyQ@3HiaeT@2#Zv2X\q놤uH¿z}AxY&R mNe2U3MC#adcy3 !z"#lƀW`OʼnD("*bp$1UF4,mDp YJ/VƤOC4#S+d!qnK0#Ozfy{`,7f4<0 ;+'PN8| ]0 MĄ>kKAJŒmPubӷ4ԄyY:R뜲]aT/z(߰ھ1,wJl,왺t톻h, ^y~ G\B`~Bmᇜ!?YGkOQumҦ6 66`2a5/ԘCe> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28943 12467 0 R >> >> /Type /Page >> endobj 12462 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12463 0 obj [12462 0 R 12464 0 R 12468 0 R] endobj 12464 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 372.425 137.278 383.425] /Subtype /Link /Type /Annot >> endobj 12465 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12466 0 obj << /Length 19 >> stream q /Iabc28943 Do Q endstream endobj 12467 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28944 20830 0 R /Gabc28945 20835 0 R >> /Font << /Fabc28946 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12469 0 obj << /Filter /FlateDecode /Length 4816 >> stream xvÖ m',WRRu,IE\ZJ*2R ^)W4' i+$T;ĺڳhkzr6)5G$_KTZ$.vJ)hYJ~wv+#E$,`!:(hyY3}by6ZVEzU舊J]\Kc{.VqAyDi!ɮ ,],_I;kT~2y,}FhUSU3'G5M!&)Lؤ9c_(v H6-ClXb5vì Ejkg{Nvkf}c[7k'B-ׁ숪4$}jAlѺ`U\ q޹sJiW X{u:QsBeAq$:mJemU5@ԗj4 @3\lBavja6ԢhvȠBq̎ULEG٦+]Ą@fKJr7Ǖ rN"8wNzKRL֞N dd$n,zݫNfR՟٬NbTR<է ?.թNG0:aqN:;ԁR0E:N]W4 YsVh)s6WoW2/ja]c *w*OI' $K$POTIl:'1{????k( BݡP7?O.c&ClV1N_cz# vh<2YDn$m0l̘ ԰b>Q{ҞWk:I>a2TH|{t崕e2LYii(':l5S)ݏߺfL6SǚG|y' /85jfnn~g6U<71x|-9Z`lҘ|N%Zm|=fCP%hCS*iz yLDJ508-Ҽō4o6&۝\+DPƕr7qb LA]#5gj?%X.0B(F 7$h=>s$5Q뙠LOe0hb(oߍÆIlG3BB7%H췤u-JPcmAƿBrl1vB|$k#DP07)vKܠw!rDn-u1 Ӽt[2LbTbVrY Wb\͈%gՅ4@+Sk+ց]#R{9[lm?m]ڶ 2gl[dE@q)J=0NPb+! YqGKgHiP,sJ'[>Z@܁8wqgv"zDư݉ɺD@?&ڂ dE_X0Xm 5Ө3a ["#u:?gUܔث*eCRFk Qfn7N qT "M?Lº֮)_𺌼beDaUK}l;[YjgeA th܏WiSlz;"m7Ee qL69Svݻ~ɘQhׅdM~S> Dt8fWc;WcBk{ခn :JU=_V& %"`{^fb_vb5 WB2&z!cXX)7$d}Ƹf#sZr[,S1.63#+O:Cd={v,KEŪ~_Z.QQG, & NIiKŖo-2Zܓn?9:_[ nW,$ir3جp\vW/3;a:pmȤmo(|p0BY7NJVQѩD馣C7<0 eSwDQXr0UC. HoHk?@)nڏswiLVC9IEv jr^Sd jmC VS2i]}0dܘ :FyJ:L+1|a27kJ_7 I~Ӣڑ{/Ki8_{1ka{bJuTgl!߅r@T0܆9;f@QbڄQ%Qe?'W[G}y"fl3w`)LqAMw-es"lerTt-vbҔ@Nfp?Ϲ?1|Ť)HK,?fDZ]<9-jD1#ѱK ĕ;f}Mع$#ؔ:?}g !|^kC*6^cZ2\ܨgi(o(.:W)sߜJobnUџ  \_ 8fyݽ| -]O5R^X@(_ (2z2#Gj/8*\ *n*垩(՞(W_+05pPoK.ِɝƌwDoxkq~x*f'RìkW&W<<_K6y( Efq-D*lH&ڎMsJ{=>j·-]l+&"{+cs[PC@cH6u de3mdT !-1w. P}>o;RN'i'~u\,zP?Tr 2/WVvW endstream endobj 12470 0 obj << /Annots [12471 0 R 12472 0 R 12476 0 R] /BleedBox [0 0 612 792] /Contents [12477 0 R 12473 0 R 12474 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28962 12475 0 R >> >> /Type /Page >> endobj 12471 0 obj << /A << /S /URI /URI (https://www.xilinx.com/products/intellectual-property/xvc.html) >> /Border [0 0 0] /Contents (https://www.xilinx.com/products/intellectual-property/xvc.html) /M (D:20211013063021-08'00') /Rect [153.375 403.8077 432.21 413.8077] /Subtype /Link /Type /Annot >> endobj 12472 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12473 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12474 0 obj << /Length 19 >> stream q /Iabc28962 Do Q endstream endobj 12475 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28963 20830 0 R /Gabc28964 20835 0 R >> /Font << /Fabc28965 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12477 0 obj << /Filter /FlateDecode /Length 4023 >> stream xڽ[Ɏ$ W@c_B]U|рNcK2%RK5ȅ ##r}2L4ޢսNY+f:M k>P~bh8Uty7-@}@$@<уz6FAchDҠDs5*R!kp2PQK@/c<ZI%r/I»z4ABqj~CE/R@[{c̠[ o|/c$0^g,g `0yu6xO 6=.̶;a^ɂްQG4P?բ$b*~ImV m/ؙ=/0s@>]Qlu5S嘎rGլ87[Zq4Ahe58f*Xvڑҵz/xC=W`&R40MWFǃhIjcmYFhͦQl—2=N~~VDQ'>TakuGآ^ V@lCc$й32׋^y>Ȕ>vPNG=7Qt\MkzOwaGcmno^B!mȤ"n I='/&7=T%Y`rm~ ŘD)/.xݔ+gE{ނK$[B4gѥDU}phxi_wػc/m;p6 ,SӢK. r>fm$7d9"bc]k+Qy*?Ae@D<ӫ un6*9Tp MP鑕ds:-u$=m<jS$Uh-|p,yo\ٮM3nNv*TrSl#ɛɣ'Š;:YRj[xun?X ;zoeUF Y䳐bg+nّ|n>H _]ISc QAycѽyPJn5\-\z ߀ qﱯQaڏmd 2Oޏ]n3TmcN ٕ<* zbGXp 0qwL+Dl]ɪى ͳ͛=>2no2s~F QԒ}eVm3c n@ {pw2[,֬ݯו[lu; &6^[o?.-&}kuվkŭ>}p`˛PpѧqM.Lx Me#K+|gN1@;x?Lp+\߶#\O??F!s,- xM4J&lPx:Jtdʽ hBzn;0M~&&䪉xxe< |33h"BʘƠ>@`g ⊉äY=Akȸ6tɣ'ƠBf&x o& CXyV{HvbM6};Ƨ>j|cH|bD`!/be5=Z'v7M8CS Bj$z+nfh\@tN_ z+$C}š -kŰchR=S Ijѡ^8@%HRj˥*ڶvIKsG1TmW=:o&oh zwe|-FS:؄gas* H.c:&?lsEĽR#`|%2:SfܤB4ΩZ%?gOܬH_$Ѷvq;Eu1_½5X*bքS~ nW3|sJjӇc={M80]v-@,@%*By b􊫳'3R=> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28981 12489 0 R >> >> /Type /Page >> endobj 12479 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12480 0 obj [12479 0 R 12481 0 R 12482 0 R 12483 0 R 12484 0 R 12485 0 R 12486 0 R 12490 0 R] endobj 12481 0 obj << /A << /D (unique_235) /S /GoTo >> /Border [0 0 0] /Contents (close_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 561.8 182.3117 572.8] /Subtype /Link /Type /Annot >> endobj 12482 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 545.6 197.6017 556.6] /Subtype /Link /Type /Annot >> endobj 12483 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 529.4 195.1267 540.4] /Subtype /Link /Type /Annot >> endobj 12484 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 513.1999 192.6352 524.1999] /Subtype /Link /Type /Annot >> endobj 12485 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 496.9999 180.2547 507.9999] /Subtype /Link /Type /Annot >> endobj 12486 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 480.7999 177.7632 491.7999] /Subtype /Link /Type /Annot >> endobj 12487 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12488 0 obj << /Length 19 >> stream q /Iabc28981 Do Q endstream endobj 12489 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28982 20830 0 R /Gabc28983 20835 0 R >> /Font << /Fabc28984 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12491 0 obj << /Filter /FlateDecode /Length 2053 >> stream xڭYKoW>Eh4Эv/rd6Ɨ㙍 Z"YՃdQߴ=RvUW1rZMh f&W1.u3^w6`y9j;9]MHޏjoxǠI2|4~>oZINUi%EQAobVL~ .2EV*jK 'd22'${bB>bd u>15uՔiv|:4fs0D1 ߃r]9G<5dTT:oMO\D< rԾNwd X ׇCc}g`zҵ<"78{?]ԣOix5 J\\%&vG;ˬcr.gBȧ ]{7Nn26yB6[Y 4]0wӲviy[ҶOŐ6!m"}vٱep= EeK),e_o8Df qH4~JPy+%t< &G,\& 3l\"XF4E0D:D'm_!P+nݷqgmvFkwq7I+ڔV@G4w@Pyaު2XX%cHkS RޗԫЁtCT`c @gŒdH?^8?]X9'6%*\]A;ɧޡÜʝyL[ dG*orZ(Hwntsd6#Ntr_!n=覣GO߫5aF~Im_&o[j(Õ{Ȯ:H \K:tO}~p+>pz2 CL9N LI BqPg.hк+= o€ 6E՜\%rt3!}Fpٛl0boB8jۀ1g[0c2iWaԍO5!M'\"We_2*O ]E+QG]eWpF!7=xe鰫.hBM?we8: kJ=LotX8hLBz镞pkX}sljdEl`phñ^:-xM[m-Z_˹^,NUq37$euN?Fm@oex)PH LjG PYx7YtQe!Exg ʮ)ms')Oi9{L FE0J-$6x̤. NIS& %g@J>@aB}gas(>'%PfW5CH޺C1R+lܦ}O[+TR {J=fGFUf+d.P=,3Y>uù,#JS{9).I«2fS (N+(WS>mHWFqXb|32lBָs9p+u).LbaS BF"ȝflϪe|ÏPB"s>G"GwD7;fLrgQtORWĆ5,˝*8L|ϔ;'5ь4cCe nka)Ң;o8C,c}e]W])+h8;0> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29000 12498 0 R >> >> /Type /Page >> endobj 12493 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12494 0 obj [12493 0 R 12495 0 R 12499 0 R] endobj 12495 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 344.325 124.1605 355.325] /Subtype /Link /Type /Annot >> endobj 12496 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12497 0 obj << /Length 19 >> stream q /Iabc29000 Do Q endstream endobj 12498 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29001 20830 0 R /Gabc29002 20835 0 R >> /Font << /Fabc29003 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہ-χv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>U]Ѿ 5Ժ@A=JJ9ߵiK[ZNߖj}LLOO4yrCodE#2yk|Z ip.3jU~oC25ós~3ȚCC30y8e8bwr63pΘ)U|/B5_}t{ %BJ J‰p T[zw}S endstream endobj 12499 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1104) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12500 0 obj << /Filter /FlateDecode /Length 3963 >> stream x\Io, ׯԾIc!IR.!gKQwm$ůX R>b6M<-Ic*./G'ϿM[ 7;;7Zm>R3›o:TBںC"Ok vcgOOUoI%EjQVOs0J iJ=kdžE)yWý(i4JكRQ)汿kFCڗzK⋝Kh - ̟źY;H%JL C]!(4Co#e@nP ${:*u=>*c#ֻ%ed\e9g,h]9EŚӦnpHshi]\\  z}| U:Y;"N8Y*U~1ATCT?>ш`?Q?|XҒB@.71ƸhˆVbvQ[0[1O#);2P <¼bRq"y|za`fI6L5xy‘ձ@7>\˄rܞfT 7F-P_9cwa| ^g3:oN(b:/C!}J|r;ǭ>!+]*Yu!5:zowd!-C!ѝ!Cev)/:+WLd8Ch5⻡J⺖1T6O&a͒3`t/PTNLxNOUY3qAbrhn*|a:VmXgsՈV,DQ^:"uZy}!zݚ{MH#+xb-*u8XX{+o]oSVgZ>Qu29jX(cWׅ f)ښM.-62Y4yrc=?cGeB8~ 8h͍҉{ǀ*(zgaC %$,%iQ6qT BVq A VlE07_SePh"IX5xC*< E|_!S,a@h_kQl2E!au PMn;S)k*Տ/?U:S^;(gh NBHg(a`c`T)Ω@+ u8P7#ƃhmK s':8Oԛ6JJk%YͩT9!^,;:h`0.8{DKRׁ%9B2 k{o V{"T9͚MG4QFvi=>0A{d3VGaBg}"b۷jdʰg˘l64U7n\|~m\~~b@Ð"OlЁ~[_D,2_'09}}3h!ՠT,X QzVXw^^m+ +jU x2KZDDA_:_W{G$[pzXRv8:f1Qt2UfJ5,m&9b2/ߕfܽLxAq$I/I/Q%^ 3]QnW0qKMWd4 a2ۼ4zD&pύN΀>8F- -ɐ6 *OĝQy;bc7y!@7+0?jvqMs/Jg6Z".4a)L3q3171AF9FxFYq!@oDstƁN1OHЋFEeLFV3҅>]G*I]":d]+e)Ƭ_Isi {JWVfӕz>lT&5p.L@.Mgc(7u`p\v1{J)10ƹ"l`eO6T '0EْMuUƐ +rB܂:xkM *F |mP îuQIBڗJױ_nK.N%7K>kZj]\:I|Qx*6MTk Ja~Ƌ]FxEJb-ҋ֗r '.TU mmB K|4dzUȭ:@ 3dD)~T - =l!'a+,0W"l6tSb`ԃM}dw+ K|5ޥ:kч%|Cl93i9uJB/%sDұUܠuuvE!ZZ,r&-A,)Dc6Uk(%)v, W$4`_^9E%n_ĜKh(ߚ,/`-{(icfZi!~i9ko:cnݓH2+΋!%kp߉)n>lIјrbY)ƍ;W΋U2NLm7륎(}CYN06֚2Gù <Ǧ6>_1!)f~w&Oeuُޣ~҂{q9)$޹#}F`f>S00)gx⅟8O#XN7|[gGOU0,ԇ8V xԮzʡ{*x$y_}?=/sAtӍ`E 6 endstream endobj 12501 0 obj << /Annots 12503 0 R /BleedBox [0 0 612 792] /Contents [12509 0 R 12505 0 R 12506 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29019 12507 0 R >> >> /Type /Page >> endobj 12502 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12503 0 obj [12502 0 R 12504 0 R 12508 0 R] endobj 12504 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 323.2 173.0772 334.2] /Subtype /Link /Type /Annot >> endobj 12505 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12506 0 obj << /Length 19 >> stream q /Iabc29019 Do Q endstream endobj 12507 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29020 20830 0 R /Gabc29021 20835 0 R >> /Font << /Fabc29022 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG<~UW<7!fZ5Y}GܱYZ)6{ik_KiR'Sb:tD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w endstream endobj 12508 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1105) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12509 0 obj << /Filter /FlateDecode /Length 2753 >> stream xZIoׯSHJ 7rrr )}dӒ5F^{[o:UwVǠW~UOE[>W׃1Cȃ?󟀊a*ʙ5VcQ? fx'W"Q'smm]]lS1c0?pa|uk`e9 Of$Ld|s`vVȦSQf]ʓƶxO2RE9aR3 g6oBEbXM4-,VVhҐDPrb: |9 P"+r4;&!Iãr3W@'֘ p}䦙 P uSV}bQ-+f.0< '#xg&:\>4P(ay[f4GĽŽlK@Y`DfYikM^창 )$VBF#c Fۙnۆ&VE挈N$U\ Mmm ޭw_j$ b#hB<5+857iQ-9wT03"ĿwXj^+Ʊ+d&@IEtBN7hL56< f 7AugONa!j~Zc_, 0==ߡg7^?4Qhx: :֌ET$ oeDI&K؉蔟')u8a0Gj1\Bl 9mVq\I;5Nl]olu--sĺ)ܽ_HS\6vor.NsOj^ +m~p]lmWe8tH:}bf>T3 v4pT7@ȭTn3 au^H7Ꞵo9lF! &ƞGiq:&C)yU(Y}!Zۯx[o' ]t&Q4e jGbryN?xtہĸ{!`q7G37[?-zHCbWFjl-TAqKF2X;T%c?\%s ̼9P*,0ZHN<I 1iJ>9`wI}N;kiNxwo˺Qk0₺3(Rs̵T_'. AlE,\p1h ){yj%LH>VY^!}`hWު#D7P$U=Pd}xcV A`_"q{Coz795B !`/S,@R܈>^9\nSiFɋUA?y>\#ئ+!(+/W~ajs~vq2aЬ`"[֒!%+?RųeX\3VWh>ᖰ-WV ^[-P}_5v)a }F`h;iEAv'nj`]-ݜ7̫kYf]}Wm]]Z.}!D[8z>/w~hbpy }ϱ_?HjPhp1kLkZfq<2U$oMl@zCY= J-r 5T~KډcYt'x"\dSq-{Fb7d*y]Hh?Gs/umҦ@@wx Yr`Ɲ t7t둘??fgWgBzB@.`:gqw@5ަ@b_^Dy6N<Ѝ'}FNۿ *`i، VIv;N,=RdmIxKV ΁wQSk> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29038 12516 0 R >> >> /Type /Page >> endobj 12511 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12512 0 obj [12511 0 R 12513 0 R 12517 0 R] endobj 12513 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 354.325 124.1605 365.325] /Subtype /Link /Type /Annot >> endobj 12514 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12515 0 obj << /Length 19 >> stream q /Iabc29038 Do Q endstream endobj 12516 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29039 20830 0 R /Gabc29040 20835 0 R >> /Font << /Fabc29041 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ f endstream endobj 12517 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1106) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12518 0 obj << /Filter /FlateDecode /Length 4884 >> stream x-Ic*!N򗳓FG[ WRɃQxeKOՃ6wb=vՠ-ڸx')O[Q>"rBoU/7RF6:-0ì0&%3%_rN9K|%>IF=)Rpmw>NNh}6BS֋!$br+P?^V;=0OaX07 wqAR%c-MiI G& 0UKv^WpCZXKA~O_4LBQw̆9fqIŀg0}̞'X#,L{'w:? X";MCd4K-QnGYGJKz*zJ'NvKp?0_LK''+ bzB6i"FMDo cԃ aeW8&8\96@?T'm =ՋJ'oDgQ+ i0ufI6mXYʋLdFeצ,b͹ Tj6&T b:AUI/lDzK>ٝH Z75lHQHUFTr*}Զ%b6 Bȃ*93{(ߞ?u E92#;\㈔ Άot^\F@ߦ(nI` Cc\ =4i%zaN_fVoZ^`nAe.̼by W]3{凫TlL)+v軩}>U7d.[6_4*ST>+!n\ܫv";wf03TϤ]tD̎<سX}?tF|* o {t2}J¼ %AMZv%  |y ,]HҴ)J'^e _eE@.E  hm9V dIA*cw%Cջz"䘤34Z5npomU,u+.P&W):+!u@g&Hj Iliy)7&znppydm\jCjU+IujOm3L0Du`n 0.EoܙH-.*erM晷ɚ2 SjFҳEG4TҚ?`_:^y$Q`-YVWodm{+5dUI+b:Zf˝Nсf.hD6)LR\BI9svHb{'b%X0nB֧H;G5=mZ0;j۱ٴ;Ťx&X JWj4~h:tt0`sou`ryٜ,+W:'ut(۩98v.tQ-zzhZ=0Zja@Z2QŶksAW&blוa@fV @f@Wqea<`|w.Nɬɰ4uNfNfNFz$fu?NbV'$uNu)$|:IϨg9#w>Nwu{I=uB ʴQxuHHmHgR+2:`"YiD@\P$7'&ʄcRWkɅ?q1"ol빀fJk2sc91qd9"!c1e <2˥w^h4tjC<־^J \_ D/@ej>TZqfɪF Jnw2 &·Pogx5o]=|fsZPD$[79"&_*<L5^+]4]ZX1\PGz<^f $$>FxLBnU,ؖX1GBف=3HFߩr#ɕT>k]H "l8',ѕKaS/Q2s] X࣍޲>D :#Hxڢ ,UaD1b8Pʆ{:S #c Py`@t]nafCf~/~R/@IHczKLFO'Wֵ;,1dX@xDl/6m_lYEumW f2H6$M<ǡ20p8k[ʷW6HCj3&tmC@4<65L.X[:VZ7YSBZȺ]h[,PƔ"dٗ_/_.9>>+1+྘UՌ#6fpT/L֕H.;U <Q)ZWn 1$ ΐ٘c-yM;+^zxܸF @zIxZmA V"/K]P% >bMXRZ6u|,)n~JՑ!oUa1 oa[jv[x XuLlx/q|ëǚR|8`UnaSEdC1DG#6 !R sdnTRTUwH<8JjvXhy5ҎWiY"-fc=Ư%G2 ;/(4 -H(8\"OM3{`#߿޻ࢿK<1͌;<tedfld|iOTEwXtYܜ*~?\ơ^wam94~Է&v,dܑVhpO{2(^~j",+4)YI%dN*FkJ0%+GVJ-PlfL~>-^Wf7^4 ۡո:bltAo Qz?'1 TRSÚͮ88ʇ!CkWԫ_I*+cs)BY=|u) =iT1R&ld(,['1%|1?ݛNV:Cc֚m*\eco6YK1MЬzP4,xj4 K%v`hQ{augb/Z~5ݶ=%4CQӉ l/nrT%)7yBZ=>ȝYsB9߂U.fl/؎~^v~)GN!:xxE@guqs`êN< ?`}1L*sn @nV,,9'>]'FoUmPz-gmSY+jO|}IG!&k>3<#G&= ă$5_so endstream endobj 12519 0 obj << /Annots 12521 0 R /BleedBox [0 0 612 792] /Contents [12531 0 R 12527 0 R 12528 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29057 12529 0 R >> >> /Type /Page >> endobj 12520 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12521 0 obj [12520 0 R 12522 0 R 12523 0 R 12524 0 R 12525 0 R 12526 0 R 12530 0 R] endobj 12522 0 obj << /A << /D (unique_529) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20211013063105-08'00') /Rect [104.1732 268.1192 167.7037 279.1192] /Subtype /Link /Type /Annot >> endobj 12523 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 251.9192 173.0772 262.9192] /Subtype /Link /Type /Annot >> endobj 12524 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 235.7192 195.1267 246.7192] /Subtype /Link /Type /Annot >> endobj 12525 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 219.5192 192.6352 230.5192] /Subtype /Link /Type /Annot >> endobj 12526 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 203.3192 178.0272 214.3192] /Subtype /Link /Type /Annot >> endobj 12527 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12528 0 obj << /Length 19 >> stream q /Iabc29057 Do Q endstream endobj 12529 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29058 20830 0 R /Gabc29059 20835 0 R >> /Font << /Fabc29060 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n` jЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶f5o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}:$ endstream endobj 12530 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1107) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12531 0 obj << /Filter /FlateDecode /Length 3815 >> stream xڭˎ#_1|?a4c7`:0fds^lּ^&zW2瀯\ZU1bu/n/z9*mdFso{s'KYTշ?~PQva 6賮8l(%]1VժFPwExWgcV~'/ a0Y\&þ t0C#p)Wu..H#s8 ڿC1م:´4pvjN?K-O<^fs"Ȟ0RGZ_k%Cg(=3_#\aߺVlmИ8>X? 'Gk. :SO/#PSydwV~d]sw'_~ 2Hh@xGqIƔdLN(/T;=!]#.#kԳ1r<@v6D\Η˝m# >Oxn{M' j#hH5PG$nqHGwa08qh\+k5}-#t3Y/apu&x"`D>q`OSu}$ᄝPqЭzm}w(P/<v! ab0{mT/!H&N9R1D}B+""Nyr'-VX_e5M-Y2gum;~lF޽٥73EgS^GCE[FCzqePK CP֛CurlE|S{^$V Pp ˀ  g 12؂\YwwK:{A$`]-Nq6-rfҭkl#`pW4F܏c`(զZIV$GeўD00<~8/+/6ɩY B X$VqcZZ81.'a*%lx}1oiب߬{s3xy$њ&Ꮙú@ ȊCbXQ.BQ+L-gm}F/暟.C j^9Wzo.]^qxdyn J>ߐ٦7չ扡?h!xkh pM=FF~F1pvW`WVI,NN`2ʦ)/0 [nFs.e&X?=f!nid&ҫV50qN!.qp$ƆF/-Bj 7f* $F8t`685't#q_G]be_\ }GT T[(2 8&ha`:e@Rjƭ_'2[}Wb7rCIה ڟDb~H uܫ[n1nS5Dy=jEbs )v*2bjvn6jlЛd:3Ez;S ֟RÄi 7A+y+'Wxe7Ÿ}'Z\qrLB^   kjxM'-;x|/YZRS8oflFs$udbk~\CNaK^I2S^s%G5\-]MxlۓY I䱲C(tNWex[1=xmAs;FI}u2;hZ@W7:D[ ێrQ\eV*V?NФTU;nX*(fs`U @94B E_+%WVc6,y'=Y%ZI>8qdxM`8#%%0{nc՜.6}=e:wi! ݃]E6@# *BĔߖ]0S0> )V <|^ |i}poYFlcOo?pX'/vf0Uyp?:O'x\o O<2H-Fz#Rs=FTiPl'twcSsqM_ks mѰ\|0!] '׾ k &yDf4f53Y#x|! OI1|/mNP]3"c xPSuĠyO]gyTm(TgFlL<u)x2}{ywOy(Wo8HT)]MW|[Ueb"3ږ_x5PcfDڙ*[Lki:w9kw0Kuk5lrlc+-2Ɉ?UǬ\O_Wݰa\>2D'!U\yvMS5~!C.@ vV>"FTAfy ^.u_F(/T N)RjEGܡlgw8ɲkVHvn$p2G*2[ $rY@'jܕktFM]+>:!Kֵ.X\ƲvĢ| UQVL.HIq`:/+ !]tie8@sX $D']wl /]8dحu8xg&5ݘ'-(3ྼJuƶ؇0y?>LD8mʇfg{Y53%+Zyg6-)A{F0 }zMWS6o3]տyGN `.f>t,)2! ZY&'p0"xD# A)}K/dFn{bufL{>bܴv$FABJSf9 cϞ.!(۽5`: a Q4 ^D-$4 8>3oT ^}S@,X~jtN4~"-LzGq :Ck_ F0l ]lgifi-dej|!JY=.i~*E&}?32/ ˹ᅬ_=sc27x[:B[3<溔D('B[>OMצB&h.}asylvdV?)-;`b÷ԙԐ^H4H_L?ۅ b^^+ݞ5X-0 _R|%&Klv9 ̜xoaeޚErDP#^Ҩ ;x7&VIVxJ'xyIBP M +ZYᾯx3=h}G!< ,9+@s endstream endobj 12532 0 obj << /Annots 12534 0 R /BleedBox [0 0 612 792] /Contents [12540 0 R 12536 0 R 12537 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29076 12538 0 R >> >> /Type /Page >> endobj 12533 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12534 0 obj [12533 0 R 12535 0 R 12539 0 R] endobj 12535 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 351.225 122.692 362.225] /Subtype /Link /Type /Annot >> endobj 12536 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12537 0 obj << /Length 19 >> stream q /Iabc29076 Do Q endstream endobj 12538 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29077 20830 0 R /Gabc29078 20835 0 R >> /Font << /Fabc29079 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12540 0 obj << /Filter /FlateDecode /Length 4633 >> stream x\Ko8W<@jiLs̩v {K_ҙi{Y*-A6yqǫ.yVǠ,C~_vVՇiiם13x]5ɺ{%yq\}W^[~~Mh7V/ oLiL[?%Yߔ Bk;iYLEx-r:;cјh@ML|1~'.r&~`tB!?_Sai(Ro{I\P 2|PS(g /GhҞ-^R NƴKΐ,،\&HZ7loPUO 8{ AlFv||GjѿP~?Y&hE^5tK7Yۗziv)wU=&fifor *MOuia.5 << ؞\G!0s{nu$3pu6tIfT4yk4䪺F3Czz,%ʧ<#w^KrNK"y!O]+dЄ,Y)qbz;bvFVEyZq?^kw)MjVeւ%ua/3,SP2k'\Ʃh^"(oMu/|2VUQvsAzDNz+E^_<7PjCY%Z ָA㾿"f$z0Ruyl0N( Cx!QVpk^E(b+QP@u=Up˛>]!٭ZL J4Ax1 1 (&ߣz 6+!©'b䵴-ݛm?Psu^+ B&ppp>=>GɟC礂H%`C<Ċ;}{u[ގ$7 A5^˩j|ugWF*>> Z>-=)t'yiZrCSG{oAKQ]P^W Qp}͢HrF^)np?קh#dwy7 vt?F7n#bU|Qu0TkkCƸ ; XivB_csz]ٙ''hՔyJfN=HMn2ύ~Y/»YcuNG4'OBQۡ)h $WdnQBƏxڳ-KUdj1LӧT+q(ZVtƭXa2+X, X=X<,\ ub0}t۬LU'ن 7mv%l< ;8)w''T8Wp[ɭ.LdNj0'pR'I4?NaVCσGआ3:}~~#ԙpRgzIN'N&| P_P } P_P>~ O_xOþP6@zߤz)65@4SZd~$sz STUxyVO"$a[3;3zf5nC|^밳??aH}_,Z^o=nT[h-YNݒ,+p\[ AdVnn6sSs­C;d-\WPܰy;#י}ِp[=;^s{͢WYvю5ތv;nig$'ܵv޴@1ݔ&(@GPÄ??K^g'^wjGjwW+[/PŽyu_Ht0j'g.q.„JX8sb(" x`qS4aBK5ZE4[/[Wj +HI b~U/P+\-G^ ME$r uGM7W|oCP [gX : j۳zVdhsJ|nV!Q"#ܺ&6Űqw}qe8Z6ZW6Vye)tv2p>;7EnqqLj+"s$-r+?o-֖Va1si8=6nK+Uj*yʖ\RhIu 5[{{-= 投jK#Ws3Ҟ IZaU[\4o^1d]Bw2̈́.@W8n3#Xe6pf1/<^Om3M񎷲lʦ&^xn{DY}fDI)/ͼ%Љmn*svVP;"㽘^5!b=~ea1Ht gAC7y&}&8)ݢߵ#L!^>I?Ix% "54:HooXk7bxGcE[v/0$^G9~^/7ʭ:C`6F3% ,{ÙS :t>xNB| }}9_5B1xD-mbQҔMΆut Yj'v/E/c{>’Ԡ:! cm{Mb΁qT:٦{SET zXdGͱV*,Mn-wpl)QUE_wJ-3@2CF]F+p%ь6NF@l:s:u+WlS%= y_b2nh-l~m?d-~1D;4Yvfw6JhXl #jo0 _hݞ^n}q ':@O7@zT&P\E`}Cqݵ#>WŭILP;`zl=S N} p-BLDôp_o0âq~앿/~+kA#sfx.`S{%LD?dܐw 8mIZx(ïxܴ%DqC q7"4u{{~ڙ7N_v(ؖ١RH+"\]R牃[-j{jͼ~\t7.rQCp&1Dy%.Âu&oDS2B[bҟh.VITo%ʛ =F^.x{]| -6N rXOgWjAeYOP(b]S l0sĩem%")ndbL$ x- Ajn|Eܒvne"`ԜR⥽cZvK"ReT&kUN2d}[Rsi+l Z%Ή7i).T6F\FB*{;=(*=KͶa/&{p`cʆ>2 8ϋ_ GY\ICR $1톍8jJrގ;E= =׳w 9to|Z|QNxbp#`&VdP&Bny/'wD-u|>¯\7˽.'#Th B(>]Qh㪺dRt| #?kGԕ-t F]տAz_N֗V=[_хzbZbUj\ 2~w"-a`l9YO endstream endobj 12541 0 obj << /Annots 12543 0 R /BleedBox [0 0 612 792] /Contents [12551 0 R 12547 0 R 12548 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29095 12549 0 R >> >> /Type /Page >> endobj 12542 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12543 0 obj [12542 0 R 12544 0 R 12545 0 R 12546 0 R 12550 0 R] endobj 12544 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20211013063105-08'00') /Rect [104.1732 111.0925 167.5827 122.0925] /Subtype /Link /Type /Annot >> endobj 12545 0 obj << /A << /D (unique_590) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20211013063105-08'00') /Rect [104.1732 94.8925 185.6942 105.8925] /Subtype /Link /Type /Annot >> endobj 12546 0 obj << /A << /D (unique_597) /S /GoTo >> /Border [0 0 0] /Contents (report_config_timing) /M (D:20211013063105-08'00') /Rect [104.1732 78.6926 203.9872 89.6926] /Subtype /Link /Type /Annot >> endobj 12547 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12548 0 obj << /Length 19 >> stream q /Iabc29095 Do Q endstream endobj 12549 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29096 20830 0 R /Gabc29097 20835 0 R >> /Font << /Fabc29098 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMXX endstream endobj 12550 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1109) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12551 0 obj << /Filter /FlateDecode /Length 4897 >> stream xv-0W jR1a@W* KɃwp> }M"X9^fb44̙3V6 a)OR{;XR%kKv:0O0t.SҀ9Y|;0AWɦh @}FSc#BSScsKŮ4eSgp8'BD1* 6 Ew !-@4! XoLmS UG~Df<}7@ꈇH1Fށt,?O a? @ɡ1TU\OxwX|`B%eN'ѕW *=]uDebFZ@*0bwcaI"RY b.^L d0@ÉKa=JDTZ:Iq!&N40k8dt[#V͑\#`p4`9ΒRZi ·"9oxqM+>7m: n BEwZ!H<*05t']T$-2Dqj3 = Q#"QdYhpj7K7}<;?#=i5H?2=3PjGipvPy0FNUL|[5Yٕ:JD2Ncg10HdEmLPeJHFϾm3sp.r ҶHwwtSb!7ϊ#:ԃ~-z[_%*:EڝWvGJ -`?K8\r4DuJ*DK*TZ'[KX_6faK8ihC ǧ)QW1vY.3upS|Ygc ඖ5+'ءOhbL_դ/ xXuFT]o63wy#i tK v_3Lྌ28\8(+_=29 R'N~SYO5žNl5{C4TkL=.PyS糘3\v΅xVІabfc"]3 d%TgsA4mZNjêS2=< ?J62ai1&c ,5}}.uC=2GP9Q&&/s촹|3diNVυ3;Yeޔ-{lj[FCi#׹ZOuiiJ զS-0Ѓ[RGn( ؑl;4$rHs2;Z3Pv@L09-KȞ_`q.WJ dOz=ud!lbv֭;ݩb吃%@O$Pu:uzҐ?7_>-޶Mݔ%MW;>'Lej'gd'͵GOQq ιMӿ\n?t}rօ9=u^|yԶ05,MO@D%Hi1sYùy^nK y+n5> im:<{*~QY^`-,մb;2^mHvVyvy(7Iۇ+< #MT6?u졲+SmXb>"V9XY޾/XkMx/f ^w{[%%4}b˥@ЖLh'?Qgf3%-mhY74^ko5(rH(:bG]\ LpI: cIscZcMV9am=)1DiŖ>(RnjVZB {nD*bv[ uK;<#[kG߶ xLrn$ V#掅bW|.&ZK!RC_޼;4,탮'?yB݃}^VKby|,Y; >vSd0B54Њ@G1 fM0WG̗1oW{@2*[.>J_@wm ~Ц(P,j]+P{y艧8ijY#)V;5azeZxetX~UECNOD]U@\ XjzZ. %qAzb5q]HwDo`/T۔95k鯢3ӭbv xGR}ǰsĸD(C䉍}of3ySՖS?S̩5`p놰`(D,Zd؀^ex燵Y^ MC# ³Dcy˚{ 홀}O[O/綰 od4~Ϩƌe:76 s4[9^еn M1)ïȃ~or\>h,;ZjtMam9Nj9NqI 85&3pFr0Q*D>B`KR[5OhI i(!9عM#n,Ky/3!Q!p0 PLB煐|Š#||<;>w|'t(p3;{PTA_{R_ ?U9?gxO|9 AJP#s *klldډOYG/҅H(YyX"凱s鑌@Sk?ȧ {0砠  endstream endobj 12552 0 obj << /Annots 12554 0 R /BleedBox [0 0 612 792] /Contents [12566 0 R 12562 0 R 12563 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29114 12564 0 R >> >> /Type /Page >> endobj 12553 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12554 0 obj [12553 0 R 12555 0 R 12556 0 R 12557 0 R 12558 0 R 12559 0 R 12560 0 R 12561 0 R 12565 0 R] endobj 12555 0 obj << /A << /D (unique_599) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 186.6182 709.9] /Subtype /Link /Type /Annot >> endobj 12556 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 154.3497 693.7] /Subtype /Link /Type /Annot >> endobj 12557 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 202.8872 677.5] /Subtype /Link /Type /Annot >> endobj 12558 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 169.8542 661.3] /Subtype /Link /Type /Annot >> endobj 12559 0 obj << /A << /D (unique_608) /S /GoTo >> /Border [0 0 0] /Contents (report_pulse_width) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 197.1947 645.1] /Subtype /Link /Type /Annot >> endobj 12560 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 617.9 169.4802 628.9] /Subtype /Link /Type /Annot >> endobj 12561 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 601.7 218.6612 612.7] /Subtype /Link /Type /Annot >> endobj 12562 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12563 0 obj << /Length 19 >> stream q /Iabc29114 Do Q endstream endobj 12564 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29115 20830 0 R /Gabc29116 20835 0 R >> /Font << /Fabc29117 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$\ endstream endobj 12565 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1110) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12566 0 obj << /Filter /FlateDecode /Length 1296 >> stream xڭWj,7+gK3{Y9 aSURzrئGRS/yN#oj7ǠӧujAWSSҧ[Ni0oߴO9=o|$&&߃LK;["; ӯyELn6)HȽҺ/U:F7u!cBURw:wYGQq_Y"@E9 Y{Ǧ8]>HȆr]8D}Ғ#'c}Y%ʟ'YfȰ:v* JtN{}o~WL5Rbb5Ik[5~ c#"] K&e l-6 / yg'gx9[WgB&@Z1t23"ѱ;>B]럶|.ן0^`aZb;u cdAD^hvTI Ӓ4f!BI}8pJ6l,dNAeL-Y%pW=D^W@gəϠM,~ײ1Q7pQt9b [6OƃS!PV,Զ'j~tA]K+2=)Oi9*Um+UER/}'#kYl%юW*>Qy2`Q)'Ld&̡I& c[r[K1p2^}+|,JS*YNt-}M 1-u+m:7j)Zf&8Rz 4Vf"MCxŲ~:Ǯ$1j(Ao(b7jBkfbaK)B5w9q u%򶒖Ԅ!Mqa[K9 cnH"}\+WǍ| ٶ+n^.W ҩ^r "qƧXRA`=rs 6w]C@HG[K "8XC =in28Ӌ~Xd,S1> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29133 12573 0 R >> >> /Type /Page >> endobj 12568 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12569 0 obj [12568 0 R 12570 0 R 12574 0 R] endobj 12570 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 345.125 124.1605 356.125] /Subtype /Link /Type /Annot >> endobj 12571 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12572 0 obj << /Length 19 >> stream q /Iabc29133 Do Q endstream endobj 12573 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29134 20830 0 R /Gabc29135 20835 0 R >> /Font << /Fabc29136 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫FжYzr"eYHUC.$G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ&o#x#/ d_ ECC 0yW!ӘV;!JϡmтGŶ* endstream endobj 12574 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1111) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12575 0 obj << /Filter /FlateDecode /Length 4368 >> stream x\K W9@W  Y`oNa `_/3vNnT$E*L+-v6>3?e]|M_??ɚVcr˃>N+|}F~}Taߧ$BQvz퇑oߚ۟@ϓᒞ3.5K4_~Rv]h> d8kmY6HoHaN.hKX l™I܄o[ zc1 >@ʃtH_ѧ7i6L?_4}FSGk.`M$>h޿4;H=^0Y@b=t~|kЈMá|ggMffUC/NDG35oK|yYtߓL)JP#;w 2:g%-Ή!0x>_#ʷ6 M|Jmgș큝$zУo9|\qIP$'K$u- g(}0Ti!qS7bӾVEs!kxF3]P Dʚ L}ȱn"sIS6¤$-HRoDmMc3N<CQ?ɸ,%6'esM7 - "/VR%ZM"+ɳI+1s7"Հ~KÛALzY.^/%K)NT8e)ۺ{I_v{#{h_6huqu1E RhI@(KAMYak,G^8"&஢봋Bu]h4/ v ;^-?bKÞ{ [}pF[1܄!zā3x4=PJSR } !ye EFE\4}NCꋄ5d05$_Iuo`PHAC(~S-F )NT:RY 'r ̸uVqd!&ذs`at*pe&t0YM+7`]S6՞#jRRzZO]ƍzV9^ܼ,ua|33,!gE Q@#-4qa v-a5bm:ҳk@|iF{fuΕVzBgvzd8[̥oV\tFlnȜ0' )CRcI7nN H&['JR{Ф1=:K߫}cGfck"Q%ҩ ,E9o!~uu4aPk7T7jd ,7(`tp(MaS:;j&W5,a186ܠo|ƿE׹+ȸY`5,j_[z5QmWOf+buSia:;Vج7j]+ NJY~'6t=UUːU+ԍgSh-hQ[]:oIpƯ!Nj1ɔv[epB:m٭2vgwI5#sa8N8ud k8wé#W5N©:iP=dozՊ*W.O(؏P}P6@Xz @z WͦC.$}z DT5AwmGw#I+~&wU; '> x\}CyW`Xi^eylS|~:,uCo]hpG.TljLk]^~nGMV!h{EpXBpHwՂRCnM [vZx R-~~g)nK lʷ_'==W+UzH_U|4vMUo0ٕ* ~N ",Z_)?\'VS4g'ó{Q+DTU' SEaVR )Y1…l#h/Jg89b7AQhg })a#M{^;E܀JH;08IZ-weGAhOEP&UKdFu-mB + EᴋB`2zMI>=Wi\3T .ƃMev^R;W%h!Y@.J2##3( [hŃ3?\,~k]V2\BEs)kYNwǫk(r&$1aϭXyNEX|:j 7 luH%ELyZEݜvIӴF)af0~ ?5pf\*aiˏKdK?@-EwH%A$uswHIk κHeŘQ`Cwr:rފj@UdO+ =drw8d>hpPrʳϱ_A IGE+e_=Q.Ad/4^F\㜰Rr仭4>hS(\/O0ua< | ȭ&/FG9;,\P"BN=k)Ix_ Г=XzU]xh4C[jo<]Ƚ߭G׃.n8z)opD3-HU;Ƿt#dkV?ȝf9Nh ,!M̚[\%zA.eYOP("*Kq1X8p|{zOTQ3wӎhI8S i]ѡQ8O|\q/i$ꖶ#-͌ 5(i=ekG7rwk"Œ:|P]IVBr{r5Ue֮TlEO 5ݳ.@զ.Rh?]g&|kqE^Dgu_ap5iqKyM8">+^'d'67k1yu&w{rli{/'묜oa"zs>.ʙx>;նR`҆@ y OÞԝ] >I~˱6g8 sO߮? rKZatyrПg.G|{Tp l[/G޵Pg9Y?$y<c`?5rNj:Q=a9߰ endstream endobj 12576 0 obj << /Annots 12578 0 R /BleedBox [0 0 612 792] /Contents [12588 0 R 12584 0 R 12585 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29152 12586 0 R >> >> /Type /Page >> endobj 12577 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12578 0 obj [12577 0 R 12579 0 R 12580 0 R 12581 0 R 12582 0 R 12583 0 R 12587 0 R] endobj 12579 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 325.7423 161.9837 336.7423] /Subtype /Link /Type /Annot >> endobj 12580 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (link_design) /M (D:20211013063105-08'00') /Rect [104.1732 309.5423 157.4132 320.5423] /Subtype /Link /Type /Annot >> endobj 12581 0 obj << /A << /D (unique_553) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 293.3423 186.6567 304.3423] /Subtype /Link /Type /Annot >> endobj 12582 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 277.1423 183.5217 288.1423] /Subtype /Link /Type /Annot >> endobj 12583 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 260.9423 187.3167 271.9423] /Subtype /Link /Type /Annot >> endobj 12584 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12585 0 obj << /Length 19 >> stream q /Iabc29152 Do Q endstream endobj 12586 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29153 20830 0 R /Gabc29154 20835 0 R >> /Font << /Fabc29155 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1` endstream endobj 12587 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1112) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12588 0 obj << /Filter /FlateDecode /Length 2850 >> stream xڵZIo,t/@fFc Is`H.[ό6;ZdZ*~(4UO/4uq)נz|k0h9ڧ;;PpBDh={˰(ʚS8JSsS &Ľ.Ax4N'zOk FW@AsG>Zso;X|ąK23k':ÑG2'"O<4nO\h!Vdex JZMםk(Ī_'1"1]$I;UB\ԡ4P1.oPb]H>ڣ2I;=kPuU34i=cD /ji?{^#D){}r5\k1{=*0{KhbB291=Qn-%T*^E S-&lX*N CV+|ș`^ \ki0l7Egyו5i-q\ 4QO@8&;SG6̞1_dU5H|S`]_WWLÖ4wre(ר!a%Qu<<@oH66CvSHHoNu%wz3gNZckt%@YK\ZPvbFSy?GR-DL^R$f%bUyȨaGrlM55hm.H,oC0hָs 8&9ZT\b)0y74ӹR~%FY+G^$u:J3 :Jn0Abg[ %[nNNLݭNM,`7@RW뇱ҝ ,d-Vܦ6fJi pE D#+]]C6zM[ VΤcLȇzcj3X W2'3] @eW=&'59l`wapJʯA<9X%ѩʅ+F77]>f,0MZQsd M$HYwr'˚<[Z۠xaIQ4>=تpje6,8M"v iI#F5"رٱDa#\W( 8›q22ƺoqI5n XՑw^\+nǚz,.^z(O7]BX5)NajX bcODE3=cxJ&7g G6[WnK{}@`x#a2 -,斟Dl2xug 8ĭ^]&tJZQ1kE\[fryj4e+Bqo-ﯿ)y!ŬDo' 7?is uڦA2ʪW=W}gOR \]ԯ@v|^1<"rފd9rB4GqX{c7xQ^l.v% [ax+F :5sՑa.Y7vhCMc?8ػ`3ǺG8Cv)i,m43hLb ӭFSF=@5-pC==?KW)K$g g4BAvm&nҪaMΗKՇ9O/[Qz}ySmYMZG*iZ;g;x+3Dqb#n~<^^@(lІN~2ukLqge(h"wU""Yrl9}E+vv=e*9}rebz"W@K[Fk$mg*,V.m&aӺ& UQzȓQ4eS?\eTWx2zV fuM\8P$߅нtPv'~>3MJH'Z&PfgI#63B{ 7x7v*[UV{[h'l0sĩaTYȷ,̵EђL'Ҕjot#𣜴IBuIhҠ!Y;ҼCi۳<9/,{ŨÇ9Әec˥itۙYфM1͟Xxnvqp\]$X 4n0}[$bM.v#U&x$鷞 Tߦ #|f%O5(X"f+Qs;$9 Aڐ˙ߗ򊅬oA݋t>.wT34-@x e%yG:>z2յMKSi.V*0JZ Yr`#d#\ጕz+ɳe!e!P TV0\cѻL尟;\$]}>> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29171 12593 0 R >> >> /Type /Page >> endobj 12590 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12591 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12592 0 obj << /Length 19 >> stream q /Iabc29171 Do Q endstream endobj 12593 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29172 20830 0 R /Gabc29173 20835 0 R >> /Font << /Fabc29174 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 12594 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1113) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12595 0 obj << /Filter /FlateDecode /Length 4246 >> stream x,'Ε;kJbݥm-[>Duo7F_-z[GzθWkh,0cƼŌjmM:a1HiZ YpPl91,o,8cpƒ_}8!Â/e`9FliBيxhl\1_0'F 6P;s>kR4iPK䰅 +HQ޶!2=yt=A[h'Lr/;Ymt8zs癁zcF55ܒ RB4騲O*{`嗢`Ȧ ^c-x` \4s0,LNDl.EwsEkM9myųfs8JO:!nm70&R '\Ⴁ~ 6\8D/ѧvO \ c,eĘ@Tc :7>Fݿ'+PqN o@8?_j2 ̀:'0ъ|-mQ_qw^4( ]nynWq#z Ĝ#Wqd3'yɐD.ܗ`v-'!) ^EIԩ v.Xmѷ^xA%>JKǞ'K/^Wgmu/\# ?Rv#_'0tKoY0vv=&>F:f8:bIO5{_<oRMM]%wݠGjP߫?ʄDQMs:*@OzD.&'&l6IEv"$⽥y2ciKmDBHc9,!WFR!L/ eǂ2{15+.Ap%Ŷ4-( )7mC'&Yi`y NA‘.fYYd7$%N }xibCD#uBdwC!_X\g %ywT^Qڹ;ͽէҩsn:BGM仱IE5Icm7FPuNViU5V?9XMEyߺ+98`(Os !yMTIFp!,@&` _Y 8QD V fC<(cvNݷTq*ZW_@t\c *|+ְ2%内ӂVҩΞjMJb56 7nw;º-'նHzj)= _˸U C"0T[-(,`|3[$C ꥃ)7@m7nW[#w*)=<KSګ4=w4Yr Fg?gzpWՒ; /}Aj]S3@=&IBJXbxʽ[% ։Tq&4iLE>fc߬H4d}zthX"Wnhz$*Ds)va٨gaiD! R42aiQKDkfڧzgK"*U- U|)036ԷV@Qܶrw{R0*|;M&'S  Ì{ rX/t Eo,s`+S+̺ikl~RBٶ9 r7_2 |aX߅DE;)rd rebg=~PlBTϠuɰ ŷ %°,)Wt[{m}^h~3κ:eS}i8M-Z**UL!j(pkȭt=V^gHg*vGNlUgW=dP: !<5͒ 듮.HvՀ*jMq,&쉺Y$k2}*[v;p% M[j>3v+iiuWwg8zrV-5d) p q]Q9eqXo5AuZOG:,M۾dpD[\)mtftWo͘ǽm| QЌMdW5ŜAN9NI' rL-BfVEpskW~3ꨳ-[}\z3;ӈ~>|v(W3],KLoL+o}Xt((ZLs-! fP%}c 󦖊mmg#+ŚH?4*˕]T)ct‡-\cL\M 0[Sڂ4jh0ec| W}Ȏwal# 1=h .Q\-tX^WNG"ApaZ@TvifyOr|@_>A<M3ۺ݄v?@Px :\Vg:m϶4>DL 15. D,o[i97&W\47ut |YŌT MpAiΌ+C '0?/(tO_cx#jDUX[+w4#ݤkZ7gM<OЩM!@#G:=/D1+(qGu<{T &(5_>^/i1c;"%L$D Da,LHltBgH%aiFG5FI{)#^;![dZڬtHK*\XL,:<,nT sl v:5fUf-zP40=ǍL('eh~rM9;?QkxdO 8<7:YQv_w;܅Sg{DtJⁱa g0z#Թ-|?v30=v endstream endobj 12596 0 obj << /Annots 12598 0 R /BleedBox [0 0 612 792] /Contents [12605 0 R 12601 0 R 12602 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29190 12603 0 R >> >> /Type /Page >> endobj 12597 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12598 0 obj [12597 0 R 12599 0 R 12600 0 R 12604 0 R] endobj 12599 0 obj << /A << /D (unique_659) /S /GoTo >> /Border [0 0 0] /Contents (close_saif) /M (D:20211013063105-08'00') /Rect [104.1732 480.9115 150.6042 491.9115] /Subtype /Link /Type /Annot >> endobj 12600 0 obj << /A << /D (unique_675) /S /GoTo >> /Border [0 0 0] /Contents (log_saif) /M (D:20211013063105-08'00') /Rect [104.1732 464.7115 140.4732 475.7115] /Subtype /Link /Type /Annot >> endobj 12601 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12602 0 obj << /Length 19 >> stream q /Iabc29190 Do Q endstream endobj 12603 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29191 20830 0 R /Gabc29192 20835 0 R >> /Font << /Fabc29193 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJWg]DA(~oOL@:UoWWV??ߴj"Gk|ǾwT؜ezo}W"'q4 nPSthǬ_{9;u SYr̥̅.G7]'J8r$bBs2 C(ǜeXP~JxTV^$x()Q[\y#aW|-cUs4\`_=jH@CE c @M;pHŅO"9g\'xiuAZG);kn1J[ZNߖj?ɞӡ'϶fBۏF,H5`}'2g$_@Y9?f؜1tx)-\0S4)Wx2^ ,!/s( K .k94C-Zݛ>d endstream endobj 12604 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1114) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12605 0 obj << /Filter /FlateDecode /Length 1954 >> stream xڭXɎ#+x@4h) [|0|qa t]"dT=W!I&ˋd07nyG)tF휾}]l YSs A?hmJx,p\oXgE.dzoj(/{2&5X{Grз`ZY&~QzH+am=xUN飁 k;nxїq"sbiD?t(pa AI|,ڑYu5Csߘw" p(y5O頼eZꀥ>Շ줉EZvab+<9,I\|߃s/p Ă9gʨQƅ88wg ԃ<Ď2ɋ^оf; S0t2G2{vU +pw9t[Yswv'YK%Gc,>y }J@fq.{M>S}eSZT~:ZGl˹G @lqYg7EfƈOh0_7Mt?pd@Sx$`rDX K!Kax c  &YE=MNsV%VZkxZjR^y1!x="?n cf9]W׾K?yv?eo+}J((5x@lF phJdi19Xaz0֤ ןM_JJJ 6iW!PsH*,-Mrt|gr~[ *&TKNt!׾8Cؚ-Y3@2.5L\4v&' lu)c lFnbAHK+wfz"*fU6KFP# *b&&ܡzp*};;`+ k)>/f|Q$lb!')c ތ] Kp)kox,e;J%hX)̸w]#' Hu A,C!thŢ@bυb,|jhÖ7ƫG0껨wk;|* 7?LQK]=)=yTyʚLHg-OjT`xuV8W+I7ӀvBs}&P ]@JM+xYXa ,/gVEzc'V!t-oGČn[_۴]ZYG)AI4s㕑(Fi\sԥ~ eB7ﷲlW~}Qu]I‡2inYڌTyy@y ec_QL41)ƟlSoܗu]򲒖Є)Lqx(RIӻiG$nOJ/ >)KV 0=PB"X娺gп90Ɂق^jtTV1sޫuiE`C:{xjgLbcX'Ҧ~qJDG?a#@=1hq̱ ׆ ׸qyqO&skn/XP=!\C'4<\dGp%xG v7$ݛA,ڎ{gj[փ~O}@ۀP>1OG9vg`!50ztP Vi endstream endobj 12606 0 obj << /Annots [12607 0 R 12611 0 R] /BleedBox [0 0 612 792] /Contents [12612 0 R 12608 0 R 12609 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29209 12610 0 R >> >> /Type /Page >> endobj 12607 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12608 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12609 0 obj << /Length 19 >> stream q /Iabc29209 Do Q endstream endobj 12610 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29210 20830 0 R /Gabc29211 20835 0 R >> /Font << /Fabc29212 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo h endstream endobj 12611 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1115) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12612 0 obj << /Filter /FlateDecode /Length 4694 >> stream xk;N} G͝?uNG ]'&Xapy#n$eu+)˭࿮yJ QJM 'kV1ay㴅>R?dpkAa:\ E 0;w~:1} ^\Mܽ[ [<ѭ>7yA[ZemhmJA4bN_T$/|]u`Q>[fM\ RsK , %&bWªS?f1Y}@/z|kJOuTl/\[U5FL^ zITop_jsUUe,Je3}^ =@/'&DaVMEk`y -pϕBD3XS㭘1)諆de6Oகĕ:ɱ^i}Յט!,& 0"X;vwxעY=q`zǃ *{weOԤ 1thNkNqS'SyH0 xg#LKx7Qk ѳmw!O8F&k)Oְ+cLMfU꺓(`t@&22*3]z\m=Ւ8L̜I ٰBߵј֙_vΠJiJa5 ԑiqdZcZ%4d| ;Tq7zD&X\_,km=rAzVSYy,-kv"$@b~0ǐ@5Sq>R->Ѥxh08>^9 W%J,2qVP,A|hI|M:Rb{T<:c՘u YыK~*Ec:~{Sx>aŵ9 +qޮTR<|Z!-,C:P91+ٴFߛjv&#`z vAY;gѶyEy(붨T_􎖶50{]T XKqiYBnU! &򵲀H|F,!{7!,ktֺ!-CW`epq'?;=r;82[Nw 69et2Q2h̎ $&嚖l޴W럺;w2(4bWs z+&gPܑGcBkZ侶=Cq ֕fمF_N۰=XѸ,s^1 ѓ)jKni[;<%oxm6<ݚLF>0:xr5URlA,KZsUnB .F*lH.]NLvY )tqf+8ʉ~U%~8Ĭ7\0) [En8K%κ~ ^O4t1}5\h:'(Cuq+9~ժI0/\wA&ೌ2O s!%3`Hɻmd0jXȔ2A_ |Y3X)|@eksO߮W LLj ,@eYf<^3k'"G~ DPl[/'uɼঢ়ee9YNg ݁m<c>`C:Ý0<wbiiZQ~ endstream endobj 12613 0 obj << /Annots 12615 0 R /BleedBox [0 0 612 792] /Contents [12627 0 R 12623 0 R 12624 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29228 12625 0 R >> >> /Type /Page >> endobj 12614 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063021-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12615 0 obj [12614 0 R 12616 0 R 12617 0 R 12618 0 R 12619 0 R 12620 0 R 12621 0 R 12622 0 R 12626 0 R] endobj 12616 0 obj << /A << /D (unique_9) /S /GoTo >> /Border [0 0 0] /Contents (checkpoint_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 261.5653 179.1657 272.5653] /Subtype /Link /Type /Annot >> endobj 12617 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 245.3653 150.7362 256.3653] /Subtype /Link /Type /Annot >> endobj 12618 0 obj << /A << /D (unique_12) /S /GoTo >> /Border [0 0 0] /Contents (flush_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 229.1653 149.5977 240.1653] /Subtype /Link /Type /Annot >> endobj 12619 0 obj << /A << /D (unique_14) /S /GoTo >> /Border [0 0 0] /Contents (limit_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 212.9653 146.9467 223.9653] /Subtype /Link /Type /Annot >> endobj 12620 0 obj << /A << /D (unique_15) /S /GoTo >> /Border [0 0 0] /Contents (log_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 196.7653 140.6052 207.7653] /Subtype /Link /Type /Annot >> endobj 12621 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (start_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 180.5653 148.2007 191.5653] /Subtype /Link /Type /Annot >> endobj 12622 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (stop_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 164.3654 147.1612 175.3654] /Subtype /Link /Type /Annot >> endobj 12623 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12624 0 obj << /Length 19 >> stream q /Iabc29228 Do Q endstream endobj 12625 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29229 20830 0 R /Gabc29230 20835 0 R >> /Font << /Fabc29231 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 12626 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1116) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12627 0 obj << /Filter /FlateDecode /Length 3604 >> stream xڭɊ#G_grb_@an=v{ܗy[dD.ڦɖ"3{jӟvtxпoWk/O1^[3ׯ_/Gc\0&Ɠ=<ipUZ#psS9e11 /'_8唯SM@n,4h[muʖw!6v瓮IŘt0.&I#c yJ:CD@1 {an=ܘ k_{{RHa>؉ L`X Hp݇׼9;=dJ"CE(/|])ˉ/GN}BX;Y$py.\6L&|]`K{DDtcc 9.8Dg 4 I $3I,A!MUwLB&bwY @ǥ̀4E>90C{rz`:[Kb"p";0!T+ȷ"*#ئ3!( P,QJρ6W}qQow-?0955KeXl69#ɺ} (-#^I"]ws5LzN88Hj ~9v^un6PDg+W6W X %L9aZ̾(z ^`}Mxo8U+vR^NnNv?`usPuMΒBP n}%ٛtfے.QXR(CF-Y |XXjzdâZqW8Bo-oLrɖ!e悊Y?F ռ9mmNS6b .g'~R%_mt0tB㊟,ؓSrpX|~aZVL5+cBBPK!H=*7!ȬRt4YG{:ZnUUݔK F% {%plVzK EPYᒤk_ imLs+1"(V$cl[O6-Ė3rEnkȥ"cCd빤6[uݽʊ+ :Kkٻ +L aęˀ|C|ue"UrSQsA}>m Xg]>&Mgxх ʘ=pߏ N, FN.enHԚ᷾i~[k*nMYѮF\fi/l,e6xHT,i\>V8j[B514:1;(]8kz;zTK{;lVbo=yT]@,M5U5U7 RZPQR +a7o]J(KSr!n(X)QLr,8L.u_4?OVwpDHuJY!g)\eV 2NzZL\zdjc#j-ej [ uL֕Z`D CA${Fv^J3,zl 6 c!buҪvf7^$WҒہt+ɻi| 0{A.z6e(\E>z?9>Xq2 u4[2\-۩V=mq67h:H^/)Bo\ڗ4>,HF1Oևw@Z(@uTc6:q絰R97/[IƅwÁOjB(GkŸ;ZWk[Kw%8kJ]"_=rP@Ux:U ,.%Eݵxêz D#co,oVt@Լ?5l G ~CqУ^LO:Q*4x~N~;N!F<}8`}^ݟ%g`,` =DwvS$ m^1=JQƗ:#X\D;DA׾"H4y8.4yжBm[ r枻)ԊWKr6~b x"EXkdh%hܮ= PYRRL}'~;c"V[fAYz%"]SJ|ѭ">.CC?LBCROۣ-%72`ni~+d"gf8*u۴;q*y c~ p6D ^Ee9MVhP+{>(i]W~Mljg+|-wqz:&Gx!9?0:/H^TKO6=ӔqgH9'N5DLa2Sq ,7(ˑ*9pNQ p hCfKQeA4kDD/UR-#qM~O6w#7t>b\8We޴,Ei8,@ Ө]9 z녈Zj垯p'|w̤w-Yq=;AдPe3WccħB:YwygaV Z'DP#s7 *`nXͬ`{"L}*=!]MJ! Ë903=d}Gp]m[:B endstream endobj 12628 0 obj << /Annots 12630 0 R /BleedBox [0 0 612 792] /Contents [12636 0 R 12632 0 R 12633 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29247 12634 0 R >> >> /Type /Page >> endobj 12629 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12630 0 obj [12629 0 R 12631 0 R 12635 0 R] endobj 12631 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 375.525 140.4735 386.525] /Subtype /Link /Type /Annot >> endobj 12632 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12633 0 obj << /Length 19 >> stream q /Iabc29247 Do Q endstream endobj 12634 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29248 20830 0 R /Gabc29249 20835 0 R >> /Font << /Fabc29250 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`hЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ D,o)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ@ endstream endobj 12635 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1117) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12636 0 obj << /Filter /FlateDecode /Length 4548 >> stream xڵڴR.;FcħKڸ-7R^396/G6Ďͥ:Y0 k 5 fi1`aVtNG@_a:?qO7OCQmW0>of5c;A}$"=3POe5yߛMbYR-ˣGvRTJ--7h5Z5y"ւ{-`C0DRɷ|3Ի# P{aK#Pm-fY`$4u}aGe8@Öf۔|Wk6MW(02C=bD>?a誖gzm^ h{EH!;UaM&W;yg J:w1ɹ br-h10Iܹ&miA$_XdDalPg\{yz??j M@SUH$~KYPp ^ o =.b[;XAh WkHԔ$|'ֽCv 1{@=Tq:0? NX:cQczT9WGƭ=&>pBnAS *'Du|&XEF Z[*xmҭ$8M0v RT8mBYao4[heǶ:Qzk H jбގfTѻp5Z*s޹0JgC ttb+wX60mvn0֩PiU2|N;llp5 {dv0Y,`,e7=j؃F4WlcgnV%Fj4+YIx H0\R+-M %Q^I'pq+c*џWOlc桚>xt9)W aZw.mD-L;Ǫ4FVUr gOp,➬?ͳS5DC:*D#,W罶μ BHT=V6B=:cmmJ7y58mG*1x P%a\EZ}Ws{a ꤌMSq^UjGRCӍa; 0Gr؏ K *?ճiAi'}imvkXj3lR>37={Й@]q3 AڜGC3`W)0Pԍ Q8f{oqp X3tlaڹ`5]BML~͓K3`%i0&QmbV둕;u CRL2 ;U5kg8arv7n>Ws)_݀#$R[Ao y5́.ȰzzlUsnjpF^fj!p R %C?M(C k9A㴱pc=9.:K 3<%Q72ˇ-iYߒJJ[az[NNM_Q5ʮ+)縕Qf!ݫ @"G˖* >4sXra8H20bD@vA暂pA>ax6g [YZ͒ƜYs]ɘt" T[_f ᎵyEbXyMxһ];9VGjq$ N!_jMqxz4خ۫Cv}**Ou;ax oqR{|دM2ɛC"ԁTܵtIc՞fGjKZz]}u*.УxJ1NVkG|Nr@ԩ`xDg(qё*r\NLqϐ'Rd\d:ѩͣpηy 2&t+x0`ƌ!D݁::LrcF&+<.oe𖄐HC 1`ue䁼0@yZqsһ z)WS*_RktnvG*\o.F$kR/ 3afNNBFG¯+US;/Esl %N)F2'!#J  c7)@0*g*|#N/:&`4BxB?䒰[θ4w٫QR [o{Һy>)k֕J|,ԋuֿPl%ӬGsMG U#$_ &uެU*oMl;ʉqo?]֙"6 ?`RDs* rcuxR '{qy_V~')i5=ME*t/R1Yxlba`w3ݪɟ>\?"x~9ï>].N:+ M,R iKGeѲX[kC:t8@9~'8f&I"})bB;> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29266 12646 0 R >> >> /Type /Page >> endobj 12638 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12639 0 obj [12638 0 R 12640 0 R 12641 0 R 12642 0 R 12643 0 R 12647 0 R] endobj 12640 0 obj << /A << /D (unique_728) /S /GoTo >> /Border [0 0 0] /Contents (close_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 277.6423 193.7572 288.6423] /Subtype /Link /Type /Annot >> endobj 12641 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 261.4423 199.1307 272.4423] /Subtype /Link /Type /Annot >> endobj 12642 0 obj << /A << /D (unique_679) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_database) /M (D:20211013063105-08'00') /Rect [104.1732 245.2423 207.2267 256.2423] /Subtype /Link /Type /Annot >> endobj 12643 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 229.0423 190.6607 240.0423] /Subtype /Link /Type /Annot >> endobj 12644 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12645 0 obj << /Length 19 >> stream q /Iabc29266 Do Q endstream endobj 12646 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29267 20830 0 R /Gabc29268 20835 0 R >> /Font << /Fabc29269 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7qVthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMXZ endstream endobj 12647 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1118) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12648 0 obj << /Filter /FlateDecode /Length 3595 >> stream xɎ\ί@31tڀoI3{ݳ(:DkŪb4RRl}F/"-4sV0N1iBQȯAK@LTgUp؂Q,EY_rh .ք$N_ZAl`<بt3ti 0L!p)lȭ #S 8 2C24pȟoQjSAWJ)ۺ-?ʬrO<4/ mP)zlb#/[WJ!xC eM  dySd7m<@`UZFZ_$rZ>}CY"= <O𜴶A[WC@8}A&~Dh/B#pH+Yxp) v}9+:w „\Υh+('⣪yULrmEۦk #`X,Vm/db圊 h*a˨- g ~i1H0yP0$Gp5 eawʔ`xx|z2\i.DRa"L0E3@8^/U\ƻD7tJ\t&aE%3j(#l)đaacUT f1(r I &VME@줇{UzY3?"`Ygmַ ܖM|ޔ4*v̖>3fd}#c,G?[V|Z~< y=Vi8MW4 a|n"21׉;qNu@4~%b p024t ?t%ôhIi^uL5F31[̖ߕͲ| @F6 uuG߇~Sdܺ(.uMZks'xG9^ec8nqx_I}0vX1ob̙ M5xk).ƼI0r}Y/fJ#LᕽJt[_8 i%7XsU?#c6&`S,* *Zcv B'P`ۤQ#&:jBTސ! %1slvuCnL3TV7xHLg0TDmq(ױg=$粆$I-PSbk7+k-o.Zf;9` 2@]~.>0hL"A3sڥN9Jv=[t(UH5ي؋ e84 a$ X8 [DNrO;%aƛx! q_9|%UhVJ݄\/ש5{ x\ ;!ie$^!eT~0XbYgr v蕣['4WQMN5[5]aW-5Wݮ5U͹kvKRy G7~/%}-teo9Z{MQ9wqkB|/;D.qZ#k<δw#|tA7MUE>/|\̚neV69V$쎂bK #~zYa|*#營G^8o`{\R毰ΟZl xdȿ UEfDsWNK1~!3]dEclDH {~FP1eh97MI1ίK}C6)\דM@\xyb|-̭15-` 7#Vca޿X9_,Au}ϧ>^IApZ]sng bypr.,t 0}Pxjmw^p VEU.,K 8]o97j;*[}v,yl /}A/\frYSMnR$_g/ '\Db*XR  \hH{D# fc!a,=ӮbakfL߳=6[$eC3<X`tSS *SNk\0Ϸ,K(GGqP?e[1 "տ6%:T49԰U /tk05sk4QTWg_tom=bA -˥Cvy! {G<9z,\g&oQsk`vKe+<KKӶv #H*b/y|fs[%6dVB7meRXuB ӷ86!#YIU[!~\aT^= yVkp| BiWAm; Bf>}])⬋ZuB#Εp l>M`AE/lR endstream endobj 12649 0 obj << /Annots [12650 0 R 12654 0 R] /BleedBox [0 0 612 792] /Contents [12655 0 R 12651 0 R 12652 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29285 12653 0 R >> >> /Type /Page >> endobj 12650 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12651 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12652 0 obj << /Length 19 >> stream q /Iabc29285 Do Q endstream endobj 12653 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29286 20830 0 R /Gabc29287 20835 0 R >> /Font << /Fabc29288 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ^ endstream endobj 12654 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1119) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12655 0 obj << /Filter /FlateDecode /Length 4590 >> stream x\I$;nWyڀB3 7Qeif.!eH~"rEÿ^bˏԙoK2X߯˟bָ/˿hr\R3r`쭒V˻آŃ}Ps,OO5dnpZ5_U;"\,MYhmRt῰F(bhvZG5[nn #4pihf4|uZʁK P6XqHZ>Ov0u9HHthd *[e" 7 N ^DS՜ה 8Tz:$^OL#sv}I0M D ρ qf$J~9; FOqdWKR1|V?Vv5rjO 0ם*AiGPQe]pp{x̍Wј_W3@4{Q60tޖb?$>*2ԉx2XVt|}% Yww0F{'49շp{VU6O>]U`QS쫌s (Px9TnJL:[e_먝3=^a ϝ1f< TuI{^o@b埩FB >4HVX!g6u1g{%<EH7cU1+k3WDg1Z-HwQhTzs;u^%F+ uB/İ_<)xBDI. ~zy[a&$J]\ߺ{ͬx YāwBW%);Omj.v@ u[N H.ԋ~_}/,{&W ~^`2 S6a?(ev\jO V60'"񨡎kO4\q0nXL\7 * ZJ%t ^F$U/D/Vc,H…ږ [XWO>!ipy^m|[T)5GRmN׉PE. MmRg0[Vİ%.sG5{CS2ۋHh FQ4旦)VsA{Lidf?>ζ߶hb*j 2XqyPch{k\z1~2xʜ$ؠܻ5#I4*+5s5vӬ؄"=ӣ=Z=6{dج(=ǀ8F/VHppGnUy)yB,ӮEKX{GuT:'YnWphr m?S*;j]UL}`L0,p.G,˰,f {Z׉A,U= X)=N +ݓ { Ыڕ0PDbM '+p ';pRWV|NjpR/zh~ TIMK:gpR>No}N8 pRgऎINv>L; },S/= =Hd@3) TVBܠ$6 @ƍnO"Xg^[wj܊o~OSzUhN&~~jaH}[?99-`90Lk/=h_r%(.ẙ>&! L췖£5 [M.?΀ӏIcjYɄyfbXv g~r`>aD3 eMXERu`b>JFM)=Mh>ȓY7kҎD}q嫹>ۏzR^[V[ro.Grj`.`49MZ+ Ϝ8>1[%|.9lM~|6h3pQjҬ0.WH5*`"7yGqdlvrLtsX Ys2}lLY83B3-Ks.*+NL'ibݵӼWʉ1 1fڞ iS):amMd'ZoWɡ:GMs9Y:LS,Ş)uSB"Vq>Oæ{L2)=Z:* uX&&ML'6<>T4 Kt%C ;a2u" K:ǽcFS ~橼h& ڸ8YĴ0 sIK[o=Y7/Cbv@PGga8mBjl2lΑ۩|î- aJ7p*q-*o)p,ʅ4a,xʜM?ybHSe=LĊ6ؤ.r ?ڝD"!i}?Nk$ Tދ!j(""]"Љ2qM)2aǪfqOoW-Q9y.f_[`tuqW ro9&w zX(!4T4nAzV&Q,VW@:fةك\#,r?wY.nŤ0ybnL>B0A(޻ᱼmã#X6<9(ZwgO?mڭ V,ȉ8o+lMq5!yufhYeokN9t׵J<6]K5%8˹Myrf9wG֩m57ۦNDۼJMz{cH07h!Z63iDJF{g(9nwpf/O^lz y`i;t"h#hXQ<7pIJo6s ZТ.Z; o9ƺ)rN5bM􋅥x.n1{詡пCD'L?E`W5RPc_l# 08'%2'ok05[l.,Ks"nr') VDS6P]JX^s/o'>0f0Owԙۼ拴;=iΗA :)cVQ#SF<1^| Չirk+Ĕ"b_&][G.HGX@*2ab` DNv"p?Tef1(wK?~wQiuMwtQƠ)\+U`Ll4Kh`ķͪ&^MjIJPľo 牔ҳxvEJ3~7&0 G4Lm<|/RrQ-ekGZ;()5Fu+"Ul^uY-֮TH֏}VT 6J!(+%΅7)Ϋ6T6Fv:5mͶZA}]8.l䔣6oO,DU{3&f 8rr/+e` F뵡GȖVܹ60> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29304 12664 0 R >> >> /Type /Page >> endobj 12657 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12658 0 obj [12657 0 R 12659 0 R 12660 0 R 12661 0 R 12665 0 R] endobj 12659 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 107.2194 199.1307 118.2194] /Subtype /Link /Type /Annot >> endobj 12660 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 91.0194 173.3797 102.0194] /Subtype /Link /Type /Annot >> endobj 12661 0 obj << /A << /D (unique_731) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20211013063105-08'00') /Rect [104.1732 74.8195 189.2087 85.8195] /Subtype /Link /Type /Annot >> endobj 12662 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12663 0 obj << /Length 19 >> stream q /Iabc29304 Do Q endstream endobj 12664 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29305 20830 0 R /Gabc29306 20835 0 R >> /Font << /Fabc29307 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fկ ۏ"bH&|բxy /sYWϠdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 12665 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1120) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12666 0 obj << /Filter /FlateDecode /Length 5102 >> stream x\Ko$W@J20IP/"ʬ,mM`0b'H._6glhJoR_yq1˗_RW1נ;_ > =jLhb=W>Ϙ+R瓬rIV't{{Fy.0uW?q8@/XD%ޯ1Z2 t]qs_ܨ\,W1|`l69| ׯůI hCH~X]Oh޺`{0 og[5g:n#vVfu)];žjw*VQ !;mCL+nKhzt 8W4 |V  cXdܫ2aAjɤ;XY쐓xhė{] 9'H 4vY4)wј!Ȼ'Sj}0"mbd*vSgP<='xyL$v̨'٬1/Kζ+ie˫N: Km:FH6<ZJnhGT 滃K{Q{\G'[CVLEa2hb?#b{LXlPTr`J'#渵$[̀aB)'{.v1$AD> QHݲƹjzk ZSyWM̖ ['A^L ( `@ .;!!Lv@RUghܪ]hYqmg-t.m쨨NuMu/A#?^[+K VZP 91>cGlw;踆p>KW-OCuVspD\}.7 McΦ9n(&G*qM„!b=$Xœ&sS$Dr;\Y)ts}9rχTǑ)TcʚS$|g*aM17ok?rܤ|+"1X ; mlq/]ku}<0O:_*zC|.a|<ّHG, BxbX{rw`L%?Q-+pP֐/hz 0 lIEY2, -o\?10ܕp5P4oGrBX_}JJéd)v/^Rs,@h% !" 4uAfY# ˌkT>t5k)AXCj{̄4 1&SbHyGć!I1q%O;z[ba7Tda=LXI~"(3N,}Ct U'ϖ@9Z9^إ0MjHªs]-.΁x_)+()& pb>t5ؐ&d:p?bύEC F0!NI3dZ3O@R5Biy h@鐆(kjeyT<Ƌ 3}Z}B˟ H/u?.XtJ]DZ0'@ 5DžU$62 1"`B,B+7OyY`dkV"q$&*$ }4CH uI%%>Whڎص L0鼯:+1&p.Ҳi2b9ΒHNiQq%]\C3( MN7`5EJjq놧e(`j)JwQDj!pSyo)[J  O<GmhK7rmq@}|'zȷEF]hEWv pL%[z >"s"MutZtȦħ]xbGMlėՠ~q|24X/lbMΩbD!Gn8r+ 3I#!!D>S^De_b@Y'dK]Ȗߝֽל:f}XRI؟w4=/ȗZC9kҜA<"dy?sZƠFx?6 _Fr ^n{U{Y IdQ"iS!uc-Z}݁JQQ{(q.l])쓡ZIǥܚ/L|vyQMR'Py %tx U L}=᠆4TQGm踡No¦Va&T煅RoӬK s=C^ORDP] ~iɭfr/?M| [je̕)`9=cCG}]Elv0dsDZ%NU!u,~yZm |P Eeswb,޺bGm9[Wy$ӮS#l4r:f+klDbJZ[![mi) I}Mj]+N0=?N:|Wޒ.[6uaoᣞ[H쌯j|wq~6ŭXg mv:T+uML?rCc/ "g jf537/vnV͉7~H:\H/pn 珵 0)jd}rU>>iXRn.׬]o^H}C&aɎ(dob"qW5ZKlLW#Zy ($a5hYݵBY[dYyh]#B%gp)NΦ$cb{$NE| &$ʥ)>m3ya\Gں=yYE^m;KY/g>Յ֡9׬Hl8u mR9~V22W= g3g~Wf6iO&͚sn洚2"^fM(}7)fApR,EdkY Je{+.POVu~RBS>O*%ݠY19LPO V1M?I3U]WDy)/,kgo9 fv@bʬSjvt7;aFލ,yi>u o<غmo2AJܦJm~zH 49̝BE]%k?ݩxMmOh~tʣkׯ3פ`y[jmש8AZ%yi4Z1H1F{a.1_> |#|pWR][v(~S&Kj@dC}ԗ}*+{~ tǕ[ᔵ.mUcXaC5cOC^[q_vB׷W=Q Dx jڀ|]}mTpyۨ p y @#~z}:RW;3ӭR_v -75f9B؍NQ9<yf#NlLxS?qr#8U͜?[ 6{^ܺf,q&%}:l CʗS3ksPllYqRIb^`N}g`]e -l+FA&DV3FoutxT;)'8S|"MD< 3,.M‡[XZ6"O_3mcz h`;mes&|G.m-ŌVѤ\=Lq{룷Ʋ ЯMgޮ'B ӳ8.!a#8#;| fUYE-W@$SqEZFcq*6HqB>s8[۪ :`j/]9cu[;}qOϷ1xPqLOJzI'{?08ΆO- @q.=<|y#Rn!oN c=<3G%{fOz>0OΗFšm>NO`z"#h\ w =sHP  endstream endobj 12667 0 obj << /Annots 12669 0 R /BleedBox [0 0 612 792] /Contents [12676 0 R 12672 0 R 12673 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29323 12674 0 R >> >> /Type /Page >> endobj 12668 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12669 0 obj [12668 0 R 12670 0 R 12671 0 R 12675 0 R] endobj 12670 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 193.4492 709.9] /Subtype /Link /Type /Annot >> endobj 12671 0 obj << /A << /D (unique_736) /S /GoTo >> /Border [0 0 0] /Contents (save_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 190.6607 693.7] /Subtype /Link /Type /Annot >> endobj 12672 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12673 0 obj << /Length 19 >> stream q /Iabc29323 Do Q endstream endobj 12674 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29324 20830 0 R /Gabc29325 20835 0 R >> /Font << /Fabc29326 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12676 0 obj << /Filter /FlateDecode /Length 1193 >> stream xڭWKo6 W\ZeY`L٢HHʖɶY#"?>es7`my]|c~3E[]ٞўRoPRr~^?n=D%@4z4g#t,S$f?Nbl2˿:ZLOH$ ,1LY},Teo fi7Z I`xiKDg ݒ-{v@szKkbb,ֿ*BrH4RVZaK} gI4u f9[4}K#rcM>w?9 endstream endobj 12677 0 obj << /Annots [12678 0 R 12682 0 R] /BleedBox [0 0 612 792] /Contents [12683 0 R 12679 0 R 12680 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29342 12681 0 R >> >> /Type /Page >> endobj 12678 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12679 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12680 0 obj << /Length 19 >> stream q /Iabc29342 Do Q endstream endobj 12681 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29343 20830 0 R /Gabc29344 20835 0 R >> /Font << /Fabc29345 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12683 0 obj << /Filter /FlateDecode /Length 5392 >> stream x]K8W<@x ($Q@z6= T݋EUKJDr؎pctgE)GICW ?AW>@SUc^!I~.o՟oҪzjlٶ3G/R6:iLѷWm"[%~{WKDNziE>Td=\4tЪ/? ]A<~n+40tCW5C&t]_>;dEW) i)f/u7Clcx1pC767&-{C|b{uTlg]ʼzzpx }[ظtO7Oh/eQI)T\SN ^f,c?cM-Ru'^I7YD@%lesAY7Ϟ7Zp׽ɇz[>Y(kbg'6Zu>;7BřL"r2ԭR[ ܠ@ Lh `+h+,um?U }v,(@a7`$mD/?Uz9\_A}T蚯3(_uϠ+3-P?1Uiukj%xVJ W!XLmpIhyPi53툶[9iiZ' {?jK}t6~J7mu_; TMầ3S7=P]wB9'Ӄ)ܲж9ϸ2i*mu,Tf%83ɅPC[!$G7в>:,ꤥjNΝ #Y`8w$q &7aCZ&*[|d&u >p&9R?G4Nׇtի#A!a`eVUڲ88R$\d?B=uWCCY1ԫP8,|^|&3d^C݌ V4}i&>w-j~SbO$ 7E;\듹Я` YF78t~>WŐ$Z|ofxhz5|MOރ]2r&Di5sȢ`RPXFRˀ}1/XgK2+j`IȷÁ E@=MZ+ K1֌##Ɗx%"L5LsUedVyh`s-aц‹C_"qCdq!n,nT; ōj1BqCQ7~>n7}F.qCQ7~>n7}(Dn+ِ=+Pv(/ЎEm/~3eiBtB'7!,5s05L[å 0|7A\mP$ձ, 1 f kַUh&ׯ2O$u:SS% tY3`!em; xc5Ɠ]7ij]E[1㵤&~T7iyii'h "eo WO TF7\ʍb#, +2 =KUImюTF[K-ufZ9zLoʂ) iqjiQ6ҝvz: s~^#SQIW -,⬜$8z-A69|o/ QK_`R)xS Ih5c{t^1B o{e6hf)M@/PsM|#ʄ%TehWo0햔*dŃz/ od`gPKsKѨ6(qqq12&kX dF2K9-D1Zu|dB Mӕ/5wXih縢Jʱ4}i+s=3@ԓ X'/g[rB*lB{aMMv/r,rKM ԃ.4_ etޓ٩mgW!ްi[, 0,aLb* q^&.-ejڒ۴AW>i@,#) I@Dq 2qjV$A`!(1UXglg?4XS=N_߲'Fţ[Z k@q`ToalC_jp{="q~umI[_wfL{f6BiJҘSM<u蘁`{GNZ,R7c7qPȵB6f7=wy7YJ咯!:v1CҤ3[ƛ:<2)M6U'?)-4YI6~"Ƣv+$ͮޣe36Z$S4\PleXr7g[Xx uy >Yqx0lGz%oy)%ˊ65>'?NCOm6^GLrx[~sU|ڢqiw)z-m-G7=3m~5d'L^AkQ[W!E9 aUwZr~s`pg"{(+~k*IjK&J&P?\a"}@T_9Vg p\QQ7(IՃ jL$RS`HxG*͹^uP0ljL ㉶R{4I >SB^/Dǭ`VE /{]2XqNqZθJJkYP//ŻabՒ5C9mm؜{Xt9K=[B_Hz밚 m))wEc@|IFbtgJ#On%X4j8$3T L}ňQ$feh {9"nE5uG!!9yoM݁ =4☈(K7yFlB wiңc#3QflD1 8h H\bDEW%(_f "]1Č` 1qp1T38$=8Mdpuq cgީ9,Pj 5 ]fa,'." ;Ҙ$/bJlfZ8F7L +f H'k]W&2 NLIp҄yG81NbWIpT'T'N"ag'8i?8"ƞF=$=C6ǏNǏNIF$ƣ<8-PkP@m/Iz`xʊ:EV<1&c<%Ix ỮOxO01"9<16%<k#bSO ) ɊDo'fOuY#<-rbo%S| QN!JM=1Dr!J̥cR|Qb6%J)uؒ7_*.oTbM| ʼjPyՠA%׀Jl˛/Jl˛/Jl˛/Y<=S TY1n[78g?=H n tIoU>c@ą95yPaƋ@۰UڟblJ#U T8RƬS$ P1&T`*9iۂ=7(TѫF\Ǡ*r=vx ;k~w~ߑې[DőwNT9HT#Q!QtRoM%*XSe;Ơ; ϭJˬgTOJ<*J<*J`'vH);w/ia8z?]]Uoo)݉3{ jޣݨspH].f&|;^Ul]k˅o4+̉_/40{hw;tRj.`Axgk9GP| 6}M8\~(gxj=A9x<dG|PۤB޽\ ߗy]+{o2꿇Tޘ&{{/{gj$kZ]`Fe 8,vvoQ({CS⍽쯥JƏ5fSlLgԧW앎tT=O؋k[TrZJлZQ R+9CLOR endstream endobj 12684 0 obj << /Annots 12686 0 R /BleedBox [0 0 612 792] /Contents [12692 0 R 12688 0 R 12689 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29361 12690 0 R >> >> /Type /Page >> endobj 12685 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12686 0 obj [12685 0 R 12687 0 R 12691 0 R] endobj 12687 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 625.525 114.9865 636.525] /Subtype /Link /Type /Annot >> endobj 12688 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12689 0 obj << /Length 19 >> stream q /Iabc29361 Do Q endstream endobj 12690 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29362 20830 0 R /Gabc29363 20835 0 R >> /Font << /Fabc29364 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_R!*p% d Z%LB.FPBD1LS4$dqJU$z(SBD* ^Sl\9؀0dD/P|}{Y#rڻ3^nĢc7u݊7HA>hx&$߄|j.P3YuG\YZ)㻶-f_Kimf)1:}Iw9F&Y:)[㫤j)\Όl iVխ~7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUŪHB>W!׋ʎ>E;!%]:p94C|=B/}>f endstream endobj 12691 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1123) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12692 0 obj << /Filter /FlateDecode /Length 5422 >> stream x\IWyJsghE=oo,O Cy-+%a$*L1"Yۯ,T}ZRG]#d|IXu{ Hw=uiu7Ӛ7:AFJy5n_2}͛sD/ Mǧ߷_f9}}&;Ti6_h̖O´ɜ0Yϸ : a֥cL]y  ?p%Sk'{!$qPD&ؚ_~GUisr(F|c^VXy!"Y >m|AXĆOۗ_1ѽ o}0^oU1?߸eG+ La =%ߦ!ƽ7r<ű>("0YS9߾ o" ;G $Օ@gGKK0:rbXt&F_#a+ {sAbse^~e}u*?9!:8i76o F},7$s=,Àoj3/лK# $޻Qܚ&:%pS[wSk!jQ Kf k0?1׵jfXe]ܤ羫Ό*tǙ?/iߴeyiF3IrFi03Il J65_2idxd1椎+&6+`-9I2T}2-wg``N JRJ 9c|;,$,Fv:p`AeSNR?!`:cĉ 1":):;H=PLHw,w>M}:Y [VWwT=n)yP컨g$;y2? AaP3m>+W?| u} H@3sY+yל?mX[{<*O}u&{f6h| ;`@? zm?(JN^pkӄ%aΗO ÀV|{\xOF0@spcED.y31L-1xO oyX!~2I##:x zoTD]!&ӑ?.2 _aL|''` $+,HIHO|6a AwV9bቧg\ IKֱуLbxt.vl-{Nh}dzn gdNL/+Cn#YcDNܢcimϼBJq\ݓ*9'nV*~YYd3T|duG؝3́K("݉b?'+` Y2E2ypFb2m)Y/Dz&oD1u cYX"Ոo썏8>@k;eZ2վ{!j\%)5^r$˱qx9MٝZt֞`~5#'^@4eÚY;rK߽/i#xA7΂A"LK N[ĆDp2|M1B@&O14աщܒ#u9zl[M1)kse q#-4h.O׌5tuElY^t^}/#mե6)uP>1>{_7e6m?^Gj(JhVMD99'u:N>kI;4_;sF?qv5~ԵE'7aܑSKk<-x!ULYVm`GYtjupc;WJ}|A^SjTcQRk"./]j Y>rVMjfP~w3\m8YЖI j-өNf;)cBA0%EO.Ѓ?{}\ youK*uoZر1ǚ!d6=U8gjLmkbUZoG8{DvR|?kŚ?3TLڝ4|x"+ [vyݯx9|/::w9GD,v?qT9^, piԵ(L]lHخt d ~3#ˬ2c~?g @%LHdWHOrЈřÏ "oDJL JZoK(_q^~G} Cm9'pچFb :םK~*^'if)V7g*บimΩqYs:r&G|*rMyH^8KZpjPR+!RZd/H;X=s @Jβtrm: Iu]:NƈHd-=j9(V4P]d*dSqpZQZӥ}JVUԴdƒxϘ^9zY?QsP,BY?%oл4eX)Cn`hU2c.s |gVo$1|A> &s9zO:d;rOql*w:ˬUZJBS\_VMѽ!Ae?{ε>GuB;E'󾺥>)Mey=}gtլ3w/4U Wz'?tr̹ Z{~-ٙ2]fNl:K,j?3sh j &N@ i[sHug\WBƸ2`%F]8 Z7Gq_xΰ43v)34˸zdh5\Hza>*,p,鸖sCU Y&GIm*k$K5=4ڡIGNY .ULwR;:ZS;]jgv>B%3j/]NDu/}f*JNsbydf3F9b8(ʸs, @g§}/C!fO2&]SBQg&\VzL9xD>o֜-lfHmYZ_FkHż'r!hd~ݨf&SKc>%>Ab;wg>tФa˳S-5R_^rrٖc[ agN"ȋk3A[[K aiA`]]=c>_7g߀G^Ig;6۾#|go^U4{5*I.8 k~T&t$H`tip-8<ʛrSh)~_k~J+O R7uf 7E#j6Z]Z_% 5/eѸĊ=D9iδ"l>黢a/JfrJͲVuh5"1*ՍGW_ Ǚ~)DIqwFcW}6g[\ d9[+6z>[g^?R}J>^[X}I> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29380 12697 0 R >> >> /Type /Page >> endobj 12694 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12695 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12696 0 obj << /Length 19 >> stream q /Iabc29380 Do Q endstream endobj 12697 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29381 20830 0 R /Gabc29382 20835 0 R >> /Font << /Fabc29383 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9" o5"]zPL.o5HA?hxfxoBD>.PkP=(ES~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12699 0 obj << /Filter /FlateDecode /Length 4661 >> stream x\Koȑy $tm|0^{10G,ѨVL#3^_DkmS޾sjsv OI?˷OfSjvpVNۯ_Rj)p(eyjAO⿷og <߈j𙆕2hL (ܤ LY@Ʀ݅ COVW'}2_M`Ƀi`O"qL|ýg&W"&nqx'ۊń̍-yV*U*|^hB.<_W|^=I|^Zʜ L"k`J t.yi|s:9zcX7x ff=F:k3{3SZKS3}3yą$NѦ\ȪsOwTձrlWMa!['[972(R*bTiTcPNf#Pԫߊa`5aN4 4d6'&E%[+k}fD{LOtN9@H 겍vxG$;\S% 3$wŴ4t'ˁUr݌B3IOv0^Vdՙz<{:SU}1$XEOzxdl®IS@Rjp6WG\ci,QcJ_Iy4P˻'*g֊8XWɜ285Ts#5db6T3U&uqQ j3,f웞n:aEym%E~"LُJGֱ02ca4Ļ41<^Vq f۽Ӡ 4ym:}L䲰Q<i{B]U>1ީȈ*/A2'Q%x&}hۘ03>ZHeWϕڅڭ91 LLF|9f]ZCq炴jQڣC(㥬\s}䄡(6ʴF3zK,jMP#Zi ";jdŐxD&5fjOKP:JseEIukUwRݼDC8 Cm/eA0L u`G'qɩuVBm8C$? >oV COʯ?z^C%ȗB^Ըi !"kfO:I>\{!4 goFUv=WhpTyu,H5c'. m'! Hyp[?V@JQ{"*)!HJg²(ay$C֍[-v ᮩX)G/`wO-M_ncvu[]w aU~mEHg}CiVj>U{zw.AtNtZ#Qv: >Y=iU/*26E6Es"gI|?;G l:]IA98ѪQ/;xRD4e͗ƗO,j7qw{kj%x(ㅓzVʂ?o[v?q1r1Iwښ$V:%vFFټCjMy-.vϺ=Ϻܒ:x:YC&9SI0` zҔ֡~s'?.J[x=.9]$U貖rwM[nbn w\ED~;클y^N,u f 'Oe io3}DynX > |q1ÆϤ}Xuֻgj[l[6yW԰9 X *s齃: I`0+^[òTZ J3d)l=wh>6~:i"} {`zvmnMKxxhR'pG8PqPە6\] _WR)ʞ{t/xh^%* UC-Ù1%UlZT.WRXqͭ~Y>*u=8#̭RsqcH̲?Oj@zWso Ҷ6Q7{FioѾ`>Zv/TAQYLl>fhVTu+&0GhʔCR*FLhFay+8q+svR{jec8ǐ%&9+c}WZ ʶeJۥ6J-Cn (fG`ݰJ\sLucR6hcL~\CX] Nڶq[(EptX[Ԓmgf3@][GNI$-dwҩ {B $10Q5HbtVߒ{Ku.È;ʤToDyv޻O avnO=$G#vӓ8]`M&D{_6=i𗻯wen@`Ǧ` Je%ww DV.GA$ 9\9'>0/f/0ϣdǻm)-l&E;&$|p/KlCC\=!'_6VnA69I]n`&ݽfi, /y"2$rD ]<4cF%>AwXq(ci!'O -dFڹ&; /3<#%j/@]#o֟i;7@yKVw5+*_R G4bSO}GuQ4ɚԿK98KC+ BǬ{4uS D,+~$\2 ^ E|Vwd69T&'*0vR~2|jW^L惝_ s';k=Ғ l5Ԑ3eďvlwC$^F'QG|JgzG&CQ֮&Xޯ|-OD*l#H.}5l@,4]6in~1"6+Mppy1|5W,KEÿB[!KidcK1y1?Y.J__k1~-&$l_>5K§u8eqy]@=/æM|޶%c|J獘yd/)w͓4'A? L%J  */R&|QAf$]{d_E,BPt*<~,P;8f&lD% )gb,jӞI<{?&D7goKgSYQ""ۓ-?Ԙyq]9he: endstream endobj 12700 0 obj << /Annots [12701 0 R 12705 0 R] /BleedBox [0 0 612 792] /Contents [12706 0 R 12702 0 R 12703 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29399 12704 0 R >> >> /Type /Page >> endobj 12701 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12702 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12703 0 obj << /Length 19 >> stream q /Iabc29399 Do Q endstream endobj 12704 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29400 20830 0 R /Gabc29401 20835 0 R >> /Font << /Fabc29402 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 12705 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1125) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12706 0 obj << /Filter /FlateDecode /Length 6029 >> stream x\Ko$Wy~RI20؃cP߈`Yz /*2`Dkuӛ'lo߷Z7ﶤJѿ.mx۷_zSRPW~{yؾ^֪ |RQi˫R>RF' ]t̙n@~،}g|} z܃E-x`/XQ9ki \t<6(Sqs `V>DNFEB/ZZZ&>|ϗJnX`VM'R֔ ypiu xӲ :uW _@?0+]`FG\_Yf]ṵk\%\&^kODzx =?{}ac2:0̚``1q?d\7)Kuk\6p]͏.7e3|z.#qT&&Ϯ*#2b뺢r'uŃ)*\ɪ/2?ը \fIUt2L 2ۢ|)WpbҨid5=x˴JUe͢惃C/0$tlFȜu@@ ?qā{=XY)8㸹ʫ r:+pƎtI*Xe.6J 32J *6! -Kˡ:O7gTuCT~"oS<H)89a{.xtX}aoz6Äl~nA&&UЈc?'>#qaKŌL*|I)4H+RyQ ! Jލ[Tkye*<0ѹ>|l袟Teu'G0rH*RvR4aƣj@ )K*平R8hPmmށ<-Yx|@ ц=Ic.' KV&K4:*χ.\E0x,325lk >tPTse5sq΋쏬vD>( @VTi k]D1G {px+QXQ픏Dd E3u1v2>AR4mXJ8M#x)%<Œ9V91@Z]egnR031lj'(zTՠݩF[Q֝7dACb6o*b4iX0MWO8zHQN 0"1%6(eW aUZl9s(g81~ka/Q(5snM^q25-_Ӎmـ¶=I sS.KO172oЩ[s{`tXk۵;dGm:jEk_>HðfeL'௲BPU̟N͸rq3ڎsĄTGIqiuʬQmg LD^1#i5>7|EhwiaMUsFa**AGlr޲L`2 Ȼ Kj\,(I$3fw8vM950s)eۜ1&oXN\GȠTXX۔zd|nXeuU4}9lV=uj †̎'o2H CH8FwO~nMW7qGQ%sdx eMrORw Ls)T(iڂDl h? -jǟ>Ն 3Iq'ӟ/6öiʹp4aFxH s(kwoFTHE@Ͷ<IA œ0<2 ]N,~b,tĄ{+ ȇTd͊3NιpEZpDok!"_:z,*RgXb/!ɍRϔ&q42-gw4)QF\fXp9 ^pi1s:|㼀ibR6+O&ul.G1鎤qr@,\;t>t*;HRy%M覺Ng#lq3^:[wӚ"}؝V"N]:;86F@hM}jXbݴ-6Yg::vWݙx % U`[‡OOurA|:q},(pjV@v-|ƴ L=XG'[E;o7aO/- 5rA{+pSGw1v$4; f7bims#v'>pQĔ5.͵2;ődIa{@q*,Nw)q0@]=\yM^v leP}%tիhme-J(cEпjc2ʀĄaS+M2`f%`GtID) ,`݆k=zܩ~u0i?9뼸K]hI@eАаd_Cjɚürvchs,-菽٨TjHTSh i*.>G}?KP; TN4˲xܫ x¢?z>F['E~e?AJ?|=kG?k{<kR?eAE~#:l{~x:p&g('cK9H㷊8 7&v8r ` na:Dt.1D|@8(dCX< 3`] ;wX`4qy=N^zo8"8ҲGXe`n~+Q#r GVh3`3҉:~&=36 ^7jcoBdR e8DHop,#k#l~ Wkm(26)S5'3GR > CVms2˜EA50uCs^R[/oZP&h]Fp{~oފmAHmYey{itv<;7㧱h&?v??'t 7ert.RV>[ *2Y]uLa+(43 F+0bw}*B[$=D}?&iR ̗lp"`E) RT"Js)4(Ie!$$ʼn!QApȮ{poe\XY"{ FjDUhEH.RyH:58.G7uKh+AZ`mN-QXi?O<n1ՠ-Q"AB>8՚w=Ep|ro<, = !q -7qk6K^#=ʷ*YB+?Xg%YK_O|){*著?ÿ\eFcYtz1Я 4]uhCyT=SZ J||!u@#MnÓ{ a"n˯Kp}خFll̾){;f]%NDhXi<\`juwlѬ5tWD^?p2D`'m6&av`\{ S Ca:e#&P%W3Y?wE3ޙ+ yvֲ"QbM{dOUa4)}# "@ϘR>ZE/n -%ڦ^ >RP܏>$/}yT`FS({X~u}G?8#/o[/G] y/ rͧyO|f$7=ԷfVsxa3ċ_r/~-w#10]q-|M#P5){$knD͟4Ũ]Kw3\Mi&D9yG7gJ˛EaU>㒪XR8 8'ջJcЈݝ*C+ #M_ݽԓ) qf M \>ѣ-wE kN:9QymѴXLuZڙ!1O렯YS>7t%{THի?RhtR2 W0 {o?=841AM9Q+WʾrMPn ',ԚD?r5=)Q1"P_L$8t͟1Sq)Fxq8{q7{?%Vn )*-pM't &C[K˶:z@+nY/^0oCOtƲc}\A(S)ft u3+Ύu}08Ew1.L*`ҹ'm6{?=2}[S ̷}|7DPYkv )C8-{#.W^ӫeʗS$:~7#H0Zd4R ~YjO0B eR8TlT{ıe'P?1\w.;BӰ+xd*[2bn!wH|Ln.3@xRoۨ>0Ec`TJ}f؆2\A2ܟc^mb4A Q۾hK-,;$ѿa\F{茿 zG?< Dž9N#L߀5siEAc r4Nr).ppm+4([Kn0i-0hѦrdb28)KZ¿Q_4:Oy=z#&H"`f%ș¢e|1x5/4'y #En")3=c zjzQ9[9Zl8M"bMu̵ͣͯ? lrf{ȼe5e+[F*Q-'4bOr ;VGve ^IE.?$޼(e endstream endobj 12707 0 obj << /Annots [12708 0 R 12712 0 R] /BleedBox [0 0 612 792] /Contents [12713 0 R 12709 0 R 12710 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29418 12711 0 R >> >> /Type /Page >> endobj 12708 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12709 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12710 0 obj << /Length 19 >> stream q /Iabc29418 Do Q endstream endobj 12711 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29419 20830 0 R /Gabc29420 20835 0 R >> /Font << /Fabc29421 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMn endstream endobj 12712 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1126) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12713 0 obj << /Filter /FlateDecode /Length 4614 >> stream xɮ#^_Qh_!!ȩ! 0FT_$4U((r|TןrO֬A-A~r.\&^ج뗟B w']H{J ~nB\mzv{|ߖ/tLM)-p/; ! VȸyiĊUIxA^ޖ="F@TPP7amOlb7gU!B~G/{(E ބ۪}D>XS`r|K7T+"I/7fT$iF+ajh-ZwCB=I|l6: EikBJT0H㐿 .ġp#`mkW0TM+߳+B/GebGIɁxCVx1#9A•&eB8;Wqee-M_Jǚ%fOqD6W1ƃ8ly6{bp5n`?7řrrm;5Y:5^}<I.H%r%E$PLv uR!_*j@OҮ'v"nl6{h/Β EZ :g[ eP=zN)j=="O%=-Mzb DcKzZf%'Qhւ|P8{Dbͼv0Kem2gRY#XH[%%:LӨt( ="{9أQ$0\ǒJvla:' .WX_,ܦنo6$D#w^'P.M@k [,R #d9U^d %_,:§`` ^4L1 1E\ ns,Suy"TcYQ>Q5Gܚ= cWSLu<ךPr}VNyx0v0?3~hI(h\*깪 +6bhhH$r֩^[P1LX\^*61te^K\h?ŅcI A9W"h.htɋrvsN AE,/#my=kZJd El.KWEPH6(NE e|U0\š3VŪ-$^E ./2$T R d\g1kU ͣ}ζQ ':sxd!{g11b~S5b2z2^*!q!4^%cv:Xv~?|laA7z^K,bG1!I> ;8_HqroEf9)k7]=@!,Qin .0Š/yWq?L(0& K* i'QygHP+̀n凥O6gYGPcy5>.Q!>D(6!(bT4C&2~vWf+5 h$6Mqɓ]5fz&,+u-sK^R䱸pk5 *\W86':uYo&h·K pcLMd3\T,B% 7Oa彋2n`t<.*Cƒ"WXZ iCFAs,˺sRl?[-U=\B M/ݵhGГTjQ Aȥz- Nw |Ӹ2Lm6PYΔvX0ƌ.f RM`tmDp! y&ٛ;%!,\O&y#k)ٖE{1lSr2by_)46Gm"Y G\2_@ [>k y_]9ps#Mqi->Lp.snrq?c]Jݚ 8Ts!exz^k5i8t~o#\AFIژ]ͶA3)ϴi(W=^ZKWu2ufom[z{FR);8[Y\w :-:wc4tYA:tMiw9&Ф:i;ظM#a#6U@ꙢbXT*I.GAWPczhQmX'-sv6`V=D/ X>K@26sNHj֗2;9Ɲ#ea%e/v^}Q|BHjmË{AkP$%l]Ʋjsok B%da}[qg^i 1=Qw w87cֱQvPp:wN,obqIqF;sZOT ~0/n!ĠUw'GԘ`|g3$ cdMRY%"ҚܧEަ%tVyJ:lrA)9g!i9]w?W&[3/z P%_$/~]" %B#enFhI`GyGyMŝ]XVbL6Yj>,|tŸWI? K!]?Y~Y}*Ш; A[}u216Cb 1yq,CI*S>  ^Rm2]V9d.@Kl\ z!ta-1pIQ1e9eD~(p_R=air }Sp@8Pz^.~e&R(kՅrzLo| ` B tְ&]`UDoBfZAx4ev@Nj3>C$ࠔ0HaP3?>=Hxg}P^S*-R\.f88@܉: .^?LI虩˭@M>6¶\3;jX<;͔Vn˙Sz)B\ 0-3443DAGj\ endstream endobj 12714 0 obj << /Annots [12715 0 R 12719 0 R] /BleedBox [0 0 612 792] /Contents [12720 0 R 12716 0 R 12717 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29437 12718 0 R >> >> /Type /Page >> endobj 12715 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12716 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12717 0 obj << /Length 19 >> stream q /Iabc29437 Do Q endstream endobj 12718 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29438 20830 0 R /Gabc29439 20835 0 R >> /Font << /Fabc29440 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZ„H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"^G+QO TϬ>JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy45ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwoG, endstream endobj 12719 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1127) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12720 0 obj << /Filter /FlateDecode /Length 4789 >> stream xn$ίf/@C[ r0rR@۸T"8`,,^bR,V ee6Οⴍ hmOf6i_]MQ\kYswZbv5Ƅ(Fc\R!get&et1UKq˷QwAHbQ+jG.)†V|,AD] ]4^!䌱%!\JĪ+exs @ML1APNIŜ鳱PV/HGt>@h7j/0J@q.lPըr@Bdu0-i,B @vsT,0cU-b61\jH-MaK&I Q M{ 4s".h U>C `8REB!nYabҊ/:^V;AGo 4AIYO+VM5sq@ꈓH k:~Z_| lM :UqO7R`E {$,%[zTţ%-0 \n Vl +-_I{hW*K :- *@&*5*P"@W(zO&"UI q(`I+6 v u*(FwgF %+A;ΒZ$X꼪!9u;d\A*ꨛj7Pq;$K${5l8ؿH=*-l'"/*+}B:W|VbȣH7!k ʩy 8];҃v-+iw NĂli ` RSz't7_=ܿS_F|:|Y t$4 nuqo @~O뫁ʇ˗@*9՗/>&>Ƥ 4#%}A}OAֵ <%lhиM86˧g `Âh. \RD0lNf3A|"@q@!qAg?JC`g<̱1;ߠ#K2 V{}Uh*̒~бkr+bF;v0C9?2FYSGx1(qA#q<=[]B)]"'!܊_Z.P-ak3NQ zVPRP._YAFkyo ߚZӗd0Β: i@ "uh9jU_)aSѐn}J:/28FC.A@R3S|yuKdž9nWA|@F-<)^y i9'J2VV (Fkj`<6:".\ubi* ٮнK$L}Jmcz\Vw͊6T,G=F +Z]g'ԯLoQndJAp}]`wmHH u~Eu qP3h# E~CZҝfǞI~{WLv9;NbĚϤ )hyH9WBх/ LRLR?x"p{2Г+[ {!i1AH!\@‰ ^34V3ߕͲ|Y#ajL~]݋L) H n2+cX#8SuIz`= ƦRods u%Y ϺId~s3sfM"([͔aZshEL!I&܃1sm&d.9t8]8Y5&Yzpzd 4Nv!Mm@(E!oX 9.ٷ]ӣFNLK\drM;y.t錥Ų2Ө~'ޔ |G:|%wkq˙fUIaM(نPkJMmLd:HBF:u=l- ^b3}bʆ;px2@RқS>O'q$meY;i]+^WJm+vD$XQX y?Qm<.+پx+dӁ mAɻlszl ! cm@\!`6~eY 7{{sv(Y1|6 BZUs,4ix<Y~V}dU G=x$(x4vq8lGׅ@dns&]ʇ"FD;Ptv yG{aDfJ"7P (o`͟S؆#h|?O1s<4tyUXw@2>$(}"l+ ᅒG.?>1 e<G$9FHw;ȜhOW:Aw _Y'8;fq9 HޝӒh]{Ӟ`@p\c}xN#xпa|@S븄} Hlu 4 wI^BkYUidؗI OٰZ֟"fnqD]VYXS=kNBzwKtߵ)+lPѮjVzVz|n+fNm64]YNj,Vi-EY'-7| O{w ۾DyP[8*g9t{x:'V=Kx>?_b=1Z@ u}Yyei99?~].ů3lϘhkوx{57mwxn>Ν 3WNGv#l4:tXz{vfcWse Bȗ]|:0OZݭBK%b{6ӅJFWF_#x۱FWժ0i H`e3L9Y׮B*Toj;;-L]ŃQbRL.nRШXm#˅uѥWSw 2G 3bF~hENNn2QfMUa1_ލ |"|yne&M3U׫@aHPӨvUq|$GR3=r/'MwY-$NIa0hj2t'nvQc|= L\ PsPw9V}\1pPʒ?bo$KQ7xWit[&J>[+d暁q뒺4~/In[·!|^rPX !+ ^6T)s_vJDeVskQH+SC+V}˯i*\ɧ<DkX d_p._R+J'Xiب$#S(5ػhlHeH;͇E~Hg![2<.X%^Z>f ,Gѹ1UPN*PN8|Ee* e\%sM&GjLEv$1^Ħ0dɻ1[\hDžK100\֔ م}7.?f?h}K:%;'yеkED&CFYLHt҂.8;9vjdk{xT}|U#gc~4qNbܱ,xC xAB9 qXsx>?/˻I&o#9o.wxqq%u>|9Bgd4jd7TpW Taφ*h4G)җq}~Pw^;Pt`T9Gz$# ƽQ~h`/xı endstream endobj 12721 0 obj << /Annots 12723 0 R /BleedBox [0 0 612 792] /Contents [12734 0 R 12730 0 R 12731 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29456 12732 0 R >> >> /Type /Page >> endobj 12722 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12723 0 obj [12722 0 R 12724 0 R 12725 0 R 12726 0 R 12727 0 R 12728 0 R 12729 0 R 12733 0 R] endobj 12724 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 468.7077 184.2752 479.7077] /Subtype /Link /Type /Annot >> endobj 12725 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 452.5077 165.6412 463.5077] /Subtype /Link /Type /Annot >> endobj 12726 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 436.3077 192.4702 447.3077] /Subtype /Link /Type /Annot >> endobj 12727 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 420.1077 166.3232 431.1077] /Subtype /Link /Type /Annot >> endobj 12728 0 obj << /A << /D (unique_523) /S /GoTo >> /Border [0 0 0] /Contents (set_power_opt) /M (D:20211013063105-08'00') /Rect [104.1732 403.9076 175.7172 414.9076] /Subtype /Link /Type /Annot >> endobj 12729 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20211013063105-08'00') /Rect [104.1732 387.7076 166.9282 398.7076] /Subtype /Link /Type /Annot >> endobj 12730 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12731 0 obj << /Length 19 >> stream q /Iabc29456 Do Q endstream endobj 12732 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29457 20830 0 R /Gabc29458 20835 0 R >> /Font << /Fabc29459 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12734 0 obj << /Filter /FlateDecode /Length 3241 >> stream xڵZKo$ ׯ賁n$&o ImK~>I5v5U$dH._h4b痥 4Z?ŘMk`tX^nv)YhNQyK><Y퓧o2'GOp[̹U\~0F7itr_7ĬKXzRz' dz ~G~y:n!R:oD"S]}DnOKyO=ʶxj+Y_ wvDHv">oNGC|\bl&Vb$P3U"ln#nЈMx7a(I詊X][ȥ$8TQ39 Kܴ҃&*¶,A0e [,j톍Bb/&y2Jޥ|hko>(z5UQn؏7{Bd7hϐ2Tǚ>kt6\:UU-o+3]kO*U'u[} -mP~w>L%hG*l=|Td?C܇<{!zNNhcն;jL7MC%`@2An' Tt !"l :INȘ-Ť͘ Pv-CX nP,!Zr^HC6(ZI4$0qfP-%l%8m`FnhAP K\Eׄ"+>Ƌ"$I蛘 iSͲޜ Ɠ<6,05ʒ얜jfM3B0p] )g74y] j͛!@uְ,rĞewdTi",DC"'W\Ҭ΁@JE4CB BR .㻱iv[+ͮ7P(os;Xid b~S5aiy;ڤ!LM1==Cu?/_F#q h.8qHHu?mw&C+Τɣ2!´p~OZٲ#߅I-NtmeH Q#Z/|zTfТÒAKEgHN Q0 std#uI%}vbM] AVS6r!]e2b9%A"#`:WgdLfZQ@ML'l G0 F켒/`:?| /X>NSM1ܱFEy 9Dqj L~oI*"%|[NFE[Ep,if( 88wy'}۪%^(EWt>AȬ<ܛN"x#tM*Q- W "^&[^$ɺʱ"E;jP e\.!e󥮆MLT=w4FߖzWbWƽZwdUu*Sj Z7*_IZYZs#fGꨞ 7#ogirG-mB`Nx|7#r5;RNssA,3Tޮ$\~C/C֣}*Dt7l2:pVIUUg+HuFI%.kq/gUrJGJY-I7zwLrN\m2۫g5g&c>k@M"˕p[+ ~@n:)hѭl1]$kAG5ToAC PPầ-B0!zUj"kM %7( o0VZ$y=f(Gk]-׭+uպ7rz)xz*ԡek}urPCjHY}qoziGSt&SvI]~Դb'e$t1-gr|3}"5 L}Q)MT%;}svuڼs]׈ ӕ!KpGwy{~&ow]Ͼk,N6!d8Bv{كp>ة"E@)&gKk gkk>G +o,읆#Jŀ4lG'ԑ1kud:AA3'c >Fo r?]t}DTGKMۭ*I*q5'ȇ:hA]584hݾPR~$5mXJ `3s3O$kikO͝:[M[[sTd^aӠK ejrf5/X窠My?ZIC ~>beуq]=@k3F0CC2MQ+[l m[n0#V[Ϣq.nul]VB7g߮;B ӷ8ԄOpOZJe7{ϨrwV,k[ (ҭSq [M?6SgO-\R=jg[7߆zK}hG-֓=d>C<"p endstream endobj 12735 0 obj << /Annots 12737 0 R /BleedBox [0 0 612 792] /Contents [12743 0 R 12739 0 R 12740 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29475 12741 0 R >> >> /Type /Page >> endobj 12736 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12737 0 obj [12736 0 R 12738 0 R 12742 0 R] endobj 12738 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 12739 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12740 0 obj << /Length 19 >> stream q /Iabc29475 Do Q endstream endobj 12741 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29476 20830 0 R /Gabc29477 20835 0 R >> /Font << /Fabc29478 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRvyxzyVp8ج_ax} -C |뻒0NA<vuآ*|:m֯޽\:H/ jcB2wr Ɍb `6P\0&U$c"Ks"ɕ jE3DTZĀ0dD@M(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12743 0 obj << /Filter /FlateDecode /Length 4057 >> stream xڭ[KoW|$`p m99Mvԋ/Imgfg)XU_Q6Tk1lпoK kUΘ 4+{՝{r{j.-9x/DWF{ӟW+v&+WC?Me-x\-U9Ь4?|\J%XdbUt 7ɘ*D_.bZ.@_xߚR>Al#܃;4ԌXxт=zSm}ԥ/wP4O sھɮU&,=H,9~A/BpKι5u١87O|@nBZo,$ɽroۜ]%Go<ܖe],2 DŽ~WV=RBxYCoh:1vI`oֿEFWZp/3Q?ʀ`k2H4oOt<Rtb7ЋG.xo>A_v߀ן-Ptn)`ѿ0&]غ/*vObMT=jIH2D[ a|)-)~VT:wHG>d HGɜ>nC1d 0B8M 1-ŠZ0 %]p\[|֝*CYPqu{P*nUzo#z}'xb He  |}8kYձ-t&00[JCUSqSјycu~Uά,*ASR6+Wj^\j UCipm>̕a,9ZP/h漇 s-/V%Zg_5j>_^yp'a{^m6F Ya>r]Y2.*U'v MSe2H5NR"5& r26~I H&{%JR͌G PwFtֺ s2[!S% R&M,U9\3 u:TtaPWwTjf ,7WNpz 0S+jW.Vwcp;` @,,*[ݠ3`\J{:j%iNN4٧ 4gZPB[u75H$w7HCSl~u`vF'<4DJ:ǣSIw}շ\L1A#S3@ \bwvd]#Ibޣ2ӈ^D^50*FnxT:͞7\av}rdg"Խ׏H\'pJ-2}$E醝\‘ Oj fq09~bi˘jk~025i.τ+n5\>6:AQ10lٺbl?ih=PJilN?UМ֏ѫub꠪o:Xml5б&l-2=dyXNB~XON8߿8^gXA c1`p _gwnp5m [yZscuꢜob.oN)mlV&j{ 7U`X;PWҊHGʐTڵto c^r}#Ix^dWoj%UZG=U i87c֩Q 2Ċ(qѓ+$:IDFk'v &AT^xVw!Ҿ# ], T:at?#ʜ~X< `u5y_/*pW&)5]:x?Rn#>^Z>|Suޥ-M R&:<4LblMտͩYiׄ?U@:UF2?iPAnC+"xMZYr$2l$x8,Ij9`_8[K/R**kZTBOLlM '\M Ag{-_䓨[ʹ 3VSxmLg,{WfTJ]VlTHHS/Ƭ?*& 'Il|8^ݤsdzWe;A f ݷRŘl=.|lќ< w/&ӈI VN@GےcG}5c'OO]!/R &oIqw~;Sg_ З"'<E}<8^)v F@ {0>_?_p_ z}_{ǝn8BFsXnXQJӌ S }Q> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29494 12759 0 R >> >> /Type /Page >> endobj 12745 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12746 0 obj [12745 0 R 12747 0 R 12748 0 R 12749 0 R 12750 0 R 12751 0 R 12752 0 R 12753 0 R 12754 0 R 12755 0 R 12756 0 R 12760 0 R] endobj 12747 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 184.6217 608.2] /Subtype /Link /Type /Annot >> endobj 12748 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 581 186.4367 592] /Subtype /Link /Type /Annot >> endobj 12749 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 195.1267 575.8] /Subtype /Link /Type /Annot >> endobj 12750 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 192.6352 559.6] /Subtype /Link /Type /Annot >> endobj 12751 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 170.4757 543.4] /Subtype /Link /Type /Annot >> endobj 12752 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 516.1999 184.2422 527.1999] /Subtype /Link /Type /Annot >> endobj 12753 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 499.9999 202.8817 510.9999] /Subtype /Link /Type /Annot >> endobj 12754 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 483.7999 217.6437 494.7999] /Subtype /Link /Type /Annot >> endobj 12755 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 467.5999 198.5807 478.5999] /Subtype /Link /Type /Annot >> endobj 12756 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 451.3999 203.3877 462.3999] /Subtype /Link /Type /Annot >> endobj 12757 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12758 0 obj << /Length 19 >> stream q /Iabc29494 Do Q endstream endobj 12759 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29495 20830 0 R /Gabc29496 20835 0 R >> /Font << /Fabc29497 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokWM-CfŮc8c.@Br!sut;_Pt2Qh2 ALF  c̙h;IHt(sBD* ^Sl\9 " /5"]zPL.O5HA?hxaxwBD>.PkPݳ(E'ܱYZ)^v4m11o:ٓ#,J[OIkp:3ϳ-nYc#x#?0$IԢxy /KYsKhf>e9 M \097LUǪHB>W!ۋڎ>E tw%BJzڡP/< ݛk endstream endobj 12760 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1130) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12761 0 obj << /Filter /FlateDecode /Length 1785 >> stream xڭXIkcGsuz_@,6AC$90竪ȒLb]T}h-'*JE6SQ?ᬿ9}L X>t6F_@ >VA +Pr/4?uC0'(O{2%^WՆ:&^mhm2o0}5J;nX-\%z~Fi䘠p ζUzy!OS;"PՑ{Du[[{̍ט E8 b~+bJcC#GV& xV_5-&GEe)_@xXLD_ןӟ(|L_YCmlUZIjR%#6SA{}~E#`jud#J}Ou8 α+d3?y+S.nby>}::qXu _Ά" (6qC88ۦ "%}5 K%ړiIi_Ҋf祅uARsry%;>ˉtؔ-0 [`rusdPm(vWKk"}W2^  se!tܴ{ԇj+MIkwDuυfTIXPBZi{Z{[6ʺN@-1{9F[.hͤ .E[Q۸wzUrsgɒ~l?8M8Mr[|X7XEܕh;7`JiW!V̦ذq kRvdVPX2OiřRmdGi . /_Pvџq{N(2nfu2u+b]-rak2ʮw.hiwy!WY\췮.;n.#d5a妸ag6JZI$l7T6##KV/]?ȸvZZh^cN>2"{҉_#6V"Fz3.K0DJ >z8׈.ۗc,2q> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29513 12766 0 R >> >> /Type /Page >> endobj 12763 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063022-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12764 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12765 0 obj << /Length 19 >> stream q /Iabc29513 Do Q endstream endobj 12766 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29514 20830 0 R /Gabc29515 20835 0 R >> /Font << /Fabc29516 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7))|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12768 0 obj << /Filter /FlateDecode /Length 5220 >> stream x=ˎ丑w~RK/Q@eV={j{0oD-Q)Rճ $zjR`0 R%?w$ $V wvjotFͿ|O\/jpWSnxv`<֪$>d\3{r')ƒNcIԍ*ow^dGrq/|Mc]ǯ*;<;NjY A|f+5XzzV"*󒱍mNǫsFqmAo@AHUd6 NYGpX 'uyI.+LʳaAD#'GXG4D&@iJvH &@LjB`1tn4畈s"(2}#4O ߌ]WdG+@6 ,Z858DN]~]iD€+@yN'* Z$8L 8-ufM#|qPT0qxߞD+(_/ \"9i@4UWG]o>g>qHxbϝTf }Nػ)rӵnlT`BxAjɃ7H5vח=RA2t&xć^ [}=j <9' fL5젆dk'.+*\<4.N8ŷDl'v0-T 3͗u}^qZHലu,!LfeݩT {T Oyeyc_$VKTݺy^fV>QUr=XR=t]1/̊Ze g .4Sgji(KnԫYP̲Qu|^kK~R=6-]%rbZ1/B=k5&sk1s+k3|6s+k3|#_39怵kX9怵oX9IDj0PTBf(f)TQ;ipE',(/'zygJ&L#&ų}ЄWFpeZ[`b{]Ri' B880&>' U1oj_P&5"*j\}B4 ʌH4$y3OTq̒FyX6)#}ن=6gk;dDzW=KFeG H'^#-mvTlC[(KԮ:jܖ 9&J5a)C%}m:*c8`e^*lޭF,'a_EgydR0l0u *.5X B  \rxh&9 ,~/L=ώ}=MrѢ- $ x Z=y~ˊW>Q['옩j5.γ=l?P,񻏡!قף>Enru˂s?#On7M){+~\x躵^9g.u|~gnef_ tNxa-[v 8?aڙcm=Lb*Wz߼&%e&rMs1T:;5lH2s հ[7P-vrT qcKr?f<4P->s\d<0Vv2Քc^t}7U$ gY~hSRSNf{4Ze:yew~gܐTlYM8?oOwߛssm ԘΜt_Ӱ*_U9H!؊lYYC, ҔJ,}~ȾF6?9^װQ9ZzBsX"\竦JR^Dcܦ~zҰRfy<{}S=nea) zbkir~~HO,g::_ xmڐ{^p#|AZLf2 Epǧ27:a<ǁW=Wnv@$u1qez$a}JIak񧾬^;F F$O먱$]*Vb)5s$͡QC `h?X!u@JHV,NL pY#{HEx'WNz-p[R$%ŭ{X<8Aw2.&Q n}Z'`.QL'^rj1Jc((yN38 r-j, ^zU谀JݴؗȴwW BT`=Y%uOX Jz5OR!ucɊ^/K,+3A3jfgR)<$Reת41V"VlUq &M:a3:>Q K-Hu9"_JI^}%G.pyw7FriR X{u+ ,$8'plez:"TW&6]UoT R@׺S+H*0˂R-B]t[)DZb])s1)K(u%i8D]宋+#D#-VcY'tL{5GՉoV':Dԉg0N,Νԉ-HS'VԱ[ԉEt'ub ?Nt4*K4WiQ-Bbidѓ b([1l3+s06sJlr^ t2RReB%@Rrv,ӥpd 3{fGT-.je*b!_b!!Ů||X9GﰏbWCc*Ů|} nQUSeUSnբSfբSrUSvUS~USeUSmSmSm#;0XKkb?؏~"cGbJŎ~JŎ~j~|'cͱ[l#H=~ 7r[RUd)nP*v)UI~5oMW(ꑧx)~*qJ31~ɾSsbG6O3`ES=e)wQzQ`i Gh_6u7s&j DwAD'my ,4&gkt4Nj6x@3N%1N7y= 4^N?~#~ B)=l_5(c֘jSl K[8[Y !b_]ɡa#L/8oқ+ف2*NɰSLDxG#.;4~!k\IܮTK؎$bv寡Ʒxψر{:*LϒR,CWUYӒc}7͢㞅m6GYLF L@{y>0j؏}ro(:݌A^)&Y]<=Bd!q@荧qֳhÐõ*|k:OY`i'ͧpOuqe&s)|1_P'N/#Q<{ gPjIhGȨgq }_g3}^O:Ͼg_ZBD%>MQDTF"hҹC<1kFtދq DP{QzN>cUҁޔn'PLNj?餞cues]ૣ%yj endstream endobj 12769 0 obj << /Annots 12771 0 R /BleedBox [0 0 612 792] /Contents [12777 0 R 12773 0 R 12774 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29532 12775 0 R >> >> /Type /Page >> endobj 12770 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12771 0 obj [12770 0 R 12772 0 R 12776 0 R] endobj 12772 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 610.325 114.9865 621.325] /Subtype /Link /Type /Annot >> endobj 12773 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12774 0 obj << /Length 19 >> stream q /Iabc29532 Do Q endstream endobj 12775 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29533 20830 0 R /Gabc29534 20835 0 R >> /Font << /Fabc29535 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɇDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>h endstream endobj 12776 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1132) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12777 0 obj << /Filter /FlateDecode /Length 5315 >> stream xnί9@k~= M!&~ŗ۞3mX,֋UER˷,]_ZglV.+Mf i[cZ}r~*oTrc'&RP\߯POKv%.Ƭ#ik4\_˗?!쓞wZg&R6ل@o Э_{ ?s6p[\nx4l^[6ak>D ? iԻc:=zӲ&g Bp#$T/}癩 5d(H?,՗C녠{%RX}J 6TvHDC="@DVyȕƄkYU yivnw r{Ə Ãe&fz|L -?}m+4*d3m\jţ _{sgD`JLn 0>\Y-!a 2@yK) ˋPhIW{!VW͢*cwy/iRlBBr3х 8["щɁh=sS]{E>z|?cCۊZ{q.<(7262xa& 9vtrtQ8"VUj2~4񽽜S/+#QcMBE~˦^9xhz[9$h\e#h:XAӏFXC3veCIf5+{ &^>` 5G` 3Q +87ڈ+zTiR(:UMmhhem[ ΀Ls]ސ?hNN#p+!nTCڮ)7D(;qe ޗ&>N?+mrlzݎIݞe/# ݶ^uFȖv23v%îtMv<b&;9Hf%94#,.L褳02ա!"YXTgjL-;ۯEԂTȋٍkq&ց?!MkhE3x"$юnuAd м!Z˴~>:"Ϫ6&5"6'-Tusu;Y1osGvuR{:ohu.;JDsġ}G"wGhp,Usm\W<BٞiIqyq-U?(*o%  Cp|;Q11`&+T bG:WAv{ڏ[Cj'!ŝ;GoƇ<γe#70kF0'mqTB4q5'7!CXi,U;lڝP#" M&CCgiΊa w"CcҡdG]&:w3ĝ=ːeBTt<<6?N0[#q ghg1J +旆IN ힼ^ƒǫM +&-iHge\`o)zd v)aե]*uq3TnPSW̨N$pba1zFQ(  >eo u&4+,[NN@PK/lwgl :Y㒝 a H G`73o8nLbUHȴK FcVg͛.!ӊȪ<+4UrۢHx2ᘳ+f@$ - ~hzg ,kߑJ Y0Y4T`i'fT 8͒ߣT-|D?s&rZ1?*4ho !^Co*X jwstElbq80TK"ϥu5t4޸ڙԍ~++Wv@ފÌ.vmFK r͵`\Sk.%-@Woy"E.%kDB!qѸTzSAcw(Qn ܯ:x `#`P9ΒZ E_nQ$q%2.S"V7t: nwj)S&u$S#pR[B5pGE^V$sP jSyi'b R PۃtQ[a94vЂ2n{kǑ=҃N lFkL+?4#n;< ж$2Tfs.Jy9V zT )7PNĨvݔS1ft#9V$CћDהvUn'u4rvfNC35WY)C[3|N[մ-ѧ \F|40(9NQ|l ]4%׆%](m%3ݯOTɜGUDμR2]dWIrMRԻ[M=k+vE$;V~+Ն_Ɖй#Rn^+ڦPzސń3"|қ""AC_(QPpVYVoG235GGl,Z;eԛjL?$֣JCqrdS%M1P<>BP[ q1(>/OjqKufkov-DʽWb>}$1y&Ĩwyu_nM̓9?{H rV{ Gko ߧKsgN{woށRp:Q;&85-oMn=jlb"$0a.94mO 6[Sat};gO bhlsЭӻCpMq# fIYc` "n̫IQeg$9:at v-]:jr3# yE~pWoRSQ1L(P@l<)ԩ`/5,]#QnRr:kk+E(M.ɴIj2uP^vLg2+p0@a @򒦘'9PʜłQ?[!=0pualk1VYc\RGJF۠8ͬ>!|;§>*|Bx#a҄!3RMW|suFZYMLSSM!#o&cᓠ"%bCLgTCkGxxyD/RrI-ekgX;}FI7^z;ֽhm*|PCc֊++rWKR/Y.CM,%IK T*lH&ߺMvY+RB]qOy>ꧯP#x 89U_?swJer0G6 xNB9,xR~_Uz_>/ɽA:+p 0rw xTsv#Weۆ~ "^w™xmEa6ǍxE&'yFB:_* /2ы`zϞ{~G2M+N ?dy 'ސK endstream endobj 12778 0 obj << /Annots [12779 0 R 12783 0 R] /BleedBox [0 0 612 792] /Contents [12784 0 R 12780 0 R 12781 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29551 12782 0 R >> >> /Type /Page >> endobj 12779 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12780 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12781 0 obj << /Length 19 >> stream q /Iabc29551 Do Q endstream endobj 12782 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29552 20830 0 R /Gabc29553 20835 0 R >> /Font << /Fabc29554 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwoD endstream endobj 12783 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1133) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12784 0 obj << /Filter /FlateDecode /Length 5236 >> stream x\Ɏ$qWY@|_DU]6b::5"j _XfqnE =B۷֩%*?W|],J-_]_D\to˟R/O%x2EK^Uןh E/H6v+Z5._#M$6nUVK:@Rt1x]E"MZS)1)TtfJenάG2!;+g{7hvojkLܥΣ#- g頢z7c 6{p:k9܆!22@8x\kJ>9 ܅:DO`*rti॓(dW8h9Œؔ!h]9+ξ. PGkܩ-h?m}D:EӋ6qu>$HWadtu}*!!Һ^aܚ\or ϧG\y, ]~ svň-(XX& ]HI~ra=1 Fg#LLYSH#+q=@s>YFB*R [0E4F'?v'6_VwIR*#T('(,uh/ڕQځ0` [Le2+$g5ZXcm} rv޹0ChcA֍0XmA.Vi4OYN1*WqWQ\㡛::حrqc-*[rU+Btu ƃQ_`YUit2֕GH9%mKBdmD\:W hMC0 ''AC` ZjOUh -P"QcPkȜ uC1Fh.ZbZZf.Թh8eJhb8fr{K(7t.#x>c(hXE2?2zv49F9qQPF06m! Pu0 jtWE]*c^w )6}+; FKTBSPUXh^RԢA@<K5M 8UzBR)*,h QRH钸 y #%-x}イ]b0cL1bu ݉vLU+kc\ vluigIڲ61(Ak9i)?<R <0D,R&$s*BZTk xiU/XEEe8!֧ Z +i  f3w +4aAni0F4H_(-O%){}%5$5e?8XPsήT*Ҥe]i}•bΩSߚnLaeq,^MѶ]:7%UC.|i|&j(7lJ铪*T ]OIO6 ]oC]Fv,n]rfGSlܫ2Gh`H`) tT-[;y=:Պ[xhYGfXĨszz2JM2PevB@ 2q.Svԙѹٚ+l[wwnI=Ό EΘH^lc̾WFJ'<]n|ѽZ Eou*Ҋ#ՁU3mQgJB~*Mre7o*Ad;䏆 a.Aj؄eCq?8OzG!YMb(T0Xj>1ე=^(+ ƍ 1O-h }+"H=y+@]=FDK|Ǩ.C6ߨYI=ktC~ڽ; ںNdz(.>C\“+%XyLV 1qH{~F)gFWmXe/)]Bq#2:&}nyjJӆf16lMDC\;u.yѠQ8 31$N!Vc*5!`'UY3ŨbUݣm^z\-!n bchh~`~R7GLݻfIDDFZ[@Hp'qƍ8/DXX*baC}lwkxJ6f[.mB|0(N"~ S6C̼s"(y 3=ꚞŽ5닪28XxsG WF1tfaD:|TwŅDŽh8,tX8`= .xqc}C}ceB*$#ӉgAŃx, >)$֜|*E>:j6z#`Xx)"~VCպTT$(B߁C| ;ѳ͝fjCp+i,wwG3ߝbY\ #̙NZ4TBlլ5R^R {@Q[c(WwTd%sئu?j wz٣UY81Țo0.]RQOXukIFш${IvEHG)+Cyoݧz.86Yc-!LAE::PFl̨]З:6:6Ch!cuSfh !z?'vԈ!fWMΟ }SlSRu! !dLA6[RD2:Nh[s'Kѫҽq};tJ<;OonR*c5U$/?==@KX5hԬ~>{zFff= sBeH=P[=ʖ8RF&&0>o{hPGU&%oqzrNM'CTfs0 cr9j1ߚ/tIuk1tBw21J*CE辔s[&bew?"dW+ƹ\2z"{o3+;3j(:j{K*´~?#Z4J 2d{+'1Ɲ^O'Qd*ZGC7:|Hø~ꦬvqcw@ڌ>.8%bis6ЯBU@)҅uȭ˜jظq^ESsAS ,$Ӳ{JXpʅ} k ad)YjV͉uhC;h-%X*|lb+/_~s+9]0b?p)b>qKU\2lWek齔Fm*\xcH/ҵ.t…e@O7sQJ&pX2ODjjGK^ԺO։W֊m*]*PgX2E/[u&2sὝ[}e2vn`]pCꍹ|,أu.%RXI__8 4rjRNt5 Ryq# [Hm{b0 i| ;s8;w 4_{r7c:+k WqBgT PoHQbUG7 /WJ4LxW&ΛD=lЕq^o, Q&Nd@&_㭡mۏ^b=uͶïrYt-咲xT#&cE+_f{}N侹]*O|ʝ l ,9]5+3>3߀FԘG{ۛW@9D[ endstream endobj 12785 0 obj << /Annots [12786 0 R 12790 0 R] /BleedBox [0 0 612 792] /Contents [12791 0 R 12787 0 R 12788 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29570 12789 0 R >> >> /Type /Page >> endobj 12786 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12787 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12788 0 obj << /Length 19 >> stream q /Iabc29570 Do Q endstream endobj 12789 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29571 20830 0 R /Gabc29572 20835 0 R >> /Font << /Fabc29573 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ifthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*ɇ[-48יq|mau3ͪ? l?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}Kl endstream endobj 12790 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1134) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12791 0 obj << /Filter /FlateDecode /Length 4678 >> stream x\Ic9rWB))u[aS3QmAwjˬi*62im}ufN߶?]\۷g9Wf 9j9ra]r~υI׮t{>tοo yc.4!F$ހ sg |Qӂܥy0rLDptF A+l^,Ψh@G_QaB̈k&>7ݫ>9hZ@а:VWD9(j$$qI_s9 w99b@nT )rԇPH}''\`JxQN't蕑"'B_ R8T_*SU5j*"hUIJ)m+̴ȸPR8iȈk*P"$XM=)L0 {EG | f/"jF}dr㾦[\e͕:$vϚNT&-Ϥ1ؠ;75>UGl0rg_Cb6MٙCv[)fV7yhȁgwܨBkmN&vr-(QiOTnM/w!`'7FG֍>Gʀb[c&9v5 0Olq [` (2P60/*:t*9&2WZ1q"Z ٩/]Ӝl 䤲۴ͱu6o*ae>s]uÉ۲d\x?mh|!&ohmYB#gwkj>#:s +rcY \~SE6yh\^UAfټ#vBBk#g gAl)+ٹmL<`9(.gyB?? ~v~:I*j6gPrf|&Z=o=մV2>LȀLbVT ۪{G]5Vbl*J>'"8g ihǥvc(})>cXBn ],0 :  {= u=pl.C['vo/dqD-wnu^1 c_ӸѸb2 N@'wu$\*g3*+%N~ؐ[`yeBSPVoSn'9h"B.PĤb (Q±74}[-!5P )Pd2o!R>ă|X k!:Q`w8;bcYYpUӼVw D|?;LPO1!V߿gܜ+攆ZD_VV GjM iGiu[ho&^lD&#*UBI_ٔHUR̲Nhۅ,7zh& ֦f>&fL!BX]ӵRtCVAmJ@?B gʁw p6齠#)=[Zv>eҦ%$ǮRϣG~dQǢ%ax=Gprjr#~p ʼFrVWr:J4d W&_w4=l|DWccqݯ6N7jǃh~!jȚ|gcb>"#6XSLj0<YC )Ub([Z ܾ_IdW5ƚmm탻J֤rz3?_mHz4l1ylka'XN6i/"\ĔP):r)yae/Fpؕ{H훓A Ph7d6v%ך9pL~ocwZĶ&m%Vz: U+M85{7L"5& +4ץ.}{۞q Ҩ㜋oRېwH׭G:~{rnc::>7RL ?k՜407wDuO媿Upն񄠳'VM^;֪֬=\F թ3Pxj; ܩIPiHl`u5l+y㋲$k{VBd2ٴP Es "dώgĤS`3 .|cR`{+C<39/V:v{Pc tMb|… o.]e'v  ܁cWUl#z+a׌T#ó,;M7[iqt\ZUeil@J8%5i2meT= E?RY`j&t%c a|c{`N<`hw*MMb25LnjrKoؾ^+/;sû8mҕ&<]:=IY h;"+d q9vabY h,R;UD;j!(Nr#67<;RK":à-W󦥊G@[#璐oZUDQ65Q8\.4aoh]9p2-FMD~OFcK]^0y` n8:A ډCyo&X5֗GȺ5zCRXK|^`9BuMTPA|7\Ov9ȑ}Cp=6IxHI'FeoCE[ta#]Vc%@摰us;IڽLcUA3JUf̷9HI, JݗI l=iM;ս;|5;sc|*TDk֞@ R%NQ4&^W.)xwԭe)#YwA^[ӮO_'_ǀ]х$ts8/W0rLӞ8Ws5Qq 2qR % W:AGi,fd.{TMy` ['f:RMRc4@r&>t=d692QӦ=R2YNKLЬ6koqե&FQft{xqfI7n~aFb.}WA!x#a a3 bl@5FS>d z9 Ijcɠ 0;iCLc{Z>qoO_K\S:?cE2 it8=:opfA/ #ڱjdbfXVcp}悟g|DOW|-(|w8."L! sO{\{ Pi=bQy/#VCdbkl^+7g˲Fi5iXQ 0SZ=t_.{EC:2 )Y F3`B$Ϭgzd#T`w^ #Ijtc endstream endobj 12792 0 obj << /Annots [12793 0 R 12797 0 R] /BleedBox [0 0 612 792] /Contents [12798 0 R 12794 0 R 12795 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29589 12796 0 R >> >> /Type /Page >> endobj 12793 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12794 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12795 0 obj << /Length 19 >> stream q /Iabc29589 Do Q endstream endobj 12796 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29590 20830 0 R /Gabc29591 20835 0 R >> /Font << /Fabc29592 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7E#th۬_{9u ]r,!.p' d Z-LB.n&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLwۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LfXI*d~{QUh^@wP".*x Pڢ_Ћm߽?Q endstream endobj 12797 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1135) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12798 0 obj << /Filter /FlateDecode /Length 5030 >> stream xڽ\K8W@x~22*{k= T3E0$$;"2kgaMQE~|$w?![=6g~lJmo5~I}E*upj+}RIߤ!_+凖 |wp|p,-5>:m>Gl mU/w 8~RMK8|!`nLI4 X sp {xo*+VN۔^IR#w8'd&O Dt\'+GBFͳr w|oi] T6y[ҼgAp.F>hn ,yF&(3Uݭī_9Z0g%l$EL=|{r8UN7yq´[)N5?Ģd]Ųf7 vN̥jxY}'ƽFJ .rm p'ñ+`ZppI= Z=|,U3OBвWz3*׈XN~JI*Nxblڭ;VOm s1j0|,q]qH|pih_٢xvkJ *Nv\_,HtG']Mk5 &0V [רCX+y5 n^+|ù7\pUJRq1-5jݽwT9 i#DFB{j3G9 Qs@[I5c|**۷لJ0>|PZIJ@֝1X6(^Dyۚ3,|;>sn2kИ`{Uw[!{\&[Yo#'(h^W h%RK1${y>}qbn,fŒ534מj^E`._5prڥ58Ţ(ʔyѾA# kI(eJL7pnNy' Q|*7bwÌ[E ȶwl*ݸ7SF11FmŅ_HkBCa 1Nm |dSu8V'n80n=1A inNL哼 m RWeos[-R+W1,d&#.*2 ƲyZl"] 66/ Y=J'KRӘ]K4`hf;~ѣ|_zI?w `v:h w/^ J!b;TpVKeK0bleˑ?䶳fSMLUOAVH[12%0? Zd I- $VYH:|h iXr22Dז1@0nJy@X)p ff ՌYȚ)ܩv{!nLjIM237H $&q Q ߃BJ ڥP ~U-9(DfwҦbt-ͪ?Z{+KVd h;CAZyN۞f]]Z6pչ6aIPn iߥgH dk!)2pKd'Yh07oT.jY59ʠ.e3T\LF 8JFr'NIyFs1+3&nl3:M؎#=> |$2x3uؐ"NK&EJCn}A i5*x,5z:iaKHӱy=kZdFCP 䵘iC=)+u,-6IPݤǒ8җi%C6n-ҐԮ)I5%9=XSS"-0 CbiԵQϪN%{A, E3[/ȭP,a>.`& ˔X*ƷV+5pa14X2&pX)yP5qsCIC2q/ysNkkМYrKv.=}+ak`Popp;o"9+h&qm1w.Ad f%qYG͹Eyj>#KOy5y>]Puo{pҚQLZ>bNZ'z%c~U^ݚ5~2< \)h f0yzW!u/C%) = 0JX'U|{V^nw}'2aRŋMCI,Af`Tu_b+x}i+~*j*~Y{@8Um&^hKz-Pq  )Kd:X?ػ gk.Cb`) )FNnfNmvŵʊ'-j"#赇, [ZlR?>0+L)TV˪BIr<l"aIk506'J~aw[ S6_exx>=C>=<Ƞ,0?OTZgwyaЎsۡڵNe6DZ2VR+uzw=<ţ<s+s `spO)Kg16_,{pIy)Ҡg$4į=_{&0*KQdbpU \L <a15;%e|;x>3>VU !P-9]9;B9w1_abwQ_Srq`J؛K'fM'C*v۟ml΀tFb\;{SXGOv õ1zʞtpbWM!!n.}{r0=Ma`]Ss1ԣ638T n J\rʹX9ߞ3WIƻ+-@'ؽTiZގ;&$'X:?@!Rv^s"n]A2mݲa{uga8n'v&@yNDFof/N|E6duE&2_f1(KyT$FvvǗ`+cDEz 5wdαs8 A&Q,~^'>|}J+/J&آ.K_.*w¿#q4tte\XD[#vI]~j vSk ^*E62sĩ炚+,Gy#wUJed:AHn*4DOe.)G&qcGZ2 G;S}rw?Du0:a`Gv؆ Jz#hTtev}?϶nerpNfd-dwAoGٛuw~ KH;. :L?98|_BG}0hܸt=?lo޷S05"(pTcqu5\;q%Z[Ӻcx:Wl$0&@= awOMm#Y ~1P >|yFIPXmй?n^>nԢ<@TIŽ!ݵ.smG__qeYZkðc(y6yZ2gro.wF}FMEtza鷢UMcudG~G1LI|hqvzdOB endstream endobj 12799 0 obj << /Annots 12801 0 R /BleedBox [0 0 612 792] /Contents [12814 0 R 12810 0 R 12811 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29608 12812 0 R >> >> /Type /Page >> endobj 12800 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12801 0 obj [12800 0 R 12802 0 R 12803 0 R 12804 0 R 12805 0 R 12806 0 R 12807 0 R 12808 0 R 12809 0 R 12813 0 R] endobj 12802 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 212.5461 144.2737 223.5461] /Subtype /Link /Type /Annot >> endobj 12803 0 obj << /A << /D (unique_128) /S /GoTo >> /Border [0 0 0] /Contents (get_site_pins) /M (D:20211013063105-08'00') /Rect [104.1732 196.3462 166.4497 207.3462] /Subtype /Link /Type /Annot >> endobj 12804 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 180.1462 156.7257 191.1462] /Subtype /Link /Type /Annot >> endobj 12805 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 163.9462 165.6412 174.9462] /Subtype /Link /Type /Annot >> endobj 12806 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 147.7463 192.4702 158.7463] /Subtype /Link /Type /Annot >> endobj 12807 0 obj << /A << /D (unique_47) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 131.5463 193.7132 142.5463] /Subtype /Link /Type /Annot >> endobj 12808 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 115.3464 166.3232 126.3464] /Subtype /Link /Type /Annot >> endobj 12809 0 obj << /A << /D (unique_721) /S /GoTo >> /Border [0 0 0] /Contents (write_iphys_opt_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 99.1464 197.5082 110.1464] /Subtype /Link /Type /Annot >> endobj 12810 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12811 0 obj << /Length 19 >> stream q /Iabc29608 Do Q endstream endobj 12812 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29609 20830 0 R /Gabc29610 20835 0 R >> /Font << /Fabc29611 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 12813 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1136) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12814 0 obj << /Filter /FlateDecode /Length 3575 >> stream xɎ^_p_=3rs0@OJ .[ug,Jdo[IJTE>W`_]_ԟ |3s⪵SFAMZH9hh}yP/N^vqV· 28:e L0;xԥ؉ dgXHp~BX73$>:@PU3w1Dte'TÅ 2ؿdaTQv,H"f0,NoDȸKˈWp.?a34O@wL/ݳkٓkpF25k.9|ojos%aiv؂AC %P5%|z 𜀆'h?G'Mp\SNoȉj;(dk ]ūᒋ@Y~t^Aqd7ْut C\j:-"6AwtX@B}"jnި]m[+om5X[W=he[!4lc#% sȻizM3[1-՚鷕v!¹(oJŬ, f]'SfUgɒA'yf4K_lfP' [H D`!_&]' JdRːma:1ZPͰw޸T$ 'bh}AlaC& v]'mwTC|0=E-yBLͼ*0W8ϫt:N1oX&qPS"ji#Z}'?=ld&6xd}-U t/{i[KH ENQ0z"Ϊ+N|(gF^у+>,.>|EPgNhBPgwk?iSR35x@5Ls+X;̝!uNObucȕi#*W;@Bc'@B !o=x#L"URZ<y\Dʙ›#g6)j'Cfю2$斉Lkc?  4۝L+" vu- 5^,bY,;f^Wuv^H4ӴwSNLB=K ui6W Ѯ!)>t$3 A& n ̳H>dF}雤9~nTi4 Irj&יAڼJZwa-mNP)oFß:;$IzCjRʸ yvYM'mR8l_e\꘧Rb`,jTDxߎ.P3bX\V~~չ]~rtRBD`<]1S[jkq>L)LI{IW/Cm,)Iє"2S"oS}`J6X?[a~~Bs7)ߍlŞGpMzEfpכ @ޅxx88i7=}Tt.>Y%.r?G3mr(V/rd+%Q)z:տ.ucVo R |MT_P l6Ө1;xaқٱNtkq-ehQ8ekZ^!hB zUi Wb.dHAA4 kITصuI!7)_)WwS>_īϣ@ԙZȥn²t?J>LVkG"|X b~Ž7tku|vlқZa80"e̎c,3GP[)l#S \Df>`WXSw2 ;,RHkD#^VS~n{Udef\{:!lZ{M+IIiʬ~eTGh2jꖷ [uMT;ʐ% eԀB|gx&k XYB'no TxL k)|C0CriaiFGi۳9#ٽ+2alu4`TVal45mn;1 ) &'87^ۺ pZU$Ҹa¡ko/R%GNJ4x).+KsH&Q= m(ɕ SɀĶ^+f'|*+bJ<PJ1x,UsE:>>Gc;tҔZo3iHࡃh xakEȜgڛ^?>y"+x?S'x̤2j (nQAfEQS̼EuS%ڃtó̋o'6z"y>P B`nxZEv"L=b27$6-5533(=d\ GI\+7$k endstream endobj 12815 0 obj << /Annots 12817 0 R /BleedBox [0 0 612 792] /Contents [12823 0 R 12819 0 R 12820 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29627 12821 0 R >> >> /Type /Page >> endobj 12816 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12817 0 obj [12816 0 R 12818 0 R 12822 0 R] endobj 12818 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [90 422.925 135.562 433.925] /Subtype /Link /Type /Annot >> endobj 12819 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12820 0 obj << /Length 19 >> stream q /Iabc29627 Do Q endstream endobj 12821 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29628 20830 0 R /Gabc29629 20835 0 R >> /Font << /Fabc29630 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛM endstream endobj 12822 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1137) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12823 0 obj << /Filter /FlateDecode /Length 4247 >> stream xڵ;n$7|Ҽ*W> g@Zſqʣ$uϺ$#ӷL'e;}}JU}S__n~fz|Lnzyupjx[oˣ_PߦDNvzȯ_MoBoc^3.59_M_xn2]3L!I2tD/(5^E^Ykm){'WnU^~Rʏ N)saq t~`@ddfr2|k 8;;Cr~nT˿;8됴%/k2( MnEgCr7$StǔKzoY ulqB^f_ߗ/l;f$m@apql­';+?NI4Ѡ #3 ԠH6~DNKYWۥx{T]hG "?>լE;uu4V;dr4IK٣?ѧɡ K;1Ap!z9L9-@4Nvzh |] >-Z6(8yoHtW4ꪧg#/Ml6mlaĬm}*_ o/I]*Ɏs/w&U=6 Lx@̖M =(lYF [idN(' e<7I/W訨#CA䚭fԏiJ8kv9(T\P1AO|eK(^ڹ{-9H>>*ϕܴ+g(H\d>7oTYC v,gnΝ7wtUgD?k`g %.UvC놑g"Z7蔱 8`o>]((O5!d+ Pᇰh C0Poĺ7Т!0dAW^~K8P',񨡎s U78\傅U-R -A+5ꍠBbQmraBK&T; UG*ڤ(Kז9 _ܜsK:&ʅ"RXP{ 4bޚXf̬/Zn%[mXg[5薵|4I sJ+Mg}¿-'`Bsj;7M]hoe΁jL.)ͱ$ʻ=[%RT{6Hmz,ui6{ˬ=m"Qzm DT-A% R vKGC7qNgӮEK: @]WNN8gi5Aq`9mJepj4>hylVfaVfn3 ۙtl3 ٙ1bٵc eV`l` V5Ugt-=+]YW6ȝ9)aw`NfϜڜXO5cWsksksRl~ĜT3imF?fBꭇGGoǀ\W&dE4L}4#13 PՌŏ 8{B  ,2qQ߶{~~Xp2*Wk")(ՉZ9 R_up}p[xJeъ5@ZhM0;d#3E"Un/I-qs31DuT_W,0?^K%XXpy;čbgY6x\UWŃ7E] Et-}=,7oDXiJ։7$!#HSz@FMux]5,au vp͙(203!gRSn JƑĊwv@@e,Iy x<:&m3'>T N-t:Jsn7vI{q@~{AftVtq;TȎޱ~NCrى&eeU^E%x2äzhK0qnNy.m|EKDRkqr.I)+?RfF [.Eu31YBfX "\|/Q ٳ>“PZ/[ljG&L$F=\`Caq0 e9qEb40gsq 0Nid9n3 hEE R:r8(OR>}@Z?Jw\gjaY\~Sp=PN A2"π(qё*r.Ԛ9.Pp"Vh'd4js0pQ8f/H̠ j .:(͘#A}>: pF&1+<׭exp"&;?[IV5ࠋJy!FqcI Gu!z"U1ozGg x-hDi(+j55eS3#S G`Tj@S(:nfM+/zvI>-,@D\W$d0qDac~)JtÎpI0S+q}ѡv/;Ɠ3GJ. lK3"c֔nw+"*|P]QV ҽ/Ԋ"ke( +>r Un28\Pm :1ەf.rP0}b-`oME}p)K/4ciUXVK.wyg5pܦQST9U..4U $j> W ]wpN> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29646 12828 0 R >> >> /Type /Page >> endobj 12825 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12826 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12827 0 obj << /Length 19 >> stream q /Iabc29646 Do Q endstream endobj 12828 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29647 20830 0 R /Gabc29648 20835 0 R >> /Font << /Fabc29649 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMeb endstream endobj 12829 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1138) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12830 0 obj << /Filter /FlateDecode /Length 3806 >> stream xɊ,_4ڕk1 >xƘücӒYYK{ &;KJ)=BwerqoY-vt|S?\Vҧ^71T{1l'.ea> !EԾ|!D.opad,dD QA9Ej0y!E;+$fm8yڦ0p) )萕(3Vxz[u3ۢ*k%PW![RUvv }wU\ugS-@۔c;=513EI, kq_#&Yzޗ&oU]t+Y[l{NDV27f "Ԭf ~}BVs] lO7n& JEXvK# `5UFV]h7;mV̨RF1F8tuA`㰌HX*r7X?5:ޟ7Ι @|oÁݹ1e_m3hХx鍰ǘD`$4,.>O0j@8@J,`| †AP,:[߄UhjL`LJym-#;UC)D30w/C|Aۭpfz(aTX `[|Cqs5 ha~(]IJ‘a,QCpJT)S-NOGơgW4]Y>#1Y0peashxp\h5g*y OӢI_f3`u38K%aTfRnւoUUPgU]WUUѢvsR`A))*EP( O܄ F`sUZG[/\/2Ӡ9=_Ws;`vȳid~<]cv'DA0)Z㣸;mޮI1Mrbͧ'o%k ӧTۯ_]!-dml>U$D)l-~͊U|pޠ{L kr&TM/SMn&΃pF .!i36yqhL;j8O&ֶS4SI1qƵe/Kz> -1]Mhk[zy]('Iu/fg]ajV ;lܕLOPSi惤7`gԎ?p~0*sM樜(A_zsnQl6M|/8{6dҘ؎$eM.੿ ܒ3ZC @ we!zWY]6}2~tA6:%*ں fm`M̧kӘOk'Qf}KuƶrQ6s#P Ӯh$ʭtƣEHպTg;i@1l & !3: AM^ώa.<]743ڈ 0}Y'J9oF)ƒOŦtNվ;cgĵ ĕGfcO8ekH?`SBn>^DžO=׷Tm%"PТ n5 ege&ww0tpL 8<iƔn5ܞq%$7vhfw"5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29665 12839 0 R >> >> /Type /Page >> endobj 12832 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12833 0 obj [12832 0 R 12834 0 R 12835 0 R 12836 0 R 12840 0 R] endobj 12834 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 155.4057 686.7] /Subtype /Link /Type /Annot >> endobj 12835 0 obj << /A << /D (unique_445) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 161.4227 670.5] /Subtype /Link /Type /Annot >> endobj 12836 0 obj << /A << /D (unique_207) /S /GoTo >> /Border [0 0 0] /Contents (unplace_cell) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 162.8362 654.3] /Subtype /Link /Type /Annot >> endobj 12837 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12838 0 obj << /Length 19 >> stream q /Iabc29665 Do Q endstream endobj 12839 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29666 20830 0 R /Gabc29667 20835 0 R >> /Font << /Fabc29668 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`Yӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12841 0 obj << /Filter /FlateDecode /Length 1239 >> stream xڭWKk$7WhjI`f!7@!'o!Hԭ{UWOuGi>_lyXod}L{{zYDչhO;gTy $?d簳*B\ebwsEA @QE9lڧ{ڙ&t*iO-[Zt^蝏8w(Zu2X`?cA57 HQ-Aُ8 s1eH0tv3Fcwcp_ȇO[>o7۟0^`0bmsC, Nۘ)F~a.;HOj,BF酞>']1jYIƳ l. x^ NfQ鑽"ξ L \*R)fw-E5fca?Ą43k~ ȩ=g**k[ֶg~Y G(Xe[iܪTTT+ڷe$q+V;I_g*/l.xy^vo(ftXYNR K}`U)w,ٮH 1R j 8uDҵC4b[孄pm{OR@N6QRLZ;4h 3 ZG,C D|1uuJxWƌGj cà*:*(^#ˆ }{X1\hj&1\ |%o.'nνdW@~%5qHSk[=TŽ\6IFҧyJ@‡WV 6U&m@6 /9(d "xgO (ln_zO4s+!m8@ n5DێtC-)E>cμH/Q\ox_G0\0GG@i xƄ=き?> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29684 12848 0 R >> >> /Type /Page >> endobj 12843 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12844 0 obj [12843 0 R 12845 0 R 12849 0 R] endobj 12845 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 274.025 114.9865 285.025] /Subtype /Link /Type /Annot >> endobj 12846 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12847 0 obj << /Length 19 >> stream q /Iabc29684 Do Q endstream endobj 12848 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29685 20830 0 R /Gabc29686 20835 0 R >> /Font << /Fabc29687 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7eЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMrf endstream endobj 12849 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1140) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12850 0 obj << /Filter /FlateDecode /Length 4823 >> stream x+_.q:h_B7̀yJ /2F쟨M=Y)cdy]JWU*E&QQ VRcy,:< _B#pjS;mp m4`?= 1 5Mݫma@6c'5';|v1`_}>Zm^\ iPaz*zԐ, hi-lUްqO*rϖ9Aί33 L~ІDWyP s0\yG_OԊ ƅ +Q\b3 *]_GdGK?!ЖILuۘEQ!1h~rkS;vk@۹BG篘KbOM6A{Śc x$7jQ!! 3FqǮ@]!:' $\M4#}gmFH{aR'!Pv^Sgru1 b @Y֫kFq Ċ]Xt@`+[@8٠a被%pH i VYDL[lͼQaysL)a ,>F*R=FA*X2D@?5hj5 -9gLdĝ$oO Y06Ye 3s6קa^YqEsxbmMT8TE9cLֺ-93-}4PyMxXHDxϴC1|^sVQj_Xuж^^1˹ٖ%g+ζmɟ]] G[~ID-׹h3q]Υ⟡#6{>yaq)L2\V/#C b:\Uw-D`h .%rݣ+?Wu-3p:u.XtSrxia~6b7’e!d`8)Mɔfc P|27[le_:yM}v\L;m[9'ˤ]Umv@}u ӝEҶ':3W@Vwb!XVfCY`bfF}^Rnù^:Ka:7L6Jth6\봑᛫t{^o٪^Ax^ʼnM7YZ=˕ւZto9]IV-Lph؟/Bm*ۻ_٧*nJ׋C4=A·l푰m y5. aR5"c6풞Ϣu%nzV~znx~>(ҀC JO5>+>]W9`_P ,A磹Hp"1 ~`^Lꋈ5`W0Vǿ,hrI領1m-z j?`.ƣ P@8\q7lHlQO@*TA- VcS_rcF^0&ޢQ-`GÞm]Z] DүoջD2U6KK~418'vdXbB ,POy3%Pm䫭Aʊ$7-)#7= SZXr][X + =@w.Y\z%s$wt[@Րmfc R(zg^rnGL9e \%W=a&6 {W;ovǦo6"J/@@NDsU]fl"`FUy)Wh6e*M/q 5+KqGMNܰHP TXsT]} P`}[%+ߔTJ߆T9w]n28kʂ-*-)-%YAfk;LlSFlƦ]rO畍p'-(&oYӧ ]U-v>ѡPC w8r~RKPyσJ-Is(e8jA>PjC[q5lou(dPpweC-|C9Ǘϗ%9T&,qҡ*>hJPۡ)YP[J MʷPBg h.ʃџ gnHPן^r)ՀIQ"K),Tz`Rۋ;RrF(NQý)J-SdeRLSj-yJٙ%O;ڻ3;O;Jݲ3ǩm;mgzRL\B=n~v_T}KRp*uv}Nt*uv߶Som;v߾~C~WM!j5#9^:^9L1.fΤ9d@]P"j ^$ٜ&qxR"uD6ۺD.zUhV$]4Z`>?R\|9K" X>/߲.DzFo !~ѶwݺR8(Ov8+_X[ݜlL*6֚BC],u-!@v6;ypOA\LrQդ7'X ǻN`yUgwqx~V_G2HNnrPS*GS5"P‹yHO|uL# ̜d+;mU3xq`>z"YiT2y]VT>7EW{ Z4 jUH'ی@CH쩝qVȴzidJBkq f8n]\Mq(ƃTۄUX*:*;wpi DH$T!E%:TH[` 0t|+UmSEULp-%hSB+&:]XM t2'\I8n_[P5 x9ru&lscC?FW#r2'  ZV9q;[+7p >Թܩ Ew%0-1WlWy`8KL"<HK\e. f85_p,[ZUԜİc(Rʔ$ծPo(~3#cʇr);qi&d|`nݣ|wk"B>(jf%e3 o|lԚkPla< i7ޫHqA62کjͶXcHA\ۍ7۞֜K9elބ˒!b6f]1Xg.OE1[LASf+er*VV/˫|re?Zrey6% ݔx9ՠJ~!OfpjDX+M+q3a~Be į!ٵER0JZ>YbO|SX%D.F(!Թ9> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29703 12855 0 R >> >> /Type /Page >> endobj 12852 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12853 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12854 0 obj << /Length 19 >> stream q /Iabc29703 Do Q endstream endobj 12855 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29704 20830 0 R /Gabc29705 20835 0 R >> /Font << /Fabc29706 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}>j endstream endobj 12856 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1141) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12857 0 obj << /Filter /FlateDecode /Length 5523 >> stream x,{j{vYz 3}GBRHU]xԫ2%B^[~l_ڧW֤Ku9[ͮZ~Cmؔ^yo~RJ5w* =vQv/h?Fէ;Gà O0< 6 ! e~@C-%3? FHlyŕpVsU9Voze /"?c($n׍ZU@Dm= *N_ wJ}^(hOmnPsÉ`Sf:ѦR# +T`ra+ʷ ԇI-8S7m[fŒ|I½Ֆal\Ef^g4KB݆rP8+, L_ 2E`{O;,~$ I $wR%0: u4!u 7B"kU||fꖦ)+T# *nb8i307^J>b ]H}VC11`ϫ1$`r*W$6u[LjFRVp(2EUG46̴%vcBk, Q d oh:Yvc/`VU+g#l;MeG 4 hNRmɌ9:{騛QՓB{rAi&Wݬ~b& Ġ{ 0csg}> .l"p}%? B-FV|v`,<ZduȚ~}!kzEkb" 2ɀ'7eۦ6a;ܤ ܋n ;r 憡0 -=^ 46]sHT25{,s^:_n;J\  |i; \pڱ`؅'EM6nj>l&j~DS"~ R]| 'O˦_u tҹ_9FdkY͢t `) к4Jm98q͇|=_i:Vlzs޴ԫ ^/_+(UNЗ}XhŁh=DxF|kEc1pʄ7[^0%XC)ON(#[FId}`w/0 Of34gz_a6zuJFD[{W7 );0X4N5_)inȐNh5?:m ,<4,_23f?η&$k$pn(MqxtFR@2xcWzuNf۟8<-IWz/5՝dHfXJEumw鐭Y2Ǧ8J ו "YMr^Ңo3V.0[|AD\sZ-&X0,p6/.!)trB`XSQ:l;(!;lxAF6>`kT"{a?q"L7-[M`T&bi4 5ܯ-Y~IB=ܗN`.[W5tFxazy{4\R H~wwҽ<1&ִ$\H#r;Q;jyRS|vWS|`Yp݉]EV=a' I$q5!d9r)2AM &*.-hv*+ %O\.j`ʜ-_$G];Lqx̏Yb|ƐJu`\kq õ%p`8'z5# (S1N' ۧ!%hdQ$nl0`O, ںfj6z*L.i$~= ݛڏ&g}Cgހ't_gA&NNMk-Gkǘs jt-i;ם!|kTn|86Ȇ(ܽZFEpLa JX|}|Z[b$GmQƂjS#vS/%d|KL`Is>M?VV&8"ks>cmšGG1?$,Ur"uvvDSK!Aaƻ>lc"U״ayQi;UTٌuGT^OrXY+n=#wx 'Ni8ɎmD-OuI%TA2 ^PtVt_&-L wUn<(4Cc]+YVZۊ7&M%jAz,wŕ-5^nwS ^CBtik ]䈙#^DlT2FQ^hjO[uں=v|}r92~-%`_b@|s. |SxN^IF krʸGdCbiZ"݅>?*DBm U&mڜxDtn˶^KqW4ݴuc^lY:3cINfs|g=L7E)S fM %ߕȀ~vXLJE^j—zkܓ4=br4誗 |[ne.A|'ķ|Ľazhda `ѿ;n:kz{q}G¿x%+_xL~y 02=j'?˵5sY MsA4ʒqGTgĵʼnp|o W:P[*]ĶX╩NOoCn-U i?^[62m8* _ [O}㣏Q}aݛŨE:dٖ2A243Jg!qݔcdxZ>^[\| F嫥4`^ʮ|G4Nwf_& endstream endobj 12858 0 obj << /Annots [12859 0 R 12863 0 R] /BleedBox [0 0 612 792] /Contents [12864 0 R 12860 0 R 12861 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29722 12862 0 R >> >> /Type /Page >> endobj 12859 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12860 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12861 0 obj << /Length 19 >> stream q /Iabc29722 Do Q endstream endobj 12862 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29723 20830 0 R /Gabc29724 20835 0 R >> /Font << /Fabc29725 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 12863 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1142) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12864 0 obj << /Filter /FlateDecode /Length 3722 >> stream x\I,WPv)(:63fhͥ~0uIB_Rۤ'Oשɻ)iR￈W;i=^A*Jz_JOU ^xQ)唺RoWnz|V fo0Ɯ=%=y~ AIz8;)84@ UƜ` tFhDJ f#t"P'aṇg^g@ RT'HƁ q!zDJJe4۠x>M6ON_p,rg3)_?`61I_wI 6DiA(An:P!10&ΝexI"!w8Yoy)22?)=#f %"BO5`s&0}fr. 9|sB_}K|RDg L?(P2Tڼ}24<`eJ2blCgx@N5a/M>IY/ft4]*!ئySjk鿜 mQ2z2m?/͝s6)" xՔӔ&\;1#nQ V.Kd( ΆoV^ީ=hM6>5AVLfF"4^TYt2̱YʪI HgfMg؏05l[!f*j Am;@ǒ+V;Jevx͚K2@yҭNiK!k.;b1oiƯ3mso 2;ZĊW6 Jp lq %lx$D2JƇA~noCq P>-V";”i&47K}X>hf"7ŏmE\i\)0Ap)# [8MA;HzPCg626@~uy A aqDž*; ;p8ϷF:R^94mYRulLۺܫ*K-ߖ=q^^&wTaUjQ2CCPb`Nh|{P닭44ρ7ѓvjN:ÅE;@uĺDu`1\\$2M>E{-Lӗ.U!,FM;kr:2S [wXGb;8<Ж3g , ]0? He݂s0Rҗd;VtՖ|Ptє߮"0wE{gJ%2\€@vFܟ gWŨ%ybE7T0<-@,lett4v^WVQtUn;XȍQkkұ/thȑl_Rq8ryW8{ۋJ' izLr qu,s:rx0@ݬg&&舌)H]WlsX%Om6WGsw_ՠRPu[\PQMX/Trg )#-^[Ic]D".5XcT.~r<$hTt.0k nS/\7H5S6Vaq&Mr\{1t]fM_^Ff``0>X&)#6puuv셙9߯02`A'X,=l)>`3&G $A$3 k,(B ,HVVp+/?e7 ^ݰʐqE%ap8 x{@}}7J?#_god7KxEz󯓔4yUm:x2/ϳ `D` urQVe6Rsc4홣XDF N-hj/p]Z>bM0bI yh7Ԃ"8 O{(n4ބ0`>VOSk;}:୏zoKMMMa%]7Ԥ{dxnޅev~LT[lD̋n WarR$ FlI%Oʄ&;'!i#:LGX ʓ{f}O%6l#޿z|g׶TlM<7ȢoI4Jo¿&e:yU~\ИH_%h!\.hT(i#U%qȏˬPfĵL! [Lݶ/\+Sq=Q= i?3y[6fv1\cjOQmvke1p^tFYm֥L F<}u |bnx&tyu8@qć9@DªO~CL,BG\ v8g]?5, *^h%=> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29741 12869 0 R >> >> /Type /Page >> endobj 12866 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12867 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12868 0 obj << /Length 19 >> stream q /Iabc29741 Do Q endstream endobj 12869 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29742 20830 0 R /Gabc29743 20835 0 R >> /Font << /Fabc29744 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12871 0 obj << /Filter /FlateDecode /Length 6535 >> stream x=Mo$nw:芾?cm/ wra1m!)Jݞ 0[EQIk1'J.o_VglU_48,kjߵ߉i1,wh<=^ 6Zf)e>իE[N.Ś?A.'gWS$vZYN6:3TZ5 NޯC4Ẳ`fJ[ P`tH8؛zCJ嗣֠yޔhۺ[_ZKx M |%4aM;9 {@C h ⼸R Qǯ;L:}#%`Oz_6 `mЧ6'17Ulk%L/ LX>]d6yϓ|ZLZPq~a wxOS#X)ju@@E.rsjG{=S>-Amk>Lo7-P1'ƴGTQ$x=\#|0vHVЛH'x3sx19P`sDgjN 9z\nn8hBteeiķ"vK1͘N_]lBJFgBNAOjC!̹]m,ͅqbj6/?W. zE85 |_`ʑ@nE.}ksrv9 RJ3۸T .]Rqyǭ΁<1AuAp^_ 4Ӄ?sr=]nq&3t+/k (e~!lɠrwsC1iY.{F"G@-"xABK b1}KLk bPL HѼ.N,Xf,yEd * "8rP[@z{\ !g``p| DU‚;BXhyb7CQ4qa0h˻ &C3x-Ǡ<#+@HqMC`%%P2VFR҂ wI&4{S)%5`<&ӂu"01 oVl0xR~c ]0 "Ԇ=VŃ9dX[xRX[+dg;5?!)s & 61hwy*j&uvpaKR8-h}Py:+dJh [W0:ovWZ)ٺ~O kW8bGpvURDw VGnw~o~%u@+qL4l3#42sYV[:-7Zgʐ$L2/= uyQ[95Ƕy’dy25P"~ 027mN$ȕ\YKj*i ]-6]VU׶!SG[qM֦\ UTK`3nLk۽!p]WnF;h[a t,%4äWK"+ɞA_Ϫ;x,~VvYCN,3k1Y!ż-jP/>_j[%Z-?$ p*7k!Q-Q_gHIW{Z yL_`{/sM= Cw?P:AJ)  ]ݙN  ѡ4³H䳏hc5r(+.;On<+f4(AvRX\kEE<:?9eINb-_S4iuIp|v{[l>m([Z)߇0(F˸Sp#;u {ERUxYF n۟PL4Rm?xoˤAG5Cҡpf6k[3pr6Z!’!QY{4W&k6r@hfSfQ*+[|̀L}_#yMqM[[,k3ϓP;8Vo(RJf+yz7n5 vPGI?n|LYt4ڭ!WN:U*[s5ɥ[¶Hqz뻺?Gͺ4ކyr4Gm}N"ASSvcf \㕝 8fِ{f__6Qڷ6Sr[)+Q.lȥ՞X K9$l<șgΓ\T'p#V-C 5 Cki. oKC _'{7.ٖ|Ʊ^gru6[M qWlm[flZZe8u6;_9bw:d؅@0ԗdQFxؒ\eZT$L LyŴ[mùs5gN9KQc4콲Νc =d`1k/+tmpN%BV8DB;Pst/ᰱĒ-݄In'2fJ$uqu ҾVx!]\lJe6$;Մkh9oJ ]" TLpU+;3{9zX- 9`DHrwjS [" t5&W 3@+a?d+&2ln]&EqdSoPvt>ɂ r M*Dȁ @\}&j^8/ =S*Kkr t&/@S㛨agY gi z]9S^ b6N):W1irl0 .ZD)&YW9GE7 Cp0s{scWG $QA^M7@ KRl!+0p~\UAY4PkH56eF ހtsB.L0_UG|gN k(̟5~C.u/g+{ʚ.:"{'Ek3 !E[_hP}0mpdE®nzT0@ M0*@I&z 31xF! ,pK6viT4vJ0j5<tkYJN\&g8ŠU:ѩe` FwjS Q1(sQBB>AhűZ2E&GI <iEuZa9$;r(F0q7ң:]>P۔w̆#tUjA>iJ(eOUݦ759,`́]lK6G_1Ü(Ȗ !1Eb\ZBp|QFٙ->b .Z"6Yïvf=1hctە^'`uGQ#ޔDoے'bŬ"18D{ ۓ1ŝ~G dxjX3cc,D8;p>~fȺ%-бZ8/]4KDPuxYvR<U7UΑNRn(-< q'{ R/95e I;mw8DdӜd { fR2ӥ}+Le)O+̿ 'jB8f΀sЭB˿@ k&QdTSx7ȡdY~ vX]Yj0ML+ 5dqcT 2 x^PWcLy*q]lc+oϞuvAQ0V1өd.ypl@ܵCGWA}T _Qe+Luz1{g>xR4){}vwR>B1=R5'd-⪠!!#?]ҟҾUGos<~ޤ<[ o;tx>q]p0l2ΐv,tpt$zs s|%+w$/LHSܒ[-FB$lD{s7GV$OVoi.W.;hR^SO{oLP՞EHלbV_JkGߑ(ޑ4;U錭{'Bz\6wNf?l_ C?ɥ6W+BJd14 탥[ UmGƯisl0 $!c7%Kƺ9ߗk2`(5Կ3(fzk*hbSm "6kAwEɚ8܍}NydvL-Et2o1dtXuv+]n]ms|s |B^m_>|}K UWlº (ށax /0 : OS:?RYC{0I0kkEa<R:,Q6TeW#)h X̠tb\U(sf 1依3p&Fdԍd&q[Ča:44/"~ CKhu\GI6軚Ϥ>|;̧e>q2phViBF7 (i:oǩn c2 N BGG"-\Z>4[ܟ` DQ]‰:gAľӊʼn:O|L¢KuY ;F% oDD S(b^ K.1l K׎۸k_F=:֣h*|P40ޕ "ex>ȒKzg964aei͉6DZGjeD4۝+z1 B˴#%߀1zis#u 9W E xŏ`e0B=m0nzfo/q5h{ Ew@Ǡ1쟩'X[1vG>8do-`;햀7x$#tH/z} !j'G$m yσGbY>h>OXTJd!"I0TG|<׏jPx:h46#TGdn[߫/j#&/Ea`l=30=h\ LG}> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29760 12885 0 R >> >> /Type /Page >> endobj 12873 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063023-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12874 0 obj [12873 0 R 12875 0 R 12876 0 R 12877 0 R 12878 0 R 12879 0 R 12880 0 R 12881 0 R 12882 0 R 12886 0 R] endobj 12875 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 270.6346 161.9837 281.6346] /Subtype /Link /Type /Annot >> endobj 12876 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 254.4346 156.7257 265.4346] /Subtype /Link /Type /Annot >> endobj 12877 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20211013063105-08'00') /Rect [104.1732 238.2346 159.5252 249.2346] /Subtype /Link /Type /Annot >> endobj 12878 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 222.0346 184.2752 233.0346] /Subtype /Link /Type /Annot >> endobj 12879 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 205.8346 192.4702 216.8346] /Subtype /Link /Type /Annot >> endobj 12880 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 189.6346 183.5217 200.6346] /Subtype /Link /Type /Annot >> endobj 12881 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 173.4346 166.3232 184.4346] /Subtype /Link /Type /Annot >> endobj 12882 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 157.2347 165.4707 168.2347] /Subtype /Link /Type /Annot >> endobj 12883 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12884 0 obj << /Length 19 >> stream q /Iabc29760 Do Q endstream endobj 12885 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29761 20830 0 R /Gabc29762 20835 0 R >> /Font << /Fabc29763 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -C |뻒0Nˠaz ^ l>6W^vqW$MZ\1h!9wr ģ$V-l!`aL4ECIDE+%̕')gƵa(RɐىP|}yԬ9*{RvaT(P;b)G?+QO T>JGܱYI ^v4Lm)1m:ɓ#-Idj)\Όl iVR`^d d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2FaSA9h#bwoQ endstream endobj 12886 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1144) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12887 0 obj << /Filter /FlateDecode /Length 2699 >> stream xnίy))jȭ9srv~E*wӇtCHo__OxW]笎A/ :>yyIe)`8?6m~6Nu2KĠvFM ̼`+]u^`$s2>,gNJKs^\5Lɛ逈 b 8|͊@`Gn^|NY ]P]:h̄6AKù*D  q4}h{*/[z0xv3#5 $,Dm  fdx\M/ `)-ʊ/1 mrsl)jxXVD.hԊR X'H:mʜf(2̠U@VS` EFoYI$'uSDBqG/2RGM3 IR1 VtuL!-|yܜ[2ȺaQ/}ew"&BG2($('BG>nwE&dɊe)M%ŵK5Jlh¡kw++I?yZ'hJ!ݤ*40t[FOQ.Bq9>z ⏏\Y33 Q TfUCY`aCIwb# MyrFR"9"a5VJ@{IJE'$ P~E+ˡcFbz_N~ TtpItkk endstream endobj 12888 0 obj << /Annots 12890 0 R /BleedBox [0 0 612 792] /Contents [12896 0 R 12892 0 R 12893 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29779 12894 0 R >> >> /Type /Page >> endobj 12889 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12890 0 obj [12889 0 R 12891 0 R 12895 0 R] endobj 12891 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [90 363.225 146.6555 374.225] /Subtype /Link /Type /Annot >> endobj 12892 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12893 0 obj << /Length 19 >> stream q /Iabc29779 Do Q endstream endobj 12894 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29780 20830 0 R /Gabc29781 20835 0 R >> /Font << /Fabc29782 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoXr endstream endobj 12895 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1145) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12896 0 obj << /Filter /FlateDecode /Length 4468 >> stream xS~Tl]k}ciO/ы~ф`;TM)-^xgvvIV'ǟd_fi60xcr2f~~gc܋YWxm9́>& '/(R4/ 8͡+x #:jQDu/4Gn4 0عL)9"a}Ep"i W s0K[cYc%׿蟑 4 0#,i@B}߿eJ_a/@>ecxW\8GN7'dw{{1j)p&Wf]!McanG )Zޞ s$: u/L1RT_FyAP1J_/S؞] 2B`rK V,m if=(27$<lGԆ, <2`<V.@c'i&׆y%mC#+z3FӼT0~Xu?^a;~7 측WWl3xYfp@oNQ?,KӍOvj* W'J-OQDI^^ߖ^wa[^ `a*[Heq#^iir/RMQІUdL/cTy:w6K!pׁO=5Yu! 51Wy W^-MGow\sRv>ɜjy6mGI 3IusuNsR;vӏڊN`Nj4tmOӠ4; &ɽeŘcL꽇 "aiXe"4ՙPcz:C@rېzxRCbVS&'Mb'L^ Ŏ<|::>n>\EH 0lJ]"hӉ/˖m* 23\ ݹrTjp4M|3|:'D*3/A>QZAćϡ}g|yȑL1iC6[|U] P0#H?0C]Of̕R}e^d)i0V ƕ t Srb , $" #`ܽv^(sK$&̢L|. c80%.]s9p_wfX$>Hb{[XTn8s~aBR0ZH Iq ]as6%=Ztai3( )WWg7 {uGU/P<$v./D%$W4&k\8 Cna{HfIq-9T|/i[@9UfUI#+wamͩHmx2WB" E=KhZ!=YX5KtT LQʄZW-{9󙴜 0J x&=?+paG@k 8+YX0 ԸX`0!% %1Ҏ Rץ8{!ˆ˰0TZoh*W"!+zҖG+)2YR!0g>W֤J-L @guΓ nj?vaN!]av 4O.g]t39ITb<1y@d~hs/ g@,굃Oq0rV;9mҊb]s1C֗ ^k棭֦QYolj.SЯA4Oìp7,)xh#G#▀wX33g\㳸aZ1}T Mϓ<"0`t$c/Ki8LdlruN@Z?@<2"יZHva;= a;&P>':, D3=r-iFm!ki%4Nx(`7|HLkfta(!ޭ 2QuFY-VTN*dHVTY{/CqL,eY\xrjCemeG߉)4ݮSqݻ]*ٶ4r0fy3 hgstG;̜!B?&swcR~oS_\ksN5z3|W\ynB\e[! W#M|Y!%^ggwW@W .QBymJȽ v3.}O~;,wD/nQӗ+<}2Ȩ`¾ Xݑ0äem0-]ܴ} cv]µN8[tiΣ;k[1wօ3?Q090gPzd#%>ßϯ+P&' endstream endobj 12897 0 obj << /Annots 12899 0 R /BleedBox [0 0 612 792] /Contents [12906 0 R 12902 0 R 12903 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29798 12904 0 R >> >> /Type /Page >> endobj 12898 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12899 0 obj [12898 0 R 12900 0 R 12901 0 R 12905 0 R] endobj 12900 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 103.8039 159.6022 114.8039] /Subtype /Link /Type /Annot >> endobj 12901 0 obj << /A << /D (unique_123) /S /GoTo >> /Border [0 0 0] /Contents (get_iobanks) /M (D:20211013063105-08'00') /Rect [104.1732 87.6039 161.5602 98.6039] /Subtype /Link /Type /Annot >> endobj 12902 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12903 0 obj << /Length 19 >> stream q /Iabc29798 Do Q endstream endobj 12904 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29799 20830 0 R /Gabc29800 20835 0 R >> /Font << /Fabc29801 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7iЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?$v endstream endobj 12905 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1146) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12906 0 obj << /Filter /FlateDecode /Length 3722 >> stream xɮ_p_ͼ19>))ud8HuMW %$=#$->O gET%_O/Wߔ5~%g'(RoVʗ e:KyϜħ@&g"QJz} aÇW`_yOX>#dݬJi*Д'z=Q&BK`aMf`O0GDx 'l]K{ff$/5Fj @/ F؏4\L8Ӹ5OX},(=+$"F!e^o[ɸ(ri"ѳ+;/,C쮜pIK8 {3p~E!m>*9e0fֈW Ӯwj0.urd"1=P PV":hg@:) P%؛%h"@(I G&'wLb~AnPXdⶭ$*z[xs_\SQ.OO,,ܽѢrԈ-ۈ-=(-6A c+k : :L=,`.kɦSISI+jf 7 I{n-Ⱥ,x̲Z[R$7ǥnƮКh2& +H#le wXa.nXvdS/.$UTCTg/:(ȰY^ezZ9h+&ۉsvZTTQtYٔtvDKQ_vE|x W)UA}g{,|)F[2'%g㻘uE 2S^*fMqΦPg{bf 1Щ;cN9vU5 ?v{l]_d9tr3r6,Ij1*z&ꌃH<~ۜL4\&vhgUHsaڄ.YK5qw"YcW [HY [Rqmfjs9-zQ<;vهr(y$2*f Q{GK88X|N7\dUU PٳnUI+zR9Kd%ǔ"vѴX SLi2>1XXHV޶L` \1¸L%m<*2[Z\$~N*4LBoD6P_RItUUdʽX嚂1BK֯(7(uwNe䀲zN[1 h"A<,pI25\ sPV|: [^Tk)wY u1_/u+2u06F(R߂2:VJ7[e B*60ݷlqasxAӒA b=brL&=$e62fK*VerKP>-as}eYZ!0}sr vDP2BE*(DOS;iI*hiBMp5}lp3 hLU&?W&ҍXZlZZ >XlL Yp 2Y_\QDLq ˴Hp#R*K^ co7xmr;Esj'Lo / (L;]"mQeLSUƫUz:q%@ r&0-Ó{=;jX>;nOF P:0%S5h',.Ix') ThxoУGo޹ G7{$]ܺsP3nKxIBI#>`᝹v K(i,w`7 9P9 rqkm'=pi3 -$#L!b6䀷w)f ^csX4ZK'Um̺Frf ى>zAȟX)BlJdwZ ]ЬTJYKV^PR_sm.5/]S'FșJ] ?0ˀkuvk2s]:^WH$7_qܡjP␱m |e&1؏YR6 uԁt{t ߃wO~ {@}G 5ʞ~+Y4P5OlfM DmѻYC˙CC ?-@G&D۰@ 0%g|H;VqiHk ^rsl_f53lSIl/\3GͰy;.5;WH|j%'L8m`Iʀ4k O.3 HPɢSH<XڶojT'Zt\}8hmTes+MInR2ʩ{$u%U:[ Glq]H߉^?xMb@CY >ˡ)@*0t}SS ,D +g;6 Ek(n3F @ĵעD#G*Jm{zϭC׌EӦL25>D"+xs|t -ÄBz%y!eȶpݚ,FXf]JD(Y׷LL -f>{[.1ދ6dV\ed{;\p7ߘT?5R4=R?d薩;^=,ひ{SH!>׊qrg ZUS$ Sα> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29817 12914 0 R >> >> /Type /Page >> endobj 12908 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12909 0 obj [12908 0 R 12910 0 R 12911 0 R 12915 0 R] endobj 12910 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 204.4272 709.9] /Subtype /Link /Type /Annot >> endobj 12911 0 obj << /A << /D (unique_511) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 165.6192 693.7] /Subtype /Link /Type /Annot >> endobj 12912 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12913 0 obj << /Length 19 >> stream q /Iabc29817 Do Q endstream endobj 12914 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29818 20830 0 R /Gabc29819 20835 0 R >> /Font << /Fabc29820 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`S2thǬ_{8u SJ* ,䪍(査=01H[&#`6P\PS)4GCID_E+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fѯG&DS384hwm-־0Z|fOr;L$u$r!o V΃sV7Ӭrl?7‹!H5j4>S~Y2c e / §9 ?ɒ F|QH:I*dz)rݝCr<3/*_'ŶT4 endstream endobj 12915 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1147) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12916 0 obj << /Filter /FlateDecode /Length 1204 >> stream xڭWMk37W\Xo vCoC'yKq ?t43Zi4/%lI<ݱ~V-m˫:c6wu8o'q'u55F}~}vC Ʀtp8rQ3*@88tD2divˋMơ;,oM4M:-atV= r`Nu~V7V QvdM{sЮ0-(>:d01Y-B,C$Z7obdS] 0jiC\ZWUU[g, q E?G`k1CѮЊIݥm6[>e>2 !n ϻ`5XXG t>fƹTn\k;qy/}h1試W9kMeN Q1\i;ޗ7169Wzzx@N \jL\)jw+x_K!PkrD;  ơ菚)hnUX wUC3F ^+Oe\td[aܫTTT+ַemǽDw+^ZyYQSV. O|wB1:$/ VDjx ,'u_Eh09bjPmKWI,]cJ$IP+u-]&*h$ 32Z)B886d$_n5:0,1R8KQt)'('x!ΒnQThB8N~8 5o.%nd V?)̵- ^qZK#IK<>wZ> |76 ش6WDl_qK*c_lCe>Na6 e>omh'#XNLp$yu! Ȟ죽ǝHQڴַ4Fo-;H#>ODpBGcOd;v;v9Ԃ: ,x;|Qag?8pq!CYX \~} bO%5ysjϹ[_J:Nc/$doV};zCP{W-F0r`G,F5>H<3 ˃+] endstream endobj 12917 0 obj << /Annots [12918 0 R 12922 0 R] /BleedBox [0 0 612 792] /Contents [12923 0 R 12919 0 R 12920 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29836 12921 0 R >> >> /Type /Page >> endobj 12918 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12919 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12920 0 obj << /Length 19 >> stream q /Iabc29836 Do Q endstream endobj 12921 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29837 20830 0 R /Gabc29838 20835 0 R >> /Font << /Fabc29839 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}k endstream endobj 12922 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1148) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12923 0 obj << /Filter /FlateDecode /Length 5163 >> stream x<ˎ8w~o@*gs=,ݞƋTV)m7Ұ$RT0/A-_hwKv:l4OukO:&[>.upjz]H.?*i;wL~ֺ,uoﹴ C,|Ҹp@'|YU?PE1oHjMfo.f#-f.%5Pe0NZW pyk:nQ9h/\ހC;Cx1B36a-q˧>yP _ެz Ћ1v9Π<.Ya b !&Ēz j1j4 蘼GdFpQ_ GePLz“J2/0UǠ-5F" 47\D:5`}jo1X6kZl|D9bL-= Ѷs7Q[J0xl3`{BQ^A.X(-=jc,F/!A߫%X^7hk ˴6+Cy?uO)v#b OdRHlhfףXrgHNKjA'On"`j;0@^ OxO z89Iq~ Ұd#(6;0?*JÇZ":%¿AXf.fn/OIHwhsHd0z1l@Y=eDiėϯuƊUA\ZVY<^Ϡ3Į6L~68706)WN{1q,ȣg9Du{trRrJnV( Z$ >KwdvۓS6&}GrИiv\udcr5]$ 8g+Gɷm"=.v+yֱ=J]B6X`gAr--qS㥤G?.ӔR#C:iJ,Y?@ٴ~@ T0;TKLI:Z*0G `DžYKmW%Oۙu ١yRڸ4Q˂= qJnI9}$A|+݀V>#tvSR7C,oV|Yq8iXۭq x_9AlQlBԁ^G4w^VWΒDT()f-{Gf"qPP@,lyx('*Vu$${cUtd<R=UW +h.CnȨ U ܀4#E,~"Y~W,b]BT07H&YtyսDKZ7]2|! 9O¤ jëjXWI_&X]`v#9Q >bPX&yxvgVIue[v 6Nd{qHq!048kJ ʵʕ>X;!|xWpfȜѓz IwrbFM&$rJr"x'h޳BY;92UJy T/T<;<(E:庆{c!JuP(q;ɏ+{V̜^mEi5Ϩ7LMsJ ?t`"CJ)pdr+uuo2Sh7aHpbӄwgtYn&2B O OxD W%{z;k1b8T*)¶H\ƷxRYWcf osrZnTSsLC\2qzzg/g`z:FV-uud-h9: eZ&*kCqkct7x$[l ¥f h i*Wc2G48%.Ssd L,!#Wl%"SߡN M_|1uwt.^]ĿYgax $Fc,^~V Tt"$ :{Rǂ2!j2ٕ((0@#uqkJ9؍Z ~ C:% g["L69 3qAC.`&d=R`&괵_11SZ'ނLM|gtA7rJ/+G?v)nE^nb1_cW3 ϭtz-L7a;= a CP"CWy 0v$qArQqYCy"6YK4&ݻ619,4oB)3n;'~.$(f GoifVx5)R@\xX%:\k!%7~r` }=^·#|^kC*6Lt~9:3rxMMTꌼ: G*0t*p@S(Fv7 ݬrV%Z+]r$6YT b_S$h0racdR"% ;B% R  Px$._䒐[֎4wd>R\kJ/:[ΨՕfXR!]Z^K.2[Bߊo(0Z[e. E$n]&dެgqYt|xLj3z#o) ]rΒZn <7/-;8tiءOh1u{> 2w:2>ѝNE>|ڡg\w"`| J y䀌}h Cߠ8_+'%8+I]I>*Y~}ÏzG\^<Ӵ1ʲ!?Cs 3?k4በkkW7ض/vL2BAn#ORS&ZugMB:ÃI~/`'A ?Od endstream endobj 12924 0 obj << /Annots 12926 0 R /BleedBox [0 0 612 792] /Contents [12934 0 R 12930 0 R 12931 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29855 12932 0 R >> >> /Type /Page >> endobj 12925 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12926 0 obj [12925 0 R 12927 0 R 12928 0 R 12929 0 R 12933 0 R] endobj 12927 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [104.1732 415.5346 175.4312 426.5346] /Subtype /Link /Type /Annot >> endobj 12928 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 399.3346 183.5217 410.3346] /Subtype /Link /Type /Annot >> endobj 12929 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 383.1346 187.3167 394.1346] /Subtype /Link /Type /Annot >> endobj 12930 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12931 0 obj << /Length 19 >> stream q /Iabc29855 Do Q endstream endobj 12932 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29856 20830 0 R /Gabc29857 20835 0 R >> /Font << /Fabc29858 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12934 0 obj << /Filter /FlateDecode /Length 2295 >> stream xYn+@ a]fI``hosA{$6d(E|5Xt+՛Ϧ9Y Y}u:=QgB9Dmb153FZ&UCi-=ݖ>o}9kZ[\[b8vlal>y8a#ilK04_H֖3Yե%1*Xl%5W&%GRH5@vǤ -gQ&GZW;<*I/ryƂ`̡ssYn. ».{Js4ԍdrzCs&f(`7Kl+3ta5YBB-AjwPwźQdMYOѬ뺸RG ƢՍkX?mYղuQ]OtK M]K_/(uL*i~V';'zwv.]R>>EckX@S(D91Ra7E04GpI~YCT(K [Dў34k w Yo5' ]E1mei3 u/pa*wH c/r  l^ed>QOdP* .9-!:P5؋xÒd`ĸ?1 @nToEw2^M\HBFw9A)O}%l@nЬexsK E_Xl5.H.־C/̆[&ֿGݒ_-pO}o>o|3o[m߱ 5OBfCW7+ ˺Ҧ~Hj/Cvs(uW?W38rMVGd)/e]ߓ`ѹuqz}_n jE(\o)+MU0˰flߥQVUWm܂IXlȸ*Q6t}@;drBrCz> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29874 12941 0 R >> >> /Type /Page >> endobj 12936 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12937 0 obj [12936 0 R 12938 0 R 12942 0 R] endobj 12938 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [90 452.425 120.844 463.425] /Subtype /Link /Type /Annot >> endobj 12939 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12940 0 obj << /Length 19 >> stream q /Iabc29874 Do Q endstream endobj 12941 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29875 20830 0 R /Gabc29876 20835 0 R >> /Font << /Fabc29877 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7iЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMx endstream endobj 12942 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1150) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12943 0 obj << /Filter /FlateDecode /Length 4636 >> stream xڽ(eگ˥hs0CB5^??WfS[|^Coߊ۟BZCNHj Xzk){l;$IT(.̞M[:f 4!0 ^a4:yOxy OAD A $碣=O ~/.]{aZB"S 80y0KoFl3!kyi  {4 gEXo9JQb[?_P 8X٫Yy%`'y?P@30)m@g`֋Qs={ysy3'f?#~ߛ3׃mҡ3_:j]9 b} QLaE GV.E-56l)C(#ŢC\mا"]쫣C9f, |V?w,@Ozg{d-G ͅ~ h*A!CkT JNNU;.'z2Au$.jFfХꬳ`3hUx [3߆bPX( xE7jADNG5IsOfRnj{6uks75 GCAGqUe7#9~<1_1SѸ-W{uw13"72PC=C|rV/EWU?vmMco݃ a{ ;UaM;?V-!/GHM@(Gӿ-bDaw4aP!]p)HS?DOn W,M(4 >$#2xߠSӿ*`z0ڋ/N{, >&h4N5DczWbWX:CYCMPcO0lqv:p@6 ) =A+uJ;A(l7InHnpaCZb/zbO0OЛԧMJ#xJw}onQ{ H Q+!Cei֠0.Uoܙ,&e 6@Hf{,2K@kْFڳUG4RFti+/]hyP&Qa g0m[Lda5de.cAMSUfa;3TT,gnۮIŧ[f#fФwF}o)6Gl#m!jk @,C% Jnhy(;CGK\::ԭ ٠0fY P9CU#u دfS]^>pJ-@nܮf0wX1lnTF>qxŬ-54nM1XLhFйXD@[(⨳`Ӭ'PbkAfkAfoAb1!eW$pLYB3QYG5/iZ0K)A! J-1Z`eW!b'O{;w,a -zsY~\h+YQʰi <b5;tGaVYrX(͠cI*b.r LI:.n*Qy(1Kjq3K{)/ 6O1V#.>*\!IF+Y cS yL# \u~pI6fjXuCO t ۆ@+{hrgUqk>n٤WlXUhp1)SǴ(.&.TMYjbPnjo &dvF+s-]m ۶H:m=tYP>>D=oʙjswUNA|͵ﳬq禴4 l`AN<rvFF)Ax"ܩؑle_[9[]54㔙0*9B{\ػ"kjp5)=25yT-#8$XkO,٧g{9]uL<>9SٸëwZ1%Ce`Bh?$G 23i` fL/5;˔sښg 0ƏS;SWenO;(f(XSW$upŞ ۓ χPQf%5;1oq׼*].(1o,,dz6?\ސ泓 3?"J GZ]ڵ|JU9T7J[7!cF i!͖@o`XIѴKtW M2M ɠL7,*͕Dm"#r}ģmOf- wxFMc j)7[lծZMX1 -Gb ɶ [KJU:MlnMM w#-@H5J?+҄&'6sO7>}fΈ%&(^X>My.Ži4F=#b< 4߁ B-=&L1S#բ*eFhi\kCO.*J.eL2"_ 4l%\%!ǐm }HҘDiEUmu8H ^;N8׉BFOj >D0־})9vߴ(د"̬k 05O1EyhZVDؼZj@=k#dQ;Ze&{Ei.\I޶lIZ\Y,X&xnxt}8w~ 6|FX-G4,sYi&J^doH[^h^YZSۼ"|}V3ﭿo5Mx먾v^1kb}mչ8v_+|H[P7Bݝ'!+"7eYQR]ivĜ2#&<7%)KeVx_V?+q\? -wg 8Bw7-Šq%gS0[6trL K|C\* :zZo1D̃J+e^)-][-Lۗez*ߎϗS9BϺkWߪ\;>()sg2k^{x}.'[|iNF>>JL aʥ)h?Һ[tT=>[K}i(L;228rU~ =[ٱzYI(V8M^]@ehx_*<_ecawi3RZSxcTG\F&Ȩy1:ePQ2|l؛!TL%, s+>roMner$8/TYe;@rn7AЋ>Y8n|+ُD_nvN( X4q$FD:}yx8q<!kߘm7nMF-.q.-t:_h,]R޽|zL+so3`Xw7 xI QB9cg"t X~5}df \>c2*XvpX 'Z1_wg~֯;t}`!7!qlX㭂~Cg_G׋6'TXrea~/> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29893 12955 0 R >> >> /Type /Page >> endobj 12945 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12946 0 obj [12945 0 R 12947 0 R 12948 0 R 12949 0 R 12950 0 R 12951 0 R 12952 0 R 12956 0 R] endobj 12947 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 415.5346 156.7257 426.5346] /Subtype /Link /Type /Annot >> endobj 12948 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 399.3346 184.2752 410.3346] /Subtype /Link /Type /Annot >> endobj 12949 0 obj << /A << /D (unique_170) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20211013063105-08'00') /Rect [104.1732 383.1346 147.1612 394.1346] /Subtype /Link /Type /Annot >> endobj 12950 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 366.9346 169.8542 377.9346] /Subtype /Link /Type /Annot >> endobj 12951 0 obj << /A << /D (unique_519) /S /GoTo >> /Border [0 0 0] /Contents (report_power_opt) /M (D:20211013063105-08'00') /Rect [104.1732 350.7346 191.1777 361.7346] /Subtype /Link /Type /Annot >> endobj 12952 0 obj << /A << /D (unique_523) /S /GoTo >> /Border [0 0 0] /Contents (set_power_opt) /M (D:20211013063105-08'00') /Rect [104.1732 334.5346 175.7172 345.5346] /Subtype /Link /Type /Annot >> endobj 12953 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12954 0 obj << /Length 19 >> stream q /Iabc29893 Do Q endstream endobj 12955 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29894 20830 0 R /Gabc29895 20835 0 R >> /Font << /Fabc29896 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`SKЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2RhHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]h߄}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12957 0 obj << /Filter /FlateDecode /Length 2394 >> stream xYI$7Wlv Iȥ06>j= Y]yȥ*0DEh{ _8k1bohk׾'虝~~տoemt ~T3s,@c}1Ƹ~'(6ƲH8D|K uvYS"TbB>3\;''vlpƆ 9@ItKab0Fs(}# yԎ#Wx/Zk:eaF( Z`;^`e$K":yaՕkW=W$…j7tarFqmvtl`pl-B `0'i1=,>}J׃)g ʁ1Q -nl4 +Ɣԡo6&!)!AR0x]wd3L1 AYղõ5ahQc %H{;hh80Iҥ/iDXM͐nؚ']hlu!$jv:QۛZ?gcUEϦMGA_[`hem.#DB[{QcjUѽS=j7\gQV;G_ojn0?T{;D۪I0O HҘg rzS3 @ꄀ 0S:0$7/>JLUŀ,hDU ]Ec;Qɸ)1 ,H}"2[ w<-=gG1K <9G4dfTuEם9Z ij= (RBJ!۟TEH! 9IKImN/]Cs/HR}Lu޸_4~oK@ۺ4<j@d9J8۰ \^R9|}erS&`f[1; Blϔw%XvdÇ G(FB߂,\S/)i{#\gPq.[$[IO([@O?e0fr @ '.B)t6 \D菮WI|-9.O.| Txxb&$& ibS;s&,@4w^'ߐaGnu#2Op^}T$ޫӂ Dv=HCсoqHms TO=>Jt Z5bS(>"CnIVn!VjWs`Pэ\\ f6ĉ:x6 15[nγxax_aI^^x؈Q2hFZivm'n3}յaG+ե;Yf]kuһGNU4C֠zϸ< ӭ挗*pګ>jzShCNa26ٮgo("wS#*5l9U%T{2#E ߕJh%Z "De=#)TT/NW=WYYwxwVE&*7U!O0 Hz)-Y4=HRՈK`q-і" *|AxY&T LJ2Y| 6rMi}f'< -N$JyD‘BƼǭ-Sb%Ln#WZIvM/ ˹5-Ì,/=טXV&̨#ı% 9j6VNPN8|^5]]0&bBQƹM%ǵֹBk0b[4bM0ޙN<r0>).g"cuOCMmumҪ^64`_\ Dw&dA3P ?ᏼ{x{OoJzJBh`:{yvDLIY\$]/x=(^p9qc9ONDT#o"`m؍VIk\Y%I}OHLJ5F +5ĮsG3M/q#D:<9`e8 endstream endobj 12958 0 obj << /Annots 12960 0 R /BleedBox [0 0 612 792] /Contents [12966 0 R 12962 0 R 12963 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29912 12964 0 R >> >> /Type /Page >> endobj 12959 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12960 0 obj [12959 0 R 12961 0 R 12965 0 R] endobj 12961 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 422.925 118.9685 433.925] /Subtype /Link /Type /Annot >> endobj 12962 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12963 0 obj << /Length 19 >> stream q /Iabc29912 Do Q endstream endobj 12964 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29913 20830 0 R /Gabc29914 20835 0 R >> /Font << /Fabc29915 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12966 0 obj << /Filter /FlateDecode /Length 3079 >> stream xڵZKo8W<4|S n=2k`=e'X/[/ē"iK|bU}dQ6oK.NZgu zXe>~4>ÚC^\?^/]GLܥ֯?Z퀢_~/3i*/NhBr p5?BڬldVpu$E9E0+vO1l:5X@UƟ t59 >q^xhucAJ6# P9 ~򯮳Q:YV#m"UƱӽ1Nٮ49rǚGnl,ka﵍wugUMHnDQoM Ĭ՜zlmcg' vԙC =\-jk&֋K)eV x~P>~H>R82ƈ}lrO,MCJhmN{hl0&&AOsJ0RO^O ~ *5l0HQANzaԜ"3py=Z9ѭȂg,h6hdφj!WqA.BYu-!Z:i,w'D Ө/Kk`(8ev 'd| 3?^Fz/m)٭) ɛZ3噀54:S0n(*_6Bܖ}j7X= ,#]-j1i(4a;lk.cω@r.ᬲxB$ƍxGLRraU !y% [\\m-T+[GHRҾn9 eݮԎ_]G ŅU{(i^VkG% ]|"ȹ .f`dn14S )"fs̅CӄuyHW۴lLF_!@∪]HC#kuD4}tk@5()I2&љBXbQ}Ρj@j\DX JxK4"p?P50f{ڽsǶ]Zj/=Us訦(n[`<8}+~/vb}y$3L4X`t˃Ji5Rk)%T$a\꽇R{f#f&ZZavٞ8f̞#d!j c@*ăC= Rs蝁lӡEBP˾Bs`N՜n ,w WNtv0ͥ7nhawi зiZ @ w@V7X\W07R%O-L`ΕD 7]ޕ0!tRb`ʼnNNnO''itF'I}cw=tRJzO>fOsE ~RQȉk0>ȼFh^]œ5osH7H2_[o>_ӵ(S¥=~<ͯ ׵EUЁ?5s- ԇlz̯7q. =~=< p6R\ݍǓrF{m{.q~7KS b3$n`9 9h%zM``C;%/)ͳgZoW *<|3d. L'0\Bd~'h|  :Zk688:\]k߭>)P{AwU0rt|nu1f1-x %ln5bӚ- lj3Pwap~Vl) N2R,?I9_&SU QqoAE^I 3<^^\XisQ\*"jDʑg%d*e(nD)HGn-hl-x 2}<mσ0v3aA 3 {0q,u .F {+(DM~LGsM{xK'-li%6MamԝTLҞT莟,Xɂ?}\W-_$i.a >W3u |}3]G[hc4rǁxFqy8 (,}zIggCÝ#> ;t7<kNG=tB \OeNN󲜉鬜o,7<23shۑ!r~0$F> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29931 12973 0 R >> >> /Type /Page >> endobj 12968 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12969 0 obj [12968 0 R 12970 0 R 12974 0 R] endobj 12970 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 354.925 118.9685 365.925] /Subtype /Link /Type /Annot >> endobj 12971 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12972 0 obj << /Length 19 >> stream q /Iabc29931 Do Q endstream endobj 12973 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29932 20830 0 R /Gabc29933 20835 0 R >> /Font << /Fabc29934 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oCNjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{wQ endstream endobj 12974 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1153) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12975 0 obj << /Filter /FlateDecode /Length 3455 >> stream x[K$7WlRBem=,{xY/7^zeecƆ53ՙ)E""U*E[m/yuYW1be<~.׬d; ݢ% |1]?wzhD?eޭ+(z'Kڻ(pNT5[oXG'~#v)%KZn  V[9u}Od!DNdb; =#AAH"47/X`6;bYd7'8Mlp Qע6Qky1 i+Q^j"v] =`p<^CV4TD1AH$(% Y[Cg5PGGV)v06g;"|)K ڡd EV3XNVxxȰ.dn]Rr+ALDǣ50ԝ'e %ܯϿk>K)o#j ><fB3Oyj]Zr7'3Ω+C; ;A{þ}Fz_j-{ĺj[5|-0\i2!zO#_S,-Z֧F}z~q [ l>$<%Y6d:CAi$ !8~ Q|b>s` /br_oV,B"P0BdOݧsęqXc:5 í=f*sLQCӁ=4. {̵,E57d@ >QHCZ%@3%b!9~zR!eKv.w _\iVpm#%⩺;RCW{UɲhT+:(.Ű'`Zk 6Ha05}|!םŻx4JfzǐR%3HPEuV%`tƣ PH2|qgX0FhibC{N-DΥAU+uu&iQ;z DKD k_Epzmhj xK*O!ވv*<^ J-5]]uBS0Sߩ!Ǘ>< _ aWњ@$ Ұ]dQ[B>Յ$]S9>;lF<;W58o 7PM xw hFWD +~qȀd5 5Xj?:#'>^ᮟ.j\=R[k,q'q)G0u k>~7;<`/_ =8sF endstream endobj 12976 0 obj << /Annots 12978 0 R /BleedBox [0 0 612 792] /Contents [12984 0 R 12980 0 R 12981 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29950 12982 0 R >> >> /Type /Page >> endobj 12977 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12978 0 obj [12977 0 R 12979 0 R 12983 0 R] endobj 12979 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 256.325 118.9685 267.325] /Subtype /Link /Type /Annot >> endobj 12980 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12981 0 obj << /Length 19 >> stream q /Iabc29950 Do Q endstream endobj 12982 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29951 20830 0 R /Gabc29952 20835 0 R >> /Font << /Fabc29953 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw72|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12984 0 obj << /Filter /FlateDecode /Length 5150 >> stream x]_不קsr20hkm2=4np>`e%QU,Y(?m}0f;|{J*M~_?`7y䆯߿hpus9I-ig]exooCоioˠ8'G팛ך9᯿(;4 決cuqi8Pl08qGupu4(xIyh7J96n1 @/5yLLx˓/ 4XKGTa V< 3SYkFps{PnqܵWX*S>,Emyxe(69N1Gtҗ{y*2Af"jj`Lm<,+HFa^к g/6LaW>Gt;q ʦDJbqzt/ iJ_0m8SכeQz,fs~"~^XhBn2YzHA hؖ6Ԙb0dWJ0#&\6E!{S>ZTR5e&^4+qblF^́&PPUQ2U<_SZK s.4 y:Eyp +jGXwO08?F?4N :q܀ӽGta%iB''/]p >XhO Y=hQC 2F?W:mb%--WlnS=P_"ȕ~s8`?n՟Ԧ}7\F!}|R89%q{_ 9 my_H5Wyp0NM0p1vZ.rʪ_d7MĎXK,PK&GoI,i+FgS}dq/LѸ/{#'AQ ~pf6$2<3d #_(9E 9/W充I-G!3}]B(r'@K#hkogc̑٘6d0x9YfD7k/,Llv\wc\m^{#*X/Hz/ڟDjOaHSNh#!-ReƎvXL.wõ}i{9 tԄ ,IJ̗=Eӎ>/ g8Hd4[!?6jkVf wX> -)KO,6TZI=Ģ>f% @@q sduA"͘G{ mG?˿]$?QkA4-1{]-zx?);pG!D=_;~qp=ILxI4`eEϑoCSw/8S,zN-< t-C].hL#Hr/:ꋄ5}ϪDa$N{ܘ2y0l&]eIBUw\0"QC P@4\q0n 3hfF 쨓v-R15CTxwi C.{cx^! v=wԫUKIQyzȿ]_w#:aa"kG}eʰ K,\7A=Ȍs@-V[!YW*+/Mi\,LiaA e?l{6tF Y`.Q>9+\nUFl\ D7s7D58!Ѭ puv6$lbD 'QQ[wIjމ5˅UnVf] %ɴ){E];:|8>N;7C{t!.ўڊG{j ]#ރx#ў'E{Js=u#]Rc.J\@="R\^@}EK ]Զ7Rk5|E>E)yd{R"aH}{@J]ZD= )ue=ݐRף vD}wAJ)/zlW Cܕ( @GQWYFztuPe-@Q7_F]Au HQ瑴hϣ%` h&ŸtLL y|W+C(gq.hz%)-۹_o1ubۿ< }L6`,PA_>_Q_#);d> :e[4'|y<-ʥ([/Bku_u-) XR&q@ @H05^.ơa^2pe<"+Yscuc2?8O(Sksў"ΣpYoÿ@*2&r t 40GB͡;%4}Dqf3?^Xf5zJQBcnjZk&h65Xa0݂}4=RpԽ׆Tx-jˉ>/}{j55ZOg =o <=G4t3j"b^eoe.R:"%f.Ff85y~Dƥ(Qjbr?|,U]& _܌rv2 tQ\PmdA2کjͶXa VLx:O2yf 8MVo='CA^ Kϒէ1&- pD_sn{99CM`"ԧKXgXWŚ@E8C/#`Xk/  & 8nCUBsC+=$@D .FEבtY;g(>/i.*>'Ϯ\I75%[صD%oHMsIp_{7s]"h[ϜFb:7/,g>^xYg Dr B endstream endobj 12985 0 obj << /Annots [12986 0 R 12990 0 R] /BleedBox [0 0 612 792] /Contents [12991 0 R 12987 0 R 12988 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29969 12989 0 R >> >> /Type /Page >> endobj 12986 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12987 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12988 0 obj << /Length 19 >> stream q /Iabc29969 Do Q endstream endobj 12989 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29970 20830 0 R /Gabc29971 20835 0 R >> /Font << /Fabc29972 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ^ endstream endobj 12990 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1155) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 12991 0 obj << /Filter /FlateDecode /Length 3959 >> stream x[K$ W@+z4Ǵ6 I {IQڰޞVQ)eғw?O垞fWq|r/QRpWIy^)^P*~{1!߷oL h,$'ͬR>c_a( j:V'xx{n >dD]tdggk혟DfɌ[ 8xX|`uXS(R5FZUX- |/S_$]93e>"3e2jO5Jф-E/g )|!-+ѭdl:LJc["eeZσY1 촓>-xӟ7j4Yӧf+Aim%! 5~z,H}CNbCNRЀ$nR gc]„.$0)d N-2#K30>wϗq/?ĀdX)JJe?Ye=->v ¸ pZPs%{kʹuek;,~J­cIu{sg")+9?ѧr+L%fh3̔N@u6 cJөdJT̾ŸWx#535W`}mʶZ¨[JA[w|[{Г/aw; \rہ kYT@!b6s-~Ws8Ko8J[31|h6ǂ]:$@]ɥu;۰.*uQ ^^ᴿÊv=YF3Bk];7ʽ.Q/~P/Qes!Jwtso }_*n+`unxsOwAi, ٽu1Y\Gy Ss-qѬ)LPNMȦς8\渿R.U3P-Ȥ\US pa0|N`#wxCPc+S(u"P((d\c>H0ZU< 0ת6 =(Q|m*#c7,'ZoE.j¢T.!)[|-ۓ^W3[b3nX>ZѩR0k{%Zo ~Uͩ#V @v8d꽺ys[ y 1݃hȭZ*.;%!+Hޖby-Rl:H{ah?ZTm k(᭑ags2Ӝƞ޷4RG7QdYWD ԥFBZvØ~ 41͙VKVjQ5QmY^z.`TMVsRVtgyMĖ2(?P[8#c`Օඟ H% %F,I0)owtf4܌D)~k6v1 u==Em[SljMq2*h|򆾡$!֓q$1B 0Z>GgbV%.H(:qnb/CO>nXmmSsBK1HD(u:B9kΧ9̋%70GwqS$UZ`G vwmKcz$Cv͹#: [`hi{?^lZ- 71>%qDS-p*&Mlѿ;ۤkؕ{ +4t,t?@)xd{$KגJmoA6)|^)ŎzB~\옍qs|%cW|*r|L$1AZO7zh_|e#i<6xT2=tDe2gNe 0=+ۥy) )+%/>xq8/R62ڟSk]eeу>}ξe LI#`\|_17LpBo{}^iuO u߽@,pfڱºD_&C݂@&|.~wtu'7G§c|<ƞK;L$^"`Uf)@NʁsPxFx9畘?^rH}O>'&/Қz%t Lȟ{ ʭTϡr*(.?AIE o*v\vDmSHbVg;Ly!Aq~b {\*"Oy킈 fvy=dj-~+~G^p endstream endobj 12992 0 obj << /Annots 12994 0 R /BleedBox [0 0 612 792] /Contents [13001 0 R 12997 0 R 12998 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29988 12999 0 R >> >> /Type /Page >> endobj 12993 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 12994 0 obj [12993 0 R 12995 0 R 12996 0 R 13000 0 R] endobj 12995 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 572.6038 183.5217 583.6038] /Subtype /Link /Type /Annot >> endobj 12996 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 556.4038 187.3167 567.4038] /Subtype /Link /Type /Annot >> endobj 12997 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 12998 0 obj << /Length 19 >> stream q /Iabc29988 Do Q endstream endobj 12999 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29989 20830 0 R /Gabc29990 20835 0 R >> /Font << /Fabc29991 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_R!*p% d Z%LB.FPBD1LS4$dqJU$z(SBD* ^Sl\9 " o=kDN{r=C1ycXtlP[QS)hG^Gw<7!& gVWlVbVwRu&[j{JLh]}ID*)sZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13001 0 obj << /Filter /FlateDecode /Length 1744 >> stream xڭXIk#G@wj.0I- 6ACɉ'9`_{K"a<ĦU^}o͋q⿧ToMs&E3:;\Oh3ejȃ8;`.t6FO睹 `Pr1?o4?LE0'zθ|D~3#Ɗw0 &pu?A/-^͠N3oI;݃0,Ո%BdBQ[u'X܅B; dueke 088KsF(§X6775KZنzEq?s]I;M2>FVGJqNv!ZOS>?X摒qH5<璦;x? 8enU襑Y'Nosѷo_IEꨊw$-5IVf\ӥ{1v19jr,Kk2_C]>?'{+ʧZ)\ɕJ3ƛ+z@[;4ሾ'5)^m^| q$iszذ4]H;~HݣEO& ?ɜmJ2%>g'ސ%:#Ө0}^.гe}[oЅzok|xiCmTĄeS)U''َvȲ~sZ]YEuR ]WIiU& q9::N2za}Z+8߮ *c6ʠƊ0lևu5Qv$WJdAq\bNJ!e;=24̼]~ܝE}@zH&5ԡtWs\M<,x{Bs-\ iC! Q2XyVsݚ7wʛP^J?.֡<Po5h+<43+IRH|X 3sCj!1پ[HFZְC\^Vs0zsj%pw-2z`}+3O.1?!ɔnޤlFb /Ep1GMG>YE -k,vtCݳrה9"Al4{ejTdj[^-k sJߎ_)vs0$ģL]0]`7V'e5g EJ>b{gQ)w(lBuh7D5"U Q5u*mZ*կȱQˁaǪcKǞ^ T>ƷXdS>=.w\;{is=I\qu` tEuŅb9}L[VG<'VpE{=^ ^ YIqo '3@ b@tCzȗ:(Is.Id;s"T X#aliUڞ%$eԹe+ҧLR\3!~EIOnOCA̡B@F endstream endobj 13002 0 obj << /Annots 13004 0 R /BleedBox [0 0 612 792] /Contents [13010 0 R 13006 0 R 13007 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30007 13008 0 R >> >> /Type /Page >> endobj 13003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13004 0 obj [13003 0 R 13005 0 R 13009 0 R] endobj 13005 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 372.425 137.278 383.425] /Subtype /Link /Type /Annot >> endobj 13006 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13007 0 obj << /Length 19 >> stream q /Iabc30007 Do Q endstream endobj 13008 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30008 20830 0 R /Gabc30009 20835 0 R >> /Font << /Fabc30010 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy45ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwow endstream endobj 13009 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1157) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13010 0 obj << /Filter /FlateDecode /Length 4689 >> stream x<Ɋ$9w}Fi !L0D d^ml w0Lvɞ4}̤ /1T)uef[[渄覯?t|n*[j8ק;O]g2֥M_:=}Ui8ȓ^&vcUe_<ҳvEZ+Mf :9j_hL1@?B9<ȕ -܇x/~Q/Н[ e 띁YIs"Lh>" hكzO=Q\Cd윁~!]&Xo v66y"ܼ9: A(!:vCvV#}:{CB!d"ƛ4/u]@e^rO7C-H" ??~ +BP(CO.9Ĝ3Hh80.Kӧn6*̬S;uV+d1|!@WMvociScש֪Uֆ`úW9m va@ܫLH_U]ӮklU2)ԕę%8ӵQg8q_WW TD{6ޯd4؈kou 2i;' ]*XɎFuoP"ɍ ܌I>\'Bɛ~ޥb2˔~/ 5L=P,{j"^嬸y??.wcmYbN-~7$/I=~zny Hf/P6;捞$bwXx#ˇ_:,I$"YNQ2(  [CKrʀ#=7YF2Fx2ɂYXt1?t9mg#8jD7NdTە. =s%?zŃ?V:wP'p yd^0&Y^!vaDi_٥>_'lg 0 KeΉ5(`501@A=0.4C"2Dc 2pkQǠ@|D͛'!]+LI B0r8x2OlMתOå& 2h9* )o$cUO̸&(uWdH(r7,kUpDLPfb9ގhXyPݞ=|ܻ0\a}/[s[m]e@RH`QQNƵ[-+Ȋ8"Z^{:4, nfrKJ2M,rBclg}|P#K{W M]k%(BMÄed nɸ١sΒ (""/bb~ֺspHtq'qx0G{ne EUar4g(ͤ<9WlPFfU7|NJI;u1 LE\W1fM50 X:`4cPWtAp:%gpMYp!ސ΀`8!Ŏh0O [?-zZs# xds_ـάhG \dlWAqfv*" bW1qroy{5ꂭF,;#5 "n_7~Jpŀ'b}sˌ474z|{/Σ7^TH=1a5oGd*+xϧ?odah N&8`oK0*o?qZ &5)QȰJǬip}Ҷn=~rƨS`Uc9Nr9G/_p' ;nٷiWsH[3qڭ׈66 7zǑ)N|vX3݌ \wNj9iU0YGrZ,F?դPݍ\HrXGYi/N/MOJh=!|!ӶMEc6zVTg\letJCXJ ޭe 5Gh=CO\[6QN|u­ggO3t] 660h:͠&1^^0)=AcL米2E7Jr؁ jt5=yF~zJw7tQSp1 [0WbQ#Q0G܋h 'P޶R.S /o28bWm, v:6-M+\{ D7[^LuI 'oD3D! S.w{dGxn0.Gu'An)+<˙xs!S(p'yVr O9)-ODm$zBi0+z̩̠K#!<чDȟ }> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30026 13015 0 R >> >> /Type /Page >> endobj 13012 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13013 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13014 0 obj << /Length 19 >> stream q /Iabc30026 Do Q endstream endobj 13015 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30027 20830 0 R /Gabc30028 20835 0 R >> /Font << /Fabc30029 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)smip3S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w) endstream endobj 13016 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1158) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13017 0 obj << /Filter /FlateDecode /Length 5477 >> stream xm \ͦC1PU k|0IE׷LmβtÌ$lY$ia‚t8S'ë7>˙{a$+!\z2)JosN@NVH)H>3g}o{jRZ6.}uuv9_f91:SnyPQD| 3cOm;Z5H$+iP}/.83`]B|5, λӕH/rJ8- :a,|]eoE@ס}r_8E@5i`י͵I\ +fUѸ8)[YTuʈA"s2@)Þ+KTH x=l$hЀB }3UyCp*ʁUK,J!P% ]R"BA9#;z lqc7DY&9@AQqơXp"kV @eg8Q:Q|Eg M\!P1/m&f> K6ag7ṵ -f&ϊ\D.0,bYMՍ_ 亂:Ȝ҉{s[|)tЛ*8\dyy#R(բg1`m'4Pάw6׼Nfjӊ["dGMBUBjX$&% 1j'"v'|u'KeP♘OB#bO:O\_Zo3b_ 4񮪛jy6.C% & x6} NY/2Lc CL=LϙB|)"JWD2 8&}$bl&ďtwh"Z/O5Ӊr+#Ul8ahIEg{GUqX\]d/4&^|uBQP _ EMjI0P4BZ;~n cXV޼v1&GBQ-e}(A\r:9 J\5 )ľK@yK"`>@^ޚyF8=?(`]<xˆpI3Ocx L%䋛ȯ4e,!B\#`K;=h 5ҀI&w܂u#u{/4SR!2`6.]@hJQ?Nj`SΌ(5kXPw/Y41;& )U9YG0$Phե2ZYum5>3ZȔΆ=P=ߓ6<)Y|olAXl}<5lɀ_IR!9) lTOGҦXE.^,s`s[ra<r1LP wT*%ͩs'6?SGr(Uns1y/d=m)k=eG QTIrPlNYYWrZOA2Sp[yCA#O̙ ẻً/@z^eYS__(T`f8GeeJwMQUB!lU(.IK|hɗ+AF|7U LiY,=`m/#mĽS܋W˗Bӕb/y2z&-vP>Z6?f|FIOHAl\t2Fۿm kXj=M@˃`ޣb^ T6p[ pK~;)Vb4%޴,iA }*<ߟ\2eK |۵+&Ѥ+XNZܽYE䲺Ma>D4t!]50m;(=;^8y3.1$ʀ]F:  ւ9k8x #`h<HaF{+ϯ'{|kFE2 c 婽Xj<ރ WF3 o#]^v6|D^Jx{l_o=ݰΓun0'k~līA.kdpjīQv0><\!G9yr)n Xz=?It}Zb2)r0W^8g\hsl_1zi86]s13'*Pp@|>CXn[q|Hj 0ڦ+?8*XɃkſ 4H8$? +ԑb)R놁MVSat\*?[ѦFhMp>hCW[5Seh%|ts˝|4hk.K8ix@v4C `{R~+/o?xnPՂ9QrOuc:O!>(Nxq8LJ(h[9H Q?[OBmJ&iha'%dk)OA jl53^pFԍq0ҙą_~WR4)SK3c,;&Б۵F xU+4'i6{?(J9ݲ| ķ}B|7%VP+ ́9'\KS T)c_NN/F1\ƀcWB` U*9JW9+K틒6r7  )1OuDkʫ8e~&{N^r-}oTP1 BLwT|i!~( <쇚y~ܥlO\z1}2p^ VlSRA2e<ǒ(d{يRD \hx+#yѷ$$_&i+oPS]=qd,G7\ZQ6D-Ҙ) ^p̖c s I{-w"06-Τ8 T> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30045 13033 0 R >> >> /Type /Page >> endobj 13019 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13020 0 obj [13019 0 R 13021 0 R 13022 0 R 13023 0 R 13024 0 R 13025 0 R 13026 0 R 13027 0 R 13028 0 R 13029 0 R 13030 0 R 13034 0 R] endobj 13021 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 239.1423 197.7282 250.1423] /Subtype /Link /Type /Annot >> endobj 13022 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 222.9423 202.6782 233.9423] /Subtype /Link /Type /Annot >> endobj 13023 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 206.7423 195.1267 217.7423] /Subtype /Link /Type /Annot >> endobj 13024 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 190.5424 197.7557 201.5423] /Subtype /Link /Type /Annot >> endobj 13025 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20211013063105-08'00') /Rect [104.1732 174.3424 192.6352 185.3424] /Subtype /Link /Type /Annot >> endobj 13026 0 obj << /A << /D (unique_271) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20211013063105-08'00') /Rect [104.1732 158.1424 187.8062 169.1424] /Subtype /Link /Type /Annot >> endobj 13027 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 141.9424 166.3397 152.9424] /Subtype /Link /Type /Annot >> endobj 13028 0 obj << /A << /D (unique_305) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 125.7425 211.3792 136.7425] /Subtype /Link /Type /Annot >> endobj 13029 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 109.5425 165.4707 120.5425] /Subtype /Link /Type /Annot >> endobj 13030 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 93.3426 172.9067 104.3426] /Subtype /Link /Type /Annot >> endobj 13031 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13032 0 obj << /Length 19 >> stream q /Iabc30045 Do Q endstream endobj 13033 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30046 20830 0 R /Gabc30047 20835 0 R >> /Font << /Fabc30048 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13035 0 obj << /Filter /FlateDecode /Length 3301 >> stream xɊ_W=40$[cL0;[Zj%*3"2,ߵ?}1#C//F~nK}'ӯ_{cm7nInICp^7/_3@P`>d "3#&3\^dΜZ@8dL|>)[0Y0fc`*煁;#@a0ΣqA|$\48BSaψlx=%Aw.w6L"4"5K  z"aŇ2Ƶx{rG"qmvklyR֢* 0d"+}u_waY3\b/HV$)PF#2ʰ"Ͳ<7v,7m\ꐧ`vg@ hNL̮ 2&6.6 AYTyaЇKhVfhQ#]`m(TdzM޽] q~ufu؋|^"L\MM6P]lq;T Jef!n:jUUA,J67}hUE`_WQV'(iҽSͪW\zټ- v, VOLƇ T)'5tSΣѽCعOʑs xMfi6Hgfעpcvе3Hr\ؑEӊٟ;:GZԀ۔\퍷Le< ]<"覘.360˲r}b-݂F [$.S$+ /j;k:;/z$ߗTڀ$SNPq09`(.dϯw-^R7;OK)k|$_OlNS]㪦s@v]SsgW?8rMQ?jk h4r`8iMu,лmX6OL3:yO[)߯vN ɨ(7üa~K=9[5R;9-3S 2^*n)imKaeakhBlt]{j*uUlH]i2_!iJ}oT~CkU$d4J׏] n^vt%gWy;.1Ġ8jSqdȅ M2r3GHM8ԃ_pC -b;с? 9jpE IBr^8!G3dQSg@FX2}iu@m1l%<#p&̹`zMMe̓g6!g~!F`h+hE㔀v]nu%a{jMyhW7.A6,G 0CSC_W{x[:TN`L4uUR^H M*jC jBHB7[1lގgqG/r^NVh;7̚e uDpr Ɉf@HS&09j]> Ȗ6T{j0ͭ4'5 WRZ2ili5U^S{+--YǤ43+_UΰoY@%-(t^(lgfxmxW]bD;!#J-{% 6=h 2eJ$i8Se؇1gậ`F6Cpqne՟eiD5!m 2zb?jaG('BG>CWF"E20e[9`s`vIpuVT$h|'Pw 3Hir#e{ۋf $l'aϠ|w{ߢE au#"W'<#P"bA];K$} ot(4f^Gd=Tk+zICInkc  y37Aa/'=! \+;ޤw 2n0 q 23j( 2AstiC!Iwb# UxⲈFG]n8x7,ƢVI̲6z>"a0 MO+J0|G6O_^~3Lpe#?y endstream endobj 13036 0 obj << /Annots [13037 0 R 13041 0 R] /BleedBox [0 0 612 792] /Contents [13042 0 R 13038 0 R 13039 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30064 13040 0 R >> >> /Type /Page >> endobj 13037 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13038 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13039 0 obj << /Length 19 >> stream q /Iabc30064 Do Q endstream endobj 13040 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30065 20830 0 R /Gabc30066 20835 0 R >> /Font << /Fabc30067 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fկ ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} < endstream endobj 13041 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1160) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13042 0 obj << /Filter /FlateDecode /Length 4914 >> stream x]KWl@ ߔ=r[gֱ`&?U|S&ƠGb*(Q_; FZс#ᅭ+EQ/RV+;'ƴL;L3SqJ4h/䛫5Vj GGo ތ\b=\Z8 >N[y~ZZG=*2U_>)8 Ϟ8ssfpO5(-cON AB"b&'^l#$gghAsY4(뿂jQil>~JC9㽥6~5c MVm 6E5WӏThH @ _@ӳ?A3㨼`\hy0 y҃KLf?͝=J@B /bd_DEbt_YժomDp3_I=_εpި{I °[l5uP8`0 - _vS 3 Y |t Q~n5 >llA=1I(a=$4(݌a .<\2*SZ"8ĔѪ^'?~tb.T g&2'^$邖?ȥXÒ.8n;A;z'ZBS0ެN{0e֚7*8NuviTq'|<#_?J&qq6Do 1с13sh p#m֟lg8>gc_ @C1;f0X`Xb~7 ӱW| d^17F~Vp'R‰]ug"b4{S7J;d+X{b2=gp8^1P`; 2r{r߀ Yo8HȭrPPm"+S$"Lʯf?.k"`ɻH"k \'֭0cBl`*G g.P, eqZ"|E'O{]BɥI~[Y*|$_MVJY"Z31_Jw͕Sxُ&X3 QFhjaas okTHkA;!E|{ EZAuou-4C} [)b8Y9/UT:j$' Jr%ZPRT%XPR))4GU&u5rT(*,ғZ *OL& Q*b1ڢZRӅ(jVeϸNAu6rbT {bTO9`1O}QQMH݋QMH]Q~ܿQwIcuXݰ{Gxx'6'ּ9Tdx(wk^S *rl=k"ϦڠhMgSk1j(VΦnANQ=BGb0#LSlSٝakb@ņzٻ>{}jW>EOX>EO5Sd]>E֯OuSd@#PTlT޲x)a 3;ED!V. 2Jp`F"<d5[(J~p,pWdmu0rp\"E &;A$9ܜ= <@ xl/@{-:ip-z@r.ߡh8؁[7B#oT֎Z .W( T#7N,"m mBU eWme­8qn_Ö903PUx.z<пllώȚ85\wL-3O o%'sjKd*HஞvYIk]HM9Bw;w8|d*6clZҺp' }Ewlz']p',־<|Yu! cGO?csU=spN!]aĒ-).\J](B(%\RtA /[1R%FG-]TtZa}`3Ƨ-! i!j^V$!5Qb|sAiRd)F,^I CUD>|6}--TN\Uﲙk2,̤o'>ځi'lA^kL|\1\7@vFvc)6Jq[`s&٪l{ЌT~}IR㾥"~OIf9qRe^>tS#`+X#D#Ze;7N3^·;w#~?Άa ETA¯L'~ӦXQ) >p_IMe_˛:0W!v M*>8# =~&[)}}3:L%X4n:rԟW8Aj8A endstream endobj 13043 0 obj << /Annots 13045 0 R /BleedBox [0 0 612 792] /Contents [13051 0 R 13047 0 R 13048 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30083 13049 0 R >> >> /Type /Page >> endobj 13044 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13045 0 obj [13044 0 R 13046 0 R 13050 0 R] endobj 13046 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 675.15 137.278 686.15] /Subtype /Link /Type /Annot >> endobj 13047 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13048 0 obj << /Length 19 >> stream q /Iabc30083 Do Q endstream endobj 13049 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30084 20830 0 R /Gabc30085 20835 0 R >> /Font << /Fabc30086 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HEӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13051 0 obj << /Filter /FlateDecode /Length 3666 >> stream xˊ$yzK MC=|i;f.PgΔR ;BJM/iWY ^f]E^W/W]TZ;i19'_>imk\3poL}!>l߿lǰ*|]4!_NYZ ,c YC!?`'Ed7SE#/țM< 4#`̸FjBWDϢ5*%K pӳ2qXbSw<韏L_P,^m81u!\;j"YzkO7 `3s.v&(@#f1!}FW4(`WlAU4XR(Kv]9E9i $7DD,bt5IMSYA/0 rI/mg 裊& 1Ɯ4J݆PiBlVDzm#s`U4Z+0ʒ#C@.췦&1k.kwΜc&c}|_0u;<6tcxFјxmRY|=)My_![:]ו3A 1+ە0@7Bn\ .$ %٣~j3R4k^@A\YjK0l^Iؖ=eUa/`3\9vkyLGfɬ g'd\&ඏlf:fe433#3tļ/1,0#h^YWݹ'ϲqu=MYZyOm@gł#܄lx]X:w{i5,|巌%\$g%ءSnU`S\DxZ8u+0c Mu1g+TH$M|@8ʚLJtK%ɥ%c JgO5ЈLF ^5膎 g#W7MVMނ AP1 C4sLK--j>)g܄bw RW*>{AJbd+ _#TWSiCn|D2,/ /%ԯ߿HA@YbX"?aPE!Nϫ\RA:ٻMBziZMa؁r4 W~nO\b Y+uK tE _ZX1h @L1`:>vsӅFaڟ[8C *¬|C@ NZbNm퇺k孚>Ŧ +,j25U랊.cCiWΛ)lE\Q1!S9V@`-D#ՙqCv5}+RCH7mdײCe,JJT~† zȼI2Mn&5a^Ld?Ŵ)X_ZUVqkSx7\p\-W^8Eo{N͈]I䞅wK|7vvHlV1)ڏT[T˜zv x>Q/o$O(6{Sŏş7)Grk*9XXYAz钀GZ_smkpҪ]0w"r'B XDQ<ωTfTRXղ25Om׍@DH"EţQ|pFވwoC =jy̪0T*K *N{ F`}++¸TKfTDrV*5~xCx|{{hѽh7`;e]?,o&>'0#;7J5XnA6B##4SnVʭ2&5Eka,/z2vvmYg@ވkBs&kF=Uq,6$]ڮ-?T.k:Z`a"[)l!p:%ŋ7]/=@,'H|~azn01/ :Q.[6퉓[ l9+JZ{*U/61{>2*FecX*hj[|D'|V[㋏m%*C@֍Zz:: 33)\l ~$jl.f ` V!>':}(n vĂJL9RGRW8BKUz#, VM&eV6dHXSpܽTtP(Qa>pb.֘˻1ϐKQz]ܤ:a .,6L#&l '|Ã&JtE[;y1*.m E1H0dxשl 69h!M3. ;p1@a   Q~\pPʜ~ Hᱼ2FuTo#] <k6tC@iR(w QK|@;'j $'nU?Q\ w^ts}߄6N Y iVtg(r{Ù֠)qGx6{caW={4<>T1WZ.%~ [Ƒ +c@G+q,$^F7%+B!3 i幜=kO3^ +,4Lp=^K|oi'Ήc{OpDTށ"ZY C> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30102 13056 0 R >> >> /Type /Page >> endobj 13053 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13054 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13055 0 obj << /Length 19 >> stream q /Iabc30102 Do Q endstream endobj 13056 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30103 20830 0 R /Gabc30104 20835 0 R >> /Font << /Fabc30105 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13058 0 obj << /Filter /FlateDecode /Length 6162 >> stream x\I%WKs_Bt vs0|*,H"[.U!_%\~]̢~Rץՙ%%oR_??ŘO֨kau'!Oiq ORlߓVѯK{A3rG/j'Ly}(쌼('I% h6neRS6Щ17[C.22 4&Kംyy)AóLcX!#ڠf`ˏ 57_3&FϘI yzԆ{ цhi3s2s)kNYG}'clKAz?aOU3.1(5vkE*,NGj v5渦 83 %qhƐn^hLf`1=F`48wÕAfGqI.65J4-IY.JT%_''va-3bM^f.mz@,o3^#w(wWŭD~OW*}~ Do~^[ ӌl\~?-!F&N⯷ "0vn-)bu.ZB/?|kONOH}?eCx,$;\G4At3&ė %7%\*O|[^\ X@R~R$7@ Ҟ^ϥiе´ɹHm]گ+u]hddL]:jvYsCF!vZYsm&⼂rk0%9X0;/k4<@P#&YhI19W%cK|)hch+}ThX_O/ އL/s2d -h;aBێn:ɾ#vH6KMazϥfKl>,d} C{2'+$ I@>@{ي "8u1g^<<0l:騎N4Y<>}QQkafB=XMTEN-etpj#nJ`dظx}H}ݍ٪tB~dIP xٻJ:V7ԙRj<@Q>l]lSq )6>ANX 9(h/nrc3ˎ hcc Oz_BI{"u+/~o5m۰{*mrEbF{njV@fFSb7:nFִN~2(nc ڲvSqiF%S" }ܶAng_.0UJnla)"tqЈ8"w.rV8F [!һ[ sΪ܋w&gz0A\c;:WٴFߏ'ܳ?,lV;Ü▼<_Yfko3]2-;5TY[ڭaqyt:n 辳Օ<̥foW5Ե̎mG)ttx(eYɾ2 AU긮 RX؝9glef5o#Qj kYsNrgfWщi@ _v֠VB$j({BYu= +;;&%#wQ>{P&I)CBwLHjNm{I]MlCv2etYmom_#T,b/Ǥ7:KC%ú@rPݖCO[u~92'uh4R}vÇײ95'BŴÑǫbh9;dn}6x`MR3FE'FmVO#%I|;ѹ8Vw̱NVޙŎ#SR )%Bþb4^INA&'2j:C|de ARvE?8l!o$;ifTT´+ؽ)8ۈ5|6ZupkϹn 뜧#ƾ?I;΢{7;[.HQvwvᨏ;P4&oC& &﮸n1q=a!b>ߝi0P)JLDlO .w9IJk%v)|J5MB+\6>JFjAʦZ %֤45`aҔ zYY&JUDEWJ/t<W´3z23[PBxIE&DJs[6TMUbJ 6č=)DxF(L[.)TȌO^ ZCyl<:au8B.>sʃ1G 3R{%@N^FU8'঄:Y=/n@l/ +̒HR.*@pb^,{2UB)]9(=jqC(S^kUIrjr\̴fz37]S lG^P E֐$94e!fc:D\J=+f[g[_ #5z:Tcy"R&?嚂=a-:H٫dff%^bBmC+K%89,cd,r&&g$&($ }TAKyڥp.ĞNhKv FǸ%ȼ1Q2(2;ΒH=n MW[QlY+uӤSm "AvIWCϖY< -tc-Raΰn!N敞p@fJA[ϐQҰ H*zQ94OH+]#pq Ecpz\U|>þDj@ .7ܔ_]"e^Zz'W#٥x9иo[b;fv+2= |ݽdEP->fRQ>Zغ6ٞG`RE*.ʵKj T`t3KM6 rB$$셮ĕ~LvCI^rAa֗d)R" T<l%p8,@IXrRfS~[_ W%cyLGҽ験NZ$۫Eɯ{f' ~vh{ݘz׌?R` [ƙWL٬g/298a`lsH:ΰgm2N8{+ `҃x}9-gVwl826%ۆߖs. n4yl۽SsMbzF+7Pދ^<]}K- ~żUߑיO1VqҲ+,) ?=Z΃¥o!whn _SΪ|[=o %bb&}_rӗ1l,`96l^p;حl+edD;e,Xv7QҢvd#s:GMs =a?;WZ'>W/S^w*{p}ܿQ[!`ԯa30B~o̵jكr=[7oQ:gb._me.P[QLݻC#ZNV>DnY:o) |k\[iWwVQsyc=-9cwҔNjO7Im`vctU_7-g—]MC ;7߱[p/MnU*L\ceCݪ_ ;Yz[8hAFY4ŋm^P~^S)J`47XM$kϞ$n#u]pk9C@6^(]ܤUOA/7C>^_xi'՛Xhqj:(~K|L:ɩھlP[8Z$EǬq_ 5}JƔ޶P26̀SsqrXtg-D_G'^QA.vJPAF`JƿAA;m[F5QhT]el-e\1әv;IoP|;̧>|SNF*if P/aZʹBy:S{_SDSW{RQgŃ2% L)K}f6"5(. _3i~׬_h-_9J$ U\ӲchX3s15лP 뀼ѱn䧖\ekcij״ޣh혌+|PSi@֋}*[+Q\OIQ[<Rxtc5*,;d1D&?xg C ӻx^h7#tfE-F?\FsnI=& ğK<9p$Opro35Sv|DAt>4.ӂ[R|SM)7j}~wb1I?㶏'ʆec-`:笚BYy?xx~g᙮>/g+tVPys>Y}#8^8#px5Ȟ/Q.P*9d5BVv3\N'~Nu4֏+z> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30121 13063 0 R >> >> /Type /Page >> endobj 13060 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063024-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13061 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13062 0 obj << /Length 19 >> stream q /Iabc30121 Do Q endstream endobj 13063 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30122 20830 0 R /Gabc30123 20835 0 R >> /Font << /Fabc30124 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo5 endstream endobj 13064 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1163) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13065 0 obj << /Filter /FlateDecode /Length 5504 >> stream x\IocWsp_Àe6I999;%?_׷ɒ{tZ"W,kgQӏ$-$=}j.8~𝚾П5~LJN|KyR>E$E*}ʽ2^2=g/n&;IH|R'zx/#Q_Vx@E{A"Ji`8u0 wKifD)xaI>ZԔyjЄl__p .W'&)ؗ5+pzqXݪ58om'rqo@F73ł/l;4-%>e+Gcfpu#N*@*FHE `K&S?MM)-Q $bg]kF! \f Cgk9b RL•+bqTһquEkT|,\\+ d3`oK?)ti0]^hr>avx*bČ_3O ; W=EJ7̛,g,o06 *1qvj#&A.2r4t)fB |Қ0$@گd+lf-4 C$C!ȨGU_/1E5ӱ(mbxOM ;iHYœٞ*RԮ2Q!MKK!߆DZg ZWB;?iy1hN _JC2sNVzbtY’v%ZU+4vt3LvW+ygm=%SXSz ّ0V0o |1* /#hFld;Zolw; k u-xBXЅ~Y2ZyI;MSmN.KeA'T([Z{}&fW Fs3*72 قcMӹS-Mj&cfg;'5%u?Y -=,̘}Yx4WB2jB7G8$ }Cc 7rH{ݝ%~T$cm Dum$ݓ),q[$fM`܌9qka[ F=:PV[m463rwɻMtcqһL.u ͈Ig%60^>N{G8v= |`1rh ÜGfU?Y g\|x!.H6MvЂW;rrw=^SВ6Ғ~ߨ.U]ϐp0 G ڔ5{?>:4HQK.}ͷ~=ͱñWy?W<JNh?歷#\>"'D-* aXxM睢C{ѱȿrE<$LV4#G v~P>>Vx}O>1ȓJS@m(8)7kEב:h!|r r5]oY7t[jH,c;WG{>\;(ǓkD4Jq̵. 7O$J'wx0BR _4GD = YwIRJCiHSO =J0[M@=@ k%bئJ@RPldYF CwO_ dg:NS*~#NYQ汵`~KT!Ů2) $:ǠA#&b~g u| K5M8BbRHAGTX1$Iy!ďPA,?Ll ߸!i:b&7<8qR6;O L,UK"a.U{s\ vuhh}äCo ")A4v7G])?Y<S :0Ei/R$stbT{SWȓa)0OQۧ0NdH;FdG5&~ϟ"VTC:|δ"++zMn&ɱ\nD?ҧbJ˻=e{{mߒWvzƿ,5J8{ֹk>p>z5$^۔z~FyA8b-XygH͵c za=@}:\jr |L*@XU =T'a][iy"RCi8#"ǙuÒ r|z9"z0+#D SL,ՎFD][>p5R,K e-CYa5\- 1Ik.VlݨO! p&n{Q}bp}6_jዼ KricV[O+ D ֟F+4Ɯ\42teSM1r4MA/Rk@ Zj~.(qC:JN7鏱pp\:3ݫ69DV-}"AF+oR索M`t9<-ј|O)lV7K+>.Ǖ; x{Rk_ /O@>*3iNGtO}o*@I/ B7{F8Dz_;*a[1Ѡvkcܲ%@$+:vZF[orM0:ۢU))[+$#UP7)ݼ<4[p}< , L)9yI~ΖςMf~h)sTyF X fBkG^ZyqXwJefn17ҵGnUP}=<2^’y"eDi:?#ԺO։1k6.L(]Y7LM&ߗ&$KR^ύoeqqNfYef&yg]pw~Qk{ĞOG`vRmVH\($Pp=\z չäώ?M\s^U&_$BG_<{Dxe |h6?YŸU<+8W Ji=`8܍zM@ g+ ʁ~#g^+eBx'B"΋]s.=£BZY^<**3ڱv=סuwmo~\oX"do T#&oce+_f{iXR {)?THgS%aIpC`e]gyg0=45&Bz ކW`9$?B endstream endobj 13066 0 obj << /Annots 13068 0 R /BleedBox [0 0 612 792] /Contents [13084 0 R 13080 0 R 13081 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30140 13082 0 R >> >> /Type /Page >> endobj 13067 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13068 0 obj [13067 0 R 13069 0 R 13070 0 R 13071 0 R 13072 0 R 13073 0 R 13074 0 R 13075 0 R 13076 0 R 13077 0 R 13078 0 R 13079 0 R 13083 0 R] endobj 13069 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 387.7 197.6017 398.7] /Subtype /Link /Type /Annot >> endobj 13070 0 obj << /A << /D (unique_38) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 371.5 190.1767 382.5] /Subtype /Link /Type /Annot >> endobj 13071 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 355.3 187.6852 366.3] /Subtype /Link /Type /Annot >> endobj 13072 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 339.1 195.1267 350.1] /Subtype /Link /Type /Annot >> endobj 13073 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 322.9 192.6352 333.9] /Subtype /Link /Type /Annot >> endobj 13074 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 306.7 180.2547 317.7] /Subtype /Link /Type /Annot >> endobj 13075 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 290.4999 177.7632 301.4999] /Subtype /Link /Type /Annot >> endobj 13076 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 274.2999 182.0037 285.2999] /Subtype /Link /Type /Annot >> endobj 13077 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (verify_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 258.0999 192.4097 269.0999] /Subtype /Link /Type /Annot >> endobj 13078 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 241.8999 179.7817 252.8999] /Subtype /Link /Type /Annot >> endobj 13079 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20211013063105-08'00') /Rect [104.1732 225.6999 168.2427 236.6999] /Subtype /Link /Type /Annot >> endobj 13080 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13081 0 obj << /Length 19 >> stream q /Iabc30140 Do Q endstream endobj 13082 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30141 20830 0 R /Gabc30142 20835 0 R >> /Font << /Fabc30143 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 13083 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1164) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13084 0 obj << /Filter /FlateDecode /Length 2459 >> stream xZKoWp&C@n!o|UEvG=HWz=vߎ2y}N.sԐA;kj.!/wjm:X#J'~S?APrяx' hXt]Fg>X"?늾:AI6ph|ݣ|x׉tĸr6/ww%sJL" Ep: N3jGɗhi\WN@:]M>Gӏ❍&-)9p-"uc@RhmqSde1yVHG%)k=cϖT5j%o=28QؒO^uPQOR>'3_XTHM&pئ,VTI81Lw("𬗆Ʈ!Z:EZk~ۍh`8F+m{zjЬTR[XRz~cg(&Z"AB(_Ӳ{ezZe6{iw4dQRgAF/ʪtQh &L.wdIx7.٭yk°Mqa#6Je:)F 7R_W##'|NuU[_(]$2"EZ0GYQhF}p{w;w! 'ςܖ1ܧ=Jɒm ߱ӱݹ~}15Ezm=SŘHRZ֗4[KyIE9`oqd?hPr&&!`jt3{8*df8O|8Kg!);6esAy$91$?5 SFUny%VmnY[I&5@D8~7\#/QV>BIiSk߷gfJ02 endstream endobj 13085 0 obj << /Annots [13086 0 R 13090 0 R] /BleedBox [0 0 612 792] /Contents [13091 0 R 13087 0 R 13088 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30159 13089 0 R >> >> /Type /Page >> endobj 13086 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13088 0 obj << /Length 19 >> stream q /Iabc30159 Do Q endstream endobj 13089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30160 20830 0 R /Gabc30161 20835 0 R >> /Font << /Fabc30162 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶ9 endstream endobj 13090 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1165) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13091 0 obj << /Filter /FlateDecode /Length 5257 >> stream xڭ*_Qi|'WkpZ? 6 } pn)YA Qx~\.3]ڂڨqt\ <-]n4J1\{zO'/ GeǙǥ4&,L >Ere`c}D]jL\ /"}.m X~7 M9?k0_ I3}zU],Xcf6CV슼A'4?x# xQ6!|ain@ ۆL!uu\Y-!a :@'@G͌E(}fQ q/ioRl uM͙ء-"Y4++jxtfZZfus\7 J`وt$ciTINM.8o\3hi%t|Rn >@l۫ٶoYnmP\9C ocZ9@Uu@ӎj46;0;e=S ;r[-sP {U[@=fqЕ:(ueh9)Ȟ)=(]]q ꤄"ߝ:3u{u=Mn3ݫݫzǷuR] bWW}P|1T kL}V#15PMφ_<  Ÿ{͉oGٽ?[r?\j㗔U& 2WG lD[¿A˹?|_Ua} (b}R.JQ6AM= >$VQ(J׬&,Xx <=T0S7Cgy7Ã"Lf #Ƈ)2i{FS`lA* 1S%%iNa K!'e{*0մ_l36T)u#u18 r5,f)/NySw~S ?!y29g9BK(RLRKOr\ k9ȚZ<N%TYJJrOLx,I4(Eidk9e A1-F+#2RҮ昉צ r*63j]6oiD!u*nح$ xަT)P_0EUD`A!k F E.t2v@'e@Hzق&(0e"UCнX-$ R T w*0H/^S\c.8|N-Ϥ^#|!eI'/(х#kA=RF]-G &e5B ۆJWXTm %- {(JB >Ρ&DK.؅cHlx}P\ )x\0Ss'9b2Bwԝ%" $=iQ$q%Պ8iyhuàC* F!_>L,P k#"/ +y D-vu52ŋ+/VHL"/Q#sR_ 6&  )d g[Vw|> P .n !,^-fSa!sڔ3d= V[E,vp4sUu a=Ai}mJouagDmˮU(b$#x D#a/@:P =WGI΋Ɛ:٩L٧B2teݍ 5-2`>L!2ĩԛr ; &C|:i ]T-;.0;̀3kͣBm0XYK?ySń@;NUvf|9#hᥑ:$Yv]k!_=tLqQ\` L{sYDSsr:"]y^w{s CqYy h(ʵ(z@:qv9^vskMaÓΫEգ],(ne?.a!n;!`x2n-)kLSIwC߈ݸ)?%Mq?-|sS.#)>!fpxԃ4p=??껏\ npT]7ẞ2`\[M-#:\40xyw'D ,ĨG>|W%N$?Fs0=tj(":-Qn-_QaPQ&O7w߆iم^F",$Iʨ'l}:M`P1; 6 [v뵡"psHC%"/w55d)HJJl>o$lAt#GK &I~$^A˲ ϡj1`KWy88T bZ5.-9yuawj$K;r߷#fԋDfl~S {{|,;5ɜNՄ8 b^m8IK -tCU&Md,vHO .ig$ iK `l ɨ&h5vOz6`;wvxutN0[*z$MRG{̪$AtS7װ/wasj"V1Gum4{5 /krvPcG?L%5~fJZM1v>xdMGck:F?Tk<[D-. 6Qx~+_b+IM|b] pݝtF®Mڴ 1WG̗c>W{䗟@[wu-7aYc?Z1<;P j-8+'#(H[9n34[] &Vx̕`:j3Zkj2u:5vL n"\, P2YY%1O/(eNbd&X!%Rvy qv%VS[>,{>URǬPV*䬴cɥ^Tm]b+Yha1\xm|Sein`hoE C ÷x^*z&̷P4d!eR@T\N^䤯}D }HD}yXH`5>|+޾ݮzkNˍ`[o0DV0}:\[+q$[ 7w ; y怌4^~gBr5tr>?5i~s`8XTӕX,*W;[װxPڀxÅ{JP-QP/iO3b~ r8ȓyNB:) S٧;ԹwE+P endstream endobj 13092 0 obj << /Annots 13094 0 R /BleedBox [0 0 612 792] /Contents [13102 0 R 13098 0 R 13099 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30178 13100 0 R >> >> /Type /Page >> endobj 13093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13094 0 obj [13093 0 R 13095 0 R 13096 0 R 13097 0 R 13101 0 R] endobj 13095 0 obj << /A << /D (unique_664) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20211013063105-08'00') /Rect [104.1732 528.7346 160.6527 539.7346] /Subtype /Link /Type /Annot >> endobj 13096 0 obj << /A << /D (unique_677) /S /GoTo >> /Border [0 0 0] /Contents (ltrace) /M (D:20211013063105-08'00') /Rect [104.1732 512.5346 131.0572 523.5346] /Subtype /Link /Type /Annot >> endobj 13097 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 496.3346 165.4707 507.3346] /Subtype /Link /Type /Annot >> endobj 13098 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13099 0 obj << /Length 19 >> stream q /Iabc30178 Do Q endstream endobj 13100 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30179 20830 0 R /Gabc30180 20835 0 R >> /Font << /Fabc30181 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽? endstream endobj 13101 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1166) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13102 0 obj << /Filter /FlateDecode /Length 1868 >> stream xڭXYk\7~ׯs0x}K1ɭSʸlC6Z:ZKGh-_)gǜNQWC|Vӹjg2owֺ.A'cS ]? (Q&5yκЬ~A$e*?6vʸ6=|Gnˑœ ж). V!A( PVͺ! bWmCtXy'љ> *`dn9eL}T?T;&۩=k4%VRln4qX va*r_qYhj>#k΃ PN@:9 b6Um= +q@Y,vڻn}XX q%G K=fpjYh^10GV1Q7ݙ(#9 D @ssy| !>=>݋N 3ț=¡Q9@zE,p@fveB֏ i%J/>"-Go:U@Z^OL˷F.ˀAcHfr!px#D 1]WjPrU]aQ6>A#8OMvrNrUV99I?/ښ&2ڗtS4\m8}_O'9[q5לv>)7[:|x+~tf۳s\#f ^ O#%k@t[3-(2}9F N|-t\ - ]]oB7NaO54Y⤵Xlv7y+ޜpb-lD2zugaDb+a:r@TW0<|Ub[t5$ WIacM#Zo)TB"Fbmh8\i T5E] 1v B_t1`sOa7nZpb((nVLKtN MkwK>oWWIvMʹ7 ;]1c2Ϡ=ӠQϸ;%]qca2PL4Q!an)ؐ$ 2N!_TجN`^_jQwS#,kH~RM,)jw.!_ky'zJ/÷GbMSÿxU`ֶC 軨12H銺 MUFSEl4#"Um#UH֭ W%)A(#a Zȝ9މ}!Su .7V6 J̒ @m '*;6xփUL,2UH4ٞD‘O%Ѕb=.B-Y;E۔XI8H]iPN> a&F܄2YX9?я#2Xv;3id++/d(/x1T(~(DLH1hq&.D]rJ]z@:V0)α- ت^*iI]^Ii\7JO#|YU.om* ^K XbXH 5un S?.U+y`+ޘz0C :zL||q!a~CΘDGy υjMi}]ICMl@mɗt&dAP= M |WYY T* Lx;82gE,\/´_? 8t๸:OޛUDs Bw6VYk\- OIL[7~LΑ9!ь`Z;/v endstream endobj 13103 0 obj << /Annots 13105 0 R /BleedBox [0 0 612 792] /Contents [13112 0 R 13108 0 R 13109 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30197 13110 0 R >> >> /Type /Page >> endobj 13104 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13105 0 obj [13104 0 R 13106 0 R 13107 0 R 13111 0 R] endobj 13106 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 384.725 118.9685 395.725] /Subtype /Link /Type /Annot >> endobj 13107 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [124.2815 384.725 182.0095 395.725] /Subtype /Link /Type /Annot >> endobj 13108 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13109 0 obj << /Length 19 >> stream q /Iabc30197 Do Q endstream endobj 13110 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30198 20830 0 R /Gabc30199 20835 0 R >> /Font << /Fabc30200 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV9o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13112 0 obj << /Filter /FlateDecode /Length 4369 >> stream xڽx3<S`6򼼶|Zu[6M{=G(<3x1&[9Gyj{El|rug~NgHq@ 6'w1@b"_R`8@{zh&6s4-%=w0PYE@_x>+̜j)U[clHx < f+ern E=vOd|b<¯_@ѿ!~?6_c7)ΤIJD)p`((וzL|P[)UӠGȗ"6;!sŵrh5*{Ci_w9 u+S/bu]hy YFXవ 访ݱ5g̽ ЋYpRA ,*"X 7a &c ,: 5lS+,9JcTw>(><t YLECTs/Y!fHջj 4{&;! Y?p6&BA;DA>ȩgvmreA{# ]ܩ*+qܼ!qWF=#"5&oX:ߪԽ ^: OX0?XARa(K*UbHc}a~"?y*vu<EǴځ%k CO{uei]\zC*@5 };ŕ: :jN0ij'"nV ݩݩL-ܤZI-D-f f.4gq\ѕ6(;tej([ȝ);(лܕr@I EugD{:]gpW'W'W'WI U{55Ro3ġ>f>>RRک`F65P]V/mXj{Dԏ쾯?[\j/)OBu]zd_5c-5 R_׳}!۾YR 4RZO+j/E$\pfJKM|76ml%6m`qث';iXh(ѴZr7?sz-y,ylNĖԋ ̌ jX5hh$S>0_Ev836)K1{DHX193BAP^G8FyZsdFดܱ ]⤣ÔJޥ3؏XZvo>ZaI"YȃƋ='=j\%HĚt1܄D={Ixw"fFOؙyGߖН4K> ha-1913#_xs B+AФwL3bsV!PM2 ڜTus~\ł`qAurwU娍he}#HQB-43#^ۦHyuy5m]៧쥁O 㖦Y<ܭ+зm5@ͻ3(]>K9^W) q&ӱ~QdN+հW˶GiwS8;ON`:ا 'υ#' 1$릆8!5Irj%?9ɧ5yev 3a5ktZ-9*DxRKafs L焇Toa /mU7X~&L.5ca<,^~>;e'O g fhE#Vpzsu\ߌ |A^׿<2]3uWaO{i qsnGi#X.40P'Qe\=-X/ {;)ME)d)1y'53 `,BfqXro俌b}ǒOZ<'juwES<}Q`Awqe\30q- ܙEvO}VƔbtz:ww+kj\/Vg'Eɜ@:7F: El XW"h0res.*%bbLD0D T^~Hg![a:(+)5c{x*BTSi`֋}('rnH(>.Sq M,Hq.vqQd2ƫlwۭAQØ-n5g1Wu=˂#7q 4]8q(n8yJpgkJ1.LPS)͸w])Q܅-áYg өv7E>iKH3#{dagȸǗ'}!P#~YO;9#y\"*XkT\DQۢ=>at٩ݢzoZ?rEg.5D6gl|U׮е+W> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30216 13122 0 R >> >> /Type /Page >> endobj 13114 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13115 0 obj [13114 0 R 13116 0 R 13117 0 R 13118 0 R 13119 0 R 13123 0 R] endobj 13116 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 412.6384 146.4737 423.6384] /Subtype /Link /Type /Annot >> endobj 13117 0 obj << /A << /D (unique_543) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20211013063105-08'00') /Rect [104.1732 396.4384 160.7242 407.4384] /Subtype /Link /Type /Annot >> endobj 13118 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 380.2384 182.1687 391.2384] /Subtype /Link /Type /Annot >> endobj 13119 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 364.0384 179.3802 375.0384] /Subtype /Link /Type /Annot >> endobj 13120 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13121 0 obj << /Length 19 >> stream q /Iabc30216 Do Q endstream endobj 13122 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30217 20830 0 R /Gabc30218 20835 0 R >> /Font << /Fabc30219 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7H`*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ endstream endobj 13123 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1168) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13124 0 obj << /Filter /FlateDecode /Length 2510 >> stream xj%_灪}ǃH`|cdh][.U[jRU{DF7m_:ۘ1bq},ul FV^z6Gz"|O,(gX?jqGXNYBm<`2@9bD ">G %@y$Ƣt=*kJblYXL!3# ŘmӅwp2!AVA\*#9Z#Hq0H#8~^fBh^3,MBfP"#<{+$a,ڋhņ7SNVSDFgX ;=47e> ƽ3rT֢+0d";}*: p͏𜀁`@ *e/|oIBم76eQ`j}n6ι!))S0X0dWLbRT[ivahSǑ/1dmj6K3a w.6#&zN͞Iܥ/iFXM͔ؖt NZ̈́Dm&jDXl:Տ0t.z-uvQvƳ(9iuޯƩU-}no~]o-|}]׋ |*W ssGQi k۠PQՂW9J=%dk8. 3|J_GD=1s>\E>t!7{ e_Q187Ju QN,$,`!ܶl9NHbvOJmA m rRmO7,C~&(zٕGh#>H)>e)O3byQ`ʮX;O-d8N8̮|?Iv-kʹ+M2L~ ֥wJi.&{x⽪) #6мQ1$5Ԛ?=$ُ҉}mCS - KQ@@*n&GRnJFs%F ' [ M}9>yTΡn50x,5eaWXS1]sk9 KeL&Mn#Vl{fii޶[Cç]? #T(@Z'Ok==d)>' hBRydc8f~7'kyUuV)TgP ˼i{xǁG,*sz237:o~hZ.lbP)VXb{BWRr}%?o=e%՗X^]Q8tnq8sLS2"< =Lahh1D8כQ 8Z8,divCؑ}O%UZ.~8nYLd\"|w9]f:?6G N]P/:]U`B #Թjd*"3H)t@śfv]Xƭր+\PyU/`z֭2ޚ诐mbք9vԊPGn>rsn9|Fa^?<2ZgnBxQK>NHH[KnKE/z̠'pZ3,5i)=۩ nXC.e7Y/j+Q4DUJ[:Um%g-z_5}7*!^K$azL!$ъ?5eL BK#EߎLVbu;#5F1{@eo@׶"G6!İbxvqpzi.;RZ4~2S}[$d]48 T_6+@F*On}U*^rK3_ ʊ6v+Q{,xC[jaBEV>C3#z^]Kz_IC@W`ċ_ C,90Ns~@̟ O{XHX, w|GHTPA_$ X+uF. 'y.t:#8wU0T1Kflnډ(H<`?&Q]Mr+Od> ʁ)_QSk> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30235 13131 0 R >> >> /Type /Page >> endobj 13126 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13127 0 obj [13126 0 R 13128 0 R 13132 0 R] endobj 13128 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 243.225 118.9685 254.225] /Subtype /Link /Type /Annot >> endobj 13129 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13130 0 obj << /Length 19 >> stream q /Iabc30235 Do Q endstream endobj 13131 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30236 20830 0 R /Gabc30237 20835 0 R >> /Font << /Fabc30238 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c endstream endobj 13132 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1169) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13133 0 obj << /Filter /FlateDecode /Length 5198 >> stream x]_o8nק@pd} ER|%Q9cElkO.3FxNK䢱J]\{qh7պiva&/F[ʕ+W3]ݴ&.Az9_y[L{+u)kS~|.~y.FJ\WoRMa]v_נ?~FI43_ԭuYgiV> oW(<"]/ PP= t>雘|#)_(u Xۿp|o(;j ~Ad7k n.mZiX%03 .e|-0O _@?VYi#FxdzgC$Ҁ( =[jZ ֣,U:8w`dͤuio+n.<X?A v4jV`</Oyozxcv q_qƥyDZ/fx%Xy̠AS`NFPZ{psTh1D1R!3OfUB z: #u.fZHPՉL!~[&Zb,b8+T g}mDfOlշ^e n')<{L.ysѓ*᏷omeSi5NV4t\]OnTY)CX՗zm]\5&F0{FK}ˤ1C/;C݉=NN~RwCsNӝ8;yNwI/-U %he&.p٘v{H[L1\EH?nY'lG3ewjJڤD$Cbх[K B&h!\@2ld5z^k^w($Q쌈)Yp/C[1B {6}waI l2vԿLȓr=sq_VȨ+Cb>X:I]QF?:+>g29vsYc4vKڝv/5BۍD6i  I "XFŒfb0Y?tا|&$5!X>^|i]Co6cFuL}&5 JteMhKj]I ic;-Q]Gx;Kfb~CͪAܔQ=\֕y,f6ֶpDDzY7$^·1ZQhPM<ڭ )}8dwy! _5\Kʗ]q)rr -G~5 ۴[=~ʇ"SW B:ȒbDv`;y(Y<{ h)mؔ sol:< 5"u}~jEe6Aؤf KgMUsH+kHXL6CuEK(׊rּg<RA2*>r\BOm65.[{Y{҉/mr΃,qM5~)ϹڅU҉g^=[+5ychB8.NÙ]c`[_m]C __f1f;+HM=ß~7l}Mn``y]պ~`' Kqkz5}[(5p &u_%_~?xb#lv>".IT][tFA3BU9| _С.76 RyZW!a/t޻v|gjhrUj x5KIz}3gMo|4[|eD>>+/"-X)C(#}`O|cFq_R.aM~;fgx 8L^8(f\|q%Q[\2ZUs$ҖZ5 # g\+ bT餞SƂb@M DgP51UU-R(^#JRAB 1Ɗ{/8S`3rCl-Z*MrHV2vm B4D?0@%f0.Io][$X:K\WF=jGB iJ@)FBֳjMGVpK/UioT,Ld }d? r>1!@504~ri.M1rT)*14Y5M+X! D>)/c| YȧZ\v`N3c Cg{N%trlc:P= 8(/fϕ:\CFiby\d*^0U=g}fZ,+*8T9Ddpmr%*`t(cv@3,B1X M 7EFvcbPŶJXT0V \ 7]R^Yyh(38 0wb';=N .pr=\'䓀`\)f +wD.vʼn)P# 4+ZOa(V if@aTPg(Ff(6rCY$ ;6ŐAi@1"5>PX|\tQ*'zOPCқh_03|ŇA1M(oj Z{.gz"3-XFܢX637n%1N*Ff|[XbCaTkju[yK"a^.]Z{{T)|צ(X>nG<fzO3 LMlH*8DpٔCU9Ͳ9>0: UU2LrXԑ`C%>/]s40Ĉp0PX|Tu*>Vj}XUGՁϿhl|Y/X>n$`$'qiw4{4k$'.OǾ9 H%G{'"<ĉH%F*q4Rݵ'1Tx:'q&Rݵ'1TH%E*a"8T};@%M%>ݵ/P}r_ęĉ ĩJjԀH RiB$S^6z0$6 ɵy$68}Lq$͈"ql&1>> !M0Qg1$vPdOHQ׀.O!'?Ιi^ RN`_D, ;"Ӵ9,FM)(7;<L*kHSO/m|Nr3W(j|q.ؾ`jC‰#i%i34K8aZZd 4Y6:2dS Pvr_\Xu|h9%ARW'RgrxP\9*u|%@~:t|CB\0WPKi&FD{8f0,gr$hSu8"iOYrԓ_8}Qf<&3}b Pґd;xT<0V2]4jHc\ٵʩz'fk'7"srLf+sX(\gD O_I&o4 }xJ/<։72C Rn%p@[ggRae%Z|KDfvzҡ=siUuZW@btY)f@L! _ hAV__>A&?GAYV .4ጓⳗf_'o!p]yCK'1?*V AҜ1D2K*İ-bNg&3cnE3PJ(W_MS]=~Eȡ23{﵋w=ʁUS%vUΆAz37=2(:9A6gr>>Ff 5v椝aIaQOi 7Kl2Ab2YAiYAu~iˀ 4nVpχ@k?LCA*7 @cM5N*!b۔^ɀ2W݋噴=nL;CcŖsysNa^O0Z)ƻxW[& v/1M0F4}'k8 n* ͩh)rfR|roCE|iG' (Ks Ei6UwHA&D],0*8Ab&7'sh \Y\5T ?(z5HSMjm`1VlTV s[S9- V"}4=O5:5.!~dr@sTu&H\'>MqLHϙ1dct %N]O*st-"Q( iTЭ%d8ȩN璞U+DE,.lg)ȱ#JQt/;C%;lLKKt|m?JMnZ:0E$ VbP=yRԝ\ VTLfWg*5 >^hro:d`t25jEzz=ƅd*~m8siĈs=v1Fe^䳓l{x&3ةǘ^(P2M{|Fs؍q尴g>{U '@ 0‘MJg볯;k^*4s`x Iy~gg\_o:#]YIְy `>qJ\dTVXwyk):%xz3E}~?My#EyY|uT}CV3X>P4ZIb{*gst{y#Tt? #Y"Io endstream endobj 13134 0 obj << /Annots [13135 0 R 13139 0 R] /BleedBox [0 0 612 792] /Contents [13140 0 R 13136 0 R 13137 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30254 13138 0 R >> >> /Type /Page >> endobj 13135 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13136 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13137 0 obj << /Length 19 >> stream q /Iabc30254 Do Q endstream endobj 13138 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30255 20830 0 R /Gabc30256 20835 0 R >> /Font << /Fabc30257 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 13139 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1170) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13140 0 obj << /Filter /FlateDecode /Length 5225 >> stream xڭ<Ɏ#w~ETJS{g ]yʴ h8Xu>Q0 SV!! #5)RWÿ7܃.xO\"1z/}sTvyq4sjmWeN_M[)'@CvPu3(R4@tt#.aH面6i(] d^,.T>4HÆ]} Rh:X6%Z!T: ќIsD -A8pUt,Z> -E5)SPcFCmGjˤU{(ϯA?AWKXbZ&mTVQEBwP inxj^ $mγvRkE&'Yt]A4Z?T x)UiOk:mUŒh x:# Zy;{D)_^~d{l,`$UIrKGgPhQZBnۥa'2\|r-פ`Y7h f~-<`G݌''Qb;/:c!Y{1Kngev?id]zV#2M6iPMT!MU{J֪}H[{1CgNl9AZUj^:}H|_`q6m `z %yEH[b`?c5$:S|C&a<Hrs-I/G^QaĨQh}bf+((/p@ LWK?AQ09*Ϙ =9W\^)zXg):& I̵O?U$L U^`=94Fz5xK)We_3DMZX俖i ;u֬\<;[m%+UgʾE`ަҲ걼; }8z ZE.uoP4X=*p oO@ N.5xr⳿$fv׳zDF&Q?7FT<"{`僰gХ!{7C[Scݥk0rhpl=| zz`b..ׅ~ڦΥy2Wp/QQ)<]¥8Y NvQ9up6'5;`U0d˒ۇx ЛiMu/7.ϗP7-+ItL(Oig?g74-=2֖-Ъ+ C  S/*KhVK1F KzՋcrL#TB)@˹ѳeG(uLVUg?/&ԹyUX6Qv<鈗L5P!(c.Cf"Տ]_Ln D3J+93WF2G l2Iaz9e2Ʉ^d=&:=oŃnȉ ŀcԼ]|'*b'T?ùz[;(hEct!^AE fnݣXfsxn/rMi9ޞU|FQRk-a63SQ߼V\X! ƃBK{A؊nVŠ8a'=D%~=3'*ລw*YY?x*]]Ւ|*f{Yjdj .kP}9BB OLTgx ӁH(0K߻Ȓ?>VF∬A P{ l 3'ȆALV$62:m4 Q7bc҅9@NF0]OL񧧘_:K?> 2~Ko#~n2p/WQڝ>c8ZCZ#9<b|8}JDNqٖ=a 5|xŗ0z{$uIbRNb!̫ʴ:`1p݅m|xyehx=z~Ndt+r%^=`"͊@!y󮣐W1 ߮}߮ Hޮo_˵EZËBfX/pol7x/`q> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30273 13145 0 R >> >> /Type /Page >> endobj 13142 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13143 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13144 0 obj << /Length 19 >> stream q /Iabc30273 Do Q endstream endobj 13145 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30274 20830 0 R /Gabc30275 20835 0 R >> /Font << /Fabc30276 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}B endstream endobj 13146 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1171) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13147 0 obj << /Filter /FlateDecode /Length 5345 >> stream x<ˎ8rw~ (*j>=;^|Iʬ5ɖHI`#Hb NxI..,/`?C|[fYܿuq-FAۯgmbjb[9i}ԧ+#8պP ?} x='x:6"#vlORl9Ti&Swdn[ȫ FN2 kcfU*mv]}j>̀޺bWU*Zع9ܐ6k swFjaC#c3֑:M@;&5S"䴑jng%|},S$%IqXpɏ]H f, EPή`fRN`)pw5NaՙΉ$?1D^k4iCKa:|^PdM!TH0 ѥ?7vu6:AM׻4V(Yid!&Pl` Pր4H1`S%̆N@Q:0xnހ+DSE_/_& I,K:~G.e|q.X *`Pv̚N6g ||)-?H{~ʫ %- ݪ# )Pà;<| MPx"IO0WOH=~;54Z et[N(޵E}9ΒZi ԤGĕǷNȸ&X @z7PAHRQ;}H0&,G!'T wDQEaEB9 6:՞K"V n R Tۃ_a;4Ђ2n{kǑ=҃N = O6@pD<--D#(}W*W/{b{=E#n2m Rr]zJ#Z ;'B/Ay KWر&^ofC Is~60J !xx 9>uj )D|i/P!N(mfkt]wNqxpO@jA~CJl=GD`EhVpPr0)r5X-O5nݕ":~]:&qq#2VArUşvH y'2VzxcN!D.5|g+Oy~MN\Т)'l2bS3y:$PIqBWu4y !Y]ߘ1Yѹ4_WuܮJ5siσ:἞eˉCҧ%y e1Cu[%F0ļmj^kToH~U!([1$CdS-.@& @ rӐ|/׆X;'M((͗+T CySF}h. \fk-M8o޾^ ,0^{`+ ./pw.`Ku*R@sT cqFr,eX!oWG>|L:f *?6s0C-k;y0JWo=)HIN(z iT D-j1D|\?|Y=C9uB w9}Ce`@A++ &T/WTCZ-^xCLU Yt7|B9(Gvm^XZ x Y"'+31S}Ut/8<.vLa9XpDAX@$@0-Y9l+4/ @1G}W@gkP o7 ^bSʴPe_~ÃM8F,I/bez?ˎ/C@D3n$ʩ;H>lP坡uPk:GѐWerM w9gŗ՘8C]izԘ0=X$,j#|e@Q9{;V)Ser.|QxIjp%3HczjY 9n.WNc |18.ya93[4{Gm#^Vo0yУOY*"(7|",g8Cyi-L)"e=VlSK4{'q%=p: 7L4Gj*e25r&]+=s髉m0[bݹ7q2\;Z*.TsK<ڥ!z=UI,''j\x aTdǔ`W&LdC6쇐D:ͼG!Hõmitc4L4t!rķQ'bHe= Sbq xgl 1:y愊V[&x^;Z-Suk 6,2Sy&iVTf񬆂K̓V#)m&Ꞛak^·;0- qL-:lInDT$6iƾӬVl 6ک&Ǫ2Rq-H~o9}#Ӆ@C4Zo'HrQcMK/bI^,\fcփ5'+5.e~[s;P , ׊rcIs}ݱSϐm|9chJՇ}s7ܚvlfc{l+GgG[É)v5 w]Vk!w#eիpу=D-tQYO\DOBd~r0,CE*nw~cn˜ى=n9u͕֭~پr#g5E9ʇX4QmjVN3 -[(q_މ=p3nM zl1F@=fec$2E#lsQp!FL gC2 WV К{ֲ|f5o!QRo xo0a}7Cf2 )J7!\eH/&Vz2bDܟ]Y+K b5={$0qeћ8~sbZ@v#}{I-a~w7$͒uˁL971d9j_M3'0|;[j]HVygB;z3.?՗\ f6@ T@5$xCo 5!Q7Xw'7OnigG5#D?|C wk?'\Դaccllʊ_ %͠sod}2! @\׽%b;z/3X7K70zCcr'Kޚl.@po(>d'Ÿӻ5!P[0[ _@[!<&E' 7Ol={ CX$W#3:TA+h崑MZD-aGj[z]ݥ:c iMX G *0}[(} 9ԩ|&n:֎+75k]&֯4A6PMAMoWcr֣_sn3}.6(]#A}ɮ^NMpA)sa Di3( "=SIdۣA:Ӻ@\x k6tC[h 7,_}0A Yktalԛ ֟TlE4Kx3Ź&#է"n`h^ы!Y/ɽI:˓ !ynBDi\ig@x>QyPH;Ewa~$\DPl_BvlUL#q,{&_'HH[G #d> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30292 13162 0 R >> >> /Type /Page >> endobj 13149 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13150 0 obj [13149 0 R 13151 0 R 13152 0 R 13153 0 R 13154 0 R 13155 0 R 13156 0 R 13157 0 R 13158 0 R 13159 0 R 13163 0 R] endobj 13151 0 obj << /A << /D (unique_739) /S /GoTo >> /Border [0 0 0] /Contents (all_dsps) /M (D:20211013063105-08'00') /Rect [104.1732 395.6308 141.7327 406.6308] /Subtype /Link /Type /Annot >> endobj 13152 0 obj << /A << /D (unique_101) /S /GoTo >> /Border [0 0 0] /Contents (config_implementation) /M (D:20211013063105-08'00') /Rect [104.1732 379.4308 214.4152 390.4308] /Subtype /Link /Type /Annot >> endobj 13153 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [104.1732 363.2307 175.4312 374.2307] /Subtype /Link /Type /Annot >> endobj 13154 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 347.0307 178.0272 358.0307] /Subtype /Link /Type /Annot >> endobj 13155 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 330.8307 145.4837 341.8307] /Subtype /Link /Type /Annot >> endobj 13156 0 obj << /A << /D (unique_710) /S /GoTo >> /Border [0 0 0] /Contents (link_design) /M (D:20211013063105-08'00') /Rect [104.1732 314.6307 157.4132 325.6307] /Subtype /Link /Type /Annot >> endobj 13157 0 obj << /A << /D (unique_553) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 298.4307 186.6567 309.4307] /Subtype /Link /Type /Annot >> endobj 13158 0 obj << /A << /D (unique_596) /S /GoTo >> /Border [0 0 0] /Contents (report_config_implementation) /M (D:20211013063105-08'00') /Rect [104.1732 282.2307 249.4007 293.2307] /Subtype /Link /Type /Annot >> endobj 13159 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 266.0307 187.3167 277.0307] /Subtype /Link /Type /Annot >> endobj 13160 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13161 0 obj << /Length 19 >> stream q /Iabc30292 Do Q endstream endobj 13162 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30293 20830 0 R /Gabc30294 20835 0 R >> /Font << /Fabc30295 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 13163 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1172) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13164 0 obj << /Filter /FlateDecode /Length 3614 >> stream xZKo$@|?A4#ͱ؆1`⿟&{fd!"Y'YUYYewWN=RfU XI}蕵1'm FG'Ӎ1.ܪw|4&9cr2bJ;4;ϙɘogo@|͈YY;^ĤFL:.X'sU#4DGDS݈Fʱq~hR8 &J>fH$ d1m~ߘ{+5u[uf(7I´&{Mƶ ۂ9.hV < )2{ M]8Olfi6n軙$YkY]CJ!_`5mR_~F@9,콣;B}!Կ}zSG|c;cy=Ύ`j1;R湪]7]zc+l&32^#:1C{e,wL30( 1 #Lc߼#?oZ. Skte!xڊ ZMxK[NvlWRgdՠkcm$IMek! vv7GX6Ȇn%Ͼ7;`,mf4X`!GTotRteGvD̬8UN( F{.+i \Ic-˅zLmqf<<<&??su,oނ_(YJܵ8<4|P8(5K>Bҧ(Znd@\r#ڗw;e4S.kGōtr!NFm%}\ 7$DT }O=z)*ekA;46V hł`5Ш 7C̉͝%uƒjR̜K\z6 #7<[XuU_3*n4_U{ pdUJ*e!OP/Sʔ4`=G=k2tp\΅2H3'?^|kx͓9%fKP3P$Rd+,V8+9U! 9sQ[(L`eڦ7/0iOʮ+iɋ'wyͻOr ,]s eJ%픍g|PP^"|>@[2U:YpyCHr3ׅ[=]ʝa]tӇYyxzG-_(uݣ^h*9&1ҏw~bPf]N@|AvgjtGsQ q)>()uȥo`i,IvÃEk(:8s<>+ 2Y;8',A>e\FurhGe6k.zrfg;sQf}yUBmE\rNu!rav .HfZi哔z(KӳMoVػ} n͆kPl뇿Ls=r{I91/@V/^v1lӡU̗{JU%seG]J4_@: H`/]rU2i ض |xֺ \*+ٌe6 FrR?RRy'mZ7L%ءK b6F&\̘v]i[cNdBV?)̶8l6bS_JD~TlT?FGҷ//2Щ^ro_ 2% 0 TRT> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30311 13171 0 R >> >> /Type /Page >> endobj 13166 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13167 0 obj [13166 0 R 13168 0 R 13172 0 R] endobj 13168 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 412.825 118.9685 423.825] /Subtype /Link /Type /Annot >> endobj 13169 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13170 0 obj << /Length 19 >> stream q /Iabc30311 Do Q endstream endobj 13171 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30312 20830 0 R /Gabc30313 20835 0 R >> /Font << /Fabc30314 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13173 0 obj << /Filter /FlateDecode /Length 4656 >> stream xڵ<Ɏ丕w~B*R@"ܢʓ9{`Tm\jDz||;ߵ6Rgu :[2USǝ113r} )ӓuJ6^~~yU #D7MhV_o,Y>m)/a0,Һ%dVYN@g#\`bl>Ϋ.+ߐf?ΟU'tKƃ;cFx ~n@ Kͱ F["b_F{bbӲ׷jZXqؼlTk*k<ם*Į6Laq.8quPCQE:P_W7zNf-|z+yfz}s01P/n]!rc=겠8Ekn' Yz0~<@b:6]Zv.uE/n@W+qeaܩ|w\a%~6T?UQ &E&:w?NjT'FuҟNS'IN'HNP~ETNNT(ro)$Cl df2;R9u8J~T$s" ƙ &vSR״+Z5lECէKSP|];1'-5R_;yv~eh"^,BFٳEbyR6t)`\w]x)GY\4JˊU6RnBM (+Ю4/xtqe\p[@eϜ v!M B_K(AF\0b"z/bqX3!1m}jD|y'= WL!B^{t!d }u2(Ls2VH`\36@3,BvkڀP$cdBtX CkoV]FDz6)YF)=x(s$T, 1NbbP4B;]Wna|l+>q҇}NA]Uҕ)$"8( Cfꎝ ã붗)Cҡ}~15Vp%K$6F9Œb1V:Q*h3H9IE-zPqzj<#FG<갫ib%5F!q\`WzH'{Ys/,5Z2Vh6[= GaaQX5v =#BB--B">-1 AdcͲ#wzػB!5$U8SQDKf%I7 mX&olr(;V 8v}Ë(hEj=~l@(I)DOnIMv{01Ȓp͡},m` N皂`PE&g s]d n?=m?VPsvOǪs4l-}J )GAf7j }݋\ӔԅDX"ٹ# .GD+s qN  B0~ {&.v ʾXVצYmqڙ! Ʉo=rтгXW|3A YwhA`?Mwfq?9TEj1q3!&5.&y+ڐjU?8l|,磃s/ux P \hY.iذ˄nwWM6Zy!>MpElJ3턉4euٙDښ9DDS#/ux~wKE2ق&xG:/XUN/֣uk}5Gܽ+fX\& / mPnp]EeaҙR97M+< \`lfENXfbNE }HFl>he%n(ppRx`U~⽸gNlb/YLԫsl~!JoӍ1t_V9&ڳ Qosi<y6TD+nuVB|Z۲Xh-Z}BOY~O_?u%7a^¼.Ð*%~>ݵp0y^# \wG&fpugՏ|-d+ ebU:ԩsz;A1op{nϰr3Xyo3PEeu3zR2bpw{h=fGJsDt$&EVvvgJp⻵8c ")Ltw3}A 'N>V˛ŭ!f[RPжKZ_6 fO ϭLJuEX G= a#i6-}bbQ'QeX]by#Mt"lL"AMo9a(ap5=l$S8}AVedgX0q,. ^&Q1~\;§>*|mH[lX X&:E'M<}L#J52׿éYR(ȅ"|<ܠwAY<ğwɅ̳@ľI`S¹qMJ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30330 13184 0 R >> >> /Type /Page >> endobj 13175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13176 0 obj [13175 0 R 13177 0 R 13178 0 R 13179 0 R 13180 0 R 13181 0 R 13185 0 R] endobj 13177 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 298.0384 173.0772 309.0384] /Subtype /Link /Type /Annot >> endobj 13178 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (infer_diff_pairs) /M (D:20211013063105-08'00') /Rect [104.1732 281.8384 175.5742 292.8384] /Subtype /Link /Type /Annot >> endobj 13179 0 obj << /A << /D (unique_554) /S /GoTo >> /Border [0 0 0] /Contents (open_io_design) /M (D:20211013063105-08'00') /Rect [104.1732 265.6384 178.7257 276.6384] /Subtype /Link /Type /Annot >> endobj 13180 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 249.4384 165.4707 260.4384] /Subtype /Link /Type /Annot >> endobj 13181 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (write_csv) /M (D:20211013063105-08'00') /Rect [104.1732 233.2384 149.8397 244.2384] /Subtype /Link /Type /Annot >> endobj 13182 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13183 0 obj << /Length 19 >> stream q /Iabc30330 Do Q endstream endobj 13184 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30331 20830 0 R /Gabc30332 20835 0 R >> /Font << /Fabc30333 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ; endstream endobj 13185 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1174) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13186 0 obj << /Filter /FlateDecode /Length 3170 >> stream xڭي]_ }@QPG4iYcz ;/+TճA-wDFD]TMwFWm:_2Z}K]7UoZrT&C| "|TPo(LV/qEkm?0 @_ v , '#P:* / &cp7GUdN - P pt:wn/{I>y[yw^p. JD$mbZFpZ +mFĠ x,Z1Wm6gWU9hm"455j U!=CZ|F>/;2*e|.L/&Wq[;6MY/@v Dc3,~5 a nɉ h[g *K Ztt`Eb4:ceϛeݭQj)(2[Ts` Vy2\`Y y|7NkRliv"6/mނnYuYv'Ja+m%s;?ʘ3vmrn$_;(`}aoMZR4!(|g/'+6u)SJRrMZCbsݖ26dDz$;3! \: Ҩ :i&hX5l{ 躘x {'A1S zÃGf{VC1L7&5ϡ+˰*;iT>_%D⸅N{?|> `犙aq!~o\\棛:Q3q qpٔv=?xK ;҆ ɴ"sPꏤWvek +9M`ttwH a8{B*s+2_2Ur}`OşIB܎|M v?e>ì.ͺH7vJ͌H8/qIn 3\ІJr2Q~SG[Stӭ&*zrx$[.#2v쵖u?3fGڒQ'$(mӲV]k3KfUFk+v|ފ~)4Rw~ TK:*\U6UpaN 9_hނA" 5&=c' (,HfQO ԲQ5miz$8ԫh''{M^ӈuK}V kg(Wb-vz7x3mXYj^`lx_ ?!vx/::9`!vKJ%LV!.@ F#?`2χX2Nc؂PSFocOt;TJP@؄]}:`r$b A4KITutpr4#Ӗx,=>=:S .,KIΕ}>,֎@sm| b~Ԋ2l#dxyP`jp֋ kݒ-fv]{Zs4H}-:EdG͐-$ixXVDI4ӊRX:H:3ؿmʻ/Srf* +W` a,7a 59197,< Kr@M=j{IK; fmdX:H%[Tf> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30349 13193 0 R >> >> /Type /Page >> endobj 13188 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13189 0 obj [13188 0 R 13190 0 R 13194 0 R] endobj 13190 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 384.725 118.9685 395.725] /Subtype /Link /Type /Annot >> endobj 13191 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13192 0 obj << /Length 19 >> stream q /Iabc30349 Do Q endstream endobj 13193 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30350 20830 0 R /Gabc30351 20835 0 R >> /Font << /Fabc30352 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7H%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 13194 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1175) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13195 0 obj << /Filter /FlateDecode /Length 4809 >> stream xij]-%zw|`<|)Eu&le[ͱz\:M~~'Xwաy5UQ+Q$ P **%- ?|=Zd z'rvSb)'F9ޣhjVh&KSU0.tSC/S)Xg'o7պ8k@5 %]]Ozg{,s:CYġ>'b!CDo+587Z؀NF1@Of ֲl.2H%Vƀ24Ca³nqW۰SN:+RD[&PvO>1+:`]sid C Ssy5r˹aW-U햦|a߱;L?Hd DQf Yd"Fg vA!>х΃֝d^E>d>N;" BԒ j+4tGa20q8>syƧS+?6~C~W&UBc-gOAyACPOF(mF xrxGޜ`YbծMA^E!.4y__so5 +QJ{@CB˳=΃4FƆN3TTAYtƫ>ZǑ`a #m^ㆃ`W7S =BuVF8IAaSpCsF&#iR{(^|ͭ6jOa*]<lp ),6EΕ"[TP{4ϼWMTX^WKJϾkP[ҙ&&.(σ(m$9#ޓma3N?I%OZG,kM/:Uv7\"ظd1)MR^RI9s{d@d&{'bX0nBgX;G5862[-u@QӀvl6-N5!} Vå#w?d/X: ;[l59z㠸sRNNAwj8o >Iil7ja7jf;R 7nP 9;P٭o YF07t.D‡UIt s e9:Lj5I*(\ hQVE&mt +2Uo#)hvj@7[<:lze.B/f75.U5,>?5uʤhTҒ=^ 6>] Yz VMzaF83glNlA0#W;Pa75>8:D}d^bd>fG L 8]'44+9J-g O;VdU>r]ֲ?${];bĩC,ud%`|(RbSD׺lNMYt8cw frH6WX {N$?'e13v@I>/RFXTm@k,٪Aڴ7[EU *vM4,j d0(ރ-t Orl0 U4qhRhX\sɭ)7>_(s!F^.pBA&*Ұoȃ P/[) dfFKZ,+C9ةXGŰF 3ّ96+?6Svu2b#J;`/H&ȓL:è'x! 66\8{5Qzy'dq]P7GES=PT:B9`To0?Y")*.@Ǻ9qX5fnMNnd~a8!G4%1&c> ZӴ[OĨn❈މMr*Źg hǦHsA|[]p|֣̀MbV[g#tPz:mB]_Vvlwk|mn ]u>ny3ZKf7y Mm~cM qxX٤ OxD?l=pPAqP7.v0ڥ 3l~k:*tiL8U ,QY=Wm z0ENITUF悚]؄gˀN Dd!em O7}%>O w;X}_1;)m&HVonж\HQBnCZUnŃVqVoXc*r6F~&FNtP)cGbl=29KہTp8G+#[՟* +\#ȅ"] .Xx[, YM^'꘵fIy42|lܛM֟R\ER!1xm|Ked[?)tnK僢cót"3G1gy>MoJS \|N]ĞbXkaL1چ-n%h]x/瓮~ZSy$ >dS t~,>` +qo#`غ_%nq',jUWXsy~!ϟ^WɧN#f&V[`8G$QޭM;Y ۞>}/gqgv\Xjc|pI ,N'/μzص~U[?yR#O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30368 13202 0 R >> >> /Type /Page >> endobj 13197 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13198 0 obj [13197 0 R 13199 0 R 13203 0 R] endobj 13199 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 529.0039 152.6942 540.0039] /Subtype /Link /Type /Annot >> endobj 13200 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13201 0 obj << /Length 19 >> stream q /Iabc30368 Do Q endstream endobj 13202 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30369 20830 0 R /Gabc30370 20835 0 R >> /Font << /Fabc30371 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13204 0 obj << /Filter /FlateDecode /Length 1932 >> stream xڭXKo#W@sfH@n!lf.Udz^ɢ7^z{)QUա闯cnڠ5&vn ֞/^OkF?%dk.:xt%Z-uюgYjE{J'v=xrO:~H|;g<~ <- UZqAGERaod0E$";h%Z|4:BWthqppl5;Ġ{ŃpǹQPo><2T=(#ମDA<09N~03}ٜ|g^@3!ؾLt0T&!wu|C%4a|j_+OF)tyRŧmuN4k"T>07X& .C0DOL0W&%"kH^/HvxE3J ikÒ)\+Y* ZV |h[0X9D7] * `CdfʂxnV ՀHt.} O[>W0^FvQkOt cdA.zK%7lFAc1079L!}WClX-\,nc847 $7o(Y{~k{$0vpckOQ;wpQ9 [6[ -~2e [MGJw#OT2<(LRi[1U홪LU3S}'nJ$Z3Ӏ !^cmNf__8T~h>`l,Ǹ}|R p^3^ 6)ۋks05s{fy;bBe{cQ2;Q:m!7K E[;.FMr-!ԖFikIq g$Zb!l?X睆(/ =1 C |O=9PN_ endstream endobj 13205 0 obj << /Annots 13207 0 R /BleedBox [0 0 612 792] /Contents [13213 0 R 13209 0 R 13210 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30387 13211 0 R >> >> /Type /Page >> endobj 13206 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13207 0 obj [13206 0 R 13208 0 R 13212 0 R] endobj 13208 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 384.725 137.278 395.725] /Subtype /Link /Type /Annot >> endobj 13209 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13210 0 obj << /Length 19 >> stream q /Iabc30387 Do Q endstream endobj 13211 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30388 20830 0 R /Gabc30389 20835 0 R >> /Font << /Fabc30390 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n jЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?-  endstream endobj 13212 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1177) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13213 0 obj << /Filter /FlateDecode /Length 3957 >> stream xڽ[IWl൹7 ̢g79$E?qfHoU_E[VwZk1l1пG9hgcS5gxO[-UQwWKPZ[ܷ3ّX)¥B9c_GFC;9 3߿ ٿj,[ْ7YiMbymg˪cYV؜'k}dxwq`YvB!8}v19,x8C0">`# r -ٯ 4;(GV'",B ^'q;@^m _`vycv}a=Ҥux;k(74|-q8r,qzft李2CYN8O@:=woHU!i(.tR RmFo=F@b4(-'9LU>)JdG1/I<5eLٕ@.z`W5qānM# 0TxN%rb[bhIeE@_$9;JVׄuQIA#nVb`T>J^*5 %RӲq7X9s螆^YU7ހFq1gXԢg>xyvz7'#d[讎&OP$geQk?kh!=`6\OW8,Czؘ0][FvL] {%8z%gEYu!q3Wt%b3l,< . Z\*7`Pc/"7łJC/c GevQU+Sp,Sgqf9R{)0fa?>)pb3 yVxc]Ibx'X( p1>fsvn0ǀK o luu >/+Gy?oѵif76sYL`ѝw+r!5xd0m}Ɍg)Wft7m]YI]pxFxYг_B&Pu ֹp06C3,U( cd+}$kr^bng/M{ m{[GƜqsJ #8D]@#6# K|𩷂kt+a.,b |FX&]SUg~9<+-1O^+]# h |_ b]wEr+1ǞIKp8IQfO"52'a#N-/8ԓxyvUJ3%>z JC1a<|Y[ʹ wDSk<6w,{(#D5:g-ٺr!#ǔ_{Rz U6Qb9&#EՇʐi~PSnKՃqCY:N|q#4_ W5&ӊͱήj}YGqa7O/51ؾG,/>(pc4/G g>Mpz,u.W%z3]#"(`ԡG̸[;swGbx>oʻAz'Νt_ӂGۆG)-OUX$]w:h_?︬*mQf Q8^2I>ނ'n$uWL×=Q #%~7^or< endstream endobj 13214 0 obj << /Annots 13216 0 R /BleedBox [0 0 612 792] /Contents [13228 0 R 13224 0 R 13225 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30406 13226 0 R >> >> /Type /Page >> endobj 13215 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13216 0 obj [13215 0 R 13217 0 R 13218 0 R 13219 0 R 13220 0 R 13221 0 R 13222 0 R 13223 0 R 13227 0 R] endobj 13217 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 335.9462 174.7052 346.9462] /Subtype /Link /Type /Annot >> endobj 13218 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 319.7462 200.7917 330.7462] /Subtype /Link /Type /Annot >> endobj 13219 0 obj << /A << /D (unique_265) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 303.5461 199.2737 314.5461] /Subtype /Link /Type /Annot >> endobj 13220 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 287.3461 159.8332 298.3461] /Subtype /Link /Type /Annot >> endobj 13221 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [104.1732 271.1461 185.9197 282.1461] /Subtype /Link /Type /Annot >> endobj 13222 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 254.9461 155.9997 265.9461] /Subtype /Link /Type /Annot >> endobj 13223 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 238.7461 190.8202 249.7461] /Subtype /Link /Type /Annot >> endobj 13224 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13225 0 obj << /Length 19 >> stream q /Iabc30406 Do Q endstream endobj 13226 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30407 20830 0 R /Gabc30408 20835 0 R >> /Font << /Fabc30409 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13228 0 obj << /Filter /FlateDecode /Length 2960 >> stream xڭj$_JǾ@QP4[Üzc}bɬZʌȈi ӟ6gu X2Ouy{ Zeli1kkh x \np]?Z#psK9e5l1 .Ʒ~lץ&q 7qwR,ɲd˫FI; +ܳ;t4> 9b{HXC^Rv(4 Я0)s0_AcI!`'*|=a%\T# 4c8+=dJ"CE(x7@s|erѺ*1OT {?5j^ ׃ Kɦ&_;Q"$J+4GFc M!iIJt|fܳ0I'#KP0JDIi,"v'Q|\[^D){g}eCkz`:[Kb"p";0!R+ȷ"*#ئ3!( P,QJ@AuqYŖD ldNMM;| u30H֍[{i NE{醃Ԟp@xdsERse7Y zP{V?ƹbxϕ7B K2'Lٗ%\gƏ ։SEm'umtɮzlϹ3u@k]bvC]2qxs[ʢa h%Y tEKԥ3ʔQjY |XXjzկXխâZqW8BooNrŖ)e悊Y?YSjk> '%Z|qO]\o@*UMJFW Kkw{)( 9ł=9 :%X7WM, T ow>epMdEzIMP-|=\԰Ilqʓsپ Ku,[{4wS7?Z&W7 r:P`Y09rytDn1A/Sgɏ^l>ΦE0z!{.1(G%Fgh8{W%6S;ȻμcgzLy=C:ћ%GKY{[*SeS X-!%Nk)f)=>rs4,,H_)ͦ_ 6R?Ch-0u[jNMB;mnO~ -<Ԑ#)KXs_'<ͥPBlU{чX~_58wq# `QW(]^H/kW"M_1AmգlM3{;VdbiAP!dJ?SU|q{¡ƕflxy*3RO5d c=AFq`qgӂ kѢݛRtNMN$*-8wRda)"GLe}&+b!'О%R]cs!5%.Xu~֐3 ]PՄ5):$`0E=͔Wī-*3Ѯ^:S 티;=4 aBM 9W"gDc'(/R`j!|5D,aZ2Kq ,go(ˑ*=8E%d#n -EM ˊhג߉F^ KIGD2~g&fB߳37=NUvY.OflemaٳTT3,U͖e+nJ`G[$3i2ZmN2 XY<!2LP>^Ń*N( "o &(m:sѳӰmS"%L$)ߘn5dLTM?327' ͹5,Èiy&蹙Ha%vIMamPubӻ4Ԉ줟F)>VTIYEqqoCъա ~Cl/)_ѯ_'^V׾ AM@HԢrJm^_<5JK+WBKiUZo+i`2!Bqg<^z /xX~peyv̤w M Fskwx'TP#(̂Et#tUwC^]-(7J5r:w]U TQsjlfۙ01I{8ñoхD(O=CRs`W0z#"3]xJo> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30425 13235 0 R >> >> /Type /Page >> endobj 13230 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13231 0 obj [13230 0 R 13232 0 R 13236 0 R] endobj 13232 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 355.225 137.278 366.225] /Subtype /Link /Type /Annot >> endobj 13233 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13234 0 obj << /Length 19 >> stream q /Iabc30425 Do Q endstream endobj 13235 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30426 20830 0 R /Gabc30427 20835 0 R >> /Font << /Fabc30428 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 13236 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1179) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13237 0 obj << /Filter /FlateDecode /Length 4129 >> stream xڽ\Ko$W`|  hF+# M ^S/zzGdz},YmM[m/yu닮uVǠ*COǠO]}XrH;cb](.,w'>);֧~xZR{ju^j6n?/Fhu4XB/,i-,[욬gBi1ƬIu&V9`{G(<5C3?r9Oʮwtk4zb?k'u>dRXaIRQO㺮 ?QO(jJcI&8)Q %ϳUVw01M0>ڨFH@ITG8'`[qlXp A4iܔ"ds*btuIy glGgc'g|r1C/n@3zw6I5-䶃y@6ukDx/!קP Je I¤g%< Cu"mЄ}&IdkcO<<+ϯW!"quMy).Xij"UQ, 0'-甚 Эu,ADB1$sb pcaY-NV5uʰ.P&n(Uvb[P׈oC] h.jV(35U|gWGO/w^4=w9 уw{s.`41^fwwQNx-/W-Veb-0Q0XJ3P*f}Es5aIGVM'.MEמz9Y-pypuKKH}U;nwf߈gp+ lL qDt>RڠDk~eݒe_'Gua4L 6 ~r;z!l3hOx~E[U{Mc+aw M*Y(`m脛Hcq vp<aj/@, QkC B H.4q_s/L/$"#սxd"jqP`+XRšU]D35(Ǒh*q~+863`S TB眡:*3uꅨE 3 /PzG;dizY556\jΕ3 "4TՋi&֝YKÄseaXdKΒ*y#CSV@+wi Wͮ+pek_^yp'a[}=̷[3 iqge,6\TNl;7d.jl\L):' r2v~H9M(JJmi6lBڌuϪF>6 lQ0b@QC@J~; RM87jTpa΀JP"B]`}FuyK-U N(@۵9alS+jCU\}; Աn`pۃ`/ @,,*[ݠ3j8\JyT XZNr3^+;NJ,ݭ8m= N pr[87vNj8N^&'ձApR-IbGदpR=?N׾tNCE$2R1[3XKIi)'32?adȀ0hCz Cf_m܊8CivUn@J8ѽ1/FnI1f, ` PZwKKm͑ej jp]n®"mbܰ/4e4j!pT}$q M't1rmːsfk>o.(nW4/a}XXZF#JGIw`8t!pYyD'ɵOXiW-Cߧ nYC0Da~_¸rk,ssUF³D5/ޕxuy Ix|0GD!2qfaX8r[V7U%xl8Ll8*xqki+y}r mJw>?'IEgʔ 5o=g[Y 5LE i ˰.`J!'tfq)UTk<\nY0|# j Oqx\aݙ97zC m~J%tsJ.\s|$>1w0ϭzU- *4aHS(ag:8 | e.zrVNK\BGvRsRM5g9d i͂4dFC6 t0P`"2t?3=e? f|{daujy,cبW2HX9q.=Y]w~v7O|]:[lM \fJ\FOxX&[SSW9:+=7Pi\@!xh]D~A+퉩[O <$FJ2a#N-/( ҳXPE2bՒLfLo ɳa`s"%ERvnex Q*)m5scһx*BTCs֊m('rnXU?Q\eE;&RsG. Ҕo`}.giUρD_ԗ90LBφKdW Ѹկp#bG~p=¯^^>X}|?Ja#0r?XQ.hMA;Euˎ_cuOZnj峧(fg_7ww;eX>#Y>Az;Q=p}j/`A endstream endobj 13238 0 obj << /Annots 13240 0 R /BleedBox [0 0 612 792] /Contents [13254 0 R 13250 0 R 13251 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30444 13252 0 R >> >> /Type /Page >> endobj 13239 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13240 0 obj [13239 0 R 13241 0 R 13242 0 R 13243 0 R 13244 0 R 13245 0 R 13246 0 R 13247 0 R 13248 0 R 13249 0 R 13253 0 R] endobj 13241 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 359.1462 199.3617 370.1462] /Subtype /Link /Type /Annot >> endobj 13242 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 342.9461 195.1267 353.9461] /Subtype /Link /Type /Annot >> endobj 13243 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 326.7461 202.7937 337.7461] /Subtype /Link /Type /Annot >> endobj 13244 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 310.5461 189.4397 321.5461] /Subtype /Link /Type /Annot >> endobj 13245 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 294.3461 205.3787 305.3461] /Subtype /Link /Type /Annot >> endobj 13246 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 278.1461 185.6062 289.1461] /Subtype /Link /Type /Annot >> endobj 13247 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 261.9461 190.4132 272.9461] /Subtype /Link /Type /Annot >> endobj 13248 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 245.7461 207.3807 256.7461] /Subtype /Link /Type /Annot >> endobj 13249 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 229.546 194.3402 240.546] /Subtype /Link /Type /Annot >> endobj 13250 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13251 0 obj << /Length 19 >> stream q /Iabc30444 Do Q endstream endobj 13252 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30445 20830 0 R /Gabc30446 20835 0 R >> /Font << /Fabc30447 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 13253 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1180) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13254 0 obj << /Filter /FlateDecode /Length 2965 >> stream xZKo$ W@W~ m9{r23K*w{*UI$E~Hwmwxo=:],>2Yhkױ'x8McoFIOpc&o@0+yX_1A?fx-6A9d$ "3ė#4&3\탮2$EDoĄ|f }>=6?wp0!;yv R9BKL<w)2ċ֚A`h* M0/G2$Y{"yg)')b#VӳY'6zhc\Ͼ :ut~N,/fǿ%X[P6 a<($,D}*:`pO;0~Jl8RP/.{5|& dhĖFVq@۱l]raC^Rٓ+.+:&*1aȮ8|BRDX4Gv(%Lt{;pwYτ<1hIҥ/iFXM.w KfBlu!P/ƪMѷ nIײ\g24EAob^ռmۦ`}s_`$d|(gN=JKXr^;D2d%etKMnx0Bo]U,^#v ,A{UD 5CX%]I#Ak JlKZ "f&̀ >Tߨ;oF+)?_$fɦ>&NC+ub3s>tYU"]rBLVC]q .[iojW vu;7xٝG!4!y#gMag [rpd-\o+gйg =~;s+y)1\}(0lh-Lގ&6(M* Kt<wbc,*׽p{$wA~h%&@RIa6Ղjg9o9i6ƻL%%rNגuu)+K!#XS2jQ5i(emNKƏR@y#U(vj 6! wf ti_cڼz}VEC.H-9Ua!j;HQ};٨+rDFlD3v ֔gjhxR'UY]?l3HU'c1nFvrq+ FX@JK8 KHUk@[;,θduCRv%Oݾ <&T 3+_GMC# acAu5 히=Ҹxv8h5ȫmN1X8rT+^eh- gtc:Ԍ!cOdhFV!8eUm}^31D=q +" ;+'IQN8|^ ][0&b._XL-Ɠ?_3D1:'hiz^۵J4T9 J; αos0P ~$ ?GU׮ewIx!TV`:GNdff/2HPnDy'6N<Ѝ׋3.juWP6cUnĻG.EYF=xEP K k @jz_^~-t*% endstream endobj 13255 0 obj << /Annots 13257 0 R /BleedBox [0 0 612 792] /Contents [13263 0 R 13259 0 R 13260 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30463 13261 0 R >> >> /Type /Page >> endobj 13256 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13257 0 obj [13256 0 R 13258 0 R 13262 0 R] endobj 13258 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 355.225 137.278 366.225] /Subtype /Link /Type /Annot >> endobj 13259 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13260 0 obj << /Length 19 >> stream q /Iabc30463 Do Q endstream endobj 13261 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30464 20830 0 R /Gabc30465 20835 0 R >> /Font << /Fabc30466 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13263 0 obj << /Filter /FlateDecode /Length 4270 >> stream xڽ\Ko$W`| 4C:vHv/_==̮lFd7Ūf^W]묎AU}COOA;䐲ϯwx](.,w+;֧axZRk詽PQg]ϿO+ypEGkT͒bή_VN)4+,;,;u-kq]Ukpk6p?q9{Ee=-sY_v GR] Dmt7JnӳH!r^A>"~砰{(5~VV=@?лM*$XgAat5{E66.X"U(dn)>T`o)p8h|/mބY-Nze{ִ;Uu)gb9WٙVW C2 u0-Ph;+Fo0,6`zEp1[iXۻu-\衍&^@=Nvku˕WlYB4S@]!|Q*|辜칔znS1ѵ ꑑKqTj94+$6 #~9dy^=6:)>njoŽ"w3_g2ފ 1B4< A̕a-K\[4|MAfZ^DGKXTj6X+[J{b36GB3۾.g *2pS:}h{oܐDqR"9s{d`OR[G PwFtֺ sbe(1 QC@Jv; RM8w7jTra΀JP"B]`}FuyeXpz3Aq.2.;rvqYa2X , WX=X2, 9" b0cNU# X=OA+Û  w7+qeas jZ%tdd:? %6͘p,ݦSuNl y4ȦqG, xei`[qχh_ 7 '(ACmSHԉۜQ5(OxDS)OH0.2c R`˱F3ĈX5{@$Zk]K#R\5#zM 1="OTGt}+ZS9 '_iKq6a^4.`I~lx %[F#Uqk9@[3 U5d6B7TCLKz9Ǎ*4}Q=h}3L/ucXC,CLUD;{k^5Û_uͧ[j`4j6 Ȭ~9Ot1}7ao>Xp(DJ7/r+%6tNto#؁M`9LiPf37N8 ڴ58"mjj[Sa̶2=r+%c]b} Sirk'Eܿ&- SjL&6³8_x @Xt0g,u[Bfs' ,Y\A?luxbNu]w:r^A+ԫ\x$o6tcKjo`<]KmG xvFW-p)N=A#btMֿ͡YiN* +]# h 9WoܖP ,aV.pGu6/g"%ERvne#Z]*)i5u/"UR[WN*$Hs/Y.CqM@|~-E.4HqQv2m7ۥAы1Y/|l|~,rȻ\W`:GFQ*hM!"`t]%! #?[eUj95BA2t̉- v==6s} čpW{p=ˡ+k?Џp~̃-_b endstream endobj 13264 0 obj << /Annots 13266 0 R /BleedBox [0 0 612 792] /Contents [13280 0 R 13276 0 R 13277 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30482 13278 0 R >> >> /Type /Page >> endobj 13265 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063025-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13266 0 obj [13265 0 R 13267 0 R 13268 0 R 13269 0 R 13270 0 R 13271 0 R 13272 0 R 13273 0 R 13274 0 R 13275 0 R 13279 0 R] endobj 13267 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 388.3385 208.8492 399.3385] /Subtype /Link /Type /Annot >> endobj 13268 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 372.1385 195.1267 383.1385] /Subtype /Link /Type /Annot >> endobj 13269 0 obj << /A << /D (unique_266) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 355.9384 202.7937 366.9384] /Subtype /Link /Type /Annot >> endobj 13270 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 339.7384 198.9272 350.7384] /Subtype /Link /Type /Annot >> endobj 13271 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 323.5384 214.8662 334.5384] /Subtype /Link /Type /Annot >> endobj 13272 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 307.3384 195.0937 318.3384] /Subtype /Link /Type /Annot >> endobj 13273 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 291.1384 199.9007 302.1384] /Subtype /Link /Type /Annot >> endobj 13274 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 274.9384 216.8682 285.9384] /Subtype /Link /Type /Annot >> endobj 13275 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 258.7384 203.8277 269.7384] /Subtype /Link /Type /Annot >> endobj 13276 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13277 0 obj << /Length 19 >> stream q /Iabc30482 Do Q endstream endobj 13278 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30483 20830 0 R /Gabc30484 20835 0 R >> /Font << /Fabc30485 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vKχv͇׳Sp<I e!swTyѕ/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐى/P|}{Y#rڻ3^J$~uc.@Bl(Y|z'&DSsA̪xJ9ߵn6ZNoK5>%CO4i.dKRG"+5JVVK2 ef[X]M` //d"We<<9C9j9? ) ;d#9/_1s2*Lj$x2X.S(RKvgP(y ?`ߎЫm߽?$H endstream endobj 13279 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1182) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13280 0 obj << /Filter /FlateDecode /Length 2766 >> stream xڵnίyR/@@-u` `NNz2qr36dO_~x/Y. ~]_F?[}/Gcl}6Cz"((gX?jq? Ho  #Pz^(XpʚZ@8bL|>(0 _*dB0v2w^p JD$};Ĕwh NE{̀CR4AY  Y / bi>J>ذIkЈ5kCz"a#6ƽ|v^vdTo>\^5͎!%vl-YA aI&ѧbg xS¹ AE_3I%F#:ʰ#MôF):%X="Pm삉øBhPVV}#:ڔrd l%Y t{w6˦A|~fueux||&dj~3]>.hlS!jV:P@ԋEͦ|JBoܭh,6Dل4ՙk{W5yEy}l +x^dNƧn~݃Z%}Z8 F( 8Hh8XPmY]|Ϟs74A#Bl`S@An$t5oeU(^~u,RyZ .q$=Mq m KzjÔlYjCF}Tzp);C9^n2@3?;;Aqqur ts䴜Z(%lyq!Iw tWTcJܯ]CCoz#gQ"}3VRV[+*c1MTp_aEHsy 㔹6Fɤ%4[J)-˃Y?.@g3FwM kvκ]%RP}-ɛL}8 Yz11x=ȃZܶ@. J ކ(֥eq3 kt@Q2d A>^4ekf{刎Șɚp!XUm,P;[F K* w2*ۈ:O>bAEǕ&pF.)+g>gR ry6i eÁ@-RwA]|ksqA_/?yj9"{F.2U;Mcg#1T#q_?zc sKSE"1b M""i =5 Z8AG8.# i|tBA8p=M|:P:Ή7`cԚ37@GR ’EQ#=@&˂P&s^hx+oJ|´AW\8DsGGy'Ti!bQzaL%Ȩ$2Tm߃>ش8n Gnt:zu*De;S+ENxސ%>RnP`0-8xZs HpV2#n -EM ˊhג?F^ -G,95 GPX3VY]Om3HUG'* '3 z6Rڲ0YZ[X-u[ WiIW i2Z6gy'uD` |@@,XxDхLP>^Ń*N( "o &(m{zm&;RX]5~RSm[8`. %5"x%;駑 wJO#|VU$*nQ6WYk+sW Fi{{>Y]\T {X!Q' Mf> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30501 13288 0 R >> >> /Type /Page >> endobj 13282 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13283 0 obj [13282 0 R 13284 0 R 13285 0 R 13289 0 R] endobj 13284 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 384.725 118.9685 395.725] /Subtype /Link /Type /Annot >> endobj 13285 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [124.2815 384.725 157.4795 395.725] /Subtype /Link /Type /Annot >> endobj 13286 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13287 0 obj << /Length 19 >> stream q /Iabc30501 Do Q endstream endobj 13288 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30502 20830 0 R /Gabc30503 20835 0 R >> /Font << /Fabc30504 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13290 0 obj << /Filter /FlateDecode /Length 5529 >> stream xڽB^㄄ ]NXcjx *3U5p)sAmm(_{b|/ G"|Xuevӷ2q$C,0 >{ Թ\.*:nit*'jCC$))SYi3Vj"AI+.R7D1Q"+Gvbޢp[\nbj`C|X2$_d ed msk+ˎD!7BZlFL'y^L +{[-Mo|;&7|C="@Dy>?!Ȫkvlt̻WlO ;R)EfU&St+;+_dX(Z0]) 05B?\톥S?3}r3&fz_抳9'_O^+K wN&p<{nj.v@ uۺC#.ԋ~ߠ_} #QdH_v$Xb~+XRũU}C9! G u4@puĽ¸5-Fb1 Iil6ja6jf=R ;rY-sP {U[@=d\Е(ueh9)))U_q ꤄"ߝ:#u[u=M.3ݪݪ` #ꤺ*-[51R#Į>z>z>cܨ֠F65PMώ_\=u 8Ÿḱo{ٽ?[r?9\j㧔U& 2gG lD_?Az}c@ib]5F(je{(1ޗz-~_[܄; ?JC+|X`NAQ̡Vc{ER>0s3XA6!:n9p_̩՘?{A1(C6Pf2M۵L>nL~,ޯ9:\RBwC D=LF2f6dO^!I1'eϊpqA8o.#7fKfꚖN[F]s.*!u8 d/*&FaLCv 2I|,qkҴeJUy]  ~TUKYga^/aŚHwW5N;'ϣ<޿V,,)0у0J( W"g Rآ: E.0C* MPR)a 8)BZ5ԀgQ[u5@Y ŀM0:~ yE`漁ŋyJcWЩ~qOOIFFB˒N_Q k_Kւ{ʚ.5G'e5K BA,6dVZ. P=mfX,hQ+V oD!TjTa!jD^)\BBn_;W4SFA%p(޵6~爯^GY RX| [xQ$q% q+huCC* F!&_v=:m)zkB5pGE^V$nŮN RxZy C0) 8]ԷK;rhz O@8Zx8wGzI!RgZ =ԆtLLăyӱnth[2lBTFMi=ː<쓝2^E'ɢD@H{re$>0Q&wLF9k M]Ӓ150 bO"̆1u;ʼwCqۨȕ`T2fFٍikqZoʹ6D֐}u2rP,:?)Z@WgZ5wHykisspK1zX㯆meT[WۖU|ϭTɵ,qieJ]Ze``r]В|.=$H=AZIlkұBAB186H ޣnJ}ZSܥ$5RnlWA,zA;ޝNs';jKZo Mi*ϲ$nN?nmLxVP|5z0oefK?acx%Ĭb^=1_43y{m ԿP D +vюq:ZN#uui[R}Àx !NޔK yP<ތTVXF93ƫv$,\RL5l0mXxb5Q msd8͐7sm0|;{G;Aص46e-6kft=,haYՀ .é[6 s*"Ёv Wصt88چ˘=͘'Vz]]:c[rQ1L(ԁ~pPq<׉DJaoLVx`|MdxQ@19k[̠ f \, P2Gr $@)si 00ҟ"Y+©ZyٗBQ0~z+dƚqsI Gp(un[>>YRbjF*M(0_@o]W#kj\S3&H:U:UZ^)py|#ԚrXnO'J'CIeT!Z4$O%/|LjMJib8n'IOg7@g_w<2}ރ}={IӇ@` wB +) endstream endobj 13291 0 obj << /Annots 13293 0 R /BleedBox [0 0 612 792] /Contents [13300 0 R 13296 0 R 13297 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30520 13298 0 R >> >> /Type /Page >> endobj 13292 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13293 0 obj [13292 0 R 13294 0 R 13295 0 R 13299 0 R] endobj 13294 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 346.2423 146.4737 357.2423] /Subtype /Link /Type /Annot >> endobj 13295 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 330.0423 150.0652 341.0423] /Subtype /Link /Type /Annot >> endobj 13296 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13297 0 obj << /Length 19 >> stream q /Iabc30520 Do Q endstream endobj 13298 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30521 20830 0 R /Gabc30522 20835 0 R >> /Font << /Fabc30523 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HPfthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 13299 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1184) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13300 0 obj << /Filter /FlateDecode /Length 2929 >> stream xڭɊ_ɱ/$R9[9ոǘjCe~oUj7JPPʯRK b6勬sZz')EgZ} RVj_oSNRw W+V`&C^.l`|=6[vټ`a3nJ#Ne,Q*Q{uF}> h2TOJ\WqU.:Xk:1J@0aa`&.> 0_DecX@pF-GAJ+P 7ܭ<_2(ҡWBĂ?`Ob K5ht5a|.)J$+$u8IrH-*N40h2pIH,KsG= |;XH +UL$bM };ly fQ4>dSX=Qo/%naxBb3ȷET0 aJ0$VD j-kl(̩ 5Oafr<# &uSMQZF'ᢽjtAbՊp@xdsxRᱛLzùq6]]^ > _]2rQz}N [Ԙ})Qu `| 87'Njd)Ӹ]Yss@Mb4]M2xu[Ba d G Uia:dwK"~Z%B YpiȨI~VlE_Cf ̱ZobbہzUZ4Шp^ WfaiiHpb֎!qVv$7Uo s]mU>qO]K+SbUKt%8TO!G`1ɮPIZLcVoBta mQNL :XZGTh!Iȱ )\81\OM1AC7byu~*`7{%`Hf.QV+Ge(.pu,jV |@1Vz?xQ+P2Tz$ҍ,ΩU 3ZgnP< G3 ə1X(;b]];r=6X upO`|@ӇZLdkjCV75`:R;vjHGp{0Aa54T5qM8ȕFR`b*Y=hb?FXN7ݱ5Eq0ַAiBo+03Bo8 myS 1/!xXZ3`<'R8~ JoÙ{SM`QJhXD7g˽`0֭ G6h{:'Tƞu(lS{G\݇1ڭ7Ko{x? ~Jbb(5MH2MZ-3[imw6H όl-&z;K*Xq!n^C0ֱ zCrrLpڏx~Ckn1j=ݩ{COJf"ŞA>AGd{k&ۇ:e1 TZcKSlhӳ Zv^-4nţvA~p~kiU^MNǽUB{ fdlnty0jP26vG@yOcexިvOb{KA|3J@}JceEk}  ޣW>m`C+өc0ͦS`6).+{ˆ͘1b.@ dΨj=Ɍ2?W.J:'"iD/XF5{h4-Xm' ]^),|,2mH_l2FU+,WTL(\E5C>*j",/ Wvԙڼ懰]*0׍Vׂ-^2b~R0FZ6P)k)ۈ>,'Q(}9˰׮0lfDz\_̑Wn#ĥ{8 pdG-fu-E D4kD#&'pytD+WYD/L0̠U@)m)>~3\edFAFJ[f;u̞RϰT1Zꖷ4Ӻ"*ɓ4/] h) ?,uNNo"*(fIGgm# <>UM+G*ᐕJŸ~P]zMAK/ص!j=~A͠>2cJZDc;s*N皝jҜ G?S*kaso;##|ec,z[ICe,@siu2牎W'"|ßd\<ff~e}s.8n2:ր@7bkOB:Rw)!;;ה7A AM.rQsjf ab=o*s|5sah(Oc0z#E'XUƃuy堣' endstream endobj 13301 0 obj << /Annots [13302 0 R 13306 0 R] /BleedBox [0 0 612 792] /Contents [13307 0 R 13303 0 R 13304 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30539 13305 0 R >> >> /Type /Page >> endobj 13302 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13303 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13304 0 obj << /Length 19 >> stream q /Iabc30539 Do Q endstream endobj 13305 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30540 20830 0 R /Gabc30541 20835 0 R >> /Font << /Fabc30542 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13307 0 obj << /Filter /FlateDecode /Length 4952 >> stream x]K6W<@j%Q@e= 3 a^ۋE /%)CT0_) ?nT'4ɭDx7\IQm:gzO/BXOJҕIW^zbD{wNΉų.8\Hzɿ}e}'?&_H5+_ %:vKEeEmqv윰JU\@@x5VI@c#>JHK'Kz&"p/ci}Q"@ R??1>tP!u}P.4*G.F@+Mr}D{!_W^q4:cdV5d=| )GYOQ*F{:K @ PM>b$ u&.(‹ 4sebhSN1ŠCW@e8LLv ]O-:Ҫ ]iD¢̈́dk%s"[t̮wN3ަ>h&LIvdzLB1O!~*~kOq{`fT?X?.c?j!w|1EP&~E9/{FH8WFN\6%'}Ie)mnH9=NJþ2\aK@ʀ W|$:FЎLBtUvG> zE~X3Q!WT]EHIFd"IF\Ry~_JC:hWПHƲ~)(<{|wL|rE药/}@fNv쳄vZ&.CXhboM7&E^\>W\\-yt)Iꮹeȸ1 e6.t=M:"#y'&ö$ZОuҶP%lB\gI~F@#gA,zz]O시rE/}[mo}.S?!ڝ?b0JA$?cW011[B: ق&l~k^.MmhgRm@UeZwVwJk+ -՞JhO l!YM  nNL {}yiΑm3mCm 2Z@{ny\:lCU VcՖOo~[ .zihIZķm<ᛆd/i`Zpd [a83)[+XP-AiyٍߪuM߾:kܿAi>+,`{QH$-Ƿhi>!ĠK!Ӫ-7m?WPy=i"5Kf\aqr:\`5YhfmoGC4>Ezy\,!+"_0^{o,+g /b*!Ad ? Y0 'v5.~OA'ٍi,Ռ&2rSdI0G*ZWmyXL_Ձht~ V9Ȉϥ@ Te"ҧf9t ><^BDz'L!X;Q+#nR),ZթԥrBzɚ1U8M'{MM,G)G%_Bُ_H)k뱚}KR:,R7L%퓒]П Wx-:/|{QA2¼DV9ԥJF\,3kCEpBfg=En Lc4kh@bqDM .yFlb)Йh,D@g:?f.) J PCSW*4U,Q9XnN B 1&,}uz;w ,' T VpzΛY٦*>Ux坦XO`'@ #,L )`< X,"P($lfK8&7ef3VL UR2Pq.Hيt Nf 'CIp7pLn_ (6(~/ @v@)Nb=;MI5QPj+؊wA) c *R-btv*L!T)Jh#KH bqVQTp3 9T5 mTTi6kV@( *g!hؓ]>R0%A^ElO5g U.߂B1Ś͘b}k0.0Ssá1Ŗc]`oT okk~LYL6nL-P[P[P[P-[P[P*TVCTlQC5gB*`5PzA *vo:6PA̓m5ACvbՂ9 b 9T+(c@Մ6j@mP]@1 x5Pk,1~̢E_1~L+fnFL4ZP-8F?яiKΦ8)icb4ŒF::&*K9&*cb͘y>D1QqLTU%69x阨8&*K:J,u*j:\Ьn"> ck/W)x9iAJ3@?[/$-\"Mғ#rXS]+߄pa.;3tUEE1Y`|xH|$Q,U ./ua&};^vgva3wZ}u7b-v8:!åƃH(״'x"y`kO;GQyힸ6p5x:__~+}>?Brʏ_(4>VSw=`DqDV-7Y Y/?K/~j5}O_kRE-9_Nį|tZ{EN C9Aw9˧{0=y6*|(rU&V?6_Ҡ3 #/dIb? K6?`:z_H/D|p9d> endstream endobj 13308 0 obj << /Annots 13310 0 R /BleedBox [0 0 612 792] /Contents [13316 0 R 13312 0 R 13313 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30558 13314 0 R >> >> /Type /Page >> endobj 13309 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13310 0 obj [13309 0 R 13311 0 R 13315 0 R] endobj 13311 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 610.325 114.9865 621.325] /Subtype /Link /Type /Annot >> endobj 13312 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13313 0 obj << /Length 19 >> stream q /Iabc30558 Do Q endstream endobj 13314 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30559 20830 0 R /Gabc30560 20835 0 R >> /Font << /Fabc30561 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Hӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13316 0 obj << /Filter /FlateDecode /Length 4378 >> stream x<Ɏ%w~E < 4Ӏz,Fe~߱p|KU=*L2dnmzS}uznKj pPT F'ͮվRX'îQ݃nw(x"EUx _HæA)rN)߾| a<먗KYs^F3G\aXW X X0QW\hZmiBJߒLM`6@g ' ρt;,^zO>9<˨G2mlAB!qhȪrx*=Q=wBLUX`WkBa*}<. Ϗ b;py#&SH1]32_`:0/g&B=3^|\ͅ;' ya^Y i0ݯbcCsxmr=z. k4?+lߡS.n?p|- xQxGU_`i"m AN#.4qߠR_u[M~#ҽE$d-4kqK8UP!+$񬡎&('θWh+}c$63\0R%\ZJQ2R^ǩT4EF /܀PȐHXlJC4H}ڸԚV_kz3 R`J+s:n 0.8nLQx\yj PlIW{!TW͢#*piؗδRl<"~l]~ilCI%OZ!u.sM/*Ev;7͔j&)PmRќ꽕IŦ+V#̦P6vֺ7k稛fǺjՂQB4C%M J>R΅;V2MΗT wPW01;amq5nŕ:T]V..O}\7ڰ{ihkОTC[iu({!ui/b:c֩ Mw@Tߺ2ya! Pؗ!/D1X;9l Q]I֮륙ϞN-D?gv^`ߨcN?j1K6BqZTM&{XRdSpVIᧆԎEo$Y2"7 # 'g@s6+ʄ:)d)aǙA$sh*TW8C/JcO|  ^%S=Vz|+ZgZǞSLVAX{Dݫ%CD]oj7z,`ةIs-Pybɴ(Jz y`}j^f<'q$Ui*;,G=˅R9~ K1x- M!W3i|HQf!WlVs >ʉ;C>SmvRmop^t`v)>Qh]!¨P=\7+>%W#BwG4hO\ѤO}- DTj*/oI u|}tr,MC[D`W֝ľe'<&NŻn L2)?hJ|/ꂈ]=[Ε8vzn z=&kB 'oh۸gO~h0 Y.Z=wɵ,0IY=p/u\]ps?#wknNAf;'!owThؼ37'DKR^Pj4膂;S;8r]2.]2|LfZM[_MŴk17W1G[u%2PnufcO;}>^sNR`E~K:Y?B<7rv06. 34꺡i>"߅u' 5'SkZ+\QH~+m2MVSaܝL)*.)=ZASێc2&x&x:wLIO9`27-J/rT:q ZhO{+Z \Gx1bv7# y"}ynU3Y拰@=U a:/T)xAc(rђ(r='q/ަA6ic *&C_ a,,mOi&x)j?uqҕ9taOR(f v+ϐwNDz]8-P5bQqeX1~. r<-Am7O|TآVcO8KRMo|suRg0tpU@KX䑏-yy4u-s^ԞGC©W}ܥl K@@YVS[>\z"Qb(uZ eJE9MJdc/6X^b*Y&ߘ\xk|+#yѧ"v`he僠>Y8.|+}D?can‹JÉ0P5%fqDs9f0± J: y怌yR{ g g>ï^|oxW&js@ -NA!/5O_yRYbK;p %@f-񹰃gl./_xߒߙLG;чN'۷da#>_@葌@Sk(3 Kj?+W endstream endobj 13317 0 obj << /Annots 13319 0 R /BleedBox [0 0 612 792] /Contents [13325 0 R 13321 0 R 13322 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30577 13323 0 R >> >> /Type /Page >> endobj 13318 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13319 0 obj [13318 0 R 13320 0 R 13324 0 R] endobj 13320 0 obj << /A << /D (unique_46) /S /GoTo >> /Border [0 0 0] /Contents (iphys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 77.4655 186.9152 88.4655] /Subtype /Link /Type /Annot >> endobj 13321 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13322 0 obj << /Length 19 >> stream q /Iabc30577 Do Q endstream endobj 13323 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30578 20830 0 R /Gabc30579 20835 0 R >> /Font << /Fabc30580 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nC;fũc8TRQ e!Wm EI&7]NhAb2Y@QʘJ9N2&4'*\)ɜf϶fUf^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|5ʄivP(y Ph'-:A/}3 endstream endobj 13324 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1187) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13325 0 obj << /Filter /FlateDecode /Length 4011 >> stream xˎ# m@n C$A`粿^U;H2-DQ|Rۤ& w~J.I*_?\gr6Xӗ?e'foatK&}pp]r,c.a;xuҾ!sdp~492@\p ~7e$-kf\ =аiB]<6Z1\5ib12e뗵{ c?b`xtFxg˝K^2 W%3QAdDʼW ՈN$/ azɺT139*R&ohfOcyKa:`<`,գ¾ rCDbRaAIxDu15]Z <aʒda b3;DBM];5P1@nAqVh_6¢ ]%qC!t6#^hRA$Rf+Jm!b%P|.Ȁ.Y @ØpNP"-ꀅ۸ao֦QuHgBK'-fä߿`2 |+/~ZҿSc빜ӝW񣄜e :TOӛli^I3$R cj!x ,0x&V^O Ȋ܊VʡP矃JYsĦ3U;splU*rMnYliWF7["ŽAto)AasEEBHYιFg4uywk\0qlQ?7/| D܏ٞ3-ڥ)}:fkTJQv:eAI"C!ՕdWYb1![Za+:w<8;Hvſf5 X(Ѵu>'3|D>!xT)Ty Wnτ Hft| L\}JӧR' ZjF9!S80ɮ1jV^\10Pe5@s3k.h<|rF%r Vm>^)gM2ƳE]ǣiQ^u:(#3w/ģLr+@ ͫqMЋ@4Xm,7ⲇnA1@;g}vJ-$~W\9*zǴq#nܬ6J&2veF{WqO+G5}"$ vۀʠm9ڬ-Z+,:T򳖛hӪr[՛xG,6Ǘ2:Eq ps#D©f%" dsSg3Z+*H?pH {W\88d]y@o .ٛZcY [̤'1@(UXn݌bO-eVIJh7&xiUҷn> lG)3:J2% O9!i4FXWЛ;+0L%0&ʔظ%, fTGNnXӯeɦ;q#Ǽ^jxEQ/hz9.E~inMWӫ.n/a{@U`>x@:<,P~)vZ)_J<2oƗaL)`˃ QOz~2g'8bx.nӕ@F<LWn\rqΧ[zA8/:6;/퇏v&kT3evb|"~1Yо 29fm,8E샎4o->ɹ 3z}'=z}N0:wӉo*m7^l%73{}1fJrC>5Fc$W݌Iw)XH@sBܾXԼ4svƺȴ;dfڡOӿp9tQC (gCbDg: YM,Dc Osgo6!OGƎE5תOaUl˭7nf,-&J25DVmO*~b2|i=’y!|.{[#<5xY:[ 3LMYu}˄tݐ$h&e诙V%[̊1l/ۼCVƤD 7B_G 0&+cLTHX-C2g|AR, .9Ṣ#m]na2xjc=9Ԧ qQx{<s'y}x>i> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30596 13334 0 R >> >> /Type /Page >> endobj 13327 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13328 0 obj [13327 0 R 13329 0 R 13330 0 R 13331 0 R 13335 0 R] endobj 13329 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 184.2752 709.9] /Subtype /Link /Type /Annot >> endobj 13330 0 obj << /A << /D (unique_606) /S /GoTo >> /Border [0 0 0] /Contents (report_phys_opt) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 182.9827 693.7] /Subtype /Link /Type /Annot >> endobj 13331 0 obj << /A << /D (unique_721) /S /GoTo >> /Border [0 0 0] /Contents (write_iphys_opt_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 197.5082 677.5] /Subtype /Link /Type /Annot >> endobj 13332 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13333 0 obj << /Length 19 >> stream q /Iabc30596 Do Q endstream endobj 13334 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30597 20830 0 R /Gabc30598 20835 0 R >> /Font << /Fabc30599 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HHЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔf@lIHB_%e:3ϳ-nYGFx|1$ɾFxy /s"r,̡dXx<30́s@`K 7bNFzqHB>W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?KB endstream endobj 13335 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1188) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13336 0 obj << /Filter /FlateDecode /Length 1237 >> stream xڭWKk#9W=[-;{ )3eqدca1muIzھ`=~ Ej\%)Дws8/dCek6J;ΞQ6d /ǝ tؙF#6>x?Iag+\GLz;=>Fp);G_3 ّ $t'tLgjb$(XfwtSfex2=&h Cgx3F v',,q4=b1qM0!җd,bP{f8xW8/MQŝ$q=ۿ֣GH`8b6p#9+hCtJ}!:՟4c67p Qʭ#PYsfov38*j[ ?fY(B UW#e z]e[y=sRͶRQf]7C kY' >Sy2`U)g\+con7jrDpK13^ 6DNU>E-]2ZH~!i|ڦHg8uZf#e?`hh8B4!:1 "h "!-C!s^Ű^Dx"02*qe*:**^.k)Ս1\hJG +QO&}op2K DzO>gsFpF "d ><Π3r 'fCq;2n2a}PWb;#Qu{gXX̭E9VTuTh(mZN <&$2%9> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30615 13343 0 R >> >> /Type /Page >> endobj 13338 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13339 0 obj [13338 0 R 13340 0 R 13344 0 R] endobj 13340 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 384.725 118.9685 395.725] /Subtype /Link /Type /Annot >> endobj 13341 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13342 0 obj << /Length 19 >> stream q /Iabc30615 Do Q endstream endobj 13343 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30616 20830 0 R /Gabc30617 20835 0 R >> /Font << /Fabc30618 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nIӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13345 0 obj << /Filter /FlateDecode /Length 4125 >> stream xڭ[KWeIw 99mbL݋~ŗuD*+Y6:E:cb?~S'c_W}XrH;cb'g.v]uٿuO/O;q~ф~cjտh#o}k?+WvO d Í?C lƘ+rr{Ee$/|5NcXzʃ,^=wd~]B- X,xZk8bv)! XܝO . Qp=kxu!Zo i ]-Yc&׿诨 <YOeY׼U( T_N?@Cп03V tspB6g{e r-Xm` 50A-46+hc~Y9G B~/P~LT(p=_kۂSogD-0rc0%"&ʬ(d(NOMς#pK9ECẺaX0ݒ?\CfY*J#%F12 z@'PW@3]F&Ő((" .1~FPрgo/wosiWs\z^OۺQeX8T~;S"w;7OCPU!W(^Lp}zc #h{B'3wfwe]e$TbLi/n2r½nyyڈW۸T&f*x<[^XPv/ޭ+N>6_iG2UXcCU"j0}F1 )aY;L!l!AOOd(j䚩? !N+R6*++_*;T"rETYmVGZl;<(H}r]q#d,cR(;N.Ae;wR]'a?0"7Уgk5O B x c Z<q PKKQB&njov@,uQk]^e pPi鯹I.ibN{xdj~P`%WzS%ի"s"ҙh G{K\L mĦ:J%t ^N$U/D-BELB{ a`l(Zn% N=OԛԧMKIQzwK F ?d]/BX* z;[ 69f ]d]6rvsYa2X , WX#X6, 9" b0cN Ԟ'L َ 7v% I)aW+1V}| aq07(!z̙oV3( T옲)J:h+1M0+j3z0Ŭ^/`A+/wɚ6m/ +"@Hż!?ږ /X1|Qe7S $k҅%~!}\d_۟z%9u "Y&aʛ ̽!=Mw(xYRMI!x\T` lz ަJķX5ŃYZ :QTlZIԡpҢETY?6Lf֊o]W/kvڇJrSPgp]B#XM!POuW ~F.q)9SxMF,3ڱXc\=0h: Lv ! F5J`JtOq(ӗm ʐ5kHZ[y؍haXa;XKi/[ͪYۚuqSH\=f 6{Wm=0-^7RC\5Xtk4'CgKIbN'ZoĆ:e68bZ]F7Sd\MGntGn;8X WOm؁e#бy[y6c 3h39Z&LnL;ގMKAO5Yݷsp9`'Q53<ʤ;:e'zIuGM1]_YMT/d,q4_9c3O*P8F+e>(pqsVD:R.Үm9W{9O̫-s+3^:s 뺛=Ui87cz"QVSXCGLӒ>lM(`2)邴yiȌmbn@>@䖐PY\AK?>,;Ld5h XQse⑼X9q.F۰UƧ0>QC>bZ2XJ Mo28R\T}l ~5nMvzP08' |/2x'|Y~cϢ!ۊKd>-QO^gOh$>q>O1i9ƉF[D2dFlG/ԯs=K"::dq{p=_Q Sx'*2uy ? endstream endobj 13346 0 obj << /Annots 13348 0 R /BleedBox [0 0 612 792] /Contents [13355 0 R 13351 0 R 13352 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30634 13353 0 R >> >> /Type /Page >> endobj 13347 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13348 0 obj [13347 0 R 13349 0 R 13350 0 R 13354 0 R] endobj 13349 0 obj << /A << /D (unique_156) /S /GoTo >> /Border [0 0 0] /Contents (generate_mem_files) /M (D:20211013063105-08'00') /Rect [104.1732 529.0039 200.1317 540.0039] /Subtype /Link /Type /Annot >> endobj 13350 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (write_bmm) /M (D:20211013063105-08'00') /Rect [104.1732 512.8038 158.4857 523.8038] /Subtype /Link /Type /Annot >> endobj 13351 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13352 0 obj << /Length 19 >> stream q /Iabc30634 Do Q endstream endobj 13353 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30635 20830 0 R /Gabc30636 20835 0 R >> /Font << /Fabc30637 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vu$$IжYzr" 6\Hn:|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " /5"]zPL.O5HA?hxfxwBD>.PkPݳ(E϶fՏ ۏ"cH&>ĆZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 13354 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1190) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13355 0 obj << /Filter /FlateDecode /Length 1939 >> stream xڭXn$+x 9ܙ " [|0|c%_DIfzf԰3`oi߅R~}ӽ~vsX6hgMI[狵d5[?@ b6٭yZ뭋'i5@8[[+2J:j@(xVg|E'_#d t~[ўu`}$g`Na$WY1ӝB藿@,5fEcf 67pLeg$H +$\Zu&Z^|4:;9m8AݤJ<]B <# k߳v"vg{R.Xdޜ̮D(u n2 2ֽY|'^06wr0q6r;_߅olx9xb=TFapv小?E2֚>ݼI}χ-:7)~E Y[>MF'QiaoMQaZ6OV۱ԖaNaZGeF+bw%W%Z|'^2NߵHX q.c̘3hO24V /8ߐoc2pLot6hL!.jٰ%ȗim0lQ']ojQ P#v `8U2)#h#e'4u-dvB*V g,ؼ/.?YǞɫ`V]mOztG=PF %8sϣHUHHUsOG3yݲPG;$˵n**/Ks¦.ЛI a[̲++e`kߡbiCo #m'XR'tئ}O[\;TR+[Jo#v@SQ7N>뎡c&A[ eXV9?ѯ=6$wfL ,FO|gCL#}_0K8,.m[SQ&Lnsl7 ئ^*iI])IeJ/Б >mYk;wUĕJwukGAB5TZܕu`q۠t۠oUT\鷫m|po2v+h11!gLM"_sқv}%K":Āހ).GR0ha2a> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30653 13364 0 R >> >> /Type /Page >> endobj 13357 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13358 0 obj [13357 0 R 13359 0 R 13360 0 R 13361 0 R 13365 0 R] endobj 13359 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 428.025 118.9685 439.025] /Subtype /Link /Type /Annot >> endobj 13360 0 obj << /A << /D (unique_77_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20211013063105-08'00') /Rect [124.2815 428.025 172.3515 439.025] /Subtype /Link /Type /Annot >> endobj 13361 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [177.6645 428.025 210.3125 439.025] /Subtype /Link /Type /Annot >> endobj 13362 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13363 0 obj << /Length 19 >> stream q /Iabc30653 Do Q endstream endobj 13364 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30654 20830 0 R /Gabc30655 20835 0 R >> /Font << /Fabc30656 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HHЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}$J endstream endobj 13365 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1191) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13366 0 obj << /Filter /FlateDecode /Length 4408 >> stream xڭn%ί賁zz#M, I \[/Zbv^-fTe0d MO_bk1e'C[.VÝη$w)4v~]|pFjOF3xg.O]>?o d.Kоzj^cHjMf. Ί s-f欋ʚt@spи5A7-NH=L/QfŖV4S} P 'znН!y2V^p> /"&ϲ]=YCN,1dQ߳#cTp%+~kXޫ[Gpq }YAD:=uoh^W&P NZmWTt^PF^ H/z.tj7ESU }O(W'-E!G.Q 'b-)<'$~̀elQ1ۢ[dp,ͲHj;$3^TSE2-W͖S|oxߧ )5 EW\gIpW6;eA0…/坁 so"rEgy`?Q|q'>\pA%"860("uEXO~")wr"3D| ޑ0V d@Hn>qd cKq`4Apu` 9z N=Ϟ:*uBb563n,hR ͳ5kV4H}ڤԺTY녯jo3 "8T`=ҬAa|,!e <`yM) plV{#VكfQq.i6uA}TiaTAl<6t}-[Ldqd2:cԕr5Ą5K O˙BwkB4؁HR5܄RQ:E @aQB'Yn(N@۹9اlev4 ٘٘j,`˹Y,`,e7=6j؃F4'RG065Ug ='#;zUWv&̃9)awdNȜ֜XO3s7s[s[sRo,?jN֯E$NۄˈSjꪹKeA 0stf$:،F8qՌφ5Ҁoې:x8);!~Էj? v:~xF*T^P`U"h5s- ekx=־a UгX yG4; 3Pwh)y78߆Z,j)Vl-ՐZ-X웫ݵr](p6ohbc-E.XamCyձW83wQՌ7m K(KwY.g|pUF^/)Fb^qrI;I}RKCS@+ V]6suɏ5|%@iaGK&ҍWi5"h@FԪ}Z1k~^uկV]2Qa4~ h늪N<w]ބBJBhL*Z8֞\t ŠpZj m}I+fcꔑ8;57-f'WWZrhw`$-o~ł6ZD\ č硐`jotVvpוq4 eM/5A %O|@Zḍ[D= MVԛFW" ,.}C*cDe܁-G׶[ yȔ`Nra^ Vgqj%"_Z-*q -M6Po.;Ĝ}jR29 &8Vدi$ 'bFV8z70I%uVq9@{"tYo\X;Lӗ&mS$hLT aZ1&q;]HHR0X{jd򧲻ZFIk7\Z`m*q0,G(-2&%m~nԄ9 :csuƮe(fO΁R T&!ʭp\l$TxC$Hʴ8\C+ Щ*p?ks;2wǭi>;h7lfᑪ>uK3%#BRfcK^M#?Ӻ{'qIUo9Zw͇YxQљ+| 0i~AҝfX2|[|TM3,[A,M,Wl2zXcr<\dK!݆UX&-|pyƙ<2а2к3",[ZP xVZ=Iю##Qp,׍5$>㮎q'['iDv6{1|F$V:rwھEIao]vt7u n6̙Q)['ֶ$M=ЀV2oƽoh{x"Hgɶ.ޔ5hkC:{XCTemE)uW5+r$j>I[x=oXKp,-XU3@۔G2򌉫ITOJ6G/t֔JzMR˪3c$prȗfzч츐! :O};ax^J[-VF딱 ZLuM꙾[@K|W_`Rk{V:PE⮭s85Ў)W{ʗwS>"W[ {v*יڲS\G^*8}Xa۰:ԥXJtʭ :L>v6c}JnruO8LwN71;DeM6'x1`aH.vaWE`9aj)[*a]vgqdB 1> PWFțNqsKMgpuXnC>(*_R{tAXG']ۯ.F$kR7 3&VI8KSū !@#whf{Er{W6ɍ<,bıLE`RgajYZ3O)u͇rCd  T`\\gsnAd'ݸ?[.}铜Gƞ>Q 8 lBu:}'@ʜeJ06 !Z}g!A}}|g3+5{Y9ßW sn{ 1!ʨ`uQ>ɏyYe٣ a և/E20Rg_?{hgxS'~IXGRyd y\ {2|MkZ[n endstream endobj 13367 0 obj << /Annots 13369 0 R /BleedBox [0 0 612 792] /Contents [13377 0 R 13373 0 R 13374 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30672 13375 0 R >> >> /Type /Page >> endobj 13368 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13369 0 obj [13368 0 R 13370 0 R 13371 0 R 13372 0 R 13376 0 R] endobj 13370 0 obj << /A << /D (unique_149) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 572.9 202.7277 583.9] /Subtype /Link /Type /Annot >> endobj 13371 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 556.6999 217.3192 567.6999] /Subtype /Link /Type /Annot >> endobj 13372 0 obj << /A << /D (unique_53) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 540.5 212.3912 551.5] /Subtype /Link /Type /Annot >> endobj 13373 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13374 0 obj << /Length 19 >> stream q /Iabc30672 Do Q endstream endobj 13375 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30673 20830 0 R /Gabc30674 20835 0 R >> /Font << /Fabc30675 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13377 0 obj << /Filter /FlateDecode /Length 1758 >> stream xXn,5+FjCF$H. \ l}NUdH.?O=֟ yG)/9q6hgM%tc֦1xP}>@{SCE?ʄ18֣=hQm,tE]mxG|A}頨=BD]>[[%w7RABAe=o&e&QAByئYC53 IkK>:^ʦl(5Nmb1>e+:{pb\`8VU<@G4^90fU/ɷ6"O$_{h ɚMXt*&jp24lEG _ ? AF$sl}>ikZLV>3U~YJm2ʴ_մZśTK7oϨw~'< z#݀k"؁ftu$}oo3cݨ h,%׽bٙmgA2vәm,=_9\B0䳅\2tPYuxogpxy҅9kNE,g FKG9_E?m3j=_|ƺx=䜃5;a[z>pKEZqrD ^6ۆt#?za>͜Np"ysN#K{,,r;>VG} J҈mL)-ʽvĆ X-l9Ģ]Pk]ߍX2/fi_qQӖJVO:)-8E-t\GWu-č bѭЊɰ8-\f|ߑW// /]Յm3M,Q `x3& :( DF3_D~8WtvΤyj;6ֳe5q2 zuU{pSoizNT;L| M,)jzHcӨ\"]!dEdNmSuULUmO]V &X*WUٖN\s-R>RjԗQk^h+~>Rx^a]% euNFү/lY}@ cm_Ad\/w]""zKo3Hsc-cNv%±MH.-XTRG^[ ]bȬO[˦c&AR2Y{,+9?ɏ/kecM$1W4K3KQT|NjiBى~ ŁibQ)'pYeǭeJZ]&79lU/4IEa; \>z@G}˪}J/`WTֿQ~2!LJ=Ej1WSJGs5A @҇{NG>_ Ϙ:"xޏ"Ziw~yF" 1i ɉ-u =ݟ1嘩<O^ ^ X3+nfȥ8=|3K#N!܍w礓ykn$ 5qWк;Dqg;L=Rزʈ'9$b&DFi& de3M732|uȡ' endstream endobj 13378 0 obj << /Annots 13380 0 R /BleedBox [0 0 612 792] /Contents [13387 0 R 13383 0 R 13384 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30691 13385 0 R >> >> /Type /Page >> endobj 13379 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13380 0 obj [13379 0 R 13381 0 R 13382 0 R 13386 0 R] endobj 13381 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 363.225 118.9685 374.225] /Subtype /Link /Type /Annot >> endobj 13382 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [124.2815 363.225 155.1255 374.225] /Subtype /Link /Type /Annot >> endobj 13383 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13384 0 obj << /Length 19 >> stream q /Iabc30691 Do Q endstream endobj 13385 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30692 20830 0 R /Gabc30693 20835 0 R >> /Font << /Fabc30694 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7HH&жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLޚGFxaH&E d_ )2̼d9 1po.)U|B5_}tw%BJJ‰p-Zݛ1N endstream endobj 13386 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1193) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13387 0 obj << /Filter /FlateDecode /Length 5750 >> stream x<ˎ丑w~R L>,TaT-}wD0H%eUfw2ΒHQ`I|Y̢ /miuf ~4/,:&[>-suj~[UB5\  Y4C ,,FcoIU^N/jNܑwB ~v?P.UN~uR|wRgIyzy[7INB~wR?; mT?OuB>X3}ӣo07 q7:e9Tz/#:G~^`Ϙ{JƽD`V- EEv]vukw[K6)a4/|H. k6qC pVɘc>2u٤j~Аyӻ>AS4;@) HN\f%!mX 痂V4f{@AA Y1vC.^uaLL*7Գn݌u۩HrVw>uaj8tnRDH(jRqYQLI}CMˀ<͘M*qN0_9,411u> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30710 13395 0 R >> >> /Type /Page >> endobj 13389 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13390 0 obj [13389 0 R 13391 0 R 13392 0 R 13396 0 R] endobj 13391 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 295.95 192.4702 306.95] /Subtype /Link /Type /Annot >> endobj 13392 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 279.75 169.8542 290.75] /Subtype /Link /Type /Annot >> endobj 13393 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13394 0 obj << /Length 19 >> stream q /Iabc30710 Do Q endstream endobj 13395 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30711 20830 0 R /Gabc30712 20835 0 R >> /Font << /Fabc30713 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-ZݛC endstream endobj 13396 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1194) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13397 0 obj << /Filter /FlateDecode /Length 3081 >> stream xɊ#_ľҀom |c ݗ}%LTb0&+ogH wxKʯo48ȟ/muQi* _ĬFÿYpx>Gm&; _`|u˿HJQER{dOx?HZBUW 胴6A'g#P}*9&>Ww H4w9ݹn_12(ͥmuV"Ŷ qLȈOP|۲[pn &ފU ȈOCWDA 07G͞7i'mm3NTCda3HucJ;/$bWW!w!B؏)D(QV% 9qEm;ncD_tʛ{QUnV XNi \5Nj7Q alVaò&K)j$YTO:EdPhlj5 *߶9C2~d{I UԺ>Kʀi*c.6\d! s3H00Wy?c! š-}лU.rgl 濥% s9V!90Y'!QZJ͒+I7rB8e"Hb$O>=?^Y{n 5euBb5%byZ?$XI/qDO U_|[HLA@܇p_$80c~ާgN0(-PMv+?{KwZ-RAÝ_,ólFĜĖoPa3|?ぁ<5b.i\5Տ5ۅ|=;g(kH W!ẎuaJgs#.$6Ęm|E3Q}͵U<_/OϫD+uMXGrwm>(kg&pǻ_4t#XhC1pmV`(O^Ne˰r}K&pɷҮob."3'1nXD  z<惷ъ迯Y8_+a&TYYNn3@UG'`* '5#JJ[z-MKMYS׼`p֩kؑ, iRZ ڜ&UMC]7PbΒO ~ x&`]bG9(JkWڌhW`R^ x!ݦ FuhRi$%`.OddC0C|siܬ]Ҍiu=#^=c2a ʰHÎ$([0\Z mn1 &>J*tp}Tv$Ѹە RqH^FeȍbH eucRD+􍫶ʄj=j `[4+,]ܫ=@IH)>zSWir뙈?^T/p?Op22 3*cqw6ˢ;\ݺ<_H7NUx'>pg^-l[mDP n&A(8>ƦVb{"L=buo"xDxS/M/KSZi`Jb:W¾ po2 ԃ5 ܅Q endstream endobj 13398 0 obj << /Annots 13400 0 R /BleedBox [0 0 612 792] /Contents [13406 0 R 13402 0 R 13403 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30729 13404 0 R >> >> /Type /Page >> endobj 13399 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13400 0 obj [13399 0 R 13401 0 R 13405 0 R] endobj 13401 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 369.525 118.9685 380.525] /Subtype /Link /Type /Annot >> endobj 13402 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13403 0 obj << /Length 19 >> stream q /Iabc30729 Do Q endstream endobj 13404 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30730 20830 0 R /Gabc30731 20835 0 R >> /Font << /Fabc30732 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nBЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ endstream endobj 13405 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1195) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13406 0 obj << /Filter /FlateDecode /Length 4084 >> stream xڭ[KoW| n{fc `2?KRl["%VU*RVwK^k1bпo1hgӟcSREƘo ݅v޺[u1͞^̭~~ӟW v&+WC7?M%x3\]տ.4+Ϭcu\lbU5\en5 ~yLBG4F9I =FD܃YOXKMO%%"hDhNF}LmYgK _=%k,ۤ[7 RʃXPQuM+v-@_P%cN?}C # d,+˳{~u*ѿξ͌usU̺*[,(i|7!Fၹ( ,^$B 쀏SL-0u]g~?ḧz=Vs_BYuAhS`mo{ J #(bJ:4]k3L"X Ypn)>'?>뭶̞a`G"@@UT`E)t)YlXjMh|hs.%tIV"YDD$- K곱N|ؠӜ:(%rf Mt~K+N u\[q mNGa]ʎP^Lq1;G]huE7{~O7ԅn࿡x_-(ynq[sg`0?p oDOff&.칹,G#M|ޡ '2J\\9m҂+s(V@ ~e+UY q*࣫/j gQ5D 뗸”qNoH:{*W2I Q0Ƣz&BkK06/-U_N'F6JZF7Zr&BCUxXUgd4ki0bSa, lXRB=T^3̴Z ZϾj6Dٕ"f.hσ)m,9#޲ m_7e *җpyP:ch*{oܐDqR"9s{dOR[f#͆M(;#:kݳju9bsDfkqD- F H jTnbCT rtv&KU X:*aPwT#j^1M ]d]wj@b2o>Ya:X , WX#X2, 9" j0c}HV*b3S5hcexsvzute^e(pR`>NNtyNn 'Gf8韆?Nj 8Iu|fqpR8):}0kTNCHec1;XRN<2?ad(0!I!ֿ6};GҊ8Six݀ГS8'1GUS tQ+eUC(9ad!;8XZzq| %)-|FIJ jZrqrN1"8:&9 ]{u@)бڮJ}ׁY;lzמJSjFҜ<t.+Mde%ʨ)J.FI쭕_M[{}b?JJ#) 9 6 ȟ:ʏ/I"vLRoH_$|bKx0}+Pcepİ&CdAq%V! BD2fEځ0Gxad38Q0U57% [TB#K$rh0XӃv]`b5 uվ {lr@ͫ.b'0PnČMV+rN>tMڟxdmw>9i!Q=;]~AMNE SD}!$?F+6lt-PnՊC'uona O1\x$Id[jω._}#j\ǯy.^iFm2SwjzS{L=j`46;|lx~a/#Vc#kYl]^Dklޤ E⩭J}.q /[h"uIWq\XalJ'uJiS&8If-dmZlE "ґ\v-]&nsy,K|$> 2UH (CSfs#Qa%iE=c`ɔ[9-ncKL1Gwe{{`2A |4dFC61%T:aR;2t?qU23?>,>vaqPS5j1౮4U`L<7k1%u~)6.~oz.Uo> e=~QL/h.ViU/|suVZb/cOoH ! #7uj^Ҟg:qMZYr$ HT)")Kq1X8ԲpJUT,CSZTBOLlM g:?+%zx4_䳨[ʹ 3VIKo<63ֽ+3Q .Y+6VN*$AlXUc֟KW\e8۸Ih]ee[? Sto:^9q`1Dy'[xBsq{6a4.ySg۰d 7rw' Wf!*'pṀr~> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30748 13413 0 R >> >> /Type /Page >> endobj 13408 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13409 0 obj [13408 0 R 13410 0 R 13414 0 R] endobj 13410 0 obj << /A << /D (unique_191) /S /GoTo >> /Border [0 0 0] /Contents (write_schematic) /M (D:20211013063105-08'00') /Rect [104.1732 514.7039 182.7352 525.7039] /Subtype /Link /Type /Annot >> endobj 13411 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13412 0 obj << /Length 19 >> stream q /Iabc30748 Do Q endstream endobj 13413 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30749 20830 0 R /Gabc30750 20835 0 R >> /Font << /Fabc30751 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7Hӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13415 0 obj << /Filter /FlateDecode /Length 2067 >> stream xڭXɎ+x s/@ZMF>>F2dY]#:3< 6#W?fu Xj2YYii5kkh~~=[11h5sKhwGxQ!n,Rk{IwžK~ _7`]Xm>hS{l?[2c冖wYhj?ݢMݣ-#[cқf-ֵVߝNRh')0(PGb[6)@Oy.&~nc~rA?ss!dB;t$#uS紥~J gյ @ .rH>zFG]f|:l&i{hٳWNcfyfѧU?ʑ7ߛkOj@qsFx/kM폷c3[ݠw]V!&t?fճb766Xݘs/xd7gCDÙ#/IƆ+odܷkɧ0KiKgHm==a5DKx~5jQS*/ҟ~0\廪ڶ f9|~om_椐0o\T EoW)>Ojo/cgu|GF 9׉A On:֝%|}}/,[wvvX\4_N$O՞>S3->Q_!Hhn-Eb\ rTnUOX[V#~+ylTwEn5 `jר~vH_A^V ؂MmN/kΥ4Amwcbq|aZTӭ}2ik0uh"WK >a=DQ2AAb舂7ݥm^ zng^y(3鮾u],(K1fs3h=xWѽTZ8EMNhk>M}SClد5Vpi_l1Nj__ޢhEUo 'KdϠ-n YNiגpSzW@{#E_\Rۖ)Xm^hvx'=[)c3A$){S՞`zo[?,xuZmy'_ů^53 x⣼,L2cM6βJ`8/w+Mx,Oz2Ju4KG޾(ǚZJMyO&-XTR[) ZvRl0f"'BM2昱p=DqC443tE7-Z?;5gt7QL&|/[ܚMzV?)n6ͼԗF2R6q@>(>FF.̖J;wߥJp\}Qm?PVQo-f,nTQ%&}x0m!s-ccĥm}ku? eK̅]i}_Iqw`iÅb9eW s]{b7+g_nNN Yo7#*3svخ)?#=Х9"g [ڥ F9j X#aJm$*F_j~O2.qE4V'7§xh?b4CC۪U endstream endobj 13416 0 obj << /Annots 13418 0 R /BleedBox [0 0 612 792] /Contents [13424 0 R 13420 0 R 13421 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30767 13422 0 R >> >> /Type /Page >> endobj 13417 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13418 0 obj [13417 0 R 13419 0 R 13423 0 R] endobj 13419 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 373.225 118.9685 384.225] /Subtype /Link /Type /Annot >> endobj 13420 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13421 0 obj << /Length 19 >> stream q /Iabc30767 Do Q endstream endobj 13422 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30768 20830 0 R /Gabc30769 20835 0 R >> /Font << /Fabc30770 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ AC;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV5xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13424 0 obj << /Filter /FlateDecode /Length 4475 >> stream x\Ɏ$9+܀[k_@з`9t`1@~i37߲jpLFR$E$_-f_R Vi7u|_k_G_-?q9X W>+߮\=.:qoF(|,A~a÷o^cpKۚ_]~?/C.RP֤C5pPxB[: ظ=R^?[Wg5'6&Ш5*Es=z~26r/Pto " ҂EHR+ivXɽ!G%"!K&)k9v WA Qlҵ`+Կ8P6kZl_˯YEAiGVԳʪ)䳫ϠYa$}S@ڧWmE@b&t~oX|^ˢLbMcXvkZb 5Vݧ̒D X2~&{o9z׫g/&jgk/ԢVsF5^hZ-R]}{y<=;>l/VW\=0Dvw1]FVtoM!".|0WNjL^:[;0Ȗ^e̫EOZ544}Bu5ڠ\tnWrzYnTU=QWՃ_.Jc$5ڮN[qcV1ExD7{Aϖ$\c [nǎ *Sqɸ( sr@Ӥ0'a!@Q!t'q;J2*,`[2CpF7 eHTABGe)JDסo]jÕQ"BDfN403e@GqP`pL+C{b$S'93uuwcY99 -7!l=uu@]OL; -frCxqjo"5b]W͉m 6 E4Dfh9ًG=pܤ7A4@7 `hGeܼbWaޘ@O?'~6XMQ3-,ДͭM9Δ߬Io;?A˷Ԡi1 W|,,^k mKY MqFL+W@H0OZ61PB ~ !y>Qd _E34W%'BO4;F=L"&fk1!T2BW걤zC%ի !D355QD 3$'+b4,Ug VZH:U,TeOiAX…, PR6+&Fqߵ\jO*g`"4TՋhLk0>\ɐ*\r)4Z zi:2[@|Fbs6FBg۷MzdJVOpLŊ˽JՉCSpCHH ekL"n@QӄbDVHbf@gPN3:Gl]lv&JoQ0b@ZҰ3J5`WndhJP"B> e68ŕy68mj@PeW*|N?u6pX~~r~`w`Q5PӪTjlrOtѺWv&(X[qۃ zopRWg2%PS}{gTj$ܲ R2?PjO5T^#͖Bq Vw PgPİs(N5Lj, v^݀CI,n!#ݭd[ 5Sh]2*JݼP?PwbO8O8+?K'8I)v-~ ~ &Ǹ JԡtƑ G aj Ϛ%A^3cRwK+nÌ.BOBt σOZ- \ktp:a[z;gAe-5JzR?;e_I.v6=v.ܶȾ<.7Z뎏0>uVk ۞Uy^#yA*cx-n-6qU1c&*L ۭVJa0`WT?Gpqz;{;oti#`7Z3eؕ K+ Gzaxut 7ae>Swʪve+ Q6D_qksGUwcYV:6$\)[5RaP7eՇ2cd94e;l:Z׾.֠6`-׀9ɳ]-2 PÿhNL\7. ?C:'@/Đ-cʩ-Jm$) xĜXy7/fCɬv7X9R@gB~19mYq0}yK@6Voychھԧ5zP n | ,ބ{0J_TfJ /xD⫾ZS %21-z\0c8g̝h,. ^|Mᣇ>fo,biYD6jXp!9 |{ˏw OH4iI-syz،Y{YhHi1w)UR& +(uUCu.' y ddix鉆mZS}76"&2GJ#X s}^gŔq^5a*~CzۇY@XT"աc40NGY-G.v;j{ 8 zjzS{L)5 p_ew6JfXhK0R;,IH= 6 S*K죯 ZONqxfHvPaG,6_y$5mn |iOxŭDj* 0 q 3p)xj{!Wuʸ[Z Ю ǒVxd2`Y="ҁJ7iռn/:|Y$ JZgia8K (!JO2cbZP (qё+r\[ -]nO[)GMR^z/Zs,A X;Ґ4tDJ3 rOD\(s"PMe+%o`r"n;4ʺ5j(بW2@l0^^w<{;rԽ׻Tcݴ eTx |&[SSW8:#O[r̙աҩ ! #K.fkErďAneHP)".Kq1X8p|;ZwTQ1ݎjI8S+iѡ=Q86?!Hᗿ?|䒨[δ43_QRjj^DUR++Bcɥ^TY/]UL@,,.|4IpAv2o7ݛAQÐp/ 62NL#6۟e2!s* [ͿJsJј:J? ae{xホv"qؒ ?qِNۺ.'8poҶM pU2 1؍n(jU=ϴ 3|^I㛡L8SZ~<ɯ*sXn7 YPu۶qAI׵>(|j'kOS^?Q uΞ9?ӣAΕ 3cpDi endstream endobj 13425 0 obj << /Annots 13427 0 R /BleedBox [0 0 612 792] /Contents [13433 0 R 13429 0 R 13430 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30786 13431 0 R >> >> /Type /Page >> endobj 13426 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13427 0 obj [13426 0 R 13428 0 R 13432 0 R] endobj 13428 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 349.1731 169.4802 360.1731] /Subtype /Link /Type /Annot >> endobj 13429 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13430 0 obj << /Length 19 >> stream q /Iabc30786 Do Q endstream endobj 13431 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30787 20830 0 R /Gabc30788 20835 0 R >> /Font << /Fabc30789 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13433 0 obj << /Filter /FlateDecode /Length 2807 >> stream xڭZɎWY@r_>:Ѧah[n٢UYb˦瀷TUwFW|m9_2Z~K]7U_?ZLEI^T4SY^C::j>?`>iY3NF!&4X}R<"srdjIDtJL%& >ᅿq9^Wᘴ'퓇wgث΅7#DiȤͣqx L~ Wx/Z;҂a-ȌPԵl x9#QWWM9Xm!6b55Z U+{a:Ƶl{Qqm|u<-u1eځBu`ǬgX xKwjA9[g0*k Zll`Een6#n e u`PQ7"_ R 3D7CuS 5Pv @R6Մs6Z#P, -Q5:} QTF2"Ơ6WEwnv^U6MՏ7kp/ܯQzw2>ev+HuoߞIٯ)NPY6,Bp#XwYYY /;_xCٔܢOf^ 33XNS$[Nw xi41]t{T_cHɻ5 yǷfwquvih6#qb[rIPGmz&T׮0-D}gk7CPnt>(ھfeō:ӕJwxPQ+(:RpzEÈ) 5Lq1DLC\x\m- mfp\w˶|\}}njG7=ƭ_30$ؾt`x`!&jXmR̵kFF7A_ON=˷Sw4vb\.s n{՜蠺 9g}k o` Gbjl?,E}R\ψˏr-y``W%u4`}᩶-z'3Q}Gkp, ~.^lA2k?|gXlDzTwg;WpXc'-ЂD&E.gjw/h O/rg[=PԚ WfGfA=V<+$[}7Yݾ a3 VyAJKfReDvo53guMRv!O¿z2`@SP^D4t}P@ `t, < =_X%VxT}xpOيDRD^ku‘셰qGo2: \Gl- g|&ME7p+ 71:̰ZKfLK3#*XV!KG4l|'EY8riںva .U85΃f7.*d;A + f#eBm/0|HUN'ȝ4HN!+KŸLPdOk ]ܺ'/X+zzWD7v"su>?Gs/;tTZo+i+n> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30805 13440 0 R >> >> /Type /Page >> endobj 13435 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13436 0 obj [13435 0 R 13437 0 R 13441 0 R] endobj 13437 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 345.125 118.9685 356.125] /Subtype /Link /Type /Annot >> endobj 13438 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13439 0 obj << /Length 19 >> stream q /Iabc30805 Do Q endstream endobj 13440 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30806 20830 0 R /Gabc30807 20835 0 R >> /Font << /Fabc30808 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HPӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4Gۏ"bH&} d_ EX|g2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ endstream endobj 13441 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1199) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13442 0 obj << /Filter /FlateDecode /Length 4962 >> stream x3eJ4:L,5n^ަ:jtΖTΖrl>nh٥\aoA#;N^/qoÚ3.њ5);)031%dzJ0::ugrX09''8F 'Z ?sn?(Zfd@KHh)&]EN Gp/.>[M.7V8<%?8fM~_oH= Ș랴i^׸F@KmO'`zZ5q^;`5D+oR?E;"ݘi، ĖQYĈcddI8{bɕ>@p-2^Üi枮} DV <4LZKRaH+d}e\J̧<|g,HYHG#Eڳd? 0;'?H k%)Y>LJy6 @hl8!:;#xAdb1#SodJDG ҅Kq^[¶!呭:]X)N&o}acXSuT,7 [o 6e`\fka; ^C}i4QrBFM :,мYO=A< Ѓ t&(?XPxLѯn@تVX-4# æO޻R}DM XR_"(! w05T 2A!!ފ>3z7L\v\>kcqҮ3#GnE\]47Bv$$ȏ߲1>܄ qpQN;)pPB+WJ/P(5W?zo3 (F>䩷bU =*(Τ<E"E@]QߚGyAwCN>A[S%)|U(ѝug o_\mC~JW&9yPIf/HMN۸$"k-]|,Ú$s{"Km:V#HaU`"S*Ȍy)928QXwdq l:Q}ikE#8S.TDTRכ6:zϪg\)boGhԿI(u1 {VQw H_KMcoôCݵ*޻g:0"劁bU%Hl`բM.3K*)>ˮ#nyߪ%}=SyEE@+s'<bV* Oa;@GMl]59An䂃QwE59gdR^.۵`;VqǷ;EO=խ12%9E$@w9Q ^,RBUٙތZ 3 lFeY 1 &h45*Ps÷a)KF Z4f]OvAG.Cc*=?q=; ,z]گʶ9h8ޝlC)b՗K|}vcC e˜]E]՛;V9ܓ)XXzzT/%k.FjU[?<ԴK$`VQbdB敞E+S~gez_/3'HV7ĮN%м)]i2q's2][̙yI 4~k*n}#{o:l,ua1PSV` zJjYPv(cc D텵S[G\`8tpLQ\ E0rȷc|!IR&W\QirEƞw>03u!C |a Ǻz$2Qѧ~=~ ~(g4W|a9ɯmxYI _KzW i!+9xhjܐ?tk3:*jճ϶7>7֯y|ğv)_`#Թ;CNv.{t$9d endstream endobj 13443 0 obj << /Annots 13445 0 R /BleedBox [0 0 612 792] /Contents [13453 0 R 13449 0 R 13450 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30824 13451 0 R >> >> /Type /Page >> endobj 13444 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13445 0 obj [13444 0 R 13446 0 R 13447 0 R 13448 0 R 13452 0 R] endobj 13446 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 159.2809 146.4737 170.2809] /Subtype /Link /Type /Annot >> endobj 13447 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (read_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 143.0809 151.0057 154.0809] /Subtype /Link /Type /Annot >> endobj 13448 0 obj << /A << /D (unique_559) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20211013063105-08'00') /Rect [104.1732 126.881 164.7337 137.881] /Subtype /Link /Type /Annot >> endobj 13449 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13450 0 obj << /Length 19 >> stream q /Iabc30824 Do Q endstream endobj 13451 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30825 20830 0 R /Gabc30826 20835 0 R >> /Font << /Fabc30827 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HPЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM$L endstream endobj 13452 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1200) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13453 0 obj << /Filter /FlateDecode /Length 3758 >> stream xnkuϯ:X`%;@w) ttڗ+ dIz"Jk`y: !Ky: @'QVz>6$n 9 'ztHlu9:5La|cr783TcpXx{hb[a) ȱ.M7EҤ$0T {)+{<@ i#ke%T!l$[8D+[a=a|Ώ}#wa;qj?|F]y(E MrN#Sc8A0 Xuh;Qx詛 ,AnXTIqq7L ـ=,6JV1 ʿƕK 0<3ꘕ[Z}n{" \ NV'aiA,>|shFK;!O0kp3Yv%+'>aδ|˙tcL &E}z*p`\B`J3I67ow`jM8F#S#M^!gѷ|wĜjMl؆2[_RzdhB\8\٨YAQmDͦ *CT @⇓N\*R: A 3}Fmܕ> \\ثA#Uvp1r4? T3(xMmJB _s)R!G5.j]fhI ѬWيI'38ô Ei`MX m,;W _ijY+-cXJb[NV` >)xjV4T'U5%5A&mOOs6{66{O;\K5vkryFјXf*N+g!;Ay[[;l_G_kl#Wo[Iyym]8%+ӫ^f )S1V{GB3̗i0KΧ3<3OM({BkEw46;鸇u1u:s @|_"`_ޛ>e, |*QiEoTbO\v+ע5TG&1 M7;*\v?{6s "tȽ<ӨEmy%#!p[t69;'Q!<_  ~E_`΂ eߖQZXCS<>M.1uKF]j#J;RKdtG@ } <kb2tozGw0ӣJV@>擯kHaRvwA۹ ϔ%뭗a{lzs=EQl@Ξ*&np)B&9rBn[0qqFuCF`%KIg!m>~P b>>z#4gwXWp'I$wb?N`oFH{pL%/v;^yc[ar}2w J`NILo)& mM~ DN4mtI 똋Kݘy yp7Bp ˒CpPY@|(ir lt#؆vzk*;[ ]4nuRXuB oq!3Hr'i4RgDsYO¶Z4=9WTĄXuhT \]`bTpѶ6rVXͷ#͘HWT=ԦLFVu}BӇcu_GsO;tҒZo3dq3n\?XȔ2QO/'<O/xZ >>>[&Y&N ~qwG]U  +xpWTwĿ.2;v Tq05,ƦVH;1֦Qb#ċ*|i,'E/,}JDZOFs%> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30843 13460 0 R >> >> /Type /Page >> endobj 13455 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13456 0 obj [13455 0 R 13457 0 R 13461 0 R] endobj 13457 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 354.325 118.9685 365.325] /Subtype /Link /Type /Annot >> endobj 13458 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13459 0 obj << /Length 19 >> stream q /Iabc30843 Do Q endstream endobj 13460 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30844 20830 0 R /Gabc30845 20835 0 R >> /Font << /Fabc30846 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13462 0 obj << /Filter /FlateDecode /Length 4551 >> stream x\KoW)w{z&1C$A`O{|Kv"EUT4;Wv6:3?-4:L<ɚQcrCxVÕ_ꕯWIN>* =ni~>ioNSо]_049nY;|[B&3Ykt(qr. 4\hyRNAOh]n }Qcϱ0dlL@Z)8[Aks9~E>&͜&,8(( Qs`?_&;@5Mg>}R9kcۓ~ /(@j`ȳET-+lJCEuO3 yJrƢ6u e>7~j8;tfrnr ` ^;) -Q%6nC}mSeϧxCE}7{2#341za8lj2guj!&q]wث@Uֆ1zCqrc NuMl(~֘{9uU&sPJ쐡OF91f#gyc_`Pq%fI.zIZd~NEK='뚗PC2Β0I Lr=*Ȫ˵ih_Pf+֭+7.8EpCQٳ3 ywOlkiCJw ̒C7n Lu'BY2eV+>>0N[MM|w=EͲ8 -sc~8 S=o{GO rLƼqQ> "qPkbݼM;Wvlj秖'6oN>u}.+^ |?+KG L ^i{^V߹6۠> Rx&19M1E;-Mik.=bDPdk7dW4W_$놉XN†$7PI'IA)>~K8Rm?`ND:RQC P@4\q0VD`dd-,,,huh!Jl*2S^IވZ"X Y*xiH"@H[Tţvc>N׉PE.n9(,`|raX$C 굣r̀ƞd"6Zj+b=۪tTenYKSڛ=we?U۾o!$ Te,}rR5bԅpEƄ9K Oe|\9#4*+j츧Y EjӣԽZ=6{d'Y;Qz2U4d}@*Հ]>ZwKzt߭dvM/BX*Zk D5.sVWphr mз)SUL}-:m Y¬`nv.v@,, k]'d\JyXTumXZ#@W+qeaIž8=85鲂+Nv 'ucQ8NOIp>NIpRoOj$8v13pRpR>?Nrx'ԅpRfڛ>Nj=;N{p@u`c!z)65@4ĸ7(Rπ$?nLSc=Kv>b* Ḱx~%]  fbe^+Iiꚸ`9o93 W\%%c0c}&P55c7a9kLN[QG0 Q1iy,wJ>;Ĵ= $6( 3SV``|˪c/.1*ZJ~9ͨS:ggm/u 7srS&.&|_rN-`W;enrʝWF`CSD WuVR隤R@Ѫm6t;^xv^c ɺm]O5V#DY7hA:E8PBg% Ö˕VravKYu M56.tɦ,턗=.vv<4m2emI}cǬkޘ)kN*G[HanbrA%Hl{4s;@$1SU̴0v*-n/'rǍ "驃/V#ÑSK.Tlu%mz-tQ84,3Ҽz`D<Ì=Fq 6 (62vɤ3 !&KTʩd=iJs郁j*>6ޛq^!2W͂L"19;摘:*ZϨWv4{<aOXxB jIր/eTUl nO7a%p (8f®JMKH'`.Ӡ%}@,;PљhzAq,LDų0#9;O R=֞յqQqOPQ8 _ #YVq:)-",#Ք8E ,@Vkc)}]so"aK &/mVZ !v'pHg7q2geMĴmMHēd9l` ?3^:HK3ĥ.YvB<'W9IÒuz!={05K34GoDc_nFx봍/Xe~cʏ^XM&2{u)*Ht_s}bPbw[lF3ίTN5;qO͋{~Mx4ɟ:Ni:pOm0n8]@"k^LYG7Tb[,Y坺syw)ΛidңkEN&w,xg 3}|p>f܌N;]wo>.Uh $)ݒ2Q\.:J$sb-J[ /BY%ׁu]9?'-òЃu;of#"/aN#\;,(<-)!ƽ{fZ6B /ު X${[ (:$6-w{OJc=j [7#Pc*4r6npUlΔx}rsJ9؅Z ϰC0#lժkAC3sq |Q‰~ƀo;.LS;6"ҁ2 RLܤRws|Z3?}yn;U30=\vpO|70>^kC*>`Z2ѡ&<$?\T)k_J'1#Nh _n+9/׊-ډZ.p[ 'x(.sq69԰pnI)JtÎHIz7TC}p3&HɔKJ.lHKsG7J՚=[t+"URkWV*$SH}ɥVTv_b ,Ko,q.VHqA62ڥmWٛAQÐBw/ 6[B^LU} B36ИKr,2]00kL Ppm4;!>x9]i/^_o6rFd&>}'SN۞)"ip;Q` MɁ|auCۡu~Crmy5e(:zOX'zsRQ*(嚍,.KT?ȇkY#kGk%j9VAZ:E2![WQr({R'~HDtal=اֳO`(Fs9<:?mOQy >j$ endstream endobj 13463 0 obj << /Annots 13465 0 R /BleedBox [0 0 612 792] /Contents [13473 0 R 13469 0 R 13470 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30862 13471 0 R >> >> /Type /Page >> endobj 13464 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13465 0 obj [13464 0 R 13466 0 R 13467 0 R 13468 0 R 13472 0 R] endobj 13466 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 376.6384 146.4737 387.6384] /Subtype /Link /Type /Annot >> endobj 13467 0 obj << /A << /D (unique_173) /S /GoTo >> /Border [0 0 0] /Contents (read_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 360.4384 162.9352 371.4384] /Subtype /Link /Type /Annot >> endobj 13468 0 obj << /A << /D (unique_559) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20211013063105-08'00') /Rect [104.1732 344.2384 164.7337 355.2384] /Subtype /Link /Type /Annot >> endobj 13469 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13470 0 obj << /Length 19 >> stream q /Iabc30862 Do Q endstream endobj 13471 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30863 20830 0 R /Gabc30864 20835 0 R >> /Font << /Fabc30865 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13473 0 obj << /Filter /FlateDecode /Length 2694 >> stream xڽɊ_ʉ}d|0s1 ]X2+Kӭ1He5JrunsVǠ)C/[/O08dGm#||UYb8/x2Wc;/x"h93nF!s^(ؔG]eOI - @8bL:Get]c66LN.0JD8~ZfpY3,+M'FĠ x,ZEX.6,rҚ"45=k ƐHȡ,~#2z|.L/fQ[lxHXl90%΀T^@>Q] ol4ˮ 'ғych;V'WJ]@WtMbvC]0qwY ʢQ Ǫٸ=CG?P,@g{g#ػZuBЬnL.5O5ĚlRoާb[>e0:m*@JXj;bbQiW>JBoܭh,6DلsQs5ҽ_SëwGa6D?5< N]/2'Sa7UgXD\;HKXr^; B p/Q)9@t E?_ 7G$W=5^@94HrdV)P [3?q[')蓩 Dzahlgbɕl]Bup*7A"m︩f))LJrڬ mU oLX+ʊ,!svZ}eUo^2E.-B'mou ņXdrs;_Ž7J!6Nz`9m䏽"k2DCRC"%qTlkKL\b\we \ţ=eYoqYw5#K"a1wp9?(&Ap0ajVƱgy~]a1[*ZC.5eYv#  ^x@ 8 D:Sra%;4{hwtkzݳuŊZ6 8l.e;j]ơiRMs|3ryAk״裧@%Af P\U K <`l5Dx ͐ml?=ŒO0z6.1*Xrm:Wx1/o:~^ ˥ b9=SQG})ҊѿMT9R4U ՎCoC]m#piG&$U*K{' ZfK0C@m1bgz?;\I߻  Q7£vz.7۸~܇] ̽ Nx-@ ;| x׀.~tLw"H ?We{6~g;4H$>xq<0|,s+9U3YJrj63swMat `vNHO{KXsi :1Lt弑3ՁzI5zғK>D9{x7M+O6GH#~Wл Z &`ڿ\ƒgA@ͫ0taTWYerA!7Z2by_u^\W.dtMXyv2Z$DFCꆬruO~73jKyhWߕ:S CX`G0C\6z133=t%㝤]b["VjC jƒY´e: 91lfdz\_Ԛh.GM"=8E%dG2[x?F^ ]##qMSC]̤U@VS` bO|HD> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30881 13480 0 R >> >> /Type /Page >> endobj 13475 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063026-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13476 0 obj [13475 0 R 13477 0 R 13481 0 R] endobj 13477 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 265.125 118.9685 276.125] /Subtype /Link /Type /Annot >> endobj 13478 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13479 0 obj << /Length 19 >> stream q /Iabc30881 Do Q endstream endobj 13480 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30882 20830 0 R /Gabc30883 20835 0 R >> /Font << /Fabc30884 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0yE;!%]vhw%Tsh8r-Zݛ7 endstream endobj 13481 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1203) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13482 0 obj << /Filter /FlateDecode /Length 5059 >> stream x\Ko$9rW@$ PZmvc20}Nj,U$ؙR&`0"`IR68U3pr nu Q8BVNф~cjIdE% b+\-]hV?s s }\JɥXI1x򨭇 N$x-kbj/K3?L)_ KQ}7XKCu>j!kzH>A%y)j)8J [ih}7(ąHNMT"m\-[ig`y`GM ʃKnmYRrPo)͎ f-^RXǯڃč]ViҿIV`9f6`-0薐mݿmP+c㋱S& EPC^B2De9%1;m6&Us,Ҧ^}*i+unI@PƜ"L'iSމ~|}k#x1 pb`e]oGܺÏ:㇄|!xWT8򇦙.VJH궆?SIb 1wiDVYY`ģXs;/T)fq^{Lv\QY檗7m:ښRu6 }#--.ayMlpk,9rJ^[%8OR=8$m{, aq.ny>g55{(뱺3{63g!bX™vs/KK@_jW_#Rח:\{.KO_ox'$ +T1ұ-䀾h __8h9=2p We6DU}xa_kr ⱍ[(B $3U|w,weԫuj uxN d5cv8[$Ri(Mr>zȝ8A@n>711ĝpRpepDP 1pO?a#:[2F*'qyU}a z<(_~yT~F'!"8Zŝu~<"}|"u[}͝9T?!`}ݢNF`t?R#e_ _qֹ 5VW2/B(n-cṈq]qyof|7?յ;.򽮄D{<aTF8 $}:'З ͸}"Vh"JXܩ>KW- D,ޡFS)|rw` l:gɛ vPFT]Rg6)ﻼ>] ⒄Ly%S[<7:-K:0? ģNzQӛ\vC(+!?WK]s_+7)#wKH@W( B'NQB&k.;x+ Zڵ*hG"H~LKEΘ(΃MI^A#z Ja?ӌ)K*??`ND:SVT56PH4|mqπ'0>fBەԎ @S TB眡Z+3u$땨ES.МhR" K߽UMj7OZF󵖛Ss  URVD55LHa)0gfjXRB}e@sd"hZjOj=YX]KWګ$=8f?ul9UF Ya>2-,m ;ۇ2H96..i]RI9/{H&{&r\46!IeFtּF}lJ٢`ĀtD *l(L5`^DRʉQ;w3YCF׋ u^-9m5i ,7gNpr 0]UL}+: n`n ЗaX n-o8\JyT XJNr3Ԯĕ'%Zdpr npr[8-ԕOɅ4y NjHd 'x&8>5]!D/{\oӐzhV8ar w NcgN=8 5O!ҍpaИ䨽̻4꽓hV]3Y-C Zo)x*e/wJM`@ G9RRI7AJ] }!|ꍐO+So|!C>u) ԛ! B>u1^w˘R nǔmu-è{0nF݌)u0fLۇQcJ3SauLQ1F]Ԫ>onb T&R07*銏MJ}xnbTCsRzuDŽ~L1w}iýsm?##:R2[3YMNi#k ȴ!us+jCjzUhn )#RS.Wv|݈}_^݄pmP2lIm(/yheNnK[u˜NGhlC|F , -ސ(+Z#WWw{ۆ 4^!;ڏի*_e)=fzj9;omζn̂ H%v*$4Km1]\[8[q+lbR):WpTܞ=*/nËXidw,QfRP}W?-p}q}Vmh!Ǽ$ Sdis_G)n2θ?p{ 17~R!X(agiEle. G-͉[@/ ޖDK^mtJ֏}.1i` ]i; ߢFiNƙ ܾ3Ҁ"MydU*v5F 6%tJgB:A4`>Y܏08O⦘ Q^ QvUTd},+JmBjnDȖnPMWE5͑đgt,v1G8gf2E^Qֵ +gD F餂'H (Ӳz,6an)M-=U'Ǵ:\]+S`wIG\Ŭv#3q(>(kU!Cu0ez6Y Sm/4l9k)hFCr,"Hqf ~Jz׶<a~╮Je Kߎָ\m8#kdPC l:?; UA?@yQ>??;ODZ d5>E /Rw^Nc GO;0!HW*ǭn}OP3W!,%)<E8r鵞r}q(czgd!?.QލKfq[Io X`yʳ8% LQל~`w\ 7ݡg@#؇F~'ummR_p)x3e I*3}Jj(:&jy]j3'ij=F(.6UjQ `0?2ϥw,򪶴x;aF( D9f#p|ã))% ![ >҄co!)wq$y08ݞL$x {#hܦ!fJv$lÛD9~82f(v[7-$Ou݅omΠ1Y`L<7zN8Mj@e8]hz00}S0>}n25DOFFG#Z(kj7{J4Zy߀GG˷ {ܞze9 Ae'uJ2a+ wIdbԌ $՟F.4HwKERs3-Y7|V`keꜵdqoS~^3) @opߚLU\& ~PSm[Z(Zgi7 6{0<&Sͳq;{`7kehx> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30900 13487 0 R >> >> /Type /Page >> endobj 13484 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13485 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13486 0 obj << /Length 19 >> stream q /Iabc30900 Do Q endstream endobj 13487 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30901 20830 0 R /Gabc30902 20835 0 R >> /Font << /Fabc30903 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻Aχv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>G+>7!fZ5Y}GܱYZ)6{ik_KiR⩔L(u$R&o2VK2 ef[X]MO"bH&|բ{xyۯsYsshf^2 GsB&sS0Erѱ*E拶`ѼnODHIڝA U8N\j|;B} endstream endobj 13488 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1204) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13489 0 obj << /Filter /FlateDecode /Length 5628 >> stream x<َ#qlhه}[y?zj{%=vwyDe잕- ̬<ΈZ~]̢ Rՙ%%˷n1f55|KuZX qejkOۧP?=([2.X~X| mt[k Vߡ[/1'pA}u(kqEv]aYvtr>A՝ĶgV])m+1ta,58/Ye22:亦A:mK㩏Ht܅[Z >ՍWѐ`AB~n\`S^?p ʔՇr wGcb'AҦ01.p%/np%Vt5IHmr5灺A$.E)qZ+_`D}}P* ̑l@!\ra[ԣzP@y}My)J5ۍr1>yhfDQ-aA[t#D+*Nк%v ?fHm1$ɻZ,lW|Z 8{]\X[tfnٗ<|*003ӲHz ,t[~a@Sխ56S g}Uv"(KՍk< jiK"X*#x:72!$X 7UŚ(s@P̜\$y4ʍ*Е[JyhBeI ndX}f'y .d^Sv@ *%&zT30R -[ŁK1']َE{?J\سNg.M| &Na5ֈр6*Xul'F?]P,/%FdSs1dO]x,LvȹS&tT9 0&9| N#jZ$CI;Y&|])NtZ@I @S$=k6e6_>AJp!hjeY8v ƋhG&SE鏸oУG˔NC!E2r"#TVEbZ2TfpT ĂmCf;qڃe3̒aI bpHH}XXN.{T4N  *W$VhE&*#tGY,0W$YJ q4VdĤ X0µSO;놦@KQn (HHp@hNtoqUpT[AsQR VjiEuza9$'r(}q`ko:ixVj4#t,i5A!}~%iߟoBd2֊+w@{"J;<@7ecƔpb8XN~n#vNv'j7/9mnbcZ1/O(4.WH {dVS3`$P#`r<u֨QO5b2/c "\/S%%nȿANs](gLQ0[OnejQm ,4>^->ut ÊfV}G`$拎D:ҏ)q.A5P(=qaa)rI>"t,b,۽>? 7zC_캁7aԳCf`E A]Iy8 Ȃ^6P}œv`y=ǴE-I-<@u6xn/B*[? &]p;O xu0gQm&V@>{YI?aW}y+nI(Y\#On%Y!$dŵdWU!5$ǹCPu }2S#Awnv3DVͲ-*|[: 8)K&L]ۻCMT^6%NRM_$+uAzCzv鞓rҺ}g+2M}{Qd2Z1oEҵ'LIPro(]cxd\ڞ'oh+)C<$cqAF,A6s8́j%J~-}^*MJbJ7++_J`1WXZ<zk/VoO#~E黶 ߵqQ}ذ(|׭xw͓q|RA] Υ ,4nk>?9]D/|օ3z|{zqo6]j1Y]?>^bڻ%H.iܑ!q}|%kfMPځ}Φ؈nmfM1tzt8?ʳ;Bt,O _WT{Fo-ka͏W#pKe>7N|&=?#7'3!?|* th oiSs<\'A3?2䩋O\Ϝ\ ]%f4ΐAl46]P?8;sV-0_!-#9 Aͱۯ  ^NF=EOrhE}#ݏ4umNhѥ[Y2f }QwǗ QQ3՜I@x  p+KE#ܥ|M3 \掠Q=+{n=)_zԇqj8( ꣻʧOCs,7j EDFI׷&=`k1vR)@}U'(10A$wheŕiR9|9@c;ǝt[|cv7D#fK7{Ĝq\-'P]J$nI7੻a:7j 1`Spul%o[Ip/+8wJOڻ<֡sbl ![w?Zֽ0QGVCq]+frnH\a ݶ|PmPIܱpHԦbRJJ^nߗa2gp{&Ą?JkؕlysN}O#A[m0UN(ELW]Uli61t$ջutHA~xuۨg\1D0|Vqof`3d=es`SYm?}ȮzM[N\S g S2~EOXB.41rqΎhrlnÁn][گ|{rռ VZ]݄:,cO,Vx<te ahPr.ը[9\q"zcOؑ ͽhl":?IPcp+0g4ܴ}e\,Q3]'9DߛL/#8C{$+Z^,DS TlrZcf8mImwpHu䎓t7- P[> >Yw75AViZ/,P?FZM7qju!^cЩkR!JsEWW4rW^ (3䀧6"%*Nu^6>&{NVz%ިbێAL7xCx5< oďP_Rw-[KD_Tj״ޣh혌*|P4V֋}*[+P,4O6׭ضL,D8;qA2ɎIdN7kÃ!,=?~VKh~[aNp1@s*QLƒ];ߩC 5S*y !:,=rs ycB L$zwwxzwOmr3Oc:}kL܏Ӂ܀lLK?t񸁐wS'/'/G&M `\Gx]*x?`Qy/L Rؐ`@L9m endstream endobj 13490 0 obj << /Annots 13492 0 R /BleedBox [0 0 612 792] /Contents [13501 0 R 13497 0 R 13498 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30919 13499 0 R >> >> /Type /Page >> endobj 13491 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13492 0 obj [13491 0 R 13493 0 R 13494 0 R 13495 0 R 13496 0 R 13500 0 R] endobj 13493 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 286.3347 146.4737 297.3347] /Subtype /Link /Type /Annot >> endobj 13494 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 270.1347 184.2532 281.1347] /Subtype /Link /Type /Annot >> endobj 13495 0 obj << /A << /D (unique_160) /S /GoTo >> /Border [0 0 0] /Contents (infer_diff_pairs) /M (D:20211013063105-08'00') /Rect [104.1732 253.9347 175.5742 264.9347] /Subtype /Link /Type /Annot >> endobj 13496 0 obj << /A << /D (unique_54) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20211013063105-08'00') /Rect [104.1732 237.7346 150.9012 248.7346] /Subtype /Link /Type /Annot >> endobj 13497 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13498 0 obj << /Length 19 >> stream q /Iabc30919 Do Q endstream endobj 13499 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30920 20830 0 R /Gabc30921 20835 0 R >> /Font << /Fabc30922 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ X endstream endobj 13500 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1205) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13501 0 obj << /Filter /FlateDecode /Length 2820 >> stream xZK79@؛aw /`_^|hIdb=>VUK}QV[N}ZU1b߯,gSQ֨OcOУz`{e#<'x0O{zP1LeqF t`WϿ/DzˤGrǽ*o 9;-I6m~,]:8wLDp}go﵁›J'koފ|T:Fy-aӣ8$}>cΰGN헕4hqMaVZ8R١"bp8}jVvqe%8[}A}U'pGZ#`Mh  mIAUa;eC {8)'xĨP_=VOJ{`*v"&m\#)SsXl9Xy-V"Jdf@5Tqb76T ogkH6@[]ť\p^utMἶuuGxcloЃѐNF֟G6ԍkO_YMfШ[6@|4=arH5[]l 0~>-(oa^@WPr08Tԍ<$8:] 7Ke Iim̗_ҕ阸u`$`28lC%Gp 6:Xz , f.L[PhNJg7(߀TmXEod]TJј*xVY)UWJiڮ6lZ3!Β.u^r|HS?ՖG4ЎQ3+ZWg9ꦟuF#s@,R;%Pu w8j=ᄤ \jF]3W\ɩ™4s h0zVx/B0~ Mmn/:-U\j/*R G-)NV~N x콂_I֜]IJwɭP֭L("tAè\pgsT`REǀ9 Z5&bo`(`Kb,i,}j` "DAB[! UXhˋxdRC]/H3F|FΠi;HCh[6q!79_9Wws>_1\2<27vݤvqM3DLyܖ8]ŕ_ 37=to'BE_5lࠆ8/\cڼr}Q.EC6w> _/ ">-+r 7j;CfKYʊiג߸̲bzEh?6oz u6}/񢵵pͭ '3 Hz6R0lY겶TGX2[`qĖ q Q< _#n2vLeU3웚F& ̊͒GՆLĊP> ō*N8w"6' qj`/dۈ{znj֢iHIVGoL'Lk~*c?D327' ͹5- Y7?^,/c Dm1qn gLK9yOrB/Ĺt7YЄMp1Y(qntvIqLv$X]5~RSm[v(bӻ4ԘR}X=R c*r~a/Su+NǢ&ې,|‚ 1sic> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30938 13508 0 R >> >> /Type /Page >> endobj 13503 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13504 0 obj [13503 0 R 13505 0 R 13509 0 R] endobj 13505 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 302.425 137.278 313.425] /Subtype /Link /Type /Annot >> endobj 13506 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13507 0 obj << /Length 19 >> stream q /Iabc30938 Do Q endstream endobj 13508 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30939 20830 0 R /Gabc30940 20835 0 R >> /Font << /Fabc30941 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 13509 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1206) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13510 0 obj << /Filter /FlateDecode /Length 4829 >> stream x]K8W<@x $Ȍl`n=Ssݞ"sK%)aYȈ=mNM-Y(R^2i靌뛓F￷Z7.V~=)<w.;Wri(wM{we𴾻 ewXN/ry&}?܃+Wo4_\_@ߤᑚvK#d?IVm~f(~} 3kBDǼi155`!bڿA-P&.J;&lOңN=,GZD)gADICI.SƂi6{WPfL/ǿAoD;T'Җ>!_FGڂ:vvQD]m ‚ƭdhf#zoL<.2#Ʌ\ZtII-Jܲ[ZE&G#JRmh6+@pk㘠Iz]wB>_֝5i?'챁Su&o <-@~|&8lr4HۤOf Ĭ\Kt&_v7Y~wOzs?Hz{ƀkl:%y${|Kw,$r}Nqrlxt غqۮ pH[U֭^eSgs*H58:Ց2Pm2U;z p`OIMqJC2Ҷ`fCvU@ ǦN%f彶m7Aiu[ ¸ k̳>w|^Q]}Ly+gKZ.~SsRZJ(+%7!,1G߲VhkϴEq618H|Оn a^w^*-MUDiJJh,#UІJC,a?zOKD m'iؔ餞i 3h@)Ζ\kbҫ)LJ\+niflb4,y䩄}}cZP= Z pGS Uvd6)V8Q[*d|phbyddT0aguoXav霰ϲR檓0pI29v<@[G_0ؤd6h2̭+ni. =,J^Z16;mVKf؏՚WF+Mɦ UojltQ<<(7p,dߍt2X"颂H Ng$pwI$'I>NcE!p;Hb8;=Nc;%O8?(1(O_k_nԕ/<} O7'_n0vAJ\]R"ߧ %.,}R"E; %-}R" %.-}R"=E}_ݜe|?ľ[@%*s|7ޕݠWJܲrTⶕ_mGOe#[;;v= ^fhN aͦ: 5ؤxj)OYκlh?O*ܿ52v sFh6p+,T>.AH\&ՓCVC̬f+' {9ԭ7t]8}%xJ>+꡺aP i#~J#uau+}f7K>09t0Ss3x'`%qy>H,+AWNBN]si4< A? qJǑ;y} Y!EAƵh pJTNDiӹ U/e I!U&s7cPWO))I9Q_o\J/]s$ jU`#cx^Im)rDI9 A)=wR6ʅa|Oq5~`_Vgq hKAp"KB@]c{2{+Eɶ6^p!0HyP#Yk]R$wڒؒZ( ^Т5wK’ diL4=[K/J(ZB X[+ }JLAJ:ý76p?dޯ#(mxIQ0NW.Rt؀=<ƳnƱ%BȒRG襸ۛڝl N(%y-5/.|#+b'Kѥħ=Hߠ` #K˙ 3Djzj[T_aarjL4q2}<݄eU3#,;񸦵0,8XB$W uPD#(3-x*)I ˃3X?j{/\l@(~sg' |8k17h$֭7u>_oa~(#ԝ`F A?8T/8-[^:ׁaRCKoDL 'IpבN7K XuѹH|5 P-1mL.f3]:6w#-z&ꛅ);%bx+'^݉yDOnG+|Q:QnHŶF66ִL/ H55|E%cǿqhϾ+=m,D]m!{n3 Wt7 Sg碽VVCC\}(9v^W:TuL6}~J; \'5JL* ӄ9ڴ Q 6O32˚Aq 1u⡩ӌ4I|WB62iA=}̒iy0ǜ-r7-g^~TJ5RO; 7{i:6DIi?Ya qDchNIK\& McpD3m8"mU:&4煉#H;&qJ )u QgbR "s28w,. sIwimjfFj^՝S>G4:(msM=y6r:%F O-ZѬcM7>?a|[6)x%j"R[@sќMI?!i~Jgl!sBG N8̡I{剚,֔^)TeJQL:k 2EߣH:lKڈͩwN JƦg)q͔AO/q R{RڞU"]8eg7YR"Z|Qrr5sehR,Umuů);פ(Դ:iQ)^ƍ#yQL$Qom&Wm^OY 78YwGc_ůa"i;a!غ+8^SR JsI9qP89uFpYNjY59|[ ^eVY8r5$G0ltW]aj90c^CoG}P[fon/{Y1b,t Qa))eE\*3חC>0XY T> Q6E)Pl"ko ۴Sz7рb 6OK٥9d?_mWx,r endstream endobj 13511 0 obj << /Annots [13512 0 R 13516 0 R] /BleedBox [0 0 612 792] /Contents [13517 0 R 13513 0 R 13514 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30957 13515 0 R >> >> /Type /Page >> endobj 13512 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13513 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13514 0 obj << /Length 19 >> stream q /Iabc30957 Do Q endstream endobj 13515 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30958 20830 0 R /Gabc30959 20835 0 R >> /Font << /Fabc30960 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`jЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶f5o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}@ endstream endobj 13516 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1207) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13517 0 obj << /Filter /FlateDecode /Length 5026 >> stream x<َ$q|6P4(45m`^ BՋ~qάw0SI& ň 9ۯ9#f}j޼ے*E}w ݴ^!)uySS(e}@2+J){giJ, zٌexF*oJw]U蠱~پ4 ^ J]_6 !zBAj^U)Zn+^K:lT^kPLKԊ-E!bۀ@J;iUVz+ͭ&$69#SJ9mQ/bb|ס@H{NF>ʼJm3KpZu_W*Ht6q):sZ9j'}:TTq.(kZӍ@z D>׀6&̶GMT< ]Ȅ*e4і{ģDU oWFuf8NӋШMJGRG2,IlJs'bƩͧbl'BGRQnP☓߾jmL<KfV͏Eʪ=y%>Riqy#2?gi (@wCZDdu0hi' F٫>dPuJR#fP)É^Ր:y"I!?R@3#3f=joUF0X GQj.I"gY`8bR6dbX;CƂ`*;t2!J{ԪByފm8I6U,t<8pusV5|->A@6' ~)d$,%:jz`-CdQv눌L|+QMn fl+-?I{>&iBeI0AC'+U@!T"jThZQ) EkQx"K.Rb~Aáf SBA⤳DF.`2@wԝ-" $-]:5$.G7:!y+huàCm T0@ܩ'_"d 7P 6E KQ]j'ńro+/llm-q,;#= ;2 L+ԿB4%i*aQTbXeO3i_шe]ڡlAT) h s4^x%w4cd-ĵPeBrH>a Oځ_&Q}EڧT"[H:$' Xs{g ޯ|I! JAw s_S |Gycqn85؝HC4z2%4E! i-0jT/*X8b1xސKx 0Or iQ!!GLUKru+͒I0 X ;Қg5k̃oj RR F+ "HX^OZ̐l'PgV~ aڧȽ\(ed jqQe/s_šKg@G#vDVXޭc;{JwʺLkslEuypE.&T0UrU, hC(3/|iV-Ʉ Ҷ\?"<#cs.řT,3āVD4>ޖm[æM Qn8cjq@vF79FQa~E,Ff0«9κ+nRQp#daQԻ>1-0m1wLٛk,zpHTHc:uTA| ̣Y-Ɋ.pܧZŪ'; >F+oGՙպ[Y)j͛R97:.q??|;#u|`5L>mpPlR{݁jtgr2%eW^4 y:`/K^6I$IlCߏ`3Kյmrk.)ZvK\(6GhYN˶Ol1{C}C &v)͐c2{;@HzS j8u28ϷBM_M_a @2_Y#%%AĆU֕y90i߸;n>s}w醝rQ 5wd,L=@X0G%uP1oNf$ +aˍ2WO<:% ZhNLg{6p%ݥc=|B^oyn]3Y曰@=U aN╔zB'k8eIP ]\$ʭ$ݴ#g?c۔"&[=dk$;ƖY/K^e"\, P2'RQxoIp݋y1:f؆2e <ǒ(`{hf)-͂g[1΋>ULF\F{-+5qF |FK+p[.L)f麄sx[ˁcx31L%80ߎ(c.!w)X+8:LJcLJ,­|1r?op%6ī qA#Y 1_~gȘW!yt&~_}/wÓ'Bb R~c{<*7r4x>QA`CEB6C<"V&ho1__#;y6@ȅ<fcr3B0GI/q;э."aRRA`eGv<=hjmBFth endstream endobj 13518 0 obj << /Annots 13520 0 R /BleedBox [0 0 612 792] /Contents [13532 0 R 13528 0 R 13529 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30976 13530 0 R >> >> /Type /Page >> endobj 13519 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13520 0 obj [13519 0 R 13521 0 R 13522 0 R 13523 0 R 13524 0 R 13525 0 R 13526 0 R 13527 0 R 13531 0 R] endobj 13521 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 181.3077 197.7282 192.3077] /Subtype /Link /Type /Annot >> endobj 13522 0 obj << /A << /D (unique_252) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 165.1078 202.6782 176.1078] /Subtype /Link /Type /Annot >> endobj 13523 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 148.9078 197.7557 159.9078] /Subtype /Link /Type /Annot >> endobj 13524 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20211013063105-08'00') /Rect [104.1732 132.7079 192.6352 143.7079] /Subtype /Link /Type /Annot >> endobj 13525 0 obj << /A << /D (unique_271) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_cfgmems) /M (D:20211013063105-08'00') /Rect [104.1732 116.5079 187.8062 127.5079] /Subtype /Link /Type /Annot >> endobj 13526 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 100.308 166.3397 111.308] /Subtype /Link /Type /Annot >> endobj 13527 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 84.108 208.0022 95.108] /Subtype /Link /Type /Annot >> endobj 13528 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13529 0 obj << /Length 19 >> stream q /Iabc30976 Do Q endstream endobj 13530 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30977 20830 0 R /Gabc30978 20835 0 R >> /Font << /Fabc30979 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13532 0 obj << /Filter /FlateDecode /Length 3331 >> stream xɊ$_@/$R9[̜zc*Ce~oTu4nBRHOoӓR}UFiW*V}~UͨU6ؤ߆ó+c>QksLΩ׭6kZ{o SϿ` K1_?pߩާ2YNeh)`Iv{B[Fk2q,z,ւ1hbn"^2K'KBfiS #<'6 &|dBfBL(X=PIqy譼d\[<>tlM0L18,Xq+ԛYӖPSmR db7ty-0.I&E6> Jh^f!;6(0Y,,|? QK3 3t pjģƆ2K$sM#c]gtKb`MdihA`edQd]hMmXqIEHiIG~ LPpKs KCYAEzQNOR\v5U<hm);[ w;\۪bwV[6M⏕)UC\m7-:EHK=}Y /A pODk%Hȿ/Tf'm;oH+=E#37{fl\xz\S bP0bWflT>aFf0< c)E `rYY Z;uOV?I}yha*q̹NT+%0 R@$1 D"F!`16,y<=P3U&A SLġ\ؠ#-t-qX|IboOg9xG@퀍Y=MPKjݓ;Ü11c<. WM;bYB`;euM!x}_oߧWd\hņ"oiE'Ky\>ئnhAc EB+P  ,kO@kIZp$֠ yp`dZEU{듴}"[71̊Y €{f?afB#mEc}Q  ڀC!Gk[`6?DJ?Cs'ꜳ Nt ]*.QŲΠy/e=+q«= P)~zr;6uGq@rYyf, 7/| 35&=0$PZFLNl(2'_纣y[ <чo' kL)ߐ#4Et<{M/, Bqǒ&"(y(l̗-4;H.ۍRej^H:J|YZdu$#^xFlGqtfvʑ/crAu%| ! &_N܍z{P/+)ANk&P> F~;Lg > z`/vp,{ 6O;OnN6n %sű56|cSorlPo/iKjrSܧx84&eD>,m™*p*p% b}lIXQ8qk8 d]cN1b e VWG~n-Im?7 S5omprہM0WlP\CNCũ]B3I::r:r=B S+}̌`&!3. :zJ ު xaKf):N'I(>T8/u^{,iϷ1p{bVGqU~ e^嶫z0'sU:G\?7bOlϜŊu>JQR]"΃b"z1D53LnUZ[/nW; qA+7rl?ƔJt?Lm|*-3O*C<ȋn Vx\1 fꔑU zQi o/\BNڔא3z a ֮7i*%a̧gK;^zpmUX!GwS1\hhh8M_08~0c#n8{cgBzЫY=IwX7f˰|}QMV)RrAr8eH{Ak0)YfHi3p1dy6tB )e"Ư@x 2NG%xxnFxw4d~ڲ\SGh0%mue0cMꚰr!K¿?zi&5mG_UN@7U %K"hke]bG+܋+`n*D}F49԰WZHqEjI5 K23U>TxGTR'z ߸m2\p^ai66y21O,{ɨicڦeӚKmGf E40GsM%KUJhq1n R|]H F/+!էY>, ^a0 czNnIAqM4 Xǘ`<ťL<ti}eG}EvOh>G}ŵKzIC` @d`pxEw2|A}}8y"g 4x=ME Sbje=,YƦ޷=f `53{Jl:WVoL!_n4bm endstream endobj 13533 0 obj << /Annots 13535 0 R /BleedBox [0 0 612 792] /Contents [13541 0 R 13537 0 R 13538 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30995 13539 0 R >> >> /Type /Page >> endobj 13534 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13535 0 obj [13534 0 R 13536 0 R 13540 0 R] endobj 13536 0 obj << /A << /D (unique_182) /S /GoTo >> /Border [0 0 0] /Contents (write_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 172.9067 709.9] /Subtype /Link /Type /Annot >> endobj 13537 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13538 0 obj << /Length 19 >> stream q /Iabc30995 Do Q endstream endobj 13539 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30996 20830 0 R /Gabc30997 20835 0 R >> /Font << /Fabc30998 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4Gۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}^ endstream endobj 13540 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1209) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13541 0 obj << /Filter /FlateDecode /Length 1154 >> stream xڭWn+7 +.0k$ۉ0Eѕܢp $(ER3)"HÇfdxHSrpys"T N˺51@5%8>O;80EBwTĜgOqg<=yA"]"y>; ?tQ@ڇQ%7Qٺ{}?o\/l)V%$SFf)CJT`J,H 밖6̘K[3|HPm6Wp: zi3:Hi 1#-І)KlL>en>2|ߐ7ȋͿf]RgE}4njz?kym-OyfG{zgKM}9,ۛUTl: 'q02 xp mD nDpb6ps G&ocN1ü{ِZݘ \,Z맀\#VSufo4>jUQo xWլ5n{Qz乍bl4{j GSoc_,U%ORoxziTmL]dg3ctYD_ "=9)iˁx$|U+&]*^SGl~&!䘩½{"]Ut͒zf™e*#\9[Fs0B2D[,GVc\Ul+bQ+(x1R~%cє&1ZRqK4\."]{ˮZIKiP8F`Kx)am^IyJGWVK'7X+!z5TȢ#]>ch'ӼؒH2=Ѕ+l,v.\~ y!$6Q84͉#ˋZX̭I9fԎm"disIgl$6)Xzk %r"xDӑ'&8Qx{zνjZLsgfmQB,?Lbm\c<&R<.5/PլIo^;J?&M]=;h)zsGjFi5=hKҏ?Li߰ endstream endobj 13542 0 obj << /Annots 13544 0 R /BleedBox [0 0 612 792] /Contents [13550 0 R 13546 0 R 13547 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31014 13548 0 R >> >> /Type /Page >> endobj 13543 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13544 0 obj [13543 0 R 13545 0 R 13549 0 R] endobj 13545 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 313.925 137.278 324.925] /Subtype /Link /Type /Annot >> endobj 13546 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13547 0 obj << /Length 19 >> stream q /Iabc31014 Do Q endstream endobj 13548 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31015 20830 0 R /Gabc31016 20835 0 R >> /Font << /Fabc31017 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1 endstream endobj 13549 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1210) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13550 0 obj << /Filter /FlateDecode /Length 4471 >> stream x\Ko$9rW@f& 4c>zXH}xU=I& F 2?gu ze?砝~0吲^>9wawzTw.w~iqy;mU?}S?ݓ/:n\\ j3eGf2 Wgd~SN)4 _Y^Q>N2/Ye&Q:E{7 GfƤg S>5ZȜLLhoB9p@E,SJ΃4?`%fT$\H%DrCS֘vI/_W4Rl΂(e2ƂuSWX_oiD}?g~ p{,Go, "c3G˝=37mK ft:@6*GJGd-ŒOD맟B.OS0@M3iYy ~YhcH~~4*6SHfWq౏(es9IJ# '[oG4L!v䒅[qkܴ+%fY"9\4~m2g鸗dYiqe8p~!'e'>y;9YͷVKr'2|hXF9˅`-{NN/9_ W\]8k_h$<m)BqU/\oWUIֿЊD=h$N{a#~;7mru,)s5ֽREq#.AoľPN\vL׊rlEu@I sIŝjT6<ߩ~bw͎5n:;ύ~Uݓ/ڐ4#wYcu'I'/yBQ\q%] $WZϤcQBPx^aDRv Wd…Z1 dZk D'j ٽbvP`s4}JBes̉HGjk\p*|/=nO`%3|&dfZJH:[JTxW5.d@ ͉ZcKMPmiphy^mYQ j.Vv:fL!,ꀱ*Wc׫38496ivp˔̎PxS_ |NelW+X.p{p,1,\ ub0} ۬VJjlJWr6zSW6Xiq݃[ z*\prk8 k? '5IT'IpROj$8v18at 8Nk_:'u0:N`t pR/@/@KZ~~@]xlz0@Oٚ@1[$ުq@Kd ܢ^x yr\ ڧu;c$w Zr(Vs|(v/2NEN_mlubwۿ6p( _ O;KǞf+'B 0 ';0 ME;KyK: yiù\V@p"}hi24kˉYl}xdpZVpXj4r9ux:>66X8}q+{lM2{{fhsYݏe՛dl})? p-gBz58p].?T:j|EHxG'1ADd`ZwA`,2a1=l#!ZbBlm%)G@D ttюzi c`Dr=XruqN p?~QYq 2]4}O!Orb yG)΢y3lI9Yr"? RaYR;Q@%*)[l}ՈFb]9eyj2eG(Ԉw5(smwv|Ȏ_k h6[ P"$j}y|\)yXW[8xQHJ~h?D7S!ѯ`$8SA5YWuBDPP▀)=9Ԝz D(k498"Q7laoG\6- iEA$.c6-j{jͼ~\t7܂=vn`T8ܧ"FS4#+?Mcoa.%}#N8K!E H{Y/#0mG]LtTA@bԐP,. ȚK/da5݅ frdi XPseqIvi8^Vbow+~vOj|K[YIf}OGp|)d(k;ߜJB(cO΅ 4b|‡o'\BcH+"6'fW n'y4zB2'a#N- n*IqG%))I˔NjOLU g\ԟgRG")Eܒvne!:$/i KD1QuYM֦dC#-jct6YYxzΑj]eme[ߩ)4ۮSу1YO|l9^LU}fqep4yA9KP>78>V*g }(LxSLJI,$}ÆN]@`}p',8oE8z0LB+F|#C!|c?}a~z,;靼r4V%0tȃ .)Dl?sw|Iړ^Lj1Uθ#D7>9^N/n'SOup(TQXKw[> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31033 13560 0 R >> >> /Type /Page >> endobj 13552 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13553 0 obj [13552 0 R 13554 0 R 13555 0 R 13556 0 R 13557 0 R 13561 0 R] endobj 13554 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 126.2001 197.6017 137.2001] /Subtype /Link /Type /Annot >> endobj 13555 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 110.0002 197.7282 121.0002] /Subtype /Link /Type /Annot >> endobj 13556 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 93.8002 195.1267 104.8002] /Subtype /Link /Type /Annot >> endobj 13557 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 77.6003 166.3397 88.6003] /Subtype /Link /Type /Annot >> endobj 13558 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13559 0 obj << /Length 19 >> stream q /Iabc31033 Do Q endstream endobj 13560 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31034 20830 0 R /Gabc31035 20835 0 R >> /Font << /Fabc31036 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ Dӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 13561 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1211) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13562 0 obj << /Filter /FlateDecode /Length 4516 >> stream xɮίsa/of X@Ox `O-\{,'G=dbU6hmы?|lO_ڧKџ/>]^㗣!) |VËR/b: 4*Gev,CY1hZx  V/KV߷@=؜]+@ (t56pOr:ݔ ,P? *sP3+ªDamsMKk_ig [k l6Ǖcf~*ŪTZ6eȦS[dHɣ_25b(ɽɝp2u҅3Y݀ 2)AYMo7/+`6lФ/ VF0GWPD]< SƋESo9,7L1zT`PWsjӌ函jm)^K: zLYo$SNw=|~{ߋ2BN [#-[ YKCҦm8RQ޻ʹ2CJysmoogxaҍ@{W&Qo`1 ,!/yx0A6w.M@ -iA[lTk_.+}ox+<ʉ]Wn}M GսڕJ;a7۝,?5C;wsӦ ܣwVK٘(Esj ƒp{0h^O8QWUԖv;6ғ n%g ~E?HLS=f|.oti'86ه1cQĉ5ԏ'='rRˤh&e\e6jRzQlhJ&qB' MKdZD3r -&l1ңZLm\p*(5`j:A]M( ªu|tԩ|BaѵbWLޒy)1`TCbewU[/h)mÆkm%XjN=5KlPb+WkOZgƄ{+FͨnpJSo)RFg畫jt 58T 4ʉpyMM {ix8V]^ӓ{GlԀ뻑{!Wt`R@Ϙ#?$ⲟ]ft 4|L^20%ǽRv3'i ,XUI7 t8,O,P1U:puiE.%a&u6’k"/[I8?ss6+_~궰3%i7nTFzW.BCz搦m_aK6gknܾ~폵z,/Xuq 9/|A.W?Ks,֟xb*b8 _XӃ\-ߡ}~o?Nש>x cY(!žj*,ѥjv*5 -xI)l@DL^c=~mAWYIkpI~%?쯐+])rS\O+׎~FS}FMZXٶ_ÜdxKLxݻikO2UqL\dX~r_?14v.kw ϣԀIu@=T a:GC| rl|a*VrU{i.֓ uY`Á탒nek%Upk]c˿ļ#/l[> \ͼHYR2! 57.#&'p~U#qwOt X@'*zO߇Nny#G!)gOR,˷T1Jzo2˔ a Y4$[O[Mb@CY >C<6HUa*EfĒ X*-䷍LơJ`*D\P`SZ jk뵴C3MJeej|"J-j#6'Sx -ƁB0fx!kӺG9/\f&QccublKe <ǖS##H p0ckӯ:VKk* Xc6Q =.t&U$x$^bR0=ÇEwR1ӿ9a UO1L j1ȱ%* E1 D=ޯË qD1R免_Sc0s.TYϱx:_p<+hw#ݨ4kO|Vn\.wȘg:?} > Xޤ5 m8 (* ퟫhщ s ;❙9\c^96"͹iB.0X3ĻUR{eo'THr%aˤ.j Wv46c!xA/W endstream endobj 13563 0 obj << /Annots 13565 0 R /BleedBox [0 0 612 792] /Contents [13574 0 R 13570 0 R 13571 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31052 13572 0 R >> >> /Type /Page >> endobj 13564 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13565 0 obj [13564 0 R 13566 0 R 13567 0 R 13568 0 R 13569 0 R 13573 0 R] endobj 13566 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 182.0037 709.9] /Subtype /Link /Type /Annot >> endobj 13567 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 205.2137 693.7] /Subtype /Link /Type /Annot >> endobj 13568 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (verify_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 192.4097 677.5] /Subtype /Link /Type /Annot >> endobj 13569 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 179.7817 661.3] /Subtype /Link /Type /Annot >> endobj 13570 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13571 0 obj << /Length 19 >> stream q /Iabc31052 Do Q endstream endobj 13572 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31053 20830 0 R /Gabc31054 20835 0 R >> /Font << /Fabc31055 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13574 0 obj << /Filter /FlateDecode /Length 1275 >> stream xڭWKk9WЊ9-0eO&2^az[=$3VWWuK oh_l[]<~1dzpJ6{-M>Z)rC~: ņ¼@:y?x~t0sK>xi,h<rG6ݑeϿELavbAgZ\-y# JюSe6&R.0;GFJqYzMZ%e|ד3)AHVs4Ѕ1 oWQrj,oo?&Wk+qa0M9T+s=]sYHl7bU/ŖpׅH/6c TWbxf(h bX C7#KI$0^'$> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31071 13581 0 R >> >> /Type /Page >> endobj 13576 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13577 0 obj [13576 0 R 13578 0 R 13582 0 R] endobj 13578 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 384.725 145.297 395.725] /Subtype /Link /Type /Annot >> endobj 13579 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13580 0 obj << /Length 19 >> stream q /Iabc31071 Do Q endstream endobj 13581 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31072 20830 0 R /Gabc31073 20835 0 R >> /Font << /Fabc31074 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 13582 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1213) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13583 0 obj << /Filter /FlateDecode /Length 4609 >> stream xڵ[K We$4&Xta~vk%E(ߵ^t:Z2Wk꯿䐲___^\_O_w 89 5߿AfIeGf1 WgKO?)Ɵk;se&VƝ?IWO9K śu[ Z$nT?wP YureIy߱ h̄o)!Z଱KXI=tRԂ,R=2O鯿}Ó1O^F?hciRX sX3hqzek66mNG,PINῡx_*U4Z4k܂f.6r'؊U5G{B'3wfwkYl@ U*1aGd{i#^m:1Rrzy"`n-f3';!ٽzF]8`Yx0L!^EFEu(P>ޫr2Y!tJbOlS}بDŽ~V|R;)|&Lc7β'O\722 Lu]frb&NLž6H C&a_X=(DM+w xwG&=2Ҍ%[*^wRx >D X@ϙ mfuj!J2S^I^Zo0ͅ.PT}sI38̕+"[RTPhyMAf*/"V%BڳUG5V}"Ŧ.σ*m49 #ҟĦnϱc *2pyP:ch{!sTcŤD6IyI& r2PUlPȕr5weMi!'S`3`42%̎_Zu6Fbk~έhy.5CiPy]^d'GУiD_? x? M p hiq>ɉl [x> @vGqzoQiNէZ-h`ȘBѕYhސ_FjqmH >(X@w9=`,6EĻXW,Ud%pB1+)ůu0ڒ1F=9h+VM?wf@NtՀ`O.44# {rGJ@3G-9U4P2XP 5 p.pcnpըZ`(Y{:8?d0H li$"'"!|W|H&(g̺1͜Qʁ/0f>X\JYěF4J,[]0)NѮw=H}A" 81uCb=\a^o&ҖbPy 0 FkY|YJKo 7 Wa޲[z[q3wƿ¸\s(Hx#$gKJ9^|7@uP$qƵ#oĜDxg4e5=~jx < &j*;{dٛ܆&I6mQ͒ST…m8FSj9\3P}UW擀fMɩ(9^<_ }kZ"(@4G:?6y1:eCįo9ub'G ƒ$8k\Lhˊ§l`(`K!^"b\Pv->_0q6VX0|<d9_v- %*iE]KLA=ݜ'Ֆy 湕xWJ&-УvOc!.΍D9Eu* x4EpXTi]b}#@M֒#Cs:Qx!3"A f0Q} \0O+pK?!O@*3U܅ŅBQÌA*PW& dqsI Gpu)zaU/gW>*_R[.3}Ҟ1|CuRMsMMyֿͩYy2Ti\yQ?4tP2^=1ǞV.pG+HT)b_S$l0sĩectZzTQqbLo$ XBS6p/RY-efZ;ci;{Ψ"D5:gغrR!oF^K>j2Wdf)r͛ &.>TVNLF4Mvaqx =dp}Q_0}mjVZ9O<jːu-ӷ0HEFp7a> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31090 13592 0 R >> >> /Type /Page >> endobj 13585 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13586 0 obj [13585 0 R 13587 0 R 13588 0 R 13589 0 R 13593 0 R] endobj 13587 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (undo) /M (D:20211013063105-08'00') /Rect [104.1732 490.1346 128.8407 501.1346] /Subtype /Link /Type /Annot >> endobj 13588 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (startgroup) /M (D:20211013063105-08'00') /Rect [104.1732 473.9346 154.3277 484.9346] /Subtype /Link /Type /Annot >> endobj 13589 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (endgroup) /M (D:20211013063105-08'00') /Rect [104.1732 457.7346 150.3127 468.7346] /Subtype /Link /Type /Annot >> endobj 13590 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13591 0 obj << /Length 19 >> stream q /Iabc31090 Do Q endstream endobj 13592 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31091 20830 0 R /Gabc31092 20835 0 R >> /Font << /Fabc31093 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJ^Ā0dD/P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13594 0 obj << /Filter /FlateDecode /Length 2022 >> stream xڭXn$W<@3 " [|0$0JZ}XdJꁻ .2|3XU7/9Y Ytz6yZ5d,<'A"ej0<w(c9-ڳO%PQXF͌Q1gnhKdg厏>΢//äX#(z%$ϫuNA?eے8L),҃LVAu)>qj,{ v[m/qsuUeT>R*z&9-1iwY,'I{Ǜ< s(bG[G ov\ u#2YšPjI5< > L+]XjMֵPK-znqp?AoC4.(hu!,O[Vy_ExݒB 2 .gSJ_ sDsi5.'h~ NS%=H0'F*LxƑX /k( tWc)a(sFcͽcPQ z2rZĽCi\ s/>玃ƴGi+Zjo8jL^9;߮@u\6o2_1_d+qU-TTQK6ۯ7C?" Ik~%a>hpy7^(Gd4aD`{Q[ C|&Edx9 < ѯ%R|r}~( -#Mt%[2Ȑ-nj#3>[HdOWeM:]~zf{k4dj \\|"_`5&W^#fs֐Pr N촹rLkG(1Żbi)܈,Hu+۲h᫉ X<]qYXjB}|EY3ȤHYO:-h1sWp5kn>|t*;>}m]ꇼMb135:6mռ au?l ĥ:m#)P,A5eKyQ$#HyqiT@4"ֶv28Zq/ꖵ9q&߉?_^NT"ss®jmV(ޡóY%ĬSW6sHlKYXS'U 0 uUjȵQmR/d&^SZSr0$Vy2x/r~_nGnuF&bM33좼γiBّm2MD5^Or:umrYI=4aS*n^*i[Rv@޹R}٭|ᣦ]J֪tP6 tnZ@NMP*E&w.#H[߼..o?@]|FRA 7:׳6 *F"lzƤ:t=}Hw/ַ7i4[&!"B=[gOOg<~xOo/F/FAn`R>'}F;Pfְ.$ Xn*CzguYN2{l=sMrC06XevdIb=IYuozHi<&u]ͅN.I" ГjzP]޷i .c endstream endobj 13595 0 obj << /Annots 13597 0 R /BleedBox [0 0 612 792] /Contents [13603 0 R 13599 0 R 13600 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31109 13601 0 R >> >> /Type /Page >> endobj 13596 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13597 0 obj [13596 0 R 13598 0 R 13602 0 R] endobj 13598 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 437.225 124.1605 448.225] /Subtype /Link /Type /Annot >> endobj 13599 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13600 0 obj << /Length 19 >> stream q /Iabc31109 Do Q endstream endobj 13601 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31110 20830 0 R /Gabc31111 20835 0 R >> /Font << /Fabc31112 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 2~f| fpYw.Z 5J8.y-xvw7>6W^vqWsRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪ l?7‹C2O-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١PϡT[z7}= endstream endobj 13602 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1215) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13603 0 obj << /Filter /FlateDecode /Length 3848 >> stream xڵ[Ko$W`:| Hɀo!i;ԋhz4M6YUX|6_yuuVǠ*C^_vV:va!e_?xOS(iO[%u=ӣu. =}{k?E}0NNhB߿EfIkWf1 ή_~RN.4+OuJ:K6;K+N ^NV5uʰ.eGqNNu{P:xEzDvv5]XW$Ք6ݘc:hU7*=ht7aa}9pmtDs%5&zo ) Y@4cF3wYhp`ShnLz,'ҩbM_%!)=y%_ޤyp'aзgm #L0PGpņ˃JՉCT{%R&~ ƙjLePA"1M(JJm46HmFtֺW s2[!S% R&M,U9o\3 u:TtaPWwTm5'mr9ŕy68mj@TeW*|N7ul7X`pGp,uXHs;EEv`B,U#+X'Mՠَ 7u%2L8)Qw'{'48]7pm᤾8%AH;|>f v))m 4f~?Q`4.aN172dֿ7G}Ҋ)R?fW(hÄ Az}=mƌ.%'ɓ%~=ځq} [{6AT-'g$w:b ħ#Ma^~']ZEo\p]$Mȁ%s>s?HލGNcn \Pl,;@m/D ;![ -ج.rf ب*)=Kcj/"UN 3#ٰm@vuWmqJ"?8/i=$rwhw+/ &aZ|bf:V70YbhGF`Vڝ* =hM3}ۏA<:pg!AKٝCk4Lr] %ш.1Ct6tHk Ql7>xOb[NՆu/ҹ{Gmk]rEB'@W0wqE' dsä́ظ&D ZfC␫-Ly3 #єY;0~(KzQ8' WId{/z[o*nT1e =6Ӯl.C3 (?w\ݝs箏Ѹ*=>HL ,0~l[>/kV.Y⥇:|2$TL7'@|͋we(zĀe6uM2<pAwO\ӳx'=.*3ÙAH۟ HUCMsc0 }r6UmryT :G>?q\;'' k]A,22[m&; `: 8 տn5[~/QH l:}ûrFF'mGT$^ qNAm.z.-O0c>]vXiu ]c*MM$!8mb1&d V49ߤ m WRe(ΞH3U&끯i'vG="Y*q-uOa~j^-!6S:r]c-daϫ7=p.fM̆W2lΏXauo8,QY1z)bVf} v~)u^ ӏILw\6@gWr)/bm`r$7~ 7,pA/m뗺ۥpW/Y.}/Et3; >Пo%ro3`X7 ~ i;_T#aܽy4<cmBF+g ua0Ao~o}:?sv;HU!QX<6xH+wO#ĝDua*~,@ S;QSx{/=s endstream endobj 13604 0 obj << /Annots 13606 0 R /BleedBox [0 0 612 792] /Contents [13612 0 R 13608 0 R 13609 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31128 13610 0 R >> >> /Type /Page >> endobj 13605 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13606 0 obj [13605 0 R 13607 0 R 13611 0 R] endobj 13607 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 431.1 165.4707 442.1] /Subtype /Link /Type /Annot >> endobj 13608 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13609 0 obj << /Length 19 >> stream q /Iabc31128 Do Q endstream endobj 13610 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31129 20830 0 R /Gabc31130 20835 0 R >> /Font << /Fabc31131 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OMȟ TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪ-A ^|v,9)C ( 'C9PmтGŶܿ endstream endobj 13611 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1216) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13612 0 obj << /Filter /FlateDecode /Length 2282 >> stream xڽn#ίyUs_A%Yց< 9@e~?oRRɱIQ.6\~}mtdEm~65KI{7cјm0_ bTƹ~M}>h`1]LȁtRa @ βTexN3093{"r '&L0t̼(YzcqZvL٘)!Yd5qE4Y#Y}uQ_w| ߌSd@߄tP~?{#>*@P." T:`#YX 9nhHMg1DhH㓸Ɖ B=*[k-Rw1R4Nm?b . )>-ĮYhYj-5X@:?~+Nb\#>1NNXyZq Am.*C%Bs[Šd C,u<(ϗK˙%Vꯢ[:KIXI_lfJ%q)&w1njApjέog|{#'6;p>}l^XK<^ '#֚ -!X!/U@&,@FS)[e'ߗ#$=h_ˤRb46>Xz!Tj+ƚXagKmH8 l4bvԢz#RuΏu *lDAI*\ŚM z?^=?㝧^yiwiAKde~P!X\y ?Eذ;VзVP#~31:;\!+RGg!%{xufP@jKҝ~)P~&wݽi5B!QKLdMH=!GؙFb'_*4HS(aɘ?oP95π )o|5Mx kr ?xx-ck]cgwD3 L"ρMC7XxR 5Hn[zI@dGβC|F#k(KO,9R1yU5.So4P)2LuNjAr9$nJInd 6{*07<*ik*$콢DS::"DMK-2<f@փ r֚?Tuܺ-*dvSPqdtͳTW;ɂdrXE78BX]k}yq㪿A v^>#g0"qI#y1suϹ03y3xWjxQ06NH[ֆz>*Rb@ԓOyR vvjL7! 8˴/1ndz^j-Q4nS"&NV 3vn =EMFe4kɟ$VLURj!(pד}W&^fRU!G7-I T6E!OnҖyeSSS쩷`bꆸqH¿^V fy'uTp r@,X Qv1/1!+2C{ OzL';Tp4Fտ qj9+,ۘxvn6mJ$iJU3dN`i'ygdl_>Osk\ Y7_oWu1SDވBlpfE7r_Եn0фM1lq\D\2\]Vb7f Y/8}KH : FKu2RʔU~Mgp<DŽU}p5Ó嗴H98C::q}8A ,<6Ox zi_Ё>>d;I-/Is#ݵjo;ianGHWB24x9L/x`>Od;;Beu93;$*XQf$o' :ŧ SIwb# xrܖr#r`b* '#"{8?oՅT(gsXA}Or`'pzT#xc{@H endstream endobj 13613 0 obj << /Annots 13615 0 R /BleedBox [0 0 612 792] /Contents [13621 0 R 13617 0 R 13618 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31147 13619 0 R >> >> /Type /Page >> endobj 13614 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13615 0 obj [13614 0 R 13616 0 R 13620 0 R] endobj 13616 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 13617 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13618 0 obj << /Length 19 >> stream q /Iabc31147 Do Q endstream endobj 13619 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31148 20830 0 R /Gabc31149 20835 0 R >> /Font << /Fabc31150 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nhЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕{ kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)^G+QO TϬ>JجhVazצb/mk: s[({L̇h*$S#2yk|Z yp3ΡDH)vhw%‰pv҂bwo  endstream endobj 13620 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1217) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13621 0 obj << /Filter /FlateDecode /Length 4047 >> stream x;n9w~ d4w2Rr7CcN K[Dd*%JEvn>W]aVǠE?P_?a!e<'}rBiok}jRɺfAmh I7Na~фbiE͒Uf1 OgdSN)8+_;^ۙ;>.+d+4G|>34LB] 4ʮ SU/ h\Nx~: ;p #17.Kgk>d$TA@ 6r 笫Zj-?ad~  πғP 5Yt[_m]{} }[5P{[mUU9ZLAg] $X_A݈yY@%΀b˲:ު[Cp[i ;úA~!u:7OMhT q[C  __ع#0G=!ғ;s0+b˾7n^\#%떖FF-Zu. aI%J嚁3Իu&Gע[yi!r6kz9I-p㐥0_# NI<[햩iF7NSEw )"&9HQV#L. ܖSxUh.vL4Fr3 y~LSeS,G,AzlP;1{PσLXK)۲|SHsOSI^RF-0AӟۿGP wJe=|niv@,uQk]P$RH2bbZk"6Ha$j r߉uD_mDT1Ќ%[*s  Kgl#hb#@k84c gKD׺ONu,rֽ,$|Tu,p̅=͇8RL4an",>h%7)V裑eYaLXjRewt4/KZٕW8@d<%㨉(l؇el3Dp=m1Bqa70Mj`y+*sf&8XU)5 &$+i^N~0t;mQ+a? \d[nG\I|ŷipYA2Hr;dAvOюivn~h7 ǡ{>)/W9⧯s}{i\ nY7usghgg_6ϯ˚hQ56ȶA eK3LwDgY%8dUevaq꼵h z\r5mS)<1C0Gb:5?Nlb=G5t~xŻ,ij\9 nn3m)[@iȷQ 0r6e{da~D|x&q6k"YAZ,\MЛ'hJ&D%-=Bzd ~%v9izb|t _4⵫ NcŘc mvV} c#uj'a`xTθ>f"Y6GqエJW G+EԄ9->z,=wE3ITM Me43DiM8 ;7ysg1i"17,4^P獣CUh •=ZWVFĮt1K)2 ߡ}+U[JҶ&L۬k!65eSZ.cTmvd`e: 7[{gMQC]tXKktZMq7"ASO\>2K!$RPԶ{]xQiY݊*X\\pe0TM\/:/.i30nMF"ԑv}+Z&1jOD?@<2&יZ•w{ qqnDb#QV7 VCGTLԖX})M_;)Mhd&QxfHL\\K7fʢz[Bfu'r&qV\ 𖅐벃<@AJ;b#y)q.. Q6~o(z!UoE-P:Vtzw7T+`55eSYOd0+F>4> w~BnZYi5f %NRUXf#Sň} LI`RUjEJP3q{a4.ypnq Izc+Enj0<i sRwrQ辕I7jM޵Hۧ59zJ0^DF/7Bg!Іɓ|yg"| 3ȻAz7\ r9o,7|x2*ѭmq Q"]aYxųvp6kVq0" q$ kep%c9pOFk|?6A ԃ5-V7,e endstream endobj 13622 0 obj << /Annots 13624 0 R /BleedBox [0 0 612 792] /Contents [13634 0 R 13630 0 R 13631 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31166 13632 0 R >> >> /Type /Page >> endobj 13623 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13624 0 obj [13623 0 R 13625 0 R 13626 0 R 13627 0 R 13628 0 R 13629 0 R 13633 0 R] endobj 13625 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 528.7346 193.2457 539.7346] /Subtype /Link /Type /Annot >> endobj 13626 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 512.5346 193.2732 523.5346] /Subtype /Link /Type /Annot >> endobj 13627 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [104.1732 496.3346 162.7152 507.3346] /Subtype /Link /Type /Annot >> endobj 13628 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20211013063105-08'00') /Rect [104.1732 480.1346 183.3237 491.1346] /Subtype /Link /Type /Annot >> endobj 13629 0 obj << /A << /D (unique_331) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 463.9345 166.6697 474.9345] /Subtype /Link /Type /Annot >> endobj 13630 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13631 0 obj << /Length 19 >> stream q /Iabc31166 Do Q endstream endobj 13632 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31167 20830 0 R /Gabc31168 20835 0 R >> /Font << /Fabc31169 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7QVthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM$> endstream endobj 13633 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1218) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13634 0 obj << /Filter /FlateDecode /Length 1971 >> stream xڭYIk%ׯ@s_@gR4Q߷ߦӳS5ΚciYy~5zֵq ENowpevI |`]hZ0ϿcF} 몬 \Li$"v>\m `!U}/sP>tT `/a㳣WGZ[5t@o.[6"%tH,D!V.m+f˜DK Yп3䓴DS6MX|0* ("1Tc<1A8g z#0ʮt$aPtZ!3Oa{m) q"ggV;X*6ˀLk}ԋ-SuIL9"\@Ro.-&Gxƕ%(Em1o5_1_.?eS_? l[ RZ|^J.SݮǯP "T((4>hk~%Kk碑vІdC'}>1?hXCr@S! S F&TQ%Hגe+w-Mbx#}981{Fr堽9y&t@\W܋OM(,+f32!! N#TGi;KK;|+-G_MDaKHc\MY||EBuN/PH2ne=1dX]Y,|(^riɷwO›^fٍw.Ң~ 8? %3-mxM:`xngiv,BJak ĉ>~FZpKLGlֆz-ok,y~":eRg~E2m}ЈZ~pi']">b>3՛7 ţ=bywF#&gEb)/4n{!u#HHխWG3yݲP8$;q崛aS/Ҙ{6Uz3iuW ekI6ɏffU)w(bl!uzh0;GkA4"K$+A6=JvMj%ݙYG+402aIUy^yYو~홮cAwĴdu+l(bRHߗ!@S1btIŅ~Suq+u 95a㦸ŶjVRI<ԅ_FsG%eU-O .Ùz--4\g\iSKUJrUǙ K+{`Lpڦn|K_}p/j2>{c| CΘT"x?skW+ַ'i0'>&! n8# uzz:#m/J/JBdU|Nl '3\9'\b? ;sI%7#暤M94uh#nʺۑwik˸OӏIb&^`)8v'3¦!?΃_A endstream endobj 13635 0 obj << /Annots 13637 0 R /BleedBox [0 0 612 792] /Contents [13643 0 R 13639 0 R 13640 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31185 13641 0 R >> >> /Type /Page >> endobj 13636 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13637 0 obj [13636 0 R 13638 0 R 13642 0 R] endobj 13638 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 368.225 137.278 379.225] /Subtype /Link /Type /Annot >> endobj 13639 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13640 0 obj << /Length 19 >> stream q /Iabc31185 Do Q endstream endobj 13641 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31186 20830 0 R /Gabc31187 20835 0 R >> /Font << /Fabc31188 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ* endstream endobj 13642 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1219) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13643 0 obj << /Filter /FlateDecode /Length 4401 >> stream x\Io9W@Fs' H)srw` `_۸EDR@wdoDg][m /yuۋuVǠ*C~??Շ%zgL ݭnz.;[7Ylw4Owv10.?UIB&+WCB,i-,[욬/?f kk;ķ%X'=x7ј "d)ܟ멜=$g铲V(uV:Dʈwb'l)Ҫlڃޛdž{Mp(f=?GH G1**.~@E*?6r6$eb&ȟ%X6,H 72(R_.2*E$qPƤDu~5N\!gXAF5RՋúDݨT˦}ǀC]y' t] (]`aKmhfu*yp| Rk|@~Դfi)A1Svك>*0fWu ܺWdg]Q9 T|@4!Z0Ij e, 5k),a.8ˎ}v~ܟ(<^]v2_д?`?ڪWy~ɑls}l?Kicց'~P=O.(C>57:ρIgαnYby HV#<lqj༻נ>Р5}1xv(K7.t g z1OO>7v?:Ar85! f 8 Fa-/X7{6pԈSj=`*N `s\z^F1mNGȔš5Lq1;G|5 jh:T[fm)~̣d?CD_z,}8[`؀狯/wv2`DOf́>\Y[V@x Z(ڵ!hө^ezDR…:+YCE u>AX8f ]]6rvqya:X ,ppGp,eXHs;EEv`B],U# X#OA+C @n;ue_y(pR`n NNn ''ipl'IpR3OIp'5IDC:v>NjsD88C Դ:}`Ϗӭ_'uauz7ԅgऎVڮNӿ^{E@ `}[<2R2[,X| H#b"-|}C;funC)4*t_7`g%~~Ð_ 8y|4SoGq^{$'b-cnHP G;5N#ZR|N=Ip5O^(κi]#Ky*ǿP=yt(ֳD-  Dqzͱvma.-ƿ9$B$&63Z!uM kq)1_ۭc֖:9XÃ{Girl\\bH6_WJڠp)N'52?,7hdՓa6&g<>az+X\M89Aj&k.u`WO,,uA3'3Ae?jGw7H#>bngn< w}]Xc9n[؊$"aupFrmãe#{_zX;0  qfə.wbqb:Ks#x`2_hx?Pޤgq$udT{1&fqJ!CYk'dgSLq?Lq1EO.Pc|Mt !tJ/G/`K m*&].c]u7O2K6MnI8yjxgŐךS^|b[t]n0Rfsw'C_#,Nez 5o> 6-6G .]aXu,жn[UL^Χ_q>V"9bnZ2SS{ǯ7t+dkjV7Zg'~Q(7"⏜ܢv1/Ƚ"b=1ǑK+\8ɣ6 *Ekx\ f8<,Ă**Add*|#M'&.0 g^*IY4y8/RY-efZ %ox}LXz"QEj(uZ BtK>j"lZlY\xnvpQuQe;A2o7ۥAQØ, 6G=e;S2)bg=p5.yռ&b?Hzcq= Y@ l8kk_&!ywy,L̚O)eHmXM*#YQޯN~ߌwn=so endstream endobj 13644 0 obj << /Annots 13646 0 R /BleedBox [0 0 612 792] /Contents [13655 0 R 13651 0 R 13652 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31204 13653 0 R >> >> /Type /Page >> endobj 13645 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13646 0 obj [13645 0 R 13647 0 R 13648 0 R 13649 0 R 13650 0 R 13654 0 R] endobj 13647 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 321.6144 195.1267 332.6144] /Subtype /Link /Type /Annot >> endobj 13648 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 305.4143 192.6352 316.4143] /Subtype /Link /Type /Annot >> endobj 13649 0 obj << /A << /D (unique_272) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ddrmcs) /M (D:20211013063105-08'00') /Rect [104.1732 289.2143 179.7542 300.2143] /Subtype /Link /Type /Annot >> endobj 13650 0 obj << /A << /D (unique_326) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_ddrmc) /M (D:20211013063105-08'00') /Rect [104.1732 273.0143 189.5827 284.0143] /Subtype /Link /Type /Annot >> endobj 13651 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13652 0 obj << /Length 19 >> stream q /Iabc31204 Do Q endstream endobj 13653 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31205 20830 0 R /Gabc31206 20835 0 R >> /Font << /Fabc31207 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"k 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w endstream endobj 13654 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1220) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13655 0 obj << /Filter /FlateDecode /Length 4035 >> stream xڭɎ#ί/Ѐ6rr1 Mſqˌg,WE>>>q?#W~YZ]bX.CW^-|˯cOX˗l̳g1(^~^f]2a{=r|XΈN< kyYZ:[kfyX d'cidC0d!h -O<: X蚽,qpoCx^a08]JEmw&$-hj; *ѓ7!uQ~N ݮI |/?5.UV.}Aǚlj"@7 &,dHl:QA:=(>T8+Xqa"A1ANMF)l&${::*./w "kneYQWcU3Il۱6&[>dWA{Sf9U565@*d[?,], 8Mli-%evJJN!\B |[DDÏ &" @m"++d90K6D}Qy0Ӌjϧ%zmI{ lfs3.NfhmOTh- ; TYZ*,k cGG$%rY`7.6b)L7MKsGz™AV[OׂI.%k 9&0> I#-:.zBvωUW!M+ShhR3gBX#y eT<8˒*\P]H0w9 }!2>]v46ӎ`vs֢-b6YN)w)wg}Y~[҄hXЌ@n"avtz2pkq |o?!  ~ *TXt]Y-=-0@w]茲 OKR*0bűrB*@hW K :d-\xm2)Q ;L(IRi F488RrȮTnZ-8uyt1v N ,,Utls"9̣V<&X@z7`N3Q4G&z&x "dz. yXmyԔCi!k4mSB5XZ]_q`pOH~[ + F; Ov@x`7=kZX7B?_;W1j+u_oJD2Nmi`/#Cل 5ؘ:>o>WaAUfÙ48 . vOMEl[E,  =r؆[wx p!68EdNh4B uIkļP`%GٴPL3?LgpN .MΦy)%a8ez$ Z[\?ʊ+ Ɠ]7a!mvbK.!ln攎j&;:1S;$@AXi0]x2N樉ǃ%h݈J&gl0R Rd o ( ֒7 eH=]2RQnJqFx Oc~0V59f<'O0ήQ1x|kv5DW YՐ]Z9L6A:W6q(>K°ae6-尕$cP{:>;ë^v`X*ɪvMa<Զ-4~[]xaXNmm+kx2ryxSUO݋Q>T9aYg?VxʏB@1OIZoisM%`u_l{Jj͋ݍE{d]ѹxU`n t+vj>l@=\eFQ0/}~C4@SY ,0;_y 4 ļZSr>0;4V0TBLϩ~{-bU%|5nS&1#Wu,JJY*#Ud>|< | ] slM1О*rQSSylc½*wPPǛZʠNYrEabI)ļh`cY( \YO)nlӕT)`.)y.f f5< -W{GvvlX'8Bj,?!ɿ:;GKM`KF,Z|DlDIde|c1 N"ec5'cbTаOH[cmxlّðq$&-m&[%g5-pN_%q l "j}*FpLNVVYV 'eEStՊe -;Ԍ^>_WkEwPz > :GAODc$VwGvB7o1,+_A$:-N1E\foHNjA 26ɺvå-7T;Y.e1k_L.NFK:+Yn,%kG.:<=|>#fT:l Ru͚nx\]c|yG~ypWoRޅ|>0 3DMࡾluV\fё鉧{;ibfƟ}$lFS`p5:LA^.PY{eMmw #U^RUp 7-V>* %rAzbJw09MS|TfTEw[;Q!Ft)bf s-NU[N] NU3,Rթn&bIt@ h(3+L% ^}S@,X^xN}fe4x7VT`4 "տ6!Z w~Ϥ*}EZ,EӔPIV+Qj_Luhlk~*9%c?32' ù-, گusXXfsc1qn 覥|'B9ytm(b4ADaCƥl.e@R+)̼-;`}{1[ԐqKf> "T>o U/R8 nZs0HYE#_'t-[ה[(@`$ɢY)1Y;K@%m! z2ƞťNko;ܞX)B5|!ze ~y_wǛ7Bb Fs@Qgfq3.ǖύC<8yp≿~xF6箟Uw*jGZwG3ēt6JVH8V4ƃ8BӀ=sPP endstream endobj 13656 0 obj << /Annots 13658 0 R /BleedBox [0 0 612 792] /Contents [13664 0 R 13660 0 R 13661 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31223 13662 0 R >> >> /Type /Page >> endobj 13657 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13658 0 obj [13657 0 R 13659 0 R 13663 0 R] endobj 13659 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 381.625 137.278 392.625] /Subtype /Link /Type /Annot >> endobj 13660 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13661 0 obj << /Length 19 >> stream q /Iabc31223 Do Q endstream endobj 13662 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31224 20830 0 R /Gabc31225 20835 0 R >> /Font << /Fabc31226 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 13663 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1221) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13664 0 obj << /Filter /FlateDecode /Length 4545 >> stream x\͎#7)꼀+ h n6ibѽe^Irm8$=.TE(UӗLOZuuf ~tjdFٹ0/_9Ph͇Yp0ȭP0ȣ~l*RpI rFO``eZGjd#wa>(fHPh FߏGbVĊYT֥dߋtx0";6ZT[T q/S,LUi. Qu!5=wC[ZlQm@7/~`'996sl_>)A1&QG;`giY=; 惦&N_ѐoǿ~}6hhk]?~,<3?(~F15GyqBM`l)mBV>]*4`d@68cNY\tt/{c.%t{C} FQ>\&7;!Le E# lNYF#KG[XXO HZs2jQFE#5a%@6,Mд$2(}iW`kh7IM;gfc ?CS-;y>ʌ[oc-թުW0Mun/s>(*[f}Bq2աHVZ4^hvMuDtpԵ™V8ӕQo ]e) EM0oCi;l{ەت:*Oqjum)GH /Q z8.ކ~:m^:UoDoM,6eE^ץP=Ww`;|uH*e7]`}WF8^2 y͛{uATQ_ke)cy#$|YGg/b{Vp]_}̎1 :T~B߱˔+'1)P50&P'Xuv5֭;U]_趞^qr禗 ˭cƔۆ%- .7ڵ}p\'8^S ˂J7ל2. 4\q0n#qT'ڱ`g-huh2T{%:Wja,_ ^0Q=[ ^0&U/z7wZZhzn,N#:aNZ㣟M Ώ`L1XP/%{>.d/,V-XXj4X~tr ڳ0%i0L-YZYb׭ zd8g,6\nTNlnȜC1aNR49cnNHf{%r\K P,m$:k݋ju))ٞfD5 $x"jTbCW|Z*W*HoVLEE  u 5- 5na ,7&(PKSA٩`VelV0+X[yXX )`a7`Q,J9@X-@v @@qea,Xl]Nt^ Nv 'zcpR#4NJi@.pR;wZ-'f{I }N׾TNn83ImNwZN?v}~@@ 0}k&*)v5 h&ǸXwd@wKo/%/]`X0Թe;aO|Rx?߇!rrᆪHxY@hv~vus␰Bo[mYG/8HsOmMJݛqVു=˝q^2EE f0Qqǡ>4#Ra8r:~l0s?!SDӵD rsF㪞-f0[C2>ި5 P՘>C%¡cp[xQ$;R`jD1MG3{Ck HUiwH8EqM>~Qm-s8l \rBSX tR&Μ[C (Y˜L?]ٓ$[ TLMx>V|f*.N<,œBj amWqN`P 4Zuj 6W{<;j뱵v;ܾ ":w=?etb7F֫Kv1sF2AifK EA7o6.5&̰ӱ鄹3il"븑8*993qvA`W\)_tws`Cw -k4yn$μ/4r˸̺E9|lxkz̠x}4t\jP&LZ"ӐjGǭMUL!bbbyJ-F6Gu"b-몂#M+.<[pyx5֭ZeS1| &%}攖`si5vEg%o4aF*Tk]͹$>0O`Zi(uigiUi/Q:"fg-!Mx:JTtŔ[9B@](iO[.bT]|to~Zsx֕Mp$Η4̠ @XT:#A@dgg8fqQxܰ[ ɍ'fuٖ^(1c)hU`D<o&k:!%5~y(n>Tw[x-jT&WA3Ҕ.v9wN<=ҙ'Fnf]| h|| ;IgRľ]blsSCB/kK4PUY ;*%>I;:G 'LJ`e<Y2K,n.[;QY]+.n5KF^D⵳RY+,Wpzeɥ^T~b+, H(q*4C%Nwn7sՃ* C ^.|fl[1'i?t.36YA!sZ"^`Y s6&ZOqk#c@}=l·= |arw/B 8ZikU8#`űՕ.ca![6XQ?i'GS{ DE`:{Fz|JD k.<Gnɨ_)ӽ~eV܇]hn}N㖔~ZFϧpzw1n'z(cyXpFL'w`sKx`? %l,> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31242 13673 0 R >> >> /Type /Page >> endobj 13666 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13667 0 obj [13666 0 R 13668 0 R 13669 0 R 13670 0 R 13674 0 R] endobj 13668 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 330.25 195.1267 341.25] /Subtype /Link /Type /Annot >> endobj 13669 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 314.05 180.2547 325.05] /Subtype /Link /Type /Annot >> endobj 13670 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 297.85 205.2137 308.85] /Subtype /Link /Type /Annot >> endobj 13671 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13672 0 obj << /Length 19 >> stream q /Iabc31242 Do Q endstream endobj 13673 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31243 20830 0 R /Gabc31244 20835 0 R >> /Font << /Fabc31245 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 13674 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1222) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13675 0 obj << /Filter /FlateDecode /Length 3070 >> stream xɊ_JǾ@QUMaN5ƴ e~o%zCՙ52wmoY. :?,B襰:_78BwoWz=H7= rOJOBՀ>I(?"/74㤒67lu\̊4뛥$Q h=ݮyv2RU&n@79Im8źY<xvw wҙ=nŴ%ͯi#Almevxf Ilo-VО ;6?ɇ t{Jeq>qIs?M ].aMqKwz<0Wgc*dj:.}ph hb90cv]7Wybޤe0,fY=[hޘ$lYx8Ɣ83jݖh6q&97?;̡Ż|i 3o(ϭFIJR\ɦH=40$W7>:))uhD\<*^@)ju l"3!r|o]"OLYJ`Xs&ٵُ+P3uuW3TSeL@Myq~nłEqy^rpE2ũ3yveF *ֱ~,l+uq8G)w*x+#mJQS6w\U#f t&s:Sf8:Xg!/V6[ڼ(:OsU" nt<&yU/U Ve񞶧>UJ,d WNzvq22etNtXZP jgt쓜n{ 9TcIlJ CרߖJP-xޡ{h,f^6頂[\ !c ezxm:lύZLNtywaƜ7K.By2RcB8 /®о#J6Bِl`[iuP'Xe68Gk|d=ΫvWV[yԭ=RC,ԪV{wUQ}hBhWHl??7)͋9~1WRL@~_5?`?αiX\ܻl_Q?ן!PfsO@(N-Y<]ٖv|tvHQ$`KGs %%__GuX!ѯR!#Z9ކO%Ez`dh(ʚXlZ8>~Kj%j'xm)/S9$!#^pt"@{~`}z_ϫDzQLmRQzF>L6 wCR҇? l׃ =`jC ^mƒY´e6YO_jQ4n#Ms8 pZKG2[%ZWAJ3=#ZqMSCЮR]|f* )m)=13q3\edF\FJ[v=KUkK?Rl[ZfbO놨v%O¿nyY&3l_,Ŀ.9 XY!p2 =+2B}Oz x8h<4?mNt28rT^ȶ1IFiXڈ)`OL1Sb _|$ְ #ڵlgcb=!Ѡ vvTN q b .+%΃7aGOd@ +Oj m sFd'<NIyxYE̸mlzW"80Zˏd8bP3{.\;/ ~10ǃKᎅ:9N0> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31261 13682 0 R >> >> /Type /Page >> endobj 13677 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13678 0 obj [13677 0 R 13679 0 R 13683 0 R] endobj 13679 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 368.225 137.278 379.225] /Subtype /Link /Type /Annot >> endobj 13680 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13681 0 obj << /Length 19 >> stream q /Iabc31261 Do Q endstream endobj 13682 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31262 20830 0 R /Gabc31263 20835 0 R >> /Font << /Fabc31264 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_R!*p% d Z%LB.FPBD1LS4$dqJU$z(SBD* ^Sl\9 " o=kDN{r=C XtlP[QS)hG_;QOj3Rȃ+6+1C+p|ֻ^k: -?=%CO4i.$RG"erk|Z-48יq|mau3ͪ5ۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"KvPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13684 0 obj << /Filter /FlateDecode /Length 4325 >> stream x\Io$;rW<@pg& PZ[`Ð t_wlr)gV*$}d03(S[m_yqǫ.uVǠgU~~C_vV?wa!e_>9WaWK*K,W:UͽW7Y$iP/:.|6 ͔nx3|;$rYhڎqZd)XT.Ҡ5'5aBuis&c84s6 ; '3LafRǁ6O=Y7cŸ x1J88[D+&Fu>S+N 0s\ZVYi]wګubP*k'W9ˢNVuMˬbůON Uaaԃl/~=G>jW:,dO09 D'@]Dy7=瓼g1wo>]{$wXi95Imc5g/q;__哲[9f5qSlՖ.zi5 $|Yq LXPΘ*n0rPZbxӥ7ޘ&-UR#L&<949DFvh ̎iEQ*fbޤƐMdВ"L~IU01TUI%GSq[sMeJ\˒Ye? eC#\GprSn%[ߖVuM A^'$6j ` JxdKuh7]]Y|7+}ǩԡSkNx%׉֖=$1wKֻl?Jj =ŊrK 4j&J&b4d^MZZ`'g d+kw%!ʹ>ooLxځXm\‰Hun7x&*~G>zT=3i*CSƽ{۬oW884e<ªpa$Qܨa@mcƁ.z'abhaݝzn4޾la]<0?sp\|(O V?}'Ki}g1$8 Ž8[+w5ۺwSsR~f^ע:kg>a6hm ,םrR2B1%=6eFH"޲v%'EWp>{MyP"\r8y^S}&ׅ C#MhPmCg!Jz۴L>>%z qz]O)rcM_Bi=KVbv,c5D: u%n&1xkK__<SnNnJ8ʎ#}bk9^|\mb]tY&V))yx 5ί^e[Ρ6M6G ]4S)%::/8g`33c))&+WL.8{~)UmcLuYL6<#MN A)[+7Y.'9qwzCDXYg"ґڥtLBfs\yO|`^`[wuU2-;=i>ȹ('FEuZp@|)c6 ɕk9Av6,'ɽ1hj8 `fiȌȭ1tQi`N zBfwj@9O*K? >a&X5A<OkTg1cF ܕGqcI z]@߭G 0Χ|M2[lL \f:I˞-blMM?T7?5ҁQO:%0( r\HܥxH.6 E\ f8<,^^Ă**Ν)-TAjwL]`^R< ّ*!/),ꖲs#-%xi}LXz"/QE+5jBޗ|nEUkEWشpR&‘j64eKߙ)4߮S1^/l{1w} nzV^O_ɀx΋_`oo/nh-p%f!"M8.g9zmuRߒl(>\Uwh-StOsƪ(G!:;R`ԁ@ Yr`vuco |SZNzsQӗ+=YQgIݻ~U$]5 tΚ>v'PF^]"o y*K/gar_+ǟSVxd9:~w ܃=ﭒ endstream endobj 13685 0 obj << /Annots 13687 0 R /BleedBox [0 0 612 792] /Contents [13702 0 R 13698 0 R 13699 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31280 13700 0 R >> >> /Type /Page >> endobj 13686 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13687 0 obj [13686 0 R 13688 0 R 13689 0 R 13690 0 R 13691 0 R 13692 0 R 13693 0 R 13694 0 R 13695 0 R 13696 0 R 13697 0 R 13701 0 R] endobj 13688 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 257.0144 184.6217 268.0144] /Subtype /Link /Type /Annot >> endobj 13689 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 240.8144 186.4367 251.8144] /Subtype /Link /Type /Annot >> endobj 13690 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 224.6144 195.1267 235.6144] /Subtype /Link /Type /Annot >> endobj 13691 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 208.4143 192.6352 219.4143] /Subtype /Link /Type /Annot >> endobj 13692 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [104.1732 192.2144 170.4757 203.2143] /Subtype /Link /Type /Annot >> endobj 13693 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 176.0144 210.6422 187.0144] /Subtype /Link /Type /Annot >> endobj 13694 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 159.8144 202.8817 170.8144] /Subtype /Link /Type /Annot >> endobj 13695 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 143.6145 217.6437 154.6145] /Subtype /Link /Type /Annot >> endobj 13696 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 127.4146 198.5807 138.4146] /Subtype /Link /Type /Annot >> endobj 13697 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 111.2146 203.3877 122.2146] /Subtype /Link /Type /Annot >> endobj 13698 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13699 0 obj << /Length 19 >> stream q /Iabc31280 Do Q endstream endobj 13700 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31281 20830 0 R /Gabc31282 20835 0 R >> /Font << /Fabc31283 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw72|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 13701 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1224) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13702 0 obj << /Filter /FlateDecode /Length 4350 >> stream xn$ί賁~H299)q C{^|tOh]$wMzvc|/KK Keg 6/hhl*<=qјSvS/T/@._hq>xX >YmtQ; wN{9NxҒM(=ʃ@u^T3y>-kK _6KqqF6Fso{rB@\QgZZ%i GG$%rY`-.6b) 6MsGz™AV[Oׂ!.%k 9&= I#-:.zr%Cm-=1V\hФf΄2!hGt<@ʨ  x.pFoK꒝>Fls"eoHc:w}Sסh @GS#uƻdó~[~[҄hV̌@n"a6tz2ypkq |/?!  ~+ *TXt]Y-=-0@w]Z茲 O;R*0bűrTjsѮ0,tZ p$dR@%Arv MQx#2h 1q@?bz}]+ĩ y]pˣK8uL0egɀHe {``m{q\au+iXiѩWpLp V!4/`ǿx _t$SM:E,* 979ĩ[._!V ^QSV\YN5 y`ciGxw~Gz"}qzgZ =Ԇh4<gMkKF#kALo>WAA]yUfÙ47 . 6OiUEl[E,r z hܝ*u|a{H15r&0>wmS鸢NOILC# !1>Lt#-ɦfIq:D ;[elz0`<9|XxBنm'&hTl"–lV ZlNV3Jk wt5bvH#$`һ>n%e^Q;'h݈Jl0R d euvkɛUiGR}^uK[Z*MY?N֓шD`CFӢJ3ߟ<'O0ήqOp|kDwl@6I2G\uA<\K([ 6o)ǵ4%:zf3^u$RVLVkڛ-Pۆ4v8\cOcMo;!ΆVM9ϊkkD<ũ'E\mF\8$}Ҿ/,I2eZɮQg@Iد[Cgmf%/\F_zV;עiCW[v8L 6;>{e{8a}pT"$5ojdt7ɡA5u噕7k{R%C…V߿cZEgIx3 nT/gee耔h8E+&Q繘?[^._2YɱŧiY N&VFN?8:Q--7;.4Au2*`M4t.x"EAM(tɲgt|@]|#%Yq[6HoGr8)w%$F# Xmn3G7sbٺ!Ch梓J]KdR߂҉ nr+uFmđ+R?d{@I늌pb|,ёn!u[hA\t)⥎^JFuLW1YQ: =Qt<Xn,oK֎*6id=>̨V: Ȏe6k*:| yE~bܴv!FT93iϙOܳǩjͩT5svo2X;;uCXva"JMl@C!^,;2m0_idY @Vxh,rɧ!x"-R֕]).%$J6predJCd|_'.3cy'gGSiZo=i{0baw^(a>yx>xb =;ޤw o0 xf<_Ŗ`xIwÉ-AI59w@r>VIVxJy0~IBP VX9'㉌@Sk< C Ӏ=sPP D٪ endstream endobj 13703 0 obj << /Annots 13705 0 R /BleedBox [0 0 612 792] /Contents [13711 0 R 13707 0 R 13708 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31299 13709 0 R >> >> /Type /Page >> endobj 13704 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063027-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13705 0 obj [13704 0 R 13706 0 R 13710 0 R] endobj 13706 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 368.225 137.278 379.225] /Subtype /Link /Type /Annot >> endobj 13707 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13708 0 obj << /Length 19 >> stream q /Iabc31299 Do Q endstream endobj 13709 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31300 20830 0 R /Gabc31301 20835 0 R >> /Font << /Fabc31302 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 13710 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1225) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13711 0 obj << /Filter /FlateDecode /Length 4303 >> stream x\K W+z ,7'rrr3")vTI"-I-9%&#RwrXᅧg'_~ZD_^Q;ֻTB;INcSjS{z_~oy48/+n4_\Uo꟥ZBZZ6OߘfWccOQD勊~yPl %Q`P6CV@M'zarzb iTcC!t(DIY J ,pN$imX5虪?x> 5VԟMprfv4(8h 'Ih::]ҩC-梣6PhD7h3,sd`&)as`\gPE!t~lrSTP xYcVi=XI)l֣d)YuΕmWbqE&G_{ ty$9aZEN/ {OYeMY*aGա5{AZ3vZB0֒,< ́4t f~Ik҂X ò /q!n0q_a_aN/jq'iJ@gv ҟ8jOghsy$JV^ۂu0q͢55'l!YJxWm?=]{w[SJbCFDn?ujV0}rtՅ3&zZKi #IEabaѠA4NU5n]6i)VyYL`qӵs<ɐ™i?]R [wK&7j;D;^4"RXB{R?æF Չ֪Uֆ00*aX70Em(UನS]ӮcJ|ŏsǽ1㟮x_Ux {?jep7<=?< ̓yP׹i զx?Bp=nDuY$uM_( :kpYI`\g&,ljxޔct`fH?YopYw!?PtVyWV$~TEz 8;.~k+f : B;J6ճEozRY1a.H3Xu{RSjʴc%w(ۙmNQ7ɽ!"8&ؿ9g$w&$γCvlĵYQcWp_L8&"rqM~Wl~753PvZ^mq_ci6BWu[;~d4xàn4;veX?4^ nO2uXڟV[F |ף+_ବ&H]Xe)m7z' M\Q $k>7p#|}/2ȴtfJSY佥xE*\2E|_RE ~%#ѶڷW8/,IG|P0 nR%Z VA? tF<@}aˈ[Om$qq x:Jt$TdL7(ZT}suuKE{--8pW)OjR#hJҵKV9!^,`?,a\p޺r%XD%)KGddD6ڌV{U*JnK3+?w4y ?gƷo;a1Nbc?'1N'ct뇞;$.N:p֫Hמb6`5 T"H6  @r㋉="8'mvwn5iϝ!T t_a'e~~b@Ð,߈8X|EMos(i ia 14i;|3.G.,aAm7xnK]y,8{\H~UBko 1!S4,iTF@SAp%(qmA9c`!`b9I kqaU5qd .L|5([V8+G~;>CA9tS\%.\!rH8 hgV;j=sЛA_A8`9$xZ[#~yVʬD栙 wZNțS]Zqգ5fGb&o,O3HJi%fvzB ] eNNIp\~+"t0K+;;۰XِU֒/Å~o8ڐE3-Hs;Fve.sSW9:=S_  ]2?Rh<݂ pC׋ߋLlObubI?Zf=C$Ł Ფ }H,.@E.ݰ/Zbι5k=QvY8,&Ǔ?uCItᒍn.3R(gd.5Kc݊H0΋$pVܽ/؊2ky(fSڍ E*l#vk;3Uz)v{ _ޞ<!8)3*jdS9dY{zkҸ]˯ͰM9KcI3ӘjԔHULSXΜe9vmO;I9E9r;``wyD=~kNjTH#a\wRZO?>a1r}_{N(2=9/Wz: >)yG_@Mf8ȉY]=;q>d&K0>DE='츒s!'udL9gbEZ+3§ ܃<?G$ endstream endobj 13712 0 obj << /Annots 13714 0 R /BleedBox [0 0 612 792] /Contents [13726 0 R 13722 0 R 13723 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31318 13724 0 R >> >> /Type /Page >> endobj 13713 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13714 0 obj [13713 0 R 13715 0 R 13716 0 R 13717 0 R 13718 0 R 13719 0 R 13720 0 R 13721 0 R 13725 0 R] endobj 13715 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 282.4759 182.4987 293.4759] /Subtype /Link /Type /Annot >> endobj 13716 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 266.2759 197.6017 277.2759] /Subtype /Link /Type /Annot >> endobj 13717 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 250.0759 195.1267 261.0759] /Subtype /Link /Type /Annot >> endobj 13718 0 obj << /A << /D (unique_277) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20211013063105-08'00') /Rect [104.1732 233.8759 166.5377 244.8759] /Subtype /Link /Type /Annot >> endobj 13719 0 obj << /A << /D (unique_44) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20211013063105-08'00') /Rect [104.1732 217.6759 208.5357 228.6759] /Subtype /Link /Type /Annot >> endobj 13720 0 obj << /A << /D (unique_327) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 201.4759 176.3662 212.4759] /Subtype /Link /Type /Annot >> endobj 13721 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 185.2759 165.4707 196.2759] /Subtype /Link /Type /Annot >> endobj 13722 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13723 0 obj << /Length 19 >> stream q /Iabc31318 Do Q endstream endobj 13724 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31319 20830 0 R /Gabc31320 20835 0 R >> /Font << /Fabc31321 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMC endstream endobj 13725 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1226) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13726 0 obj << /Filter /FlateDecode /Length 4217 >> stream xڭn$ί賁~H299)q C{^|tOYdbެ]Xb\ei}va) _l]^~s}M\^MŘgc<?8n??sNwwh%c˿1؇{x3b#ykyYZ:[kf[@x0-LO$@3=Z!m;Ȇ`:C81`#>[Z?&>|wO)\bX'kĉfý =xieCt):ce#S-bRe=DOxq:.FMg8 +t$2T8lL(W.Vtɦ'2) "3pD|N+Xqa"0bLȻ%,mC|6%]CvRAjg=o^6Sr p' z6]EpE>]5;V\>hoq,iGࢎ>.ȴ~fX«$1H;4#dvIΒ!YmtU; wN{9MxҒM(=ʃ@u^T3y>-kK _6KqqF6Fso{rB@\QgYZ%i GG$%rY`-.6b) 6MsGz™AV[Oׂ!.%k 9&= I#-:.zr%Cm-=1V\hФf΄2!hGt<@ʨ  x.pFoKrMtBuNgeQ;0`w[بV_N;9^رqZ{d9::RkԦ0% 0́+(Y< R>Ct7KE9G8xx4F v*Lpt߭M@4H!]`q-r*u&GffL;`p)+ C'^[@O'TU qO8O3~`0xP2WRohRE BgeWxؑP+o$=TvaؠC¥X&!*% :#Thz)`,@S+5 .Z N#.]p]iSg(;KD*KUklܣj VbE^ D01XLԿDI)ёxT`j(gQY!Ah!N r! R x" ?dvy^ȣK`>"\;҃VÊ i'P;zMD<5,K쯝ҫRyZ5Yٕ:/E%wv{4lADLNǂd+n<*Llћņyu6v|=9䱞ΘJ3 [>]s: ]0O  b7=vQFX?Ҟ;> \xxΒb7)uO&}s:FC%B"V\O"xԿ5Sr"b:^'ocAU [ L)ZRx%?E=a_Iԏs8j"6sZ$@ٟFUDZ\@wNa0]ZD> #ByJlB6/bۂ,bexK[X?GT9mí"<&a ^+jLsqawmS鸡NOILC# !1>^Lt#-ɦfIi:D ;dlzo2QS&GU8Y8VV^^0 fY,m< löX*6w aKt-6'tV3JTkL(GaIwI9 }Foe^Q;u$h݈Jl0R d esvkɛM@R}LgƷT҅~'#؇E?ݕg?yNط3`] = w ;eK*&?H<&6WR0lXE٪_yK9m)qs0P/G21;ë^v`X*ɪvMi<Զ#.4~_]~ⱦ_;!ΆVM9ϊkkD<ũ'E\mF\8,}Ҿ/,Y̖ Q%=E$BMhϩ2W9*E903ҷ fQ2tcx;QJ`$Q"09C!jdr$OK]TnD ވHW?Ǎ%ʹ. vt/xO1ٗm+n*NW*aO/ *^#δn{+^]@ǷB \%//_kā#P';KTҧf I[7 X]$dG11 ?hCt #y?dC'[bS`M޳ֲՖjZŚ֕*?I6uN6Qʁ#D~X^d1Sd7Hlnh=V{~0uEM"x䳷Ǒt7/v#ʄ]tCo4[S s>TQT ]̡HcXV.m_j(,-/XT#m̷`LP=Y \ x)*7c5TUipf >eT] HgEuے]u4ψ44Њ@G$ѺfMug0W/|A^_ye&ջTglWa]0C I/8k׊+q ՙenzN,g,ie<۩ 0\pi2C*֠;*h hAJo>U@^KqAzbI \HgD#%iJ狕T}3*"mx$FGN@T2D؈Jf&ƆOsĩj˩T5s~o2X)08uCXva"JeIMl@C!^em}g5X dYYjLj?3/wV3<-ʧpmq *h ?VB/TZoZfi-J25^RKb:dM  ?|(綰 /DJk{ۘc2{4QGsk`fE7-; ϛk@F Bw#s㭟lVb??Sy[v(bӷ4!QtR{$Ϫ? Ѫ\}r)%% >b#EvT"uC(DpTIYb)14> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31337 13733 0 R >> >> /Type /Page >> endobj 13728 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13729 0 obj [13728 0 R 13730 0 R 13734 0 R] endobj 13730 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 13731 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13732 0 obj << /Length 19 >> stream q /Iabc31337 Do Q endstream endobj 13733 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31338 20830 0 R /Gabc31339 20835 0 R >> /Font << /Fabc31340 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZ„H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"^G+QO TϬ>JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy45ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 13734 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1227) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13735 0 obj << /Filter /FlateDecode /Length 4393 >> stream xڵ\KoW@k {fvԋdQR{Yh gz,p-puwƥA+ӆ"reі9$0;qU8wO[FW#Kh +xZ( @.wpgmG"FXSV$gf%PϺӞ.v> M݃>FԵ>pM$ q6l~:7%EldAĶً}TʼZ57⴫q` Lo+[Cy[w:+huKQU;3!w;'UhM+4a#|v'WT#垐Ɏy[-}oL5)t? (GD9^ܤ65nTG7 h=DϐU*K`>ۋw{MN!6 i=G 'p@r1ZƱ /5Jmezo`ӡ`d;f7 >C=!AOd$jZ?+BڶwoD/=pԂLC&WNu0VMApNf}I3˝]]_8s)X"LXo SҊ`4 āwJpc< xG]h9b|낦,#UP/}JGEʘ( +5K@&e Yq%[*^W-xPG48PG+\ LL b&ZZH:{jTyW&oh^'\ޥBT⥅ 9@H}ڴԚã_k Gu"4LK@Of-)9.ʅaQK\E=)+ۋHh F1ݲW)6sA{V Fe?ۍM;۾-xc* 2]l<414m彿ܐ9'q 8sI/0`d&{%re\k P6Ŵ:locub25tdP f!V Z; ,SU"\`l1nYaLP\9ˁKSAũ`^e6pXG eXx ``Q.b_\Jy(v  UJ\y(+8w'w'48]VpodXNCi}2b@/KyQ 0H3`%_#u6FՅk~̗^OV<Q|x^ o֋=Fc- ̧l[6ol|\eOvP;$"[?) RyJ^FS:>z7fIv*y;(IGZHi;PQեs.x;hgPtPgR_XD9#*T݄=9TrzCo0VBn)WB};F?G8vs~EdϴpS<Nƶ|Va%Cbvg,n7tϱT>իux=U +:%mp\H>d@1JrP"CՒI>}d rTuZ6f PxhF 38<*ul_lbBQ= 6 }F'nz"L* I3*hXR.ތ^HUX*M=鈚ϑAf0Bp)9#B'ha\&l͝4YO6P#؞`_9xC|E0oզ> ){%ܭS5Oqжq$[i~ w#=]^s5WGHZ@N*쵆X=#BQުW6uh5G78642N TSL梇tEdE ,xaI *XM*$34Kq]X&qR&v:27q3yn5Q) | 3γu9(L;1 c#= Zi;b=]rŪ`6eº ygSYRz<5E!ލėDxP.+'VSH~fB:㽪iXB`IJ*RA)QHsz:1+[[^Mj`Ʊs,#G ܬj!V3)Ag^uziƢՇHLCj~4+ZKކ &(ҳ v8;N=l9u^sPq5XBSDۜ$OѓBeϮ% 8 >y/QvےG;^?YT7x{SX+깯'kTw'$R447U1tNk/`hibS [O8HdcwJ\uxՅH'_TzhU?՗82 bF4\% ^T]۳k>ޝ-yNЦKo^#I=1=̺ǝdsVYL q`tt[Ӓaɠ haOnS"+ޘ)nmSG{Q5{z'/_鳭)zh":~=${0I22f4۸x 0ML  Q͕SB-~pR+I$2uxadxzrNs0w/m=?H&u#_Z" >M׭ߡ}kV5ͤ}|}U3Sl9 Ү;46=/k pRƇQ32ss-iخPRϗ BԲ&PO 溞 x4 KYR0ж3gۼMp\eC1Nʌ`t߼paz ,39: > ao*iCWڵt?FsnO7s̛-;VVnJ"-*4cD9q9A iPcp1)r 9!b&׷1h-gZ}>}_ j8(>JWc3_H*J*E~F_ œ,֯ܞ *i0^G\&XԱGP:tGb +\W+r._?Rt΃tJDT[ۙDE I"~^&?æS/=_KpQM> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31356 13744 0 R >> >> /Type /Page >> endobj 13737 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13738 0 obj [13737 0 R 13739 0 R 13740 0 R 13741 0 R 13745 0 R] endobj 13739 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20211013063105-08'00') /Rect [104.1732 543.0346 168.9962 554.0346] /Subtype /Link /Type /Annot >> endobj 13740 0 obj << /A << /D (unique_328) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_pcie) /M (D:20211013063105-08'00') /Rect [104.1732 526.8346 178.8247 537.8346] /Subtype /Link /Type /Annot >> endobj 13741 0 obj << /A << /D (unique_333) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_pcie) /M (D:20211013063105-08'00') /Rect [104.1732 510.6346 172.9507 521.6345] /Subtype /Link /Type /Annot >> endobj 13742 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13743 0 obj << /Length 19 >> stream q /Iabc31356 Do Q endstream endobj 13744 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31357 20830 0 R /Gabc31358 20835 0 R >> /Font << /Fabc31359 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13746 0 obj << /Filter /FlateDecode /Length 1815 >> stream xڭXI\7Wlx{f 9 r\բ{mbF*-U_~:=OvymhNOc٧X02~3:N=k' 8bs?9*'n:tj,m=Z̹eOWڍ}m"U )  Qeɏ26Aj=B<;O[:9ꄹsٳ몔X`;;Mv^}<. zg'NShq޻n[M!#Ls·,x8X(fj0{(ي2lwXE% OB h`7a&$LJQ)~ż}LVmDR7:0o7uf an2*Vż=ҎFp:;wI ͗95vF&#Qrh;4IW%JQQs|n){jJkQJKA-!__KҶdZL/aNv,Jߋ r4HR>(驓 :HBF.3%OcU`VRy#i#ܜ6ˑ/Gub6{cv75D@̎]!e~ۄ#JMHفFb]_c>?7u̬MsH_l a}?KŬ#9_Q4ATeMyDA[q#"#jz83kJcJIZPD+ip$YHIa8F*Am{B]6do3j%3[.3nat0,ܚ4n,&=/'9sYU^Ib>@[jEFy&QAPAbݑal+Á0bŅ,~Suq u!2䲒č6UCek̕I$}7Tw+#_)YMM1*8ҩ*xѻ]E#=/+m.mrq"]SDž<4ovrpMg}|*qřb:^{q^Z_ߤqǀ0\0UٝVw|wz<{`']hOF{WDD R(I-;PfhrY\b=޾|C~T9|8O}\aW]!ڈGXvdI=IioTēxMjԇ S&2*938NVo !CvF endstream endobj 13747 0 obj << /Annots 13749 0 R /BleedBox [0 0 612 792] /Contents [13755 0 R 13751 0 R 13752 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31375 13753 0 R >> >> /Type /Page >> endobj 13748 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13749 0 obj [13748 0 R 13750 0 R 13754 0 R] endobj 13750 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 422.025 137.278 433.025] /Subtype /Link /Type /Annot >> endobj 13751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13752 0 obj << /Length 19 >> stream q /Iabc31375 Do Q endstream endobj 13753 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31376 20830 0 R /Gabc31377 20835 0 R >> /Font << /Fabc31378 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nUthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13755 0 obj << /Filter /FlateDecode /Length 4247 >> stream xڭ\KoHy,j{^/%Q-$###⋈|c1boK3KK6X?ek?O_-/o?r|nwMOVw'c:=?Cr"A}N^cHjMf. J㿖o,;Yv.P֤C5_gA^N5 ' xK4Ӛl.Zzu@65‡H]Z/n n)k a b VvQ.iA =P()$k'CKF51Zf/%??h{K_8PM M\~ߖo%h 4XYex?~_md 8=A{'jë ||^wOh믆Qήii,o+v5Dp[}OͯY}ϑ~&1542wKA-m5w$wh@nG C@m`z{pf9,WaGGl!<10WjL^:Eq[wثegb >WٙV7tcn,tC]襁Fч h-j<7rAύY{岚|ޚEY)4ѣ:xT8Vܨ_x4nybYI aUi3Ix\tˉ[0T`[."V]@+˅jqcS1 w4[e2 ?\Mfk0$35PzhpϭF7sxx߉VA1ۭ)O&LbHO!M|oJ+ϒЮз7b9|\1ݦNs$ڭf9jU〘Reqdc "_ m*E.XcomqХ4uܐ1aMR9s?nMH&{%r\ 46HuiF}lFޢ`ĀNv,6HpGonxy¨,ӡEKXo0FØ0ferCoZ9P:`[OiGXf tvvrv`aw`Q1PyHVjfjl ;Ȟv% Nj3D<8] >Nj֩;Z\=Ď0XDRo#>7p< #}FyWO 5 A^#u7~:b>|x^SHZ`]_#:8`u cpn0 ASŶ5򜥬bs?Vq O-th˴c[`my=喫|҆a@l%{\lw*V:?ȫmA20t@ݳ`6q&^ ˑ{3ZH:֦QNUH=jrhƒr.yfzAMvH(| HjXxEe)9&5;X {\-Xma m'島 ՞ϼSG9׎Р-!mUFm@^pՌ'bn`l\I]DہPԊ>yjx,xMҺ D:%o@RjvYeF`]a6u_RMɸf%&h9%Kl]7m۝Ok f4h"{΁'.YǙ|iF;H9% F!!Z#UgyЮ@KZEJ`oH"oc,ǰQcG4m=ϟyD= !HpJl+r$ +i[䤪|ȓծOFq]kwඏ~El:0=O0EiɩHTZ:b鷓VLj\7ҶnrzvRꮋ[^>Uշ| c#sjsi!{Lnj//c&E )ڃ ?0u>>w&YM 5T3}Lú9'q;((\ ;dyK"X敍!CT@{ :e _ PpDо8Mކ؊c5لn&м6VAqj{IcESwk´MnCtj6qg.^N+r <ղx_qkVzgφG{z#:1 ^j1$nt]evbb(ROM7{#yG7+d}3t ̛Nʸ2>vGʖ@f4op6ՀAY;9yS8bl@>8Ngp$b,{\K՞O~؎:&/;_pj ŭ(#i k A(ᶙ 2j +iσUI nG/w`4;~m38hvblꙚmڲoAs*MުsnY{V٬eX7MHLjX"tj D`n ܈X=QO6G5Ӆ6ar4,4<;vB&+#59&3w\> 혧ޛ٧]Fy5m֯y7NW2ڀhЏAx,fzk*kW[0owuUmYEZ`Gv}Onh6CqiSc#Sn&$>v&WcJ<m-![GH{X˿# AMGXt0G胺>9LqYP,H]Fz]DPkԠ1h*0W&ț5X?`<~[1>u.UoZ2ܩht8Oa8~m88fl$ Ea5sS99!r=G":ߏӍp>=Fb0,<ڸt.0D!i endstream endobj 13756 0 obj << /Annots 13758 0 R /BleedBox [0 0 612 792] /Contents [13767 0 R 13763 0 R 13764 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31394 13765 0 R >> >> /Type /Page >> endobj 13757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13758 0 obj [13757 0 R 13759 0 R 13760 0 R 13761 0 R 13762 0 R 13766 0 R] endobj 13759 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 532.6 197.6017 543.6] /Subtype /Link /Type /Annot >> endobj 13760 0 obj << /A << /D (unique_256) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 516.4 193.9112 527.4] /Subtype /Link /Type /Annot >> endobj 13761 0 obj << /A << /D (unique_264) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 500.2 211.1647 511.2] /Subtype /Link /Type /Annot >> endobj 13762 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 483.9999 179.0062 494.9999] /Subtype /Link /Type /Annot >> endobj 13763 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13764 0 obj << /Length 19 >> stream q /Iabc31394 Do Q endstream endobj 13765 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31395 20830 0 R /Gabc31396 20835 0 R >> /Font << /Fabc31397 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QFpr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪ_EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM7 endstream endobj 13766 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1230) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13767 0 obj << /Filter /FlateDecode /Length 1884 >> stream xXK#7WhEϖ0ǁ6 rd'{WURЖJ-U}J?i-'jrE1SѐÓs4ڠ5e!觗Xƈ ~ l) M y7 {]&a=^A JEڂ^ce]g^{:Q{jv' >:^As2B^Gy \$h.Đca]\-.ԽU?'mMQ3Ǽu&i"ݬ??~?w̌I=+,ՂNCjd}# oGUd;n  d c||+dO&3:66B{v< Nߟfē+ľ +1njB6ZWdJlG(,}'"2)$g6" :_puHt_0黲9ֺ>IoKj9GfܮNǸffP(+d]&qbCY@udéek3B[o[\圱M}ϖI!u[nƉXI>Ѓ}&'l=.pK> 6dK,:]ra)f݄A9-{bf;Mc`v+)3ڠ8)s*0S%O$Ju(Pa0k,!HAbPF#}K tL"R3ŽKw˲3 ǨcqqADz{:\ӠWI桐a:JI':'~N%k-nqNGٚ4V#J5B-7sW`(|a-;'M|UMVd&8nr- dB-Nf%:Nec1M5rGeV7y!w:lf@G.`̶evo/ZNk*} P $M ɍP=7bu,qܥվ&{|9%[M>obaSØekx}  JΞqc.쎫 E7rp>yd3MOod)DZLϡ=#cBefwrpe"Xoay|V?69 $w%8kP;DqcI{`I=I)mm^'xEtvG_pY?H>"Ɍi5ɹ5 !C/O endstream endobj 13768 0 obj << /Annots 13770 0 R /BleedBox [0 0 612 792] /Contents [13776 0 R 13772 0 R 13773 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31413 13774 0 R >> >> /Type /Page >> endobj 13769 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13770 0 obj [13769 0 R 13771 0 R 13775 0 R] endobj 13771 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 368.225 137.278 379.225] /Subtype /Link /Type /Annot >> endobj 13772 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13773 0 obj << /Length 19 >> stream q /Iabc31413 Do Q endstream endobj 13774 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31414 20830 0 R /Gabc31415 20835 0 R >> /Font << /Fabc31416 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 13775 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1231) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13776 0 obj << /Filter /FlateDecode /Length 4425 >> stream x\IoW)I ~ub N&A`O-ܥS2=Y,VE.T]ɤߏI}SB]R?ȃQpR[TdZc{fi}ڵ}4 *_ _^w `ʵ~w@TKX+A34L{BaT'G:3_S`> um I/?"ыfM ߾d[ \_8YȰKΔ=l!—H pBa}:Z?m3 BCϱ 2yȯgNjϣJ.ҙ.<kl Y̹~^h/:łW;Pi*/J'z, SAuՊw TكjRcke4 jhXjfuWìJ2!FyƮx=3c@QK3I C}Pj_ѵAiފ h:'eu})h {Lق:.) ˏ`:M.Hqq'6Βy'ˈ,cDZp\pP`j_ DՈ" ?̴ѭKp숵bp#&p_)PEW#xROsYc7fE,^(" ~J,f.s. ,=G< Ӄ;3///wE'x{3 6/Px{=M{/ :rzHuJr8UupCQatiGeVUksgj>p~Ho)q-;ezδPtW6lY|OL34O#a=?fiuOg٢wr3ffm$3aœf2ٱ ,-4WL٬Bl#W,:dlr` q+Yv6Ds qN8?'Is!2tjg*ڎ+b6fV7u?k43dUXhoBGD0oxrWXpt8p#2{❧6xg; ^H.h)Qd Eqo@[e;[]tޯ}'彃E!ah2LU_ơjʝpY#75h{aˈAůn,s y:Dl*P_)މFa4U5\6k'B(1ƕ/Vdp+GnUe)yBoDiGhvɌ3A:c`<['\(&phz m)Ď٥>+|Nu'X 8 X=X<,L :5P-gs+cuSia9Ȍ2{2]nW6DVpfNzNfp:o '3pW|Nbi8N3$:8Oxx~ D'ILGσI gIt8N83Ӈ$άN?':}ļ:N{p.#Ƕxf 4X&0| H b",:PUK硝AMΏir|]鰳??q1 aH|Wbu9(>@jl[~o9چ1fI6TiN9Zj 75jh ;_"^ BsliT[xb:^Kg +ѣa\.Ctqmtsc"7`[Ф`v&PUCSc$(1izs>:*1v7) $,F8\9 Lv GE5]Q=bEˁtC*?_b.!)L~;邥L ~G5-~?|:E?ۢsȶ<"IhCALfqɢS9cS]b_x7av/֠`_DS˃C/b&1tOS#g}eq2ņi:0'eN|\,ky6kd;8[Y 0'6v emNPd0W׆;WFIO׸k^}r-wL"6-`C^f3 0,n;]w:`%> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31432 13793 0 R >> >> /Type /Page >> endobj 13778 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13779 0 obj [13778 0 R 13780 0 R 13781 0 R 13782 0 R 13783 0 R 13784 0 R 13785 0 R 13786 0 R 13787 0 R 13788 0 R 13789 0 R 13790 0 R 13794 0 R] endobj 13780 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 256.7182 195.1267 267.7182] /Subtype /Link /Type /Annot >> endobj 13781 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 240.5182 180.2547 251.5182] /Subtype /Link /Type /Annot >> endobj 13782 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 224.3182 179.0062 235.3182] /Subtype /Link /Type /Annot >> endobj 13783 0 obj << /A << /D (unique_281) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_commons) /M (D:20211013063105-08'00') /Rect [104.1732 208.1182 209.6797 219.1182] /Subtype /Link /Type /Annot >> endobj 13784 0 obj << /A << /D (unique_283) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_gts) /M (D:20211013063105-08'00') /Rect [104.1732 191.9182 177.4827 202.9182] /Subtype /Link /Type /Annot >> endobj 13785 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 175.7182 190.3747 186.7182] /Subtype /Link /Type /Annot >> endobj 13786 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 159.5182 184.7812 170.5182] /Subtype /Link /Type /Annot >> endobj 13787 0 obj << /A << /D (unique_287) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_plls) /M (D:20211013063105-08'00') /Rect [104.1732 143.3183 179.1767 154.3183] /Subtype /Link /Type /Annot >> endobj 13788 0 obj << /A << /D (unique_291) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_txs) /M (D:20211013063105-08'00') /Rect [104.1732 127.1184 177.2407 138.1184] /Subtype /Link /Type /Annot >> endobj 13789 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 110.9184 177.7632 121.9184] /Subtype /Link /Type /Annot >> endobj 13790 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 94.7184 180.9312 105.7184] /Subtype /Link /Type /Annot >> endobj 13791 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13792 0 obj << /Length 19 >> stream q /Iabc31432 Do Q endstream endobj 13793 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31433 20830 0 R /Gabc31434 20835 0 R >> /Font << /Fabc31435 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM L endstream endobj 13794 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1232) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13795 0 obj << /Filter /FlateDecode /Length 4270 >> stream x[Io,W9t/@9C/[,8/nbX;K/Y4葊]޾-,/PK=.|1fן\WbtX^Y_,^_~ Uɭ6jM_$&r0a&˂0d-4&gK]-]o vRy5{rmtuaYΉ9Oϋ71¡kQKANW&耛GGaxCVM`je|7&@8-L3.X0!NN3&dZ3Omb<;823czo*& Q H <>ċIAӺ *"# ~XtF]D ?"*kvĻ8uBf͑,t"YYYA#O,=POyY`dlkR"٭:D>𘊦s$I:x 3'qz}ㆦ] q[ w]8F`i1dgH&aM{s\I~u˴iEiѩ8wIW6W1'g&uD2\?O ^ن7ASƠGs'{KzxְR`ļo֨Ѩ5kQ"a6OP*RҒotyfG  p7|;DjDBwKa8x`JD6 fk @vi*Iv -C;U0~2]Z|xF viJlB6WŶYUY6AVoa,S > l)!FNl2Xi<;fY,&+t݆i'V"c–lV ^lNV3)o%(֘!q" Ykұ"m뾓9jq. Z753ih6Q Gt̞UYg˂9;N&tAڡb}~Lon(; g8YOGE<؇FӐnLܢDOg-e>߾ Q݄,]RٓL6!:W6ax.g%O*[+6oǭ4:6mZg#3IBj״Cmk6~ط:ߒ:x зmp6tz'#FۻYq|-8U}~2.d~>MDpЬWY X==ltAQT%0弮nzϯ ]}OʕFnudP"rʏAʩo@4S:&@$Sq 51oWBo,nC1<^㱏NkJ'`{z  { G_?O2ΗFZD S$Xʏ`z"#h\ JJl:܇"ep  endstream endobj 13796 0 obj << /Annots 13798 0 R /BleedBox [0 0 612 792] /Contents [13804 0 R 13800 0 R 13801 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31451 13802 0 R >> >> /Type /Page >> endobj 13797 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13798 0 obj [13797 0 R 13799 0 R 13803 0 R] endobj 13799 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 372.225 137.278 383.225] /Subtype /Link /Type /Annot >> endobj 13800 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13801 0 obj << /Length 19 >> stream q /Iabc31451 Do Q endstream endobj 13802 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31452 20830 0 R /Gabc31453 20835 0 R >> /Font << /Fabc31454 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13804 0 obj << /Filter /FlateDecode /Length 4799 >> stream x\Ko$9W<@F-`Gլ= T=@ե""igeR((G= Cj j7YN&U*O"yvh;Ժ%|yS/d\Ԯz5.rXn[]/ɯ/G+d ]|?"j k[jQVFA˿*Ь4C~#YGY_4.,R䖅``@ #]c}.3OEh](=luyU1<}!5$h9ܵi2ît_èx*=Pg>~G3L B+yE֫E봮a3Ix4C{)p]|\Sw"H2 4ɓ +P܂teWi.+4!G"f-훽lA֮I/y +rw^j:] UeݬhÀ$rMd .l`^%X~ ce\Ph+T 6}78ۡı}ch2bXȏAz`Kk k6CI01k{Njuk 2xl'pZlQF:vT@yeu^Wpy`h.%E#/f&Ȧ@دa Fi~OXՕ 'O+(+*'tGe2uٰC64bJo}T (?Ի gLBůFtx[-CnzZmAu!<*3"rk=6`kSNfD*8#<VmtMsq]ĂV󐹧àUx $O! '0ɥ0\ @gpݗp-FHt#4fsJ>+NPzek0ם*aI5 ~Q{ (L)7sx1s9)V&*Wսke:*pvG3iԞ!IK뢓saT'&d׸fDu]c= LR+,mh<#'y}ފwmzMnkݟ'w99pEv!ߧPe'~W9XT:Xhuj \fLEy۪ݬGA(Yw2z<&1q͎PfL#AʮkOjk|&=Hmd'rVT:t&".N#]|IDcA.Re:qvUW ts|/>#KVg@fW-&Djmr|Gf³큃r;NW%ɨQqF?BNUUцpe < m+}.@7 ҹ$M"?T+W:$iUvY{vIuު~Pʠ+/TI~LsE#55 3 [G+4n ̢-J(t ^NT25}P R Оcţ{OFݦ֤]ۍV8BCTXpQZb[t֍`u6P9{B 3d/EG Z϶j4XݥY?_J 33ɒ=7B=e;2,&ù.w*E'MUy7d.>hR< r2>PT|Z++1s5vi6lB1u)B'&Gf{bfp !Svˋ R 8w7jTQk!~d*^ R!}ob ,+ *8t9ddpmj%v8Uugi8,Pz`n0XcX `av`Q/b[`( >ob6Ҡ*Sx@$u8Hn<PUsAbΏ|| >M0YO\ 09 oX YQMAfJ۷CuGۨkA ra ,ƐZs@=:,8X!Ȥ} 2|([vZ GpKc 5#nXZ`'E!Z7FK+{u`SЦhJ0:nUZ_R4FjNsu}գB18!rhVIi2 \nҚX|ROVt:%)\zשغǾY'h\)8W@:f-Z-:̣ RA[r oj YP/ eMV<0/w=M8/%;VZ@q%By>x7]Rb5T:Iw=pJ# KWmaEh|v6ga` %;4d90wcDØEw}ySnG*nq0wyXdDPT;Gh:8xgvŇI7Ej՝Uk@ l|O& 6yB%5̃$S{!co4e 1Hls(Eeǐ+xNki۠?Y>ԖUԖi S4@(̈́-h%KLܺYZCvri a/i ˰4s f-}>ӲaQYv`b\tF# Hqw rĔ%j h0QNƍyw<>e^8VW쳹!bS&%K=aή$3]'ml%5f)o:g[T/mAԝ*/? χ§^qs WSlw4 5Nq~BA &LUG/aǷ[.1-2J{tjAWC瓥jKACZV:yb{)#-.Cz̢ _e\Lse6JZd>FVڵtL\Ϲr./3S+xgN¼tH (\rOܮ1(e36^V#Vކ"m6VKğVm윈&=mJCC3-7gmC4 K|Mi*bQ`0qY\$s% @Y\Ax9s7*Fz]ם[[M5i (ǫ ̕xM$O#ص:M9]o|_R[t1gkbF)Ruֿũ$EeWy =R(]#pCUK~ΕkvG-{GZ©J3NT)b_.qq*lsSMBAsZJ4P ;*s.|ϒwoUZ6JRi)(?D)o5kFӽkgyJVl]RQB UylE:PLeS`6E͂k[\V}d`v25nMzz =RooMM|rM{5&Ž!l"lY^M =p sO}`1K  AtwUW߅aK7}Pa߭_DPI,՗<; U8rX+`I]!1 >_3x(|=|b.o#e6@_</7zRXQ~fm-{tݡb 9$ 72]yT=٦-ʫR{t3"`}=C%ͯхX\^2vwEEب ̃,C endstream endobj 13805 0 obj << /Annots 13807 0 R /BleedBox [0 0 612 792] /Contents [13816 0 R 13812 0 R 13813 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31470 13814 0 R >> >> /Type /Page >> endobj 13806 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13807 0 obj [13806 0 R 13808 0 R 13809 0 R 13810 0 R 13811 0 R 13815 0 R] endobj 13808 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 391.9461 195.1267 402.9461] /Subtype /Link /Type /Annot >> endobj 13809 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 371.7461 192.6352 382.7461] /Subtype /Link /Type /Annot >> endobj 13810 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_softmc) /M (D:20211013063105-08'00') /Rect [104.1732 351.546 177.0757 362.546] /Subtype /Link /Type /Annot >> endobj 13811 0 obj << /A << /D (unique_329) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_softmc) /M (D:20211013063105-08'00') /Rect [104.1732 331.346 191.6672 342.346] /Subtype /Link /Type /Annot >> endobj 13812 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13813 0 obj << /Length 19 >> stream q /Iabc31470 Do Q endstream endobj 13814 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31471 20830 0 R /Gabc31472 20835 0 R >> /Font << /Fabc31473 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDUZ ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13816 0 obj << /Filter /FlateDecode /Length 2841 >> stream xڭZIWl+1@wό 9fH[uϦrU[i 9-WtПc觯cOfsE~4;m#3G&/pb\׶:Z3A_or~wUZײ٩ci 9V ~2ժLeHnͨcl./,; =puH<D-C,}`FwoX8%؊Ts"3LaA}(B2 2TXV- JX7+`* َfђ9'k}Sw* 7l6u`JƂW4ogfs5n촧NSMWAVFipUۓ#<4XN$6'A-[k,4B %Z ()N ;z/^fh=z[cjQp6tzVI$ @3&C /cDq <'TXf\+HҿwxcU>fYFߏ$ѿa?A.nOiC)gmù%T~b>v691ݛ@\7eF}EP1IBT$4#> zBճ˞7WEü$bp7W#TRxDzTmx:}864ne*ŕ"w<-J1g1ge:#T÷0hě=&-ԺDi?}[;G#>|7=6ТL[L[LBpj?"s _X,9f1`_wPy: Λ!R2vʯ;bA>y;[_jP0@8E@-gmfyu\bY{24 5a+ m'thn׉ۼ*sag jϼyxWoj*-Qm!nDCMjw~48v<>NTl#V;5Bi:˴o1mdz^*Q4DDE p@WK(̏P;-dF. %r0 žFlD3 ֔wj7l.Pyf*lVWm&)=fntKp '$=8 [HU+R@e3nY I//+ 4ˤFHgFPfcyFu 홈}f'=<Tp4EܫmNt69rTYx,4,mD˔hIvҔ_L'zn)n?) X/8}KH NH7Jߖ #|=q*pJVY;舟^,v]TrGU#Uv]6v>_K󐨑Q3%vF#djx];kM|(Q.lxouWP, *n'ډ]ͣ<Bm*VV4v@jz_Q~eq't<9q endstream endobj 13817 0 obj << /Annots 13819 0 R /BleedBox [0 0 612 792] /Contents [13825 0 R 13821 0 R 13822 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31489 13823 0 R >> >> /Type /Page >> endobj 13818 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13819 0 obj [13818 0 R 13820 0 R 13824 0 R] endobj 13820 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 368.225 137.278 379.225] /Subtype /Link /Type /Annot >> endobj 13821 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13822 0 obj << /Length 19 >> stream q /Iabc31489 Do Q endstream endobj 13823 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31490 20830 0 R /Gabc31491 20835 0 R >> /Font << /Fabc31492 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 13824 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1235) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13825 0 obj << /Filter /FlateDecode /Length 5293 >> stream xR獵J`rwo 3Xn b [oY|Si8ȓ]}1rpYKGzθWkJ4_Sv)8+-_wn13\\v%,I@ :7Fu xPT |͑a,>*cQcgAnt "6L2+%h R7Ao}=0 wύ"6B}#(#;ah A 

vHQZL!C𞘈? >Trwz hS{>ePy`!d;tGDobQpRz1bc}g]b|\:M?D2s9#O\̓C{!s.vad۬n(a+V80N;3 SV-t$0V1U03(/rj:?  Qx9bew|u j;p]B B8=vwUjtI;ϭLVo3܍^9VMM lDm$<=3WY(&r %.Yda:~'LA:3dNڟ$'ɷ*Ľ2Zˬv_E vH8ft}R+}EC6Iu;pa.kfrM' m(jQv+qU;?T0RUqׁeijg\A//S=6ZnbG ҂C[=?%0 TM#T9^hNa[QB bPy r. XO{3 kBb݅g;16l!\Y0cK8UTx*|#P@ۘŤ[.XYTCJ 2Q_I@/-`pA.TaCR,_X>Ipyt>mRjU*DZo.V{ UMiҠ0> lɐJz\Sie"h>Z^U{YuT#e.oKڋ>0* zM6tm7=d5*jɜe,cPudǦ+q5Ą5ɔxJJksX\ޭ 2 DԖgM(R:+Y5fms6['Jo`YtcYdt&\ʜ.,<].Xa֭ ^5oxk[6 's| aSKUT}I;hc1 1 Y#Y,f8fQ-6P=hDsVjƦjld@v6 {d@vg@W+~ea<~w)NdnɊ4s,fNvkNvkNGI9ٜ0'5I^&bN'l>Ϝԡ9iu sR t#쎒ujf5sˡy\?;evF|JIZ wFJJƬw/!ًPw5wڳH{H̾Q9K,,ʶ18myA%jrmfxC ˝d.:L^a~SZ#FKK95rL\^ߔ'ߖH:pKnTzߺ~n(mM?pyrά[JhŞjhx ;qm>hj/i'7=,v5ze X;g(.&F˅F̒aD4⛨5oVr:Uρ@ [-08zHP=W*c Gz %&B(iu͚`  0¦&h_t"H]X)3XIŔ8L8J7a*~JEIBeIvM MQdŻ1A}F}^`e g=-0b:uD "ݩjD`HS<_ <9`TkIaqHaQQ4 G"EԂF`)6Hlցbu݂*&$U)0"hUAyhފ0 r Tw?V{8Oxbnp[S^ OId^|!eM'Oх/%hA=r|u]1k6-,bE,X\p2Z.?j{̸X2 ТjaWl٭:) - }Ρ%@I&]؄6>QAcwQo / eEJ= v*< 0SHJc . W N&A _>oN,G%T(†zV Qݜ*R7H8SPۃ ˱#3XZ=gZ G;iH%WhM!`tR:=p )WDŎ?f:䥉 zx1uh=2nʲw0zpוҏی9!2zu'lS_ino3j;\Vd|v Dxxrq9 >vNMָ\G#-P񤳰Ƣ{1CzqxX!y%XqEG٨ Vr6#(A2[QWsLP`VR\j|ko 㘪tqQJ Gۊ복hmaq^ f䪫i]&t x0` ;ށf/~B.9%`92w) ))" ,m5H X@]yltCKh>QB$kRSi);STji@K(G[Sij^ܞ%š]r$2lDx)Sq2Di~pBP-=KaP$=S8՟К`0>gi<8}<|x/RrI-ekg\;JO Rk<6w,{|PCS֊++I|,ԋu֟Pl%HjCVCeinxo*EC óx\/';UM1p mW㜊+CZR=zzf`Ù>+<>Kzp#ǭf CqvyNJGIjʾ~k+s%z`7 3V E+ y2c{}!ϏU,p=ï^^>p2 ,WOi/[ w2ԏIe! b3?g[^ek56 xÇ">i'}FaD~2=,_@:W0NMo@=XsЂ[yֽ endstream endobj 13826 0 obj << /Annots 13828 0 R /BleedBox [0 0 612 792] /Contents [13839 0 R 13835 0 R 13836 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31508 13837 0 R >> >> /Type /Page >> endobj 13827 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13828 0 obj [13827 0 R 13829 0 R 13830 0 R 13831 0 R 13832 0 R 13833 0 R 13834 0 R 13838 0 R] endobj 13829 0 obj << /A << /D (unique_239) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sysmon) /M (D:20211013063105-08'00') /Rect [104.1732 253.876 201.5122 264.876] /Subtype /Link /Type /Annot >> endobj 13830 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 237.6759 197.6017 248.6759] /Subtype /Link /Type /Annot >> endobj 13831 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 221.4759 195.1267 232.4759] /Subtype /Link /Type /Annot >> endobj 13832 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20211013063105-08'00') /Rect [104.1732 205.2759 185.5512 216.2759] /Subtype /Link /Type /Annot >> endobj 13833 0 obj << /A << /D (unique_346) /S /GoTo >> /Border [0 0 0] /Contents (set_hw_sysmon_reg) /M (D:20211013063105-08'00') /Rect [104.1732 189.0759 200.2747 200.0759] /Subtype /Link /Type /Annot >> endobj 13834 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 172.876 165.4707 183.876] /Subtype /Link /Type /Annot >> endobj 13835 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13836 0 obj << /Length 19 >> stream q /Iabc31508 Do Q endstream endobj 13837 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31509 20830 0 R /Gabc31510 20835 0 R >> /Font << /Fabc31511 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 13838 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1236) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13839 0 obj << /Filter /FlateDecode /Length 4375 >> stream xڭn,ί賁/@4 D@ANJ `_Kh_&dbv?#W~YZ]bX.CzxY~|./>icb&./_NƦbӳ19Gi;;@4{1?Og=<`Zwu[~|N-DmC- dN'cidC0d!h -[yt>'. 5{YSݲ!`pR 1ˑ)LH1Z )2vT'oC8V&3mI$W.,tɦG'gܩm\4&^"nKχL\Ե>M~5o$}dXI\eM=ܦ˲H;Hz6iW7ʞױA &kP&R\=\%d7Cx1X:)0F$&T@Ji!;j˷U8 ,v%zZ jv6 a÷Q8vst.cԵmrutu֨MaK&N ;<Ń3`PxAҥ|s&rh:`AU ::[=M@4H!]``-r*u&G+VeL;`p)+CN GOp_0g`B%eN'Яѕ#.yN%A(ˮ4t*0bűrTjsѮ0,tZ p$dR@%Arv MQx#2 h 1q@qz}]+ĩ y]ZcN{:LGY2 RY$^EWsGxp7M+7-: n *ĝfeGi7</: LSM:E,* 999ĩ[.N!V ^QSV\YN5 y`ciGxw~GzjXa93PjGipvӳ5%p p#SzS*O&+]D.cv? ,c28Fp-'J0 Gl8FgeaU.` r~3䌵[AkZGZT栤;Ŵ ]l&zz\=(;& , rZ<Oy)LY""y ݩrچ[0|e{H1׊9ܥi\`;8|ІM̜h4 "-k<0ҒlZk۟3xN .MIXpdzI?Vg m6wYrѝ dPwu&o>ݺ9 N%˪n@B":{sW*Y.N*vc{smdPDX#9m~Z$$쳅'ڌ"I‰>)P"`7 s* ǘ*A>G0s=2} 'kO2 19kߝT~j?ln *ޮ],-'e'jSvbN>@fcSHn,zSp*Ʒ,NnO*̕JK,X%xUa!4lC'/[7xbL˛g5|8g C u'tY~B'W^lLG+Hـ= -nCMV9FK.%ae}Oa{D5ku_wޱ3 w1"]zk!|*o!|Msr~Tv`MQusrkYPT O4֐r ,Ł=)&\@?7(r+^C7ԇzR'vخw㛪7SA5O A9?=rεQcfR?kG}t]\>e^m!G?QTĈE`R + ktն$(>gu4ԥ/n>x3v4Y:]~ ;Qt=UXn,oK֎ vuldp+QQtImT!z suag+Qf]KuƶzQkfT56YjwWQEh'b UjM jC0oa2TLeU43]ߖvGQؐ1p3_mu:HާB kɟ\FmހX|FuWP rҧbs%fLU.mI3uí '6ʙI׉|#NU[N]S{kJ)ũuW* nb /k<qqjw dYY"ʕN}fe4x7VT`4 "տ6!Z 5gJXJZMZ,EӔPIV+Qj_Lb9{4i?|Z(h}zsXXfsc1qn 覥|'B9ytm(b4AD%s㭟lVb??Sy[v(bӷ4!QxR{$Ϫ߷}*@D^r )Zsa(b !xB7$)9RzFց'd9y f}gcyGSiZ=i{u0b+ !Ӫ}|!5Nk{eywIx!$V`8(* `<b;C<8zp zqAl]?*Ui%=Rdn O҅H(w=԰%?ʁW>#x p/=sPPk3 endstream endobj 13840 0 obj << /Annots 13842 0 R /BleedBox [0 0 612 792] /Contents [13848 0 R 13844 0 R 13845 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31527 13846 0 R >> >> /Type /Page >> endobj 13841 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13842 0 obj [13841 0 R 13843 0 R 13847 0 R] endobj 13843 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 422.025 137.278 433.025] /Subtype /Link /Type /Annot >> endobj 13844 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13845 0 obj << /Length 19 >> stream q /Iabc31527 Do Q endstream endobj 13846 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31528 20830 0 R /Gabc31529 20835 0 R >> /Font << /Fabc31530 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nR6thǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N* + jErM "fZĀ0dD(Ͼ=jֈvr=C\EK}G@F #E Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>@d*u$R&o2VK2ufg[XL*[//d"Xl˒sKhf>eV%p!g%`NS0Q8F$!īiWm`;!xڡ0 '^B90I NЋm߽? endstream endobj 13847 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1237) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13848 0 obj << /Filter /FlateDecode /Length 4476 >> stream xڽ\Ko#9WyM&`$Uo{f{K_)Yre%`0"#5}̤booS3SS6Xߏ?_/~f{s1CxVÕj)W]%udXgnHi >_;yoF=|k?տNzK[zθ,L,4{²se⬵[9Ph\8y F#" ECSXPqäuLJzQ'ҩ0%s~H  3 e:P?Fe7o`+ԾB6slSg}$GyY@v$RN_??vܟ6(C_@:KiwG/8iS;0<^mevjE2$nn(=0Ϟyy HI)V:KlYBzPkPէP}ϳ76 AHAEP@nQ!/;DTgfcb[bVZ\ZdHBvDa8lj :Zf\*2 Ů6a{iu0{nt0ԅa࿮xj J([;DDŽd6c74#Mؙ]^fYtHѭB]׊ | FBSт7g3(CCV 16,Vt{.5 F3ijnp&g+! ix(1[)Z~X}w[}bP.'õ >̆unFG3}'^gə*h$ND//|ʽ$1+j;6Sya6}>?S|nZX}y{dok`EtUnC/e$ Ē;@HpoӤ .،W }-C].(7dW4W_$눉Hw0;db~P߯}IBUi%D#55DÕ cc&&fo`!աT*eL5z'z#j&XT .L\RwuK3mfڤzrj6)5G'.R}j+\NLjY~618?VfE2d,p^;* hy MAf\^DFKXmXg[5~4I sgJ+KgRc۷Ќ=@|@e-Y+.w*U#M]xoWd΁jL.)ͱ$AXʵ0ŧ V\5Wc=͊M(RU:#>ډk@TШŊTvh */E8o\!~eU4aPk}o10Ng8 cV+8496ivp۔ʎPxS_ |NelV0+X.{,˰,f Z׉A,U= X)=N +]@vv%l< ;8)w''T8]Vp]ɮޙ~NjpR#π4}@Sv> Nj5E<8] >N5;j\-0XDRo=ǀ^r+HYt8J| F=8I g_#u7~b>i|x^퐳?=i!H}Ytp8]vfVd#v`a[$dKm[rKO~㦼On< Nleqn6u¶1xU>ǍxG%Q<dߖ oGx;f')ӖwfZq'^Hq[I9Fp9eAt,.KGذHh;06#a0]tM7e qCKD9A+0ZU-c/337z@f盎|4^|M,S LpƂd@1`33XFO1or q8Y+[Yn">ʠ' BKQ!D>p$;ca hkX1joSڜϼrY:k{_\8q0 [wplݓ&pxQ/ XGJ z/aΗU=S_ ' X:SFsca >c1nXIY'<ӼkF16..R^9 T5^g' MdeEٽ1PN5Uظ].ypd%6>d%v^\\i0A/QRcuvFhMxIFVEFL)TKAr0`4PzH)߮|{Qv$Yq2]#Ǡ`.ڀ1ol>uQtXtdQ}vz >FesT4Vy8^Jfm`I]n!>'1/K!M-&kF^W@mɔUj\jlb }v"wo0PU?Yx0K쐠RdZ'%KJsS *)_v?)] NL|~&Z+X!I: "+fBӆ1츝$ _KXźUU=O uxږ:NQ?6b>xm!C?m=iEʛ ПsM/ ћfadFwMy^Y.\_MK?˥ۗj>]8#AG[꒠ד2jI&ΘPCnlVdneɘkӏ-# .D.16E\Eޟ]С`Qa|k,6& Y藶(UJo+YD&e۲Oqu.,!]Zp-^Xsa]зN>?nG|a 尼~nzz/>F|乽uٽp[:(q7>{5⓶1%~}EjYl>ss? e .O֦׫qukJ[ߘS'nڶy03jar׿ [cPcL_IavN6tf=j(~uƷPI!y_6KACvx|/#9 q9Y\@:C JHkU4}, ȥ ̕f}M8#ص:{[47c|^kC*>`Z2{}btMMYP^Sx\_RrI-ekGZ;\SI[)-^3u,O"/Q+5jveBΟjy/ԊvPlaEKۚת7).6T6F\Ft|*{3=(jR7f;&}Q_eK:S棓a6&-@qN[aNPDcAL.sXG]\u w}AܖcwS^ RC:V$>.™xC߽^`3 73-VU_Ny&/o> >I-Y9 "BU9ʧ/WzTQakw`HL4o KB|io#koףt@Tl[Ea5g^o:mMtǞ!_ ݯucs'0z#Թ%> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31546 13861 0 R >> >> /Type /Page >> endobj 13850 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13851 0 obj [13850 0 R 13852 0 R 13853 0 R 13854 0 R 13855 0 R 13856 0 R 13857 0 R 13858 0 R 13862 0 R] endobj 13852 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 359.95 197.6017 370.95] /Subtype /Link /Type /Annot >> endobj 13853 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 343.75 195.1267 354.75] /Subtype /Link /Type /Annot >> endobj 13854 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 327.55 192.6352 338.55] /Subtype /Link /Type /Annot >> endobj 13855 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 311.35 180.2547 322.35] /Subtype /Link /Type /Annot >> endobj 13856 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 295.1499 177.7632 306.1499] /Subtype /Link /Type /Annot >> endobj 13857 0 obj << /A << /D (unique_280) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_servers) /M (D:20211013063105-08'00') /Rect [104.1732 278.9499 179.0062 289.9499] /Subtype /Link /Type /Annot >> endobj 13858 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 262.7499 182.0037 273.7499] /Subtype /Link /Type /Annot >> endobj 13859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13860 0 obj << /Length 19 >> stream q /Iabc31546 Do Q endstream endobj 13861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31547 20830 0 R /Gabc31548 20835 0 R >> /Font << /Fabc31549 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7i,ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ Dl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1F endstream endobj 13862 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1238) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13863 0 obj << /Filter /FlateDecode /Length 2785 >> stream xڵZIW<*@ 7 0SOڧw2iROqz:|ҧڰ(eVK Z*+eRgwgk"\ ~OZ@Ә%|ct (u 'A>2% q ,4AаP%jV>69i\=qmA6ɇ#-dNdSX<FKhb r "1=!dg3EU cJ0%+qf _[?U5|GFpfySfZANgLmʸe\Ik\5D jE9.=qp0(8@C&ݰڬeގ5 TљJ5s+w R ■|K/%J'h_͉Sxm#uu4Nd|?wV91jS=Mdӌn BhVJ@MfV~PFC|Ӓ.qh΂KCFMoghkVTh=j*V!VECFrG-._EoLrzNNC,v YMʷ Q:~m)os)U/x̺K_i;:K|)o+%0} ;NbNΘNX_9T%s+ۺSi.WGTKaRQݘwu&ֱ$Jܓ<͋JՖ? WOmЌ5XUG !yuX\װd.Y J&# Wjm9'5DrMZztIMi-&o{=Thf.5,;Jd Sd9ЧKaDİ PBPB.>h!q͂!6Rb>Xw,Uv5( ٸ;Wt#"Mn;>&,!ŏ5bs\;LbBTRA] ȵW"[PA& AӀ ~B,Jk{c 5lR7='Yn)%T|Mo$6OVk`}mii<=I+(qkqcw:\ͻJe,joUm8b욃o\w>^f߸コrZI|Bx .۟i;to_~t /秭 謝4ԁg*z#w$ BDTWDW;hзz;0qTf9G5 &Őa5~\m'`5Ձз{_&܎Ca1&/>_+,[,V lTq;8=ď3 bv{L1IZS$Ve{PO75hr&j2~|uz#!뇟MGv[GNUTZ?4;4|{2vPשk[o/i MQSc s_[˗/dYU!ilg/-dK,P$*JD]x,ED<~_ elR/L@ D/4Qi}:. \r.?H|b^O#@F*Tc$KC 1-9_wOgH5xa1i_CXȺ@^ηʨlĩs%O ze= XH7-M":Xf@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31565 13870 0 R >> >> /Type /Page >> endobj 13865 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13866 0 obj [13865 0 R 13867 0 R 13871 0 R] endobj 13867 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 398.525 137.278 409.525] /Subtype /Link /Type /Annot >> endobj 13868 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13869 0 obj << /Length 19 >> stream q /Iabc31565 Do Q endstream endobj 13870 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31566 20830 0 R /Gabc31567 20835 0 R >> /Font << /Fabc31568 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;7o77 fڿc}Lhj[ߕ9pZ [nJYӡ~1OEҤYȵ7-$G5UJx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9]QRc7uc.@Bl(Y|zǓ|"j@͠zfQJ@lIHd%Wj)\Όl iVGۏFx|1$ɾ d_ EA2T^2 'LsBV9P|sɨ\U#URԫ`ΡLH9.]@p94CZ|=B/}7 endstream endobj 13871 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1239) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13872 0 obj << /Filter /FlateDecode /Length 4330 >> stream xn,ί賁il.M@4|{A x~?qef=lv*f/1u}fn vi_4|r5ӟ q^ov8X wn.w)uOw{c>O}KU~W8e#WWM?ǐfxG=)՚/f sgLϝ)H||E6nz}QCPq~L3nʃ@hԉLCci1xwi >&x~lH m{@ec.?K+r[G)=}YW4z#*$zBgR(1N9dQ}amx0=,p Bav4Fx"77EfiOvHנ% 1dz6]a@ Mq;@d<x-f4& ^/Prl?? b= ؍ dS Dggm}_;<}C '[ WޠF{ѡ_P'ů 3D]XcMc1Qi]9܁&D%?> 8!pgTR 4Z`5b"K9n 0MwD '=03g?A(Mq=2#XEig#+lcюT78z h>UG2M;luB+MghU ݌ZRԵ ג.5VjWa 0+@Bi4sv1E=DŽx[Ǡo-K\1 1[0ܹCւM u 7"l,(1@*J;a҇y%S9p&x(Lk|$Stew3k ݉6DJ}9͗4"I̊ů7(lHCB@ Uu<0sWb@Ǔ(9ņ9FK[zDwMK}C~adnQaxpF|VJk=]~:m?p>o;lVvXmi[wy$ƤQeK!707лW/ؚ[ƶwoՙSMS0äi4%h5S/V4Xw.KWa~r0#wqC]Nhh7K^a(4hO57JSwٝ`blr&(#T,}NC]}e2PDuWb+, $8_^YQ&Va%Yw._uݙd6*35]nu l4Y/=X7&q)ԡlHm|!CDfiv"VjU?q 3&6iLcE>fs_ش:K+hm@Qn5P)ͬSuvGUpyF+j۝ӦEKAν~٣eO Na RҨj0Վɝ PUq[,,Mk64ڕY40KȂ,J_ [-tbՂٌcubQSpJ3 !u*rm3TڕrcNJH Z!Tٜ XdZ@I]XלToNÇI4|9ƜO2'sRv>ɜby6m#jt$sRT @rp%K=˜dUfeVʪPS,g')˚.iC_!ՖS>f@mM ObhE%n;afiCa`?iyCZh%>/TVT+OE{fQ>ۨ#4hMNԞ>u.Hۅ~Wb24pw1_HE;߁zJQ_E R…+hR]TbnxY/eYpXʊ̰t6z*]zK/~e+g¯J%*Ha?Ɩ3;(Xة7a^'8bmMDj8VYpBxRN5Qpc1n>w{ނ=:k8HdPׄY!sY6.mvp7u b;|؃m#gSirʘSd-su+,Nj~a^Hr ojL _C6MY%Le[kv7g hG#sOc4 J#5e邥STL)k8-#sjcT*,{*Gmw?c8wI5Gޖ\E{Kn$*pӬzm~h{-#Ֆslm@?Gfp]Yy#6yx$c+t̠UXh ]r-  >~qUK _YdF7gYkV8 9.q3Xj+U5ʚ:?Z߳d@Ov9q@ԋUB!ﲝLJi$i#=2@ɦLBnya_5\R=vZe\jLXGǃTu3#♱Z胑zy2Pep7)#MōFg +PgnL`~oGÍeO9! 3hEtFa>pmj[jynpWgئ1= o@1܆<~lrM'!yZc(rs"U.0F,6Ϗ'Oyho[ib &oX2̤yk|6S11;_1!2&p B\l4P1A.:tp)QnRfQ}Uvsb-{T#1#=yb}R[3Zbw QKC ʧު| ,#%z@`bdMCY|s}F2 3\-/PE "pQ{N=N8R<%7hf"> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31584 13887 0 R >> >> /Type /Page >> endobj 13874 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13875 0 obj [13874 0 R 13876 0 R 13877 0 R 13878 0 R 13879 0 R 13880 0 R 13881 0 R 13882 0 R 13883 0 R 13884 0 R 13888 0 R] endobj 13876 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20211013063105-08'00') /Rect [104.1732 354.1731 179.6442 365.1731] /Subtype /Link /Type /Annot >> endobj 13877 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 337.9731 197.6017 348.9731] /Subtype /Link /Type /Annot >> endobj 13878 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 321.7731 195.1267 332.7731] /Subtype /Link /Type /Annot >> endobj 13879 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 305.5731 177.2792 316.5731] /Subtype /Link /Type /Annot >> endobj 13880 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [104.1732 289.373 163.6832 300.373] /Subtype /Link /Type /Annot >> endobj 13881 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 273.173 205.2137 284.173] /Subtype /Link /Type /Annot >> endobj 13882 0 obj << /A << /D (unique_334) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20211013063105-08'00') /Rect [104.1732 256.973 208.1122 267.973] /Subtype /Link /Type /Annot >> endobj 13883 0 obj << /A << /D (unique_335) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20211013063105-08'00') /Rect [104.1732 240.773 210.0042 251.773] /Subtype /Link /Type /Annot >> endobj 13884 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 224.573 165.4707 235.573] /Subtype /Link /Type /Annot >> endobj 13885 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13886 0 obj << /Length 19 >> stream q /Iabc31584 Do Q endstream endobj 13887 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31585 20830 0 R /Gabc31586 20835 0 R >> /Font << /Fabc31587 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7iIЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>J endstream endobj 13888 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1240) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13889 0 obj << /Filter /FlateDecode /Length 3920 >> stream xڭ[Ko794)@nav ė%'MVzWM?QHŪϪ ^eCp/a~]Mzh{ b}Q&5R?w1kʤ՘zڇ0[b_]*էijZ5qk6!r˯!$D+odr7%~ Ųܜzj zѠ(Fy]hLXI,d!b0p,DcXeWC D2F:Ug;'N3[) k!}V'uKX@dJ򯀅hӤ{,HYu_E0vu v'wjWjLvo*4U "%l/EZV,cIB"r^:}6CZ-RY!hQ1țN"X#FKPSaʥCϿ'RYwyS*A|u9==VϿ#RhQka@;eڸS?0Peu:N%<Ó֔PSYvzT+#h|evGަ'k8;fbrBėC*@uy=KٛۆC; {AZ |S< Nu)A$=ςK^.CH46YTһ!t',6DXߒJiʶؑW   X]4뛰8=Cj|NtÑ,%0¹ waâ|>tc7& ( ,fュZok88̠MPeƼPk;lqcdJ^,uyIQ! Mo  H󕒩{y9H՝rIq`γ_ o;YCNP,JtHFߋXW*k2 MffNs Q8!޾H3J:0FfWMg+ߙVxe\wg8.QN=.xdP+yê -n򸻥9L'xɓHہ P(U&5XUCx[Pd=tq#Ǎt(Hߟ%l?V$}ga^M]B4Vnܝ w˄m;,IxM3YE7C.G4׭~%Ryatf{6@XE+#^D[@SZAaUY(@ͩSO &BQZu뚼®rժp` (zjMX͞k-7ˌ5Ԃm{"zz)N%$=q6!F5 vɥ)'JO`PedNdS\ӛm@klbC]2axۀx0(n @|(ֱ)-HL=zR,6ᣞ;eiz2':ys]~K_7-qSyJ-)6x:o=)y6c1K{6Ǽzo]'{u)\.T3xKJs [ܵF:؁,%3,2!(`%ĸ7[8JI$%%tvިw-o]LJ'ߢ57qZׅEH_Emu|nBL;%X&FxŤ ¦!BлOG|:4+bb>\&ghJT>>/W^6'`0ϥIE_GٌPhu'=}׋%և *lZW@Ø+sx{#sߵJĜ "0ǐB:=KT dh30Iyy=Q$ln(b=T5$`NyJfCrۺUSE{3o Hۏȿ̛s!|Lטo*-7FaCWĎA>xÔA$_Iz; {ZGVᾇV3s޾%׏{B#k4sHysG|?ĺq/ 8j]o$QUmFG.BV s*T`SqE)o'؇BۇPԗt\=N7rKl驮`#:Q}u}ߞ-A$x:>\֥)4j~C!}*C9>==ğzB_{j  3*S e0Rw&x)!Uxpw+oe_Xs_*R!܈Yź=$*MX>|ĞdR/M+.wLE^V~ѓJUU.4X9H endstream endobj 13890 0 obj << /Annots 13892 0 R /BleedBox [0 0 612 792] /Contents [13900 0 R 13896 0 R 13897 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31603 13898 0 R >> >> /Type /Page >> endobj 13891 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13892 0 obj [13891 0 R 13893 0 R 13894 0 R 13895 0 R 13899 0 R] endobj 13893 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 452.425 118.9685 463.425] /Subtype /Link /Type /Annot >> endobj 13894 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [124.2815 452.425 158.442 463.425] /Subtype /Link /Type /Annot >> endobj 13895 0 obj << /A << /D (unique_77_Connect_42_memory_initialization_commands) /S /GoTo >> /Border [0 0 0] /Contents (Memory Initialization) /M (D:20211013063105-08'00') /Rect [163.755 452.425 266.2255 463.425] /Subtype /Link /Type /Annot >> endobj 13896 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13897 0 obj << /Length 19 >> stream q /Iabc31603 Do Q endstream endobj 13898 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31604 20830 0 R /Gabc31605 20835 0 R >> /Font << /Fabc31606 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} N endstream endobj 13899 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1241) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13900 0 obj << /Filter /FlateDecode /Length 2748 >> stream xڭZɎ+x s3 4V یI0 /}-+K " Wjْr`VN^N>t,k6mBvP e?`0/=sqF%/EP-5.m#Ɣ E3U1 >:?28NMtv–HZ3~+̯#GUFvb8vhrb͙<KZ$ c!,X֔z!C&;ZBI!3p]F&$rne k RR˃c?>j_0 79E?3xG1# d|k3.fݠCn3lr-h6<ί4p1xIR =3As  | .uA0Nb]ޮfKqc~=xc׉= Qnh~|qf,7 vSUgi[]=Bg ]KiU1ƭl#W×+J#W,YJVVJs5p 4eG+iR7mB`o@ W԰ʸ  ^BޚuwjkfF {Sp) 9y-p㐥~cBGE7!u7}ݻ,WӉPA+#GF l%FHfjuYkp[ΫN;us&Ez6Ir=l{:.QիFV&Z" v! MO(( K$²$bӮO]QeDI1,5w {`>lLw<* L٣1fToT;pʉIg45h #a:ΰ~61`vթ0*TR$+E ꕒ DKD{%Ib6/&xF󷶛8P.vJ RXm>̍"[ TPh|pGKډT5jⶽ`~N y]$1`gɿ_p)%kOYVG,cɖLST{疙k$pVvq:'I4vefPd9M;R{f#fM ͘pv9ffqM2,s@v$[SMypG7a5]qL26/, uG.uvP8nbUЋ59Ԇ8nZYRx0ayhk~z!3XnN0T 9Vv0#qPfb=ԽmP:mY{rjȘDy-MyW˚Im+ou[H#fu=eOQV33fi!UI@,DrCI5]?tEPۗo-9mo t&zrokxbM*כ!գ/G7γtMWo"Ʊݒy")h癥Ev: ܒpunNa&m:Qyx䃆}\=@b7[ :RTJ,7`ǚ[k>Oʫ'Vf]}-Lw ;]ǘf475q2$f&=tӚ< Z s۩N 6,!nWV2clgyDH? .`~mqk)j(W)Ґ[~5)=R(0$QFM߰:l3xW9}1@t1 >4~!h|,7cd@skc`IˆHu .F ɴy"]2MԄ;-k 1KOZ HJl2BkË9u;*-9IhKGIk6Y|6XU}ŗag[zLoR<<#l!\h> "$"z(:F*i{>H7+M[N:;3< XV C7!qOl9]@ʟ.V{_>/K wjsH7<;33XYVLCУޗ cS ƒ# endstream endobj 13901 0 obj << /Annots 13903 0 R /BleedBox [0 0 612 792] /Contents [13909 0 R 13905 0 R 13906 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31622 13907 0 R >> >> /Type /Page >> endobj 13902 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13903 0 obj [13902 0 R 13904 0 R 13908 0 R] endobj 13904 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 387.625 147.728 398.625] /Subtype /Link /Type /Annot >> endobj 13905 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13906 0 obj << /Length 19 >> stream q /Iabc31622 Do Q endstream endobj 13907 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31623 20830 0 R /Gabc31624 20835 0 R >> /Font << /Fabc31625 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 13908 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1242) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13909 0 obj << /Filter /FlateDecode /Length 4414 >> stream x\KoW){z@AN`?KR=Cd#ŪEd& 𒲝MLO*M}M_?dks1m˃!£: cu\[8 jdffGsoH(Qy(*+\?E`s%Ԯ~\6slӯ>xSgedGa8_t(_~ =Gz+g&`$O鹱 O^8'Aȸ5^@So#2&;p5S"uCvOD >a7\zF݈ݭ%JjYQuN5* e@E?KfeYٸnnYW7Yv VǵwX\!;T y w-7qGk [pI+# dāwF (!O1(Q7t5; ZX&[@V!H.ԋ~_п"a y0Q\1W,LBMrJݗTkq(ZUDs"ґh ʈ[q3+aQHXաT*eL5z'z#ja+I.L\RwuKTFzW)OjRxzki7[[t U$E~618?V. dXbBvT̘^DFKXmXg[5-kiJ{b5ΔVzB[m߶Td*l 2XqS}h{{"sTcœĥvIi' r2PݜE|PlȕZs5vӬ؄"Y^Uӌ=2ج(FA*U۾XQ j.V v:VL!,c 5ƕWur9M +{8X?z8 mJeGTx#<=,&g`STǭ5,]Ǝ{X\âֵi eVd:? $!uQv㰥»}dՒ΄}K>|/;/.$}+8avO' S{ewU)Q]O:mrq9z!.Jˊ"PnXNTU7 ;yk#(}$7O=/~moIfYDDqĄCni=)Fܖa V`5p#=NzI +{.q7o`D dAEDEk(}kP+=_sP*Db%j=0(LFGv!* k'(9n7\X\y떤o{^RyNDP4Xe5AIlTԽֳOsF:RT(C##c#,\ o.[+A̺cFҼ@HUpu6?g[O,[aՂ2B0ϒJo3|Qw+u'`([NU 6n䚦whJFccR2f`ʩE}oԍ3y^߈*¡ϳ (,K4+zB† 00n1z.}yJ[5YWϴ83+ bQYbe5Ke \z+kI!YZVK Wdr]U3?E$ Aao yyqaBYS |jA].bݴ1JHuw 1xR7$6>-6< =Ӟ"r:0=#79',+LxQicХS3ji\碌~u஋[~g@A wdѯ#Z m=ң^^~Dw~D8uEYcxjM@jhP 2ckφO{;6G8]1=ڶ[  eʁƽ:jLMd@zчb)xhۥẺxL87Ϸ&Ggs096e)A˹ƿe\DBZ@ v)]&nRْ\m9n'>0O`[wuU-Wi;=iQeu%9i| %.:2ZsOf 11ah?ќ@qǫ6tyLGX?x A@X40Lu}bs \w i{3?>0e[:A*0W&ț5ƒX?``w+<.=7S_R[4N`-|As1RM/|s(uFĭ?@:Z^)x{)|;cGC73mCж*+"'zv+]r YOP(Z $O 7KUJcJfl(?bRB8/)%%ܚǨzmN7O{f/K%}9V~lIzRΖStq{>w Dk|) /'N\3 !:8;C`p'{y}~Бr}_{9W中o B(\_C A9l EC>AS%q l[ܾEQcN\cwӣǞ%hgl=اֳ/gd\"'6 {r5v endstream endobj 13910 0 obj << /Annots 13912 0 R /BleedBox [0 0 612 792] /Contents [13921 0 R 13917 0 R 13918 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31641 13919 0 R >> >> /Type /Page >> endobj 13911 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13912 0 obj [13911 0 R 13913 0 R 13914 0 R 13915 0 R 13916 0 R 13920 0 R] endobj 13913 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 478.4346 192.8002 489.4346] /Subtype /Link /Type /Annot >> endobj 13914 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 462.2346 182.1687 473.2346] /Subtype /Link /Type /Annot >> endobj 13915 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (start_gui) /M (D:20211013063105-08'00') /Rect [104.1732 446.0346 145.8357 457.0346] /Subtype /Link /Type /Annot >> endobj 13916 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_layout) /M (D:20211013063105-08'00') /Rect [104.1732 429.8346 181.4922 440.8346] /Subtype /Link /Type /Annot >> endobj 13917 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13918 0 obj << /Length 19 >> stream q /Iabc31641 Do Q endstream endobj 13919 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31642 20830 0 R /Gabc31643 20835 0 R >> /Font << /Fabc31644 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13921 0 obj << /Filter /FlateDecode /Length 2112 >> stream xXɎ+x@2H$KQƜ1,]}X(QYSbg0dg,gWǜITGC~/Oy y6gdyy=Xu>Z{>:k@?g;)LK[Ot׉Y9ȳ$٣ x(8m %b;_˟ŲV@bl}S=0 1ֳX1V} m(2|$qrj,MJףu!:"s7PaD4 P8U뺈@#Btf:0`k_+Yj!>r(-1.e\U\8'u]uE34@*kjyGacئAB.(!<57ƴVrouqI t4 14>6/a~2ֶ C=Nc[R=yq"z1OU xwI8LZR>>]̝f_R.>EH!ԉm"c|ɏ VN$ >q3 E9^D5M(h4s肳;ic35&K[G{Ҥ%^7l݇& o`#ǒ=NB j)ΔiΧ髱K-Dz~EY 46/?OT{rW05lp=6UڿlyyÈ7VQr^.DKCӓBΟ9H[зg 7m-CHTeOT|N8Np\ST5,K̾վ}ur")mb}egDjBܫֱCVV ZֺFHq79TɗEUru*Xul#FI5$B΋G"LJU6CNHI݂Ve`F:>ڋ 2rRe9A^Fh>k"7]UBp{V'Y萩TEА$IRmpވOƌi~YJ^ύ8ߐc2pL4fC2~HFZm`#_a^E vN{eWe@Ù/.2?6%ix\vB#_kN6N\"GR#R1RuьG^,%13~'~LtÀJ@|9YI: f@Vcmd/gJCg2KY_UmsG$^۞D!±Nv%±MP7恬eZI93-e7vP:4>l0f*P  #t؍Hx $TXy}φH#}_7a&4)F8,.mˎ[[ & ncl JI])Ii+Tv3#`LݲݧtǹҀHUk T/II޶76߫ 5Znx41s/#} 35*G.:2]Qx&gL*cuO܋6-Azh}F17`8n&+w+뉅??Wp}d)DZLskW#6*5jvOf1 r wC^$|.twqu]ĨIʹ_;DqcNI'.Uז%F endstream endobj 13922 0 obj << /Annots 13924 0 R /BleedBox [0 0 612 792] /Contents [13930 0 R 13926 0 R 13927 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31660 13928 0 R >> >> /Type /Page >> endobj 13923 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13924 0 obj [13923 0 R 13925 0 R 13929 0 R] endobj 13925 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 360.325 114.9865 371.325] /Subtype /Link /Type /Annot >> endobj 13926 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13927 0 obj << /Length 19 >> stream q /Iabc31660 Do Q endstream endobj 13928 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31661 20830 0 R /Gabc31662 20835 0 R >> /Font << /Fabc31663 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ çCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13930 0 obj << /Filter /FlateDecode /Length 4104 >> stream xڵ\I9W܀b3H$Rԭj ̡'OW5 ؗ6n!-G >X>w]A :~u Y叾S){]ɘx s}{oӳQy~Sjb- YC}U~QHd&+OC WmPd&tvIVr/Yhuud_˔M,qY{/|IΘ:q/YYYGք87t, R4q3͠\Tg ZC۲eJybcDm.YzZBH!?A;ei?CUA)ε,׌zeIGkf//P/b@r<(  R 0KVfyPϠlYWxvoWډ䧐K)䢱? $=g\,Wi[%ȫm̞(if# eRY슔[f91gH!}>w{q_8x;9Y|3b~OWi9%% "PhIgiAF"P+8k&.%~S3 pW-~pZ22]f0l(e6bGPC]ti-H*CM d_޹'>7ۇdmk. BI#${!j֎{V׼i_Vnft0j `EVcH.r_Qv֬m5i}tud>1vp<<1 v7#8d)/=O|VS!½u ;ZaRyJY!!AʇUt|_5u Խv0âGd"fG\5"wY3sq]iqmAawϪtB.ȔةETDlE4##Q^ őg[;\/X?6NwkJ_Y |r0~{7>R6` O4xVjttW&{3"[rTPo[4 3-/nV["f*+}s>п4K sJ+Ogjfd49'+.w2U#MSxo+2H96NY]RR9c+w?e`d>M(LJiVlBYT3=2k}lFYǀ D*m(L5`@RΉ:w3Y]F uJV+:8jMMoJfGPxW_+|NulW+X`7\``:Xp,f ש2Xlz2WRZ(V7UfV/@n@n֕yeaIſ8=85麁+Nn 'NIpҟT'?Na'IH?NjcF'ޣ\kA&I|xaX4 va-t6*x`$Z>zx(d uS? 9Sta4ԣ鸥mOǏBuYAހͥ3 =qx۶X+ʈgQFFhj^DrB`ݩ+'(YrR}s`w.u.JE#FaʱD/}m%;Ǘd+'8@/Ie("B cOPblcn=3x上^ ?:6ңhǕΕqEA f٧Г.AR%ɨF!(h*F߯9Hi%u Og4=YxP˧&P_~EG@Ώ"A^/rY}#ɢd#&YG ~c~75ܲ-Gwj r{l>)g]D}Ϧ8-BN=D\x^|CW}cl,HVK! "H W>"^b=s`pᴡU kCTu:(b-۰tvS7ql"URYzN w8 KN!H:̈́yqRg4fSZuoh:TW_Pk&uݔ=^Fxes/1-);] -2YywZCFp1mag.>Ic,otyt^gȬvWg?`v\{F02ZЁN@ qXCx38:v(XoO9/jD1zt]658{F~<|#m6-n `5±^aZ":e<~yr=%mM iE#%ueSvs\yO|`^?<e:M3˴\~`P8ܧ qz tap`149ɕk:M7- \#mRI=6'BR9@ iłTdFCV+t1Qi`N zM!; q9P,.6Ccea5A96Gu6e2HY8qL=Y]͸V3O=|M[g}ׯ.V)[+JxQCsk !#8gY$hS"]-q&9qm<VW\ f8ܿ,|ZRobA%NeJ|%Mks4$y:kp8?$峨[΍ 7d֜[cZR%xi2BT]qV)'rZnz%Um( Ȣßȉj7 U].Lvս}s~`͉~Sa}a.ify?m^s^ҝ+/q]s[R/Ad4;O^zlo`YLG|3t,LȷE9zgٙZJuh*dɁX:/'vCxW/tU˥''Yn* >]fT tQXV-V>C>hxiWf5q'@T#F_\ ݵd^_@X.ݬ}O͢]SkW-%ԩK|fp 7Z endstream endobj 13931 0 obj << /Annots [13932 0 R 13936 0 R] /BleedBox [0 0 612 792] /Contents [13937 0 R 13933 0 R 13934 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31679 13935 0 R >> >> /Type /Page >> endobj 13932 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13933 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13934 0 obj << /Length 19 >> stream q /Iabc31679 Do Q endstream endobj 13935 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31680 20830 0 R /Gabc31681 20835 0 R >> /Font << /Fabc31682 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7e%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM$V endstream endobj 13936 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1245) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13937 0 obj << /Filter /FlateDecode /Length 3199 >> stream xڵɎ#ίـYZZr&A;۸TR3PKdoXwm;鯿:gu zZ^Bۍ:꟯`SMN1?j}wu{O ~5SH)e"I @d tژ=ss|W]*N$cUS4&. O7PT`.\:%#|>ϴ'qin.<ό ߌMk|xP;sd?_'Ix⢙5 "U^z3Yݺ Vt7p(gȿ?SHtZ`s3%77dD6UF[(Xz>eT')LlU\/X䜪NBc/kuu+p @;j:‚1,.d8f:*/@;xCsZAƀfϝTm;YnڎVR\ǵVl:3s?Hi&X!rg(L «m!bP cL+:nRC/pT~)xCz&#qEZ:f%ܿV.7Y>^``0YD~hoj+|8!Dŏ\k:6u[߅ 7b-Wd|ɴ T)}8˫/"p_ r~0:+BMQ;}F~Uk?\ib0ۅ2G:"a@ŋ8e2Sq%`)l2y掰ѿ0 j@<қlC4o'`ψ mtp®m ,\?|Z#?<2]3e*wan qrnJ;awQ ATӔ1Q.͏}6s A6)CA`&^?͎a3̈́4ˌ1w`ҍ9 @vn a{\1p090X3`!.; `fQ+ƙqˑ")ԺG[Sʧ(uD m -KX&YRWsVv_]skdQX+?ۉ|Sߊ퉙<[ڀN,BijN`SiAMFţ71@vKr2 9в_2Y-c疰 d1`݇F!a1kv bx!#Ps!U45R[G*? uoE có=*GWa̹)be}\s xw&^z~(/8s{r5OXٱ۫a^M~GWt755i·,,­y'hYc\):1ЭYCg!Sȸ:œg+^>~c"c" Fs8n!WȨEеYxЫD*Ks,4;ĎFfk(PDL UӎtS-x>C-}MºP* 09ɁO>#ޗ嶼`A W. endstream endobj 13938 0 obj << /Annots 13940 0 R /BleedBox [0 0 612 792] /Contents [13946 0 R 13942 0 R 13943 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31698 13944 0 R >> >> /Type /Page >> endobj 13939 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13940 0 obj [13939 0 R 13941 0 R 13945 0 R] endobj 13941 0 obj << /A << /D (unique_718) /S /GoTo >> /Border [0 0 0] /Contents (unregister_proc) /M (D:20211013063105-08'00') /Rect [104.1732 582.9 179.3637 593.9] /Subtype /Link /Type /Annot >> endobj 13942 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13943 0 obj << /Length 19 >> stream q /Iabc31698 Do Q endstream endobj 13944 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31699 20830 0 R /Gabc31700 20835 0 R >> /Font << /Fabc31701 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13946 0 obj << /Filter /FlateDecode /Length 1546 >> stream xڭXjd7ݿк)0UeWCv YtB(ڛ~ܤҕΝ$/ߙڃyz6cΛL4h~$yn:wy|s.4ɹ;_?!k7 MgG``|dT7auT^7#H̕s5ȾZ'@jA;cA)0X– />.tv0ᒢ. 1GbZ;'ʤxQ"5j[ȽÎ66E/ro7iic%]15ƯGg|j8SԕT)6C/wDyj ~&=퓸#]4m 3.0D r/sX{#0!Hax?Kalu]#D.KNg9#?Ku=~;>p!9xZUBɭsem=QyuF$gl0^s5X0t*=+i/Cg[=},j>gͷ;ʛP^F^mb!7; oclC؂sL#N8Ab2rN/tgm1s;L+ب^Φ ;e7҇Ŝ(~?E1M̳^ iWȘAMU2eڌҨVQ̴SzD{#昑Isdfy;*r[3}iYuMS֒4JMR޲Ӵ>S6S_6 8nEݱ0x$;4JB<`s^vPUv3t,XPC挗ƘRXŬp 1R 8D"U Q5r*m:*5o6(6T2O)] à< tF}@YКtn<.=A>l3uV{% |㉔ԪQQAQARR0UMDѽ]OM-u J^B7aJV l1/׼Y+k)Ei@޸R}qFzd5;Dc,]cW\墜\qgpPQAaSq-91ѫZ.|ևS9ʗoY/*z\LYu)H/^]_ߤq)DŽ80v`<;.ˡL83&큕?1rGB81d9k10+Iۖ^8p33X*xZzǥ򟌳:,'YKK􁧟Q|]!HGZvdIb=Iio4zgK>&u]Å&WqDIOnOcN~Tg̡B: endstream endobj 13947 0 obj << /Annots 13949 0 R /BleedBox [0 0 612 792] /Contents [13955 0 R 13951 0 R 13952 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31717 13953 0 R >> >> /Type /Page >> endobj 13948 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13949 0 obj [13948 0 R 13950 0 R 13954 0 R] endobj 13950 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 351.125 124.1605 362.125] /Subtype /Link /Type /Annot >> endobj 13951 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13952 0 obj << /Length 19 >> stream q /Iabc31717 Do Q endstream endobj 13953 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31718 20830 0 R /Gabc31719 20835 0 R >> /Font << /Fabc31720 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@~ xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w  endstream endobj 13954 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1247) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13955 0 obj << /Filter /FlateDecode /Length 4247 >> stream xڵ\KW`|X,1r|Rbn颿z3;#m &Ūm?|oY-fOu|?vVWa!e_?Q0׷M}J\ͫucg[@/~/O/ qpUGڋg7_E)-3|2gx:$Y9Mh׿tt|1KqED>jI~d|i? y,@_eoBL&GW2L%MsfOMqޣ|ǒ$mx4X+>p.&ڠCG3뇔T/ӆ\Pw(]H[|gCZoD -헼H3䖤N`q;o#>Xtn}NhF~N-!/W샱([nc8G_ ?m ^ (С3ˠ vL%cSɇnj&8OR- ~yLpfCˬsk̸+֮[oz+KUMQL6H~7a@vg-/ol4=9 у \&F@xݡG(#S[]r܂?1 *8ЌщKR0SW>=HVB0.$\%ahaYEff_,'5؇۝}߉[]=$`:4sѸXJ1j:t%&߼+w+O#rk9ӼYNjc?'F1pN@:6Ⱥn1IL-ac/9!&QQ-\Qe HLU 2] t- +)n&jAI]A0Ing@R"VfaiXOWbQ* ٝߛ݅?qx]u3w>aM;s-Ge{.Jxyq>QYvxߵb̓l16\?GDϴ:55GyWY);ꂺЅK?|jLH׎.<K'fk j ,ա={{,7`&›?-y@^l=j٤h%"Y1vk Dq/z/J1<31zS~w`j5ΠDRNЩAc^uFu6I烧`qIJuPV Ve){߶:/UA}pޤ+{ڃn38W&ơmLsEDQ:*hA ivdk{.)JdqS3JloܣWC]`.t,yɓws5她6HD㒀~IqM6Qm/4ZmDY+`*\0 #.a0&mVC[x1a.Ile:ODwg=8QYBRL/) ;p3HG3x.WK~/>U/n.~;|:5^ɕ1rnGJu퇺 jj-+ВNͳk(ŧsFfAw<56.ҹc]1=3`Ʌȴ V'\sKX.]qM@."'^ޤsZWdArwj ͶT`̱roNuV ED3ӈgq}@G9/~.f]66ƞ6MѸk 7,B'!͘zauϣܒorCnTLiIasڭL0,a[*+F\AJ&B90̳x~'bxtEx>¯<  [Q~}^fT[p,V>݊* !?cwOG֮5Փk:h>cv=ry6}Xz8?p{U.\"`O{J"ۇ .hrQ S a.0,?G endstream endobj 13956 0 obj << /Annots 13958 0 R /BleedBox [0 0 612 792] /Contents [13965 0 R 13961 0 R 13962 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31736 13963 0 R >> >> /Type /Page >> endobj 13957 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063028-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13958 0 obj [13957 0 R 13959 0 R 13960 0 R 13964 0 R] endobj 13959 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 425.5077 146.4737 436.5077] /Subtype /Link /Type /Annot >> endobj 13960 0 obj << /A << /D (unique_543) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20211013063105-08'00') /Rect [104.1732 409.3077 160.7242 420.3077] /Subtype /Link /Type /Annot >> endobj 13961 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13962 0 obj << /Length 19 >> stream q /Iabc31736 Do Q endstream endobj 13963 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31737 20830 0 R /Gabc31738 20835 0 R >> /Font << /Fabc31739 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7QthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM7 endstream endobj 13964 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1248) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13965 0 obj << /Filter /FlateDecode /Length 2427 >> stream xYIdWlg  YU)v1Ն}Ul'bbS_O_t:],Muz|fק٢˃s:s<%;c^Q TQ!my1ٖvVݲ J9jg8/;҃٘<Vۣ~&< ~47qn Dg4׭i2bBc5+nVtC}:_V37м$~M Ӈ&R[J{т#&zSS_vppa -jҐ)%16]Q% ww1*H VLx0j"8O3XR |=%ʼnDGMރ)ntkG%Qۭ&y Qь/nvNu72bLVdu~nQǞҠGP:<. odo[}Ϗ{X_Y#ϯWmG y>JP[B?S/jI%f7nN~Ōӿ=HKMJ(/3<{Ur1'+6\]wm!ڿ%V / sӈ]P!Mquo+fD#SOKIKh9pߋNb=.w3gQm:s3sNY ](3wV,5Cl:a)KFsI8] ^FbӿkbG먑놠1sַ˂RY_Di|i ={X~mwHC}c3?eq'wҚ8􃫸݊*`%]f8!JBS7z#9쎣XY|Wiə! iPZJ;/i)/9Xp=)ӓ92[OX$ic^%z syvag=O4Ll<  %"$~0H1/P q]+ Y6T N5 #4FhD@rfCaӯu\-ίF'U& ]$^,(><EO^ {Nxv2hwk |ݘ\HcF;!@"bɛp2XW>gAZ1%hQs9|%c^]2yYe7."ޥ`9M3͹hw6<P7e'fz(bk?vjma=\Y(tB[7OܓHi%ЀjG.0?B a5dAZ);%1j\U:x͚mNMb e\,Vau?l ȡM͙)G[Un2]a R޲iXgn!U푪`i-h˞D+~DmaK]fUu !7M6̲++ 6"{, Ozd SqI)YkwCcCcND%ئ'6:,GM5-y53QQ->fy*W SZϋpnOA-O3m1{x?'B\G3j+y8r;+5z!#wC׷Ɍb56bԴI2xvkCvibbI؈ b˷4ԙ?yy2rG=eHWqTu4 1{|RVĻF6<„ĕT\+vچ5s3c}|^[kBzhiWZ_VҨ1! t ~v>%ISHfܣAEoN/gV+'ޝA9:TпcGKb*^$>!978$0޾1:0( F6s4bN{Dz:y6<ɦP .l#[yr=:ƇǦ'2r.CC7 endstream endobj 13966 0 obj << /Annots [13967 0 R 13971 0 R] /BleedBox [0 0 612 792] /Contents [13972 0 R 13968 0 R 13969 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31755 13970 0 R >> >> /Type /Page >> endobj 13967 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13968 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13969 0 obj << /Length 19 >> stream q /Iabc31755 Do Q endstream endobj 13970 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31756 20830 0 R /Gabc31757 20835 0 R >> /Font << /Fabc31758 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ>L endstream endobj 13971 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1249) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13972 0 obj << /Filter /FlateDecode /Length 4458 >> stream xڽRח֙%%i_Y~:%[^i§e|no׷?)y3Xg5~y{0NZoyI}#.KоyzxjXZK&ig\5%Ͽ(,_n13%\ܴ%-lIJ s^~P(u' c/)R_r[.\W Lc [Ccm"1d4鯴: Yڮ թ ;py0ݲd(Ax77T+pו"Ո $ZHB'ӁF"IF\aEyku˭`,|/(0AioҮg. ֮@n>ÒPDZewԛ`  ;P>k`AJ?BP@/HG8K[@違&v[񵛁LBٶ"&PKh! : 갗~Ϟ u0~x_@HB~4 jZ;""p毊(XaJ SIp c4q355-D+&.?{WXn"nޠ]ӦU᷅b=Ԙuꕭ#tq_U);&]>21)+*%@I " V$w@FExzcgXɀoN78M(tbݒv{\ by`MK޲+ `9oYsB#Ƭ``zAf7Ce"@DViQU3Q?nMƾyiv*rG%`Wn0L./1Sӳ`Cwͦ|0 ^ș'x!\vxQ 447' XȋmSS/2B]Ϣyg4W ]7" Ov$XbyƠٟW걤zU=htƫ:Z@0\]q0\tFb1 \X.'-pu!J2R^I^ZXƢz&…6 ~@l(ފT㈃&#qu5W~~s:gD`JLn 0>\Y-!a <@yM晏) ˋPjIW{!V9V͢*ty/i/Rl@lYnmP\9C*gQ6ig*'8Ձ k|vAr<]gT zSO;H*PN_A07Z Xt Y]`Y=!YNKRaNQ -▋S xڭ5`͕:d#ZBߒ$MbXC3&ӑrUQYOg(N5q>|4 z {øȝW) 30Lӹs-Ccjw?oTj%zoL=ؗpKSji^lHj v F[of>Apl9c^AgGVޞZAV( Ɍ8?ٻuaC!\GgNUfAjj5[ O[Qܮ\6΍]`<eONlJ](]6SbG+ ]G`#6tLf6NJp LV35n'QoܟϯE8Q{Lm0b|=_=}eoB]MO( 5^3:rNMyp+Y*94_d+Q+*1^e2rMO|7k0G;^9"y޺qKGvg'Z oD(fzG<]Lx`/ '{VoVѓXk#oS_bډgxdFbۦP t3vUͮVYftpoYÆ>!/| O.>b0z'21nq7۳5*s.z}s}-S7gʲ{v|Z1hU\:H' $.l6.Ҳ.U[j3L4o\U[62 )l\G[Լ6-)-\CJo̜&45!5@>V_1,F; 5#|k`p0E@JFt|=uRC{ twRk/z)fSdL.N]R'Yd ˃ ?P!ڏ&.\Oj:w׾-qK(\~/0D.b$1Wdٛk9<”#]>~K_vi"4a0▃b 5Ζg$OJ}[ܖ TzMH]Tׄsч}j%vQ-] J8)w_o6@g2 .4g?h Fww*hE^îM"&nGjs/=^:c[rfލZ1<R%,;Fӗ:2smKAt`.нXG[)J)f7\1?t5ƺUͫpLAB Xte 0OPʜ~3HK?9+[Nպ;c&k1`^&QW00O+8:0}=j}\7O}T7أLt:3WW#k\/k32%\ZaTj@[(uØn0q^˭D72pH+\X)3Fks *h0rakKo,%^bCLDޢCV8a(/i=|m=?䒐[ΰ4O6J_[M>E^' P꘵bJ\a2|,ԋMe) 3)΅7Y1.TLFu|F{U>(Ryf|/|OL;6;<qNŕE.~ /QnC+}ʑ)~S6~ 0w|?W@WB+#[@%@/&Uӣ}8*q%{_^dry5&N.L_1->"ۋE~c l(-;X].BWp[Kn_+A g"WliyIX~W>"O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31774 13982 0 R >> >> /Type /Page >> endobj 13974 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13975 0 obj [13974 0 R 13976 0 R 13977 0 R 13978 0 R 13979 0 R 13983 0 R] endobj 13976 0 obj << /A << /D (unique_660) /S /GoTo >> /Border [0 0 0] /Contents (close_sim) /M (D:20211013063105-08'00') /Rect [104.1732 428.0384 150.3292 439.0384] /Subtype /Link /Type /Annot >> endobj 13977 0 obj << /A << /D (unique_664) /S /GoTo >> /Border [0 0 0] /Contents (current_sim) /M (D:20211013063105-08'00') /Rect [104.1732 411.8384 160.6527 422.8384] /Subtype /Link /Type /Annot >> endobj 13978 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 395.6384 190.7432 406.6384] /Subtype /Link /Type /Annot >> endobj 13979 0 obj << /A << /D (unique_55) /S /GoTo >> /Border [0 0 0] /Contents (xsim) /M (D:20211013063105-08'00') /Rect [104.1732 379.4384 126.1017 390.4384] /Subtype /Link /Type /Annot >> endobj 13980 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13981 0 obj << /Length 19 >> stream q /Iabc31774 Do Q endstream endobj 13982 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31775 20830 0 R /Gabc31776 20835 0 R >> /Font << /Fabc31777 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nRЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMD endstream endobj 13983 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1250) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13984 0 obj << /Filter /FlateDecode /Length 2288 >> stream xڭYIoW<B*{:0`N}-ri(~:Mt-ا׼.i>~ގ2;wRjvp.$r>ڌtWUwwNgkG;]|9XSͭD# 6k8rp;Wp]RM쏖5T}k+F [ND`?cQhc=CL,3$ xrѰ|3N$ VB|g;尭3&$쬿#x>V*:4V| Ip|/Q#2]@֎;NF268Or\id2\FUhd?GѠ2 X$ZKNکp=˶T^l;X#P\ʜn^O ,^?n݂A&B֠ߎ7(#TUNfʘ3)8 8,ccD6OUw-?(;u3K\xg!Lmt.?HWi2->L 5UDPBf;˖$9fNPn0hlMopɶ*: ^9}6ͼCmR\\^ ˅ ~(/&9,n7J.!S:%4^;;cL~_9)#XfU3ysHƓc- PCDzҍVFCv?2wnjGwV2TmOَ>C sv_OԳfOf-ʱk! .>"2e(pUf6)kL%hꁿZmQ}WNsuhR׋H©Y>-puw.okIsp7SXN!,m CPSz~\뜸`յ/fhB kZW#zroX@Tw2UBC,ֵOY+LЙ7Nyh Zʞn@_(PR|^,q~D^RYnmkl uN)Dx`__0H2#S8(9+9R8+ 0ZN yG3TLE W2s}#R鞝P[C"u1XSJ B]UQ֕IOw`WͶ;Y=phLE۬7ۉ_}jBZ P ot ' 6OB %BM,%_MDk9,k ȦXb%x(o/)/Q$Ww{7\B^gnՅtA_lQF'l6hIf/}ug|3t+T#q _QFMtz(a}[ws>"1o?rZn?*`wƙˆnNGQ~F$9U>eݜ9~: T܈?a;e8%n'^^M싦`t١+$̏`œx;ӈץ~1CנD {Fc';iXYە KmA9=me#+$l!n|di\fgx=Z^ޱ‹%mho{Mʆ0ǜzJ}@ MtՒRf5e7nE[APa8`[!BrBȇ~^1H;1^Hy6hep%=+*(G(1cєMOqWlz.!䴒WLimP嚇we3RgBvJ~ 䍖ndϛ-ߩh/E /4nHѧ(JĚ0zi:^>/30oGH*<Ȉ£NNN)c7>SVczsHZڵםt G{}I Er0N3{f~?DDI0 fsHT0}7a;Ṅ^ć|8䝼Kf?ƚM145H#fnUډ)E~unO#$TuuǸk*|('|ӓ[>O{Rx.xu' endstream endobj 13985 0 obj << /Annots [13986 0 R 13990 0 R] /BleedBox [0 0 612 792] /Contents [13991 0 R 13987 0 R 13988 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31793 13989 0 R >> >> /Type /Page >> endobj 13986 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13987 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13988 0 obj << /Length 19 >> stream q /Iabc31793 Do Q endstream endobj 13989 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31794 20830 0 R /Gabc31795 20835 0 R >> /Font << /Fabc31796 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }')WJIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG&DS3Ră;6+Ir޵iK[ZNߖj?'=ʡ|72ْԑJ_%+VK2ufg[X̳5ۏ"bH&}%l)l,Q.d|<30-s@YM \0 `NF|B毗^|KʄivP(y ?`|۾{wKP endstream endobj 13990 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1251) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 13991 0 obj << /Filter /FlateDecode /Length 4789 >> stream x̖9яˁD#=ZZD}U*I{8C԰Oԕw=Kw%Zl-QNDȴBA(-S/mhoȵbZX ,Lj \XԑH5]>x5g XX&[5zC*\hB,` Xh[gʚm9D:$ ߯}IU ] IGh`Ro=Ħ?zMtg1KJ }Q.Pg8l0G9f.xygn0v *_JL .O}y'p;K+PIΓs %!Cy+xz_)H$!5K5fyyq ֔\ky2q}:4\cc+h卵$ײ̩B>aZr7 W#Pi*H^q+;lsRì`Ȕ0Tf3AlNOxϤ3J˄:]f|SN##e(pJKQOW82HLT 31{of`"g$҄wS"ehC5z `- jzQSXtWdF{"hq:AFYqZvBc ɣMjqkhd~#.Id{ݡ"lo%FmIY4E)Ux\$quMM=AEOypB\L$9B̼#+'| t NӰxlX剌 y MiNڗċDnNd~Ʒ최*GlS-CD%S;h1yPtjÚ#x ,O6^EXrΦdx\[\y`e,e<) X9$1t c09?EjҳYcfQrbe&$׽=:S$!i[h0+.[`Kgr1 ~F3O}$#K.B Df:76nL<;ۜ dc Vጣ8'L^۴PllM7ifyǦŕM[­YN P,-~u-׺;9IUw͔S_~9hCwa IuK6(x-uU+6no ȱW2k$u^&$ ,[.&?j5{97GyكZ-).a33}qUh0 LڪS"^ef&9\{&~Ln}NsUb;!"шŮn'^Qgs ̴^vF1\ö 5` 7@/GC gIszvYw*<"s3MigiMM |̕^u,ÑUn <8 MG(mrsJ9؅Z ~C4m68ݸjmΉl '1?c +NI|fa :`r$Њ@C ]J灛TR[1W[̧1ȫ5V]]:c|QCpzB`9@ Ϫ@E7s/e14]~-)cm1+&19Iח_y!lcrNT &B)s9CakpP%4p4ƒOaSϖ}ǺFu12> ĕY\aXR{xlOT[Mx#ja-`(WqtJ $&^SSW9:#=1 NBGG>Ʒ3.(if˽"`K}uɅ0: IIҽ/Ԋ֞TlA4 &f>R o29b\Pm, v:6&ەf.|P0=m}Q_ƣ~^c %%L].Q)\˩ZͧVq|LJÕ}AbϟhxXN3z M,r0tx:¯\^;۝sO_p[ arݼ@D˂uBx?Cc݊rvE(yh( ((1yNƲ58ȞGp MN ~&onx?* endstream endobj 13992 0 obj << /Annots 13994 0 R /BleedBox [0 0 612 792] /Contents [14001 0 R 13997 0 R 13998 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31812 13999 0 R >> >> /Type /Page >> endobj 13993 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 13994 0 obj [13993 0 R 13995 0 R 13996 0 R 14000 0 R] endobj 13995 0 obj << /A << /D (unique_656) /S /GoTo >> /Border [0 0 0] /Contents (add_bp) /M (D:20211013063105-08'00') /Rect [104.1732 334.5769 139.3347 345.5769] /Subtype /Link /Type /Annot >> endobj 13996 0 obj << /A << /D (unique_685) /S /GoTo >> /Border [0 0 0] /Contents (report_bps) /M (D:20211013063105-08'00') /Rect [104.1732 318.3769 156.2472 329.3769] /Subtype /Link /Type /Annot >> endobj 13997 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 13998 0 obj << /Length 19 >> stream q /Iabc31812 Do Q endstream endobj 13999 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31813 20830 0 R /Gabc31814 20835 0 R >> /Font << /Fabc31815 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(RDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14001 0 obj << /Filter /FlateDecode /Length 2894 >> stream xڭZIWy@r $ T ֦S=T/XT63CRċ|o Tz~UQl6_ 3{_⢵SF/Az&fQKU0E.a|U'D[,CO:"?hbeIƖB?`ˠXbǩ5xХN=4W~IFׅ<q|⾐̾jS`a::`4T"LHmfyeAj?tBC㵉v&!ڪ;G .v MQkťVz tcm(i9*ˣOD$+WORj&b/W8c?\Pzjsm<$U͆.+INM"i $( +)rvOUUv)2mܐҶ1UҽZe|@?+X:<67qC5P25U9 t:ESO9nDžz0) ɷ)W b<l t=E+fHˊiל>ʹbzE<h bMy6uBߞo V` }/Sa3ړ\eW#GҒ5Nd@4"u+[ gY] 2ID/# 47s}fx%k 䦡Y,Xn<'@%@|'bYFhoXQ,:RĽDBƸǣ0-DK3 ISэ\J2&y ]ZKb횖捌'K_Ʋx݌0:gx<䐕%)l{J"f+O|*ѐm 7]vnƼqQ9l|œt*Υ-ղtX>QsUH!/u:>~2^Ll,V:VxQw=ib_ \[YBe59o7zGTPAZb֍xEҵ C>"ʻq™fp'DTs_Bs4l (h'wɲ64}OHTKUAΞwQSJ8O޶iF:+K endstream endobj 14002 0 obj << /Annots 14004 0 R /BleedBox [0 0 612 792] /Contents [14010 0 R 14006 0 R 14007 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31831 14008 0 R >> >> /Type /Page >> endobj 14003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14004 0 obj [14003 0 R 14005 0 R 14009 0 R] endobj 14005 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 437.225 121.8835 448.225] /Subtype /Link /Type /Annot >> endobj 14006 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14007 0 obj << /Length 19 >> stream q /Iabc31831 Do Q endstream endobj 14008 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31832 20830 0 R /Gabc31833 20835 0 R >> /Font << /Fabc31834 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W"yW:dzQUh^@wP".*x P@E ۾{w endstream endobj 14009 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1253) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14010 0 obj << /Filter /FlateDecode /Length 4293 >> stream xڭn#ί9*$+`ub Nf}۸JiUd=jt:x-V7u|>{~u%V`L \߂ioGilW/OE}'zЃӯ:_z5hĒYjΖh_QN.0+  GlLqpuz0q=bP'nCDeѭ !,h) ^+2XOoz$e_" AiP/ l73u@7x_/?Pw :sjxcEv=e!oI:Ed$jVP>q˺J[,0*2_5.>i ؘԜOuE { lRv-q)92*n9AmlF.wFHSrX U?ZTg*Rޚg s8SUl maҗ%_@*?IשH]xI]h֬qj-cDxy{`BnD{@3r0[rY@}`s[B*~o{%uK]B#Zm*Oĺ 0 <$ |͘d/ԑ]UZ\yf#78Zē̱Hb$3:Ra Q[~ާej~Bi],H'ZG8v ''>MԎ%]R;%Hvuas|aWEyiw{ga^4t# m gXl@/Ľ3?U<$*'AM6;6ZBzX!KUA6ߖϧtwNߠp(٢4^o~U]CAaE!~aPi鯹 7)b}'ֽA^"ZM+A|߯4cI W℥345~c#v]@9V)-qA@S T璠:MRFЂvl /@P67R}nD0OЛԯMJIQI,g.c. bDԖ&hU:9hǾm6$l` D:T۱ج@*dtttFKeF [<*\T+uP60jN[p˜M:Hy;7sPiU2|N7nnp5 gn0 },`s0 cղ[3j\yT 2  ]лҕ0AI E's{z9]p3'5'5'ucsRݔ֌>gBml\7&D4&>όFT399'mH|o` (v}/؏~OVO CPy]^$GG b\̂l_Kuo[3v>/5ʚY.WIxEVݏ߆ܪpZ- Iy+~о xGzj {[׷?$_ޥ{}!o$ pKsKH;|BDܩCRm/u(!ͩ,X;W `; Q]9+T `fwlk<`D5jZx9A%478u&Um[sZRe4 L}ZV^Vj`~`zP"Yc[CZKn+AÈ߆5j2VVSxt&ahv$91 }[⊲uv|p. mH?]s^j OU;j'p {3ЉRU{+!c@f_-uk=U[bHFlaf")4,`?Ư/p8Α}L=W-uTǤۦ՜N<&dR >wMGV;}AjDN9WGp,q$Duc;ڮU3Fb\;xwVϧdz ˙B$_xO6*g#Ia-Tg< %B B{As<3FRzOR=dFIf |n 8sHTb8)< 0,#!胸 @bÖbuG ƂnjK(t5y̘PZacI7/3f  {%7"UC)i)hm zor7ԝ 0sn?BO dӵL G|ԗ=f޲!49dQɄR崬0o4,1ܼOt i%h͇kS$`+s6},&G9)Ǫn W-±,0+il r5n"S>aI.;- d, fS"n|+gUj$KͯwSHPڄsq^N.&wZ\r(:f5:Ӝ6Oz89Jz^]I3,I -iie7iZ= OJq9˘~83$=-Q D4P5l$.:Ffw>Z [@ EaXqX> Jxknq$\y|76 MӧSl\ 1{r $2Ɏu9wn &pIyR[|& ws;ޏl!;oku˃tG :[1o\67[\ͿIߧv5"QOxƬ>e0lEs `WFl+%/6OW'HƥV#+ƩnTxV&} 95L S_N@+e˧ͤ(%.< tjٍܺh>im\l ' x{|+P{VSaܘe1Mr [чTk<%^ [+[cp!>eEs~USǛ1\Я:-._ix20W 6*hEmWصtMT:r}7#x%^xneջ\gjabwQJ>L`>aY]Pqg@*r\ᢁ|)aro'E<D>Pjp53Sy+U,3 n>>X \RXJ%$.;8ɗ5j(بW2@l0@yZ[jmGՃ* 7O}TآLttro.VIԔ_JO<p @ H !@#_VW ^qvo:,kM Yf#CLA`RGjUJrpVճ 2GO)6pk'@iY#bܓy6|!gK#< $rkur+uЄpoQ L{XXg%=H Ga Go3ptf@Pl_Bq ڦl*)^;>#nDΗ~OƎ=u̞1?#Zƒ0I|9`A ?ӗ endstream endobj 14011 0 obj << /Annots 14013 0 R /BleedBox [0 0 612 792] /Contents [14023 0 R 14019 0 R 14020 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31850 14021 0 R >> >> /Type /Page >> endobj 14012 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14013 0 obj [14012 0 R 14014 0 R 14015 0 R 14016 0 R 14017 0 R 14018 0 R 14022 0 R] endobj 14014 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [104.1732 514.4076 155.4057 525.4076] /Subtype /Link /Type /Annot >> endobj 14015 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 498.2076 187.3167 509.2076] /Subtype /Link /Type /Annot >> endobj 14016 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 482.0076 152.6942 493.0076] /Subtype /Link /Type /Annot >> endobj 14017 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 465.8076 166.7302 476.8076] /Subtype /Link /Type /Annot >> endobj 14018 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 449.6076 154.8007 460.6076] /Subtype /Link /Type /Annot >> endobj 14019 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14020 0 obj << /Length 19 >> stream q /Iabc31850 Do Q endstream endobj 14021 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31851 20830 0 R /Gabc31852 20835 0 R >> /Font << /Fabc31853 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u]EWmGyݝC.;p94C-z^lM$X endstream endobj 14022 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1254) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14023 0 obj << /Filter /FlateDecode /Length 2117 >> stream xڽɎF^_Qdj_AD ̓ԉV"[rؠWWYV;+W_^u[:],.u~?_-Yi6kkh~~=[1bsI\~ xFB-7 '~G|٣6٘))YN[E QY:|h+_{y$H)-M ;3ƅ.|s< |"{K_'شU?"ֹRYDGlk \ \Vrt ]|sH ,XMv(qj4!G !4Gt &eq/b#&IK3V`6Lf/" ֲl-ݬ^ey-AE6jnk*y患 e+gy.re2Ӽ45tl$K3\2ofl[BlJ]:dlgq3 !({p6ug7٧n{(;GB|d8F|FF8q4FQŦ=oٴT`a kYAsZ9_8_!ZK5xogݙA3O~ހ) |TemI"4:DF~BAy4T@!@p=(TD甔*cZiyx5%ŭJ=Tk ƠzWMlsqV gxt5i wWkIsI\ՄR`D=  m NƥǥaE"ӳ aXCJ!?]4lfWw\G2۶5WA&{/Gud Eu`mFf4umummDyCԂ. wʥ'@+iA/|cH f],E&h"(O2)) x*ՠy$z7ƜG\ɠ mdnYTnVv)P6p)T۾UIN6͎u:o)(h4B tm! 3v̔=; ;<0pmɗ/RM!"vȃ!Yooo<%~U7??\+tj Ȧ)axLV&Pbzxs3L\] J+`,+<vuyx γI |}|3~May3Z-D w#y6p!-|Da^?<2Zgnz (}S>gF-^"!<3|z 9m)Iv۩ nOC¬/]l7Y7J +~-6 #&7̞Fe4kIFmޠ9#!$+n0;X)`U VKیD@FB&dE Ϭ;d8#F f8@m{ 4)ђP&4"W6uygdl_>s[\ Y7/uwL',;1Zq:gQt)'('B!7k]gF b8Q Vd5)4~0S}[$dx1a/u#5&$^ T7'@Fjj)H@ДF%W_cc#J1zcq<2R9AʌC =6! R#:0pLCn>o2 Qx|9:H>6ҫ6Xng#,/*dɁw20u牘?_qʪ>'x|;;BeFsgW|GHTЙnuf"6c +"[8{a5 m"˹[_Cs4lVIKT}RO|ITjS! zVi)ӣAxDO\ގi9G endstream endobj 14024 0 obj << /Annots 14026 0 R /BleedBox [0 0 612 792] /Contents [14033 0 R 14029 0 R 14030 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31869 14031 0 R >> >> /Type /Page >> endobj 14025 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14026 0 obj [14025 0 R 14027 0 R 14028 0 R 14032 0 R] endobj 14027 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [90 422.025 135.562 433.025] /Subtype /Link /Type /Annot >> endobj 14028 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [140.875 422.025 163.7275 433.025] /Subtype /Link /Type /Annot >> endobj 14029 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14030 0 obj << /Length 19 >> stream q /Iabc31869 Do Q endstream endobj 14031 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31870 20830 0 R /Gabc31871 20835 0 R >> /Font << /Fabc31872 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$^_/jj;'9)ivPBNs(?I NЋm߽?* endstream endobj 14032 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1255) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14033 0 obj << /Filter /FlateDecode /Length 4228 >> stream xڭ\Ko$Wl`hƀoi;ԃϞVٴ&Ū&o?)[]<#,M%磗?ǪΫcr]urg5l{ˏbUٴ>Rӷ7e32Okb [f \U_W*PT;+dоW$ٿJb^Vךa߅f5ङ5(CVIkZgx?CƲ-G9퓧GXdBG& ae3<  b%NV1Z6/ m ZH@Ȅ|o>>IF%i?_P8@i0]ճ2c3g_(oH+_j:ܾxm 駥|#z|{pz/@l !$0_V^?NTBAU<xa(ڂKlЮ2>c Smcqdl/%ԧpL̚ bd : 6.n <4T|xT3v'9fQrzf+2v[> Ơ ŭδn[!8 7$wڂ2F?`U _؋+[ֲC;=eAZ[21~(ChգOt'EI?2YQP4fīВ2 Up,ȶ̩zL\-=3r)LXG:Ce<|_XP1Dkasw =mx);D# 2d0;-2>[7Q9 jۆިGAƁAfs gy =ևy9}ПܪFT]`uTPR0Q"Vҩ.a#ϸ"q <Dz7XvOE=vTx3Co7a°ÑL8%.M,Mbm1*h@Hp4Ц B".Q3k6@ 5Hۚ-ޑe ?Ԋv _}&9%~#ѽhuq$lvPƠog1%z]%ճsE355Q~D L8$-',p-hu*Q2EsPm:O%띨i5&A.%ؐ<7}KZ7_Z#Zo-7ZS !aڬ`|0,!c 6Pd@s˧,ȌH{o =fͦ#+csZ?_K `J+KBgbjĶo3 QYs˘l64uWnTrp[\J`T> gNcTF Ş\5Ws#͆MHR5M<9"fkD5 F @ЩݎɆ)&lћh5^p91jg@f&tz)K8XoTFS0f9 P9ˁKsXfΆZu(cYp݂`!@,,*[ =&j8ɜJmyT XJN3 .j+;Nw''-ip:']I\J~Nba8N3$8Oxh~ D'IH'gI 8ݺ}'DFH}'1%lt8J} F# |x^I,0c_.oNW=GRi'm,Lx,UAk/p5W清O!Ge@+_mĒp\4ePQDu p3/[Vn䂾/]0ـPqmqt =O |*yǿ*)> K(qy#R d_I\E"5d(c" 27\TvdIŊjzݠ.sWFF[QΡ=XN,XB L-ɔlMm2UGQ9r`SwXK}X} R] DY(D* X~U3X+!7ޢ5Cvᇝ]`U0!Ya0Xr('G4IGA agݝU6GOFq2r QDFl#- 혚'mW諵w`wYbTyW7cY3cTGZ%W-Z)&p//Fa@H֊pZPFL:zb73Q㫝1ZEk!537ivУ&8l}at[WBAM[=79L/ ɶSnX[iU耺2zގ{sv^=#XSޡϪ|(gDq fy]Ѹ'$oS 6[]ЃкhBv4M0Ce_[9 !Ķ0ZV[ĸrTvJ7Ȓײ5\òh}\8R?b(0/;o|TBgf_V`jT[adZp/f?|dcKPvmsۅk̲MKf+#1~Cu_ޤDeRJ6%%:bM:RvRSb\YOƥrSO+}Xh1[}*t eN Ir[VN7M|OJڠ V SJZ@{vM'nR\r.o|$>1/0ϥ(u6|Q]pڑ(# v=AIGQ͟]eXkےijDanjܿk&C]GH{Xo?ܑ̠ܪ# ]L T:q-\AdgOlDk'gAlk.IRau8h#A ,0W&ț9.R6#Vy_RKt_Ggq|Es1%tMEY_eWL~WiTRBX+XQ.Ҿh%$'v: *Elk\ qjؿ`_XBMwTPrCRi_IRƝ:m*Ao} ))Kڙ憌(-xutoI$^ ĐꜵdkʖrDcʥtV6Yto[s8V:G wM$_ݠ&mިAC ÷ZBl/iX?]2 El>M@}9"1 <5KT׫qe6ICyuC vwq8Qnr]JrO"6\F_\ GNCfA1y!|? ?᩿O^nWr׭ܸ ξ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31888 14040 0 R >> >> /Type /Page >> endobj 14035 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14036 0 obj [14035 0 R 14037 0 R 14041 0 R] endobj 14037 0 obj << /A << /D (unique_195) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 200.1757 608.2] /Subtype /Link /Type /Annot >> endobj 14038 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14039 0 obj << /Length 19 >> stream q /Iabc31888 Do Q endstream endobj 14040 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31889 20830 0 R /Gabc31890 20835 0 R >> /Font << /Fabc31891 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪH^|v,9)C ( 'C9PmтGŶ1\ endstream endobj 14041 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1256) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14042 0 obj << /Filter /FlateDecode /Length 1598 >> stream xڭXKk]7Wh8z̅ trҕӤB7}PYiӼbu-'N>6咬iet.ZƩ09ΥޡE/h4_v(0Nj$vϐqig}dT7wv0S9A!is\ "Akd't@+(qi’ҝR8 l~|?zQ1EkJ'8vx /luW''na ^:8I z*;Cs5 P1,;|5_[ʞ?FTl>e?(Ƃ0sƆl4"jAmD{o>c&دh'᜜iO.OV]"~3Wy=ŝA؜}OA~_#?1z0`Ì4ko'v:$| m8j` ͷpP-ۿaKN1;M@^v\A'"]!8UE.FJ &c>2Yig$ȌrqF_=4b'Qzܙ(Pp,_A.z$wzf cjt~gc-6 lH 9ء1>r%'Ff}f*e~upΧ u c%@0t <ѱ;6˛ך| Q޼TVoz]mc{ yT~@ݡkx7`k~3O$<4vBF.#1.B*6αc qӊe%Kjsf6[c'}ހ1?61U]6JN17p ^J 1b4:g1`fgVQfm;W,39Yrהs%^')l4gef?2լ3m^k sD )Ns0$ģ >EʮIcB 3^cx*/v!KA-CG~UCcMJs=nJ +r#{+n@jlOlcfAu!t!llD/~|9cn[6V$Oͨxar*:;*(^k* xX1h&x\757S _+yM\)s[-Tټ\j,AJΓÆ6*A&oJNUjMKqz)R/\ Ǜ* [U3TFS׶ŭe}<|5..%e$;F4Ɩ{uLCzHAބ endstream endobj 14043 0 obj << /Annots 14045 0 R /BleedBox [0 0 612 792] /Contents [14051 0 R 14047 0 R 14048 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31907 14049 0 R >> >> /Type /Page >> endobj 14044 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14045 0 obj [14044 0 R 14046 0 R 14050 0 R] endobj 14046 0 obj << /A << /D (unique_77_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20211013063105-08'00') /Rect [90 437.225 191.6455 448.225] /Subtype /Link /Type /Annot >> endobj 14047 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14048 0 obj << /Length 19 >> stream q /Iabc31907 Do Q endstream endobj 14049 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31908 20830 0 R /Gabc31909 20835 0 R >> /Font << /Fabc31910 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14051 0 obj << /Filter /FlateDecode /Length 4369 >> stream xn$ίlAfF2:rrZ)e?⫻g4ͮuM&Y68U:cbTgS?>L)W;cbg-M}smWv'[= ^.^c,Tqmل0b0q~U?>ODc$Mh/V'_Ui^2|2'x:Vr,0?0ϼ#<qqP^ј? P4RDuCY$?s0ϥvP6B悦3˲Ͽfޫ|w<,A K;BP#i@C"yMKsHONIc?Hp`S@dFniYM!Ȑoh?# {} ƺ@eagsv03}Csz&-'| F, }fAxT;cZʤd-ŖB*_"!eg4,CB p!,RH2@ߋ vU$]L>x;D,"l(6q,L4-0&YR)Ms\ZVYuʰLyJNk΅xbECUI.Pu7y|+63fz0`fpy͡U+".ς|Za£qm؂BY/ Q _d edjú UxbM#'8] 1Ljk$3#E;₩]6!_]¾a7Mo$2yZf Y`O"F$l[{# zP LNy ULW8&q&2]**NK}nfc k]->gA}5 :2g`-_P /^NpR I xQ0 X!uWDƒF1< TZk.6@a&jtseHXl8~K8P- '$񬡎&s$̸UXq,'#qd:J%pQ$U-jg^HPR!tuKDVazr6)5gm4?KT{m "0TGk(f)u090VfVdIX悅z\qjf ˋPlIW[a.ҳEGUT?`_^X$^`[eXۂC3dQA+gX:\b˝JՀ)U3H56šU(\lhXO bbDqfM(R^;K݋u^3k}ݬ(ւ^U hPInbTц`U\ q^sJiW"B]@ց1Wc0_,W+uht mԡoS*;h]UD}MA:]HcR R 4E-ܞZN-epZ N-܎ZͮuL_(b[Ճ+ebSQJrP 7*S Qܕ0@I Eu{D{:]fpU'V'V'bkI5Uk5:R=Ħ>f>f>7yBNX`ԨG@U5Ky 4:vW0d,_7;>me4lEpoRW"*oձc-ٺ_we_qkgUUv%k;0#'8?<s9Ӟ홑mgF6Dhy,E#AN;J(_do?XCԛl hkjxXP ,LgxO wym0B^2=еԨnz+HX:MI(@WIӜp v-xDJJTq2SI'pǭsniNhsB67.fb3y!j9M+R[R8Bp $q!(tZ1̝+)A|y/]vIՎ0.~kW(O'E4bQziR$V0u$^|U \c uM7ol͕@㣽=)gn^C */+}cU\t6Vf7XAtT-A09*?Ȓ$S-vA%>IzǛ5.-T^ ,\s5ؚa_yS%:mQu TcIҎߪ%nm1H8 FX90 +@.2Bho#WW(ګUk sq~u·i<A@#n-Q'dj5CưL&c/ `*V.²1{^KACۆiq pϸ^ >K8TqAh AG;A3`5N+P`eg-f{j 乕pWW؂w h~>Lrv`3 Iky&,)ϰ:0LLX9Iө;Yx&7Ojf4n5s.:(M\D 70R(eNߌ".ܶX - ".F+ߪFu1bR#YaXR{J]@ܭG y7OWڔآLt;s=%cR bxMMPD?:F: ENBS~A<]jA>eYP XW h0res4RzOTQ1wӞcLD0f4Ni -OBn);72<xX%叵xi}LXz"/QE+5jBtK>2W͢M]ȅ71.6ULF{BJ{;>(jS-wk/&>aj2yl>6-qN_tt1ϹvmzWX>՛\tk]W/nqZõ6 GI -y}\x'g{m+F̴[@C#!qO'='܈]y_y>/ɻIz'YgI__Qn9oQ˚=>⁇|Lħv2[AY@P#lW/"0vg/W.r#OIHG7xmF< 4~wB!#40 ,9(c endstream endobj 14052 0 obj << /Annots 14054 0 R /BleedBox [0 0 612 792] /Contents [14062 0 R 14058 0 R 14059 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31926 14060 0 R >> >> /Type /Page >> endobj 14053 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14054 0 obj [14053 0 R 14055 0 R 14056 0 R 14057 0 R 14061 0 R] endobj 14055 0 obj << /A << /D (unique_37) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 429.8346 240.8977 440.8346] /Subtype /Link /Type /Annot >> endobj 14056 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (get_cluster_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 413.6346 230.9757 424.6346] /Subtype /Link /Type /Annot >> endobj 14057 0 obj << /A << /D (unique_100) /S /GoTo >> /Border [0 0 0] /Contents (validate_cluster_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 397.4346 253.2397 408.4346] /Subtype /Link /Type /Annot >> endobj 14058 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14059 0 obj << /Length 19 >> stream q /Iabc31926 Do Q endstream endobj 14060 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31927 20830 0 R /Gabc31928 20835 0 R >> /Font << /Fabc31929 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-Ňn8>϶g՟EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ>N endstream endobj 14061 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1258) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14062 0 obj << /Filter /FlateDecode /Length 2381 >> stream xYI$7WlȰ\ P0i5uߟi\jiDg2 ]f"dZ3,M- Beb3{(GXZ7.b76,r"15=G Z&TCq-ݖ>}1Y_5͎ᖝ\<S,$9S1ց,~g <plrP/.{skLAu.H,{2H[SHmqvEyIfO$F cHV bR,*ǡ({G?rs$sSYxIxh)4 hY7g&iZXsT3&T nCl ]KBjMXbdGE߬[`O$kYlsr 4EϠՍk{FUF16Do[ * V2&p@|;FS+.O$@ظ INS̬%"<5pA`!R|N=)0+łͬh4kCEbɼ)na&Խt$׻eZYNX+2n;W<5`C _05ź)a@sǚzU1B-(Ntl8XȜ:Ep[L(`ÓV O yJ_J+M? K:bcL6:l#ICkc[$O:{MXkQs2#61QMt7Đq"“MsAq/ڶi=1/Mx1Ta@lIt1Zl3;כ?ċz /5^^z/x6>^\npc Z5lņ>jܼ~lA]fØEƎ>`$4pF; =Fh8?4(#;@{)ToY V q-D i  kԲbwc' f] ca%}.J;h&xÓbb#M㈲VDQ#M-BYDF2%^D^ѱJ.ȁQt9x|#ȇz(:f^Gd=ʝHw/ZN:;tw  rP )x?V=~dywlwlFsg;tfpάsufpX!=z-Qw =xr ņF]Up[Z%'Il=J)69L>"q]ͅ',"0kgX>ƭ8|/~ _ =8sPzǃ endstream endobj 14063 0 obj << /Annots [14064 0 R 14068 0 R] /BleedBox [0 0 612 792] /Contents [14069 0 R 14065 0 R 14066 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31945 14067 0 R >> >> /Type /Page >> endobj 14064 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14065 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14066 0 obj << /Length 19 >> stream q /Iabc31945 Do Q endstream endobj 14067 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31946 20830 0 R /Gabc31947 20835 0 R >> /Font << /Fabc31948 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMD endstream endobj 14068 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1259) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14069 0 obj << /Filter /FlateDecode /Length 4887 >> stream xڵ&7}{uOjK ^zjԻ>0nWz1}A{M:ۅoߚ_Mzy[zθhK`6}eڹɌsqe?<' -\ dF n; a ^p{O2HC\pe gT TNV9`+ԾX:m4aMe Ę:Ka@ (˜s0 J|?Lvi^`'_6S ߟ/@g3ߥ(zIU`gg-m;90 &6&[agVDCE_@v 2c"  (.kP6psHu/wM؅DĻD&t[C3A}8f!8kƁD>83AH&?Y&fXF U31 T:@83ع5Įa{ iu6G6ԕm࿮y7MM] j٣GS6bzO\,CTQ{SoGlF;[^ި,1Tʊx*Q}j#8{Z#I!ٳqܷH=? [rFixT*^iN&~¿AgEj0<ح4l,Z5 F*yA)fr] SO@.Z=d|Ng^XpBM*qZlc pVIjv"RV{\Qp\9=f9 NgZ9EW6m[<Z8](Ղ@BccZ9%{vzO7u/^v)SRBO>Q~X qQR{ D={z\]:͘XO_eHd\g`l/XFD얲mc9#pU{, ҦSCa~BSc!X$U`Bl^PR[\h@ 3  I;Y;Fe ,TOQ\%8u5K/+d9SN@E`(VvV3Y lF5hރ,C XvodJi-֬pH.:-|Twrmj0e?nV[vN7el 'УFm^XjDSjꬔu^  :n=Zd1Vz؄u?h--`j,HGL"N6)'oŪU 60GyB=!u R|r`jY:%es2؅-]?j/o>^|H ø s´d>0$f:D+F&sm~07qR OvOLs/}#6t9::<ۓ q҃g[cm}8H@Nm~CEyuࣴkkD*-1ga|18YTV=O%wz a[m}Ptiے˒iyI;¤+\:"lS{NSėf$7͞.tEU;·11h[]2ߥx]3Pbڂ !=!\o0e$8T?&7]h蟏c{|C468HalΉl@=VOK?c$:ӑ1 rܔCЊ@%]Zׁ(e-ݘ(nRs (>`Ӈ(bg!7if-)7sZBbkPn@Xf[ib$Nɞp}X*Sts\!lޅcrN4[mD_JSf8zqaK (~l8ZcI(쀯J_㝟-q 8u20K"4C[jo .I=n><7#|Qk[*6HPX X&zOߦqzSn5 eC32k0N t" _Θ&Y0ͺ2oԚ.VlA43c<,nVqA6d2ڥlWڛABw/7nȞOU}_<?NlΞuդ 4^RvDkO3|򧋡_a8]N)IrmyƳl>nAӷ+<- 5%;X]^~NBK{=>^{=^JQ|5]fb$MwlVo*}.ԏO\HؽOc[>=|G2M(bv`A ? endstream endobj 14070 0 obj << /Annots 14072 0 R /BleedBox [0 0 612 792] /Contents [14079 0 R 14075 0 R 14076 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31964 14077 0 R >> >> /Type /Page >> endobj 14071 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14072 0 obj [14071 0 R 14073 0 R 14074 0 R 14078 0 R] endobj 14073 0 obj << /A << /D (unique_657) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20211013063105-08'00') /Rect [104.1732 475.5384 172.4887 486.5384] /Subtype /Link /Type /Annot >> endobj 14074 0 obj << /A << /D (unique_686) /S /GoTo >> /Border [0 0 0] /Contents (report_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 459.3384 189.4012 470.3384] /Subtype /Link /Type /Annot >> endobj 14075 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14076 0 obj << /Length 19 >> stream q /Iabc31964 Do Q endstream endobj 14077 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31965 20830 0 R /Gabc31966 20835 0 R >> /Font << /Fabc31967 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14079 0 obj << /Filter /FlateDecode /Length 2256 >> stream xڭYK W輀@zt/ l^`?)ʖ]35)K)DmD\~}}t4dolZfcf&1gg3S6 s~H^5g!1pLL±&X`GpCvG'c3+@&_J/9chʁ1JB2Ƙϕ;*K,JIz,dI=_1"Df yhD번@!:3/,%dXM]]V?d粞+ V<ۂ=₇0 C98lK]ƴ=&^lsu9QG</ :ۼ8F)u3ZJh}фU׋ }*-ȯwHj朷!\5g rp0$-)ud9~ ;9!5yEO!23 "l*@k~t+9kviy){J{beQ? "eUN n.6⏹EECtQf邢ڋԒ3CF\f؜ݣWk ucǛJݞP<̑rxSr>4XVp@O;5upHӲ(G"MKCe1a. -I JA^]޹W.~.55=Ԡƪo3_m}#}1Z 嬒 䴂B,:Qw3jeGyzQ_kn)7.(lIB_'jp1Pj#Wyhoj}ňZCDuOQz0P;3WWs >2A[Ts'oghRXru+~X|# B6 ojI& FwZz H>iTX-QzL vj%kXɠ[#^t6;HKe@jh3?6#SܹlFlF \}m3&kʘZfoGYx֑u1{;AFT ol4# ,X!I2/g+B}n Oz%ڬFL8h:"Z D&Kj[V^ط)5Mi Kx- g&^YSzycj[hW~36n>͹-iz[ט^Ix đZ%^Ʌ('x!nF1ӪצF-vꭻ i%.۲C[sޥH]6SR}Ʈ"gKAOS+.c콳FtդDШrкl׿5Q p/]>hB榠͡N"MmW9 ˘:'xN!hiSZ+ih t{Fi~\ !;|ŸXq?,Ϯmһ )k`\#P6+;xZ.}V.8ޅxK#Ĩn*hifnۉ9"k;ŸԏS[$ P3awsh/pzR#t}QILhC_. endstream endobj 14080 0 obj << /Annots 14082 0 R /BleedBox [0 0 612 792] /Contents [14089 0 R 14085 0 R 14086 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31983 14087 0 R >> >> /Type /Page >> endobj 14081 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14082 0 obj [14081 0 R 14083 0 R 14084 0 R 14088 0 R] endobj 14083 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 289.525 112.528 300.525] /Subtype /Link /Type /Annot >> endobj 14084 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 289.525 150.346 300.525] /Subtype /Link /Type /Annot >> endobj 14085 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14086 0 obj << /Length 19 >> stream q /Iabc31983 Do Q endstream endobj 14087 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31984 20830 0 R /Gabc31985 20835 0 R >> /Font << /Fabc31986 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#2Rwm-־0ZOt⩔L(u$R&o2VK2 ufg[XL5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}Q endstream endobj 14088 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1261) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14089 0 obj << /Filter /FlateDecode /Length 4807 >> stream x\Ko$WlrfPT6v{X쩽cÐx%U{$Օd2"$wm^u:Z2Tǯ?A;6>ÒC^}`L_ k]vUM'uzi]jWǡ_uqу_4VMV~?͒ b~-ChV׿켶|Zu,K6 /{Gchb] u&s]0Co-eN+'˔ =$ϝEp'1>_e Q4'$ 3qjΈ]L}I RFɹGxxIF&ПgF] <>NE>;"zޕexK\<܏`ᯊԅŹjZ ծ,"Dg3!ac ºIjoѮXefK ၁EN:ޠ<عE07[VSj@P@ 9YN{^ʙi7DbO96xV0%28N qzekYE*CY Cek~Zrf@fZk Q4q1.l֫oK&o] *"@fJc⁑MA/6`Z!ɏك̬s݁`meJ{i4 z*rt#'떗--ub\h)%6J~/g/EYqxi%͙t2G`:ԅ3{BPm3$ր>putHUk.12ͪ)3am&ޟ&|IAprjܛvQ=Jz|ݸoȶ'n' 5C /MInZ?DnԻm~gv ~P"$nw#h1ݱcxe0ĚלҐ|TfGsΗ0jU&oS?m Ќ$̉JBog5i I oTwna+~`|rVPҿm}^dz6S8^?.(4ƫګ-K]ڵ.+Tᇖ 5W .^ |W#0K.6{ :Z~K8TR/[tƣ:ۑh:^aiʠm@ՁVR%CWfYa2X , WX=X:, 9" b0c˪Ԟ'L َ 7]MJ^y(pR"` NvNn ''ip'IV^8Њrv+߃4 n N.-~O-W?i| NHGN4+S{NcgN=8 =O)ҍpiX䨽ʻ4I4+nV} <}AtGwJ]OI]OڋO'ۀH| nOj7hH|RS3s⓺yPzgOxxzoP=zw&H% (&B]!ݬ)m`@J]( @)Q ]~z R{oPV,=LJ&=x/;=Y8rP]>37{$]s4|P?DuHEawHxWwFFޙ(]M:zڹ8owGBre e7w|>;jʺM{dBݰ{*7!^yW2\")|!%ZJy?F]a:XdEj{NEa0r0u7h 𱬳cwac(w(ۭaD̓MlO@.z&: >6rp]ld_-7{fA9^׏;n@TwZq%Ğ^uOkqGչ!1oS`itve]^;b][GQ;3;7M~X;cR%?%Wo=}]|k\bLe?X`t:gq?yef&@gXH{\kژGWc3:83?禙^*f2}uϵ56&ow߹J3ts#;'}X/*GwXC"E[~ɹk:3׎NJ,1Y9G,.z~/'Om;c^Ϝ[-WgyM&r˄Dq Eeݡ ~"{JZH~v-]'nbxUcsuɹļ2?<2M3;Jw{ӄs#QR:6 ކ2=r+%* AnHrS}I%. 1ҚLg=g!mgq@2!r+t0P`xDf6z". t50D_rIp^u\X/kԠ1;V#ys\R{ZfmG>`|S_R[trv/Oq btMMYP<G4tt<@!x{=|" .t}Є׊Y|Y> %rke4\ f8_p,ZK/R**ðSR$/->|qKٹ,%oxϘޱ<݋HvF!9k֕ Y)7XUߗ& IX\xizCeeK?)tnKՃ1^/|lݽWsJWxR 0.Qy1{ƞHΰqb`w0 q]N1rOh|dJ=pɉ8y] ሴs|E\xÄm`]<٩9y:x>_cZ$nFsƐQlUǶ^مN)> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32002 14096 0 R >> >> /Type /Page >> endobj 14091 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063029-08'00') /Rect [218.8375 422.9847 381.3955 433.9847] /Subtype /Link /Type /Annot >> endobj 14092 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063029-08'00') /Rect [178.99 370.3155 396.03 380.3155] /Subtype /Link /Type /Annot >> endobj 14093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14094 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14095 0 obj << /Length 19 >> stream q /Iabc32002 Do Q endstream endobj 14096 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32003 20830 0 R /Gabc32004 20835 0 R >> /Font << /Fabc32005 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14098 0 obj << /Filter /FlateDecode /Length 5306 >> stream xڵ H)@nÇ=%?pHvި&YdonzS}uznKj pPT~3R{CIWt671m:JTr3It1R+%s6:%!c3~|/YcK]r> R^ E-^6'߼ LPg`P2V.` 5DEi-t>ֱ0$`R1Fz4",=;z]@2uy DE#ups^];ؓ`WHC} flꔤFzGת*PKS8;Ef-^32#opjU6B 8RpIK@  QAdgPLA* 9e&].4Rq &GEف ul"zE$:XQsx$tEDi 58A>U>!XԨdȜV8MNѶx{iV9"k&CA=bgcF@g#4F*W3LJӘI3FW[GFQқYFmR譟D&}B܃x^5RDʸMiHH~éQ|X:㝜'9oq '] П5z  -Qrf/ [̖|U2Cr$p{@6 : q$jʪWey. Ν;75]W1)S@ LP& QCG>6gc8r&SHݸ :>N#ļ6^8t.(΃#^T}.\[}&􆗣Uñ*y:ye뀱f k@?N-6&!a2]5iQ΀w?ת##p26YU䄄>u?431,bךm5ڠSxr>LC@| wՏ ^N*Jghv9\jKrvK3VrO~@$-}'%S\"EŞh2Бe v<39L.>bZL/2ݰބSwvUY,U; /bNqd9谓D\4+de]Gč8pr[+:H`=M9!X)<"XظcǸ'CIxNu]R bf6ұftyV;qԮ(hO &=zƥFi7@ .t70.>d @""i(ӇWNF _oc.]סk*&=LS7 {soNZ0X|2.b} pkQ@.>"MPt!'Ͷ# c츤< -TZ fЄQKh|6*BIgɻŻ/^s5el={-X`XZQnAhh7L}Hd@9"5 Fڸ2jEAHjQ[עUE*HU\ {ߣNLh9=(CJkzhR6 ́wdYXs=Wf ]:g+Auyd\YWEXb* +5Bf =Ôo|ocePdK:qE[`?7>>S9@Y"vI(b h Z$yѧ>.;Lc 8E289q.>hCi\Y6D@r+55/euCԳZM>{[{g=WF+x/u Dx$t9:庚uRxVԋxfv<]OeReRJimUe Ĕ[ AaB9Q*$8 L>\[m[uj/2bFv:UlV?~C(Ze=c #hhם VjQĨcvaRfy5DR1t\sG_H\K0tbw-cF=5"%}GD/hИ~#v^FLolB ao xِ"&wb?O.Ő^B\C3)QM9C1+Gܠq:Gɺ] 9JlBLN_>C. ,'~. LrTŸ8}TedVy;SF|Zd.(͈@VaDi}}`~XЗe=GӶu 郃.&[Q^1O9X!f!I\>%]پgg`-݆=Ͳ}f-{'N29ܧݯZzF Ҟ I4𔫻8^7Zy ӑS KYy{]?x_YW(2Q9!vV7Bp\]-j k")Hu[u>4X^G$0EW4j@ !5'Ԍ^}ubÿ>q/]O]k%%%_D߭]ܳ.!X\3iOĄi s7(+mP O J~<̓ZyIoO7nSw| ~#]@'0Ow4qidWL<d qe֦~-׹ ӻ0(LvxtWSd? (lEDIQLc2:$\ԵsϺwkH_\!||^o_R&,վ0F qތRKhd|# EKAҗ/tX-֦oR';)AL`Be|}+n/^0D''#]9?O 2 b#V!!@z]~|F OVʝ{fcM$&38:w "|'>|WsBp0 v}TUߜj.-/~?}QcWdBr -BQ;Wu a(\8Gi6vx=XSTps?Ǥ_p.y-OTP1 bL7T|k_ҡs!'>zl,.ecnj)ZM}㽷Q}ҺQb(uZ eJ!ʔK6he* T8a nerD8/Te{2:o7Cg^Ћ>Y8.ԯG>wD`nJç3FJ`S6g:WJ-ݞ^+4M?>_އbdwܫʴh鰸wvTns~/|1r;G3ģ\M.C(hVzLNQ3O+2  '#b7> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32021 14103 0 R >> >> /Type /Page >> endobj 14100 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14101 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14102 0 obj << /Length 19 >> stream q /Iabc32021 Do Q endstream endobj 14103 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32022 20830 0 R /Gabc32023 20835 0 R >> /Font << /Fabc32024 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 14104 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1263) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14105 0 obj << /Filter /FlateDecode /Length 4047 >> stream x[I+ـ`0H9>Mb8?qVk=hZfŪRwe;bo3*x ˯M}rKYk砃zM>>+Kp{_|qIAg0Vmc.Vo-vzG# 3 Ts2 y9)? {ge4F_&ck#K `zzz )L'߹n`JOYE_x5pm[6K^$謟TLx}۞=;|ssfgdi /ĺB5 rC_D\8\~Z m7S#s 탨A6 b Ù!!^P^$lUuUU6.~qIT|:Oۆ ָa",[`&7 "R^i44Mv2T90-\8imq!.ƈ%NjfoײҰXp1]C>Wjgr] ڲZ@WSE`=/Lp0uJEBk#C1=wKLU{T(%y3< ȋ;nUĊrw&a5YR_ qEm]`}/OT1Va\Ǘ_S?b0G@BK9uJ%ec =pnOGVW`GdZV"=*Y5`ZטAE"΃XyDX{v|xfMZ#z&ݧ{[CEǓ e䟿:%Y7sXHn t){r 0m[~}Ru5z\K;-l7ld \i *pm u _`"?ǸF l[psѝ R z/$ p[`2\ф+ _ID`D>a:I tqFx4K>1t8/bS;-jA Swb1iN%U R.R,N,!3IXQgՑSLJ?+4܁1Ȱ'&7T<ªHjli`Z'f4ͅfܿ+xf&WCQ\eD 9U2pn[/`DS0ܶjҐ_s}H#jir:@HЭ4d7֜siX8_+ 8/kHR,R,Ѣ A =4L ugP4Є` ̈́:剶pWYuz (PWii\7&L9I\e1foCն(b`K nm)H0'oF"C:8\jϵԝivY*;t\$`SZKNv>.Erܮ^MZ7'̓JJˢsŹ-i):2R^n@gk(څ!%qWKM8qԽ|ĸsΩx-H'ˇPh*;RɿW0ffk8ߐS|4m)vݼRu=Őhk.sh5rDȺsxL}ZxxUö1A:Qc+a}v@zJ͕m|\d̩rV_ wSi԰>,f2JOX?N.y۝R sxsmEb)1LG҅# 㱎_u{ i/"zܓ8G%Sk{O[O-\s;q΃܈ɵ׫V)f`x3Tz$UY;íoh9T8}RW. XYko6~,FsZ^V;;vNqiT팆g(>uLjW0)v,AJ4g*̠`_Y.Ϧazd#m:N~]Jr knb9 )H\oZW^YyΩ>+ȮB=!tb྾Y^;DljrAXI@F ,Υq*0ZtΦ0h)YVtG Dw5F/uvKvk`+GbN5:S-g` &d X <@wagÿdoߩR9l^@lQ@B4p!;4yѷ3K?-xG gD:o%T4}@8nL?/5px4M#=0GD][EΧsݜOk'^z}*uŋ0x]p&a#Qz^r6L~`ЇaMGqNQǐ&m Ŵ)d~f2l6±8??y"2&r t1Pƌa}buX|_8eN_b1 zP%akiwa`-PW&;ikG[7-\TYe;A n71>Qǐ,n76n#&q{scsaI7ΩBגWT 5xn5ߟl=]XppHeBG {R.2ns~Lf1{4 G-Bu 9O] 08JxDK":1Pa`_ȞG>ңAΕ$apaKdA = endstream endobj 14106 0 obj << /Annots 14108 0 R /BleedBox [0 0 612 792] /Contents [14122 0 R 14118 0 R 14119 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32040 14120 0 R >> >> /Type /Page >> endobj 14107 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14108 0 obj [14107 0 R 14109 0 R 14110 0 R 14111 0 R 14112 0 R 14113 0 R 14114 0 R 14115 0 R 14116 0 R 14117 0 R 14121 0 R] endobj 14109 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 180.0512 686.7] /Subtype /Link /Type /Annot >> endobj 14110 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 187.5312 670.5] /Subtype /Link /Type /Annot >> endobj 14111 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 200.8412 654.3] /Subtype /Link /Type /Annot >> endobj 14112 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 177.6092 638.1] /Subtype /Link /Type /Annot >> endobj 14113 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 190.9192 621.9] /Subtype /Link /Type /Annot >> endobj 14114 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 164.9372 605.7] /Subtype /Link /Type /Annot >> endobj 14115 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 578.5 154.3497 589.5] /Subtype /Link /Type /Annot >> endobj 14116 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 562.3 180.9312 573.3] /Subtype /Link /Type /Annot >> endobj 14117 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 546.1 181.5637 557.1] /Subtype /Link /Type /Annot >> endobj 14118 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14119 0 obj << /Length 19 >> stream q /Iabc32040 Do Q endstream endobj 14120 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32041 20830 0 R /Gabc32042 20835 0 R >> /Font << /Fabc32043 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ= endstream endobj 14121 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1264) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14122 0 obj << /Filter /FlateDecode /Length 1351 >> stream xڭXn#7+x `d됛99y 9MR$glիl4RT_X&[ljߏbw^#}Jk'V9&䨵>h?؍< PNXlN1m ? - Na# wP*ݎ褸㯳M`aO&*{ ֵNo#JF'"d EJ R)h3t r1q\xȌ#]".Uf Z tP<Ѱt$aE3sy3|A^_ O]\:-\ĂC+_U ؍cnhVϷ6ej]"GEC285ĪkmhbD:ztbi$\&KG dͤۃ2\</kX;/=讘Ww7e(uf~TYGGYa\Z-Dc`RI8qcs1ptj)X sh&?6[`y!a, 546s@\3F3_װ36bT\.ʇITnDΠcmc`gUk3 jzKt*ױLGӃz4.~%=e:Ocu=W5Ezj}~:ȁ܁ZF r c.zwwz/[x-,Q Κ gg Tp3ofAVhS\Syc' 1?o=LB@s;ǿ0Bp5ƖVmQY_d^z =Du4ndu ]'{HFs%<÷<4HʜQ endstream endobj 14123 0 obj << /Annots 14125 0 R /BleedBox [0 0 612 792] /Contents [14131 0 R 14127 0 R 14128 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32059 14129 0 R >> >> /Type /Page >> endobj 14124 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14125 0 obj [14124 0 R 14126 0 R 14130 0 R] endobj 14126 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 369.525 124.1605 380.525] /Subtype /Link /Type /Annot >> endobj 14127 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14128 0 obj << /Length 19 >> stream q /Iabc32059 Do Q endstream endobj 14129 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32060 20830 0 R /Gabc32061 20835 0 R >> /Font << /Fabc32062 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶ endstream endobj 14130 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1265) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14131 0 obj << /Filter /FlateDecode /Length 4312 >> stream xڭ\Io%9W־/=ST5C[l "QT)Ts¯.kɤJ_W'ZDwJy/OFKʫveUvOu]=^K.sdd UտKZ6·9h߄1Jwz R6g0^Z QvP_eE_Svz ![墣XZ>nkl|/ 덱u>dyy.,ыβVƞ:'3 Ҹy=2`y XKC𠇎=pa("\߿Kz:_+JBikP5CY\Rg4 8}<6=B9Pp/`Jtj`@U* \ʦY4DV߰bQD?Yuo1Ò@f[ (}Ux u|OL4[4IFxzQ)ĶzlkH? ld#1hT s Y|6\ 4Bm3 PxmXfQCٱPd #:dVCS⴩рXCuꕭ!LZa]wګtyICek6*;a[!e`3OYmAQHd(brtlh#;W4rB'5wvsLd|·CX{ ׆{^׼\_h</1 s :κv6V\{s;5WAe{F1gro7cM^ 벬( |"W CAHUl͐\~YS C| YyJ%TdkD b$k2,2.w*E'MUy7d.hDv)\R\BIkD`$OckG P,mFtֺ7s>6['BQ0b'NXl(J1`DRNQ;w+ICE  qX{Cuy#Opβr5AQ.b]6rv8Uuӌ@, +,, y 3i0;nuXŶj$sD$۱2df= jDy`}w-Np{p2k8FOӱNf 'qaQ8Np3WI p_'>8/X-Nbcf5ppm^u}aI;|>j zI! ez*F: yW:<%s=k{.|ۙܲ;?)4 4^3 '~zb!H|?t\7^nf(\ x~}yǢ^6$=fן!HоdjW Tu?}έj[}nI9ڕI5mv$V&R蛩e#a欳us뀵runWp_uΆֳ7b1L::iӷo<4h>%tVJ.dL;0wІ^bL,&?eI7ҘsяX'"/3$s{GcN6&|EW158VS|ߒØD O^;聏#oEAr"r9{̦Sx;)}g)aaZH\F82!?UVʆT; \ u=0\pWCɽtlD|R">=z dh}πz|Wa|>rt&GR-?՜(ŚĒ[z/7 a-I2#yXlޢNj9z@Ϫ^y~-v.IrQ<8̖YXfX;zb$gs4G [/R47*.xC7 ^o4 kSAu3\fqF)p9`RALnaO7+(A#&<+L%렪EDyyIڷ|ɴwp [q Uמ+/0BH:+(6KlXvJk'#Lź8f8N{+eQJX'DiH#RW}$ջj#lmTa[eX+x6g\q~(";!rhȔmfz P61x؟VniLiw/ݕvw&8RȰ 1E,C)Cb9n3 |ΛKsi'gbRe*gȭny#n6Cb3)' =D `)M'URְ'h<C,Y]?]bޤj*D./*a)*泺jZAS%07\+|@4PV.MBcܥ7|q12(;^aXIBڗJÚ9[՜'Śy橕xgNqH (rOŘ(exq!nC+ E*bʭ Zz7'IO.bzJ]ta[ƭ9dx֖M$7oHCWj .*.@|I0]O9@Y Zg(Wu]wuel]#bA`Do6tKbo`4]sHqhze)r 'n5>5.c9/YsEsuuiJZAZIU8Sc2lt.:(CK KN6^B,;j= %N|+FJ'f+j/8ʄ7.@E)aW)qϥHQ=^87D'S(CG|K6lLKQG/ZpG-3wO" Pꜵbp5)~|,؋uPLe%8ޚxpEq^veK;unKՃ( }ý_7{1w}NvW,jJ_i38EK9c{ff./xa:\4q <9^9>d)z1 A|2!r0t3(YCP  GN!:F GV,ԮF̘Ǘ'|+ө ߏ|'tȧ}SyXnO@DK2=,,o&C ?',y{hK*TBӜmLc9X<)+zvuč[bѹ\E8]- C<FbZ  A?\p endstream endobj 14132 0 obj << /Annots 14134 0 R /BleedBox [0 0 612 792] /Contents [14142 0 R 14138 0 R 14139 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32078 14140 0 R >> >> /Type /Page >> endobj 14133 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14134 0 obj [14133 0 R 14135 0 R 14136 0 R 14137 0 R 14141 0 R] endobj 14135 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 289.2741 146.4737 300.2741] /Subtype /Link /Type /Annot >> endobj 14136 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 273.0741 173.3797 284.0741] /Subtype /Link /Type /Annot >> endobj 14137 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 256.8741 144.0317 267.8741] /Subtype /Link /Type /Annot >> endobj 14138 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14139 0 obj << /Length 19 >> stream q /Iabc32078 Do Q endstream endobj 14140 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32079 20830 0 R /Gabc32080 20835 0 R >> /Font << /Fabc32081 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7 ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?A endstream endobj 14141 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1266) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14142 0 obj << /Filter /FlateDecode /Length 3509 >> stream xڽZIWlL 0`V9$aX|B7X1,CVV薫[_>m̮1Ґ^?{.5뇏cOӍ1ޮ6!=!?胿]~X`Yc1Wc]?^Q@{LHCvue`u#v:$DӐ)"|s~0&>{FnovNrG1Lӱ{Kdxs)?6_ |y_ݓfa)XQnd#"dL;Y`UxK")yaMFZ1m$`ZKg劌2z|^5N!Emvl-AHؓb=?O g K^ >tghT]G+ҍih;fOJ] WtMbvC]0qwYŒQ`՜ܞEI.Ƕ ?Pҹ,Hg{#<ٻ.:׈qڠYlL]jbO5ĚlZL{Ffat~5T@-J8j{5PԻK7KM7W峾WI􍻣vM{-u6Q1ەrQs5ҽߍ[W5"ʼm vGxV=>wETCwA%9ǝ,[XSr@t`E?vl'Ҟ\!A 00sh.)̈5uт&)06'WKs+yp캇xlN| *g[6;DŽ"<ʢHjC骵yr];.kuSiId3 _ :?[r('sŶ 8!77iSpgnZ{yuVͩ2_SԮ7^c O){/9!P "(D+GPR9F0yb9y6{#ziǹB Ǫhѳߋ h6y=)}4Rh/ F[ &;FoQ3`* '# a(xjhފ"RVsi*jI"q"ex R RN>ke"-"ц'<}X~CE\CtK!矩tpu}+ٴ~Gj=yjK{"}iz)YS:++uQ`圯/$kҬߓ>Q^<l`eޟf͍ ik82"drr+GUL0a8٩)A̱ktJsB!xg4H}R4t%$zSbX#O%|W\FlܼԛiLYń/y梵÷ 9qZN h<.p~-!Pߗ\8} l>39u;%wl7٠/A;]#T?\ҭY'{j-J x80wGj$T"/A0F9BF".6ŽD:@ CCw; hY3"#=l88:ޫzm3B&OGrs;~Tq,{YCsYtDvGiIBжW1 ½Vtk̻/ :U_)6,~Y7ʨ,-A%uea i#!}&>?tlF_֏0Y6e{,"wG =$K,']k30ڐGH|Qۆĥ˚܎6  Eb @2]K*zr#/tj6∡RMVl8#ވPF;J7MW2Jij :g,'S!qsz],c`14^ph3жDSt1zZI{zYj eZlۜW7 ,;ʐIVp2 (DŽ1vĀ҄QVny7Whnϼp;,#p5h/3  j{jF+L^%ks4:4TȞ!DLel:}]>JVعMy ټFƷCOˤ3oM~3Y*1G'KH9j-\vD:o"fJUWr#1rsE[EঃѓvЊ.˨ܩ=B~܃F7}qQWQ$'#Q ZTɅβ %L,rǨCT뀦Ԣ*mD+("+W()-Dy{@˝t<Х@pD@=zjJ@ Wӟ deTrHDSV ͻMi}/EqѻEQVxOGOzCpv:c>vU79si1 a];A<7?.Dktg P-(d]+i] xkXܩB޳R (k8drlV[U4#7svF4e\5 F81ܢm%5ʗKwS>#?_xe&ڗ/J]{}ノw5 Q:;..6ؘ,gpڵ55\Vei U42u-xryܼI#0r@o1ݟ.%mtjB`Aco@W,:LZf8C2F1kU=w\eF*; 5K]̖ze5 S vV˞D~|Y xċ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32097 14147 0 R >> >> /Type /Page >> endobj 14144 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14145 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14146 0 obj << /Length 19 >> stream q /Iabc32097 Do Q endstream endobj 14147 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32098 20830 0 R /Gabc32099 20835 0 R >> /Font << /Fabc32100 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV)o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14149 0 obj << /Filter /FlateDecode /Length 4842 >> stream xڵ=+Q|0a婷—;w8q@D?υ0e i/yF;24$,}g1ѥ 81|? io?Vfҳ+|kp ,wYc.k0lWّVwLcydi,LC]jAQSUJ0ڮNۂLEUz],pmF+Ƅa=jM'Zu˝ ML7OZP(a3sV2=g;uϐ9O%39="(DTdo"ZwI`Wa!ϑ;DWdg=ri[N{zLzVjD8D$R4%4Ik MlISIu$qR-牓ڕ'5Y'5ӽjvw;яY$1B⣷7"eE~*Y}b4".Qq4mŸkێ9ROV܇Yx:?%$y29ts0 2$!iuf1ڍDWH KҲ#{l%;5hAFV@ku4'Sܕ'^@ߙ%[Le]"oP3&\Kزu3ư"!//бWf(U6:{_M{fC.ځ .$020C PDqDg.\xR:s2r]6 X^ %˕Ӛt@ƔkLXX~q3isf`Z"5yzl6%d}4VElrb`X0BLA!b%>p4ޯ(z9dhR^@=epp44+Ng35xztg.Awy%?$p:w))akD>v.*u̚wґ;$PNX60#dm,H C]ð_+W20xkgiYqzxS'PRRT1P)T0IΊ gL4Q)Tű^QMޚ 8l9 | _,Y&؛Q&|8MʛҢÖdr(a%wtu^gog=NFU4%fԫUsŧ& Us߶m?}vHܨ FUt1v+Q`NJbeLB0b}3/BܤҫK?hsdr |ݽȆiP|4폻KgAπ dnU>}f&v' TDW4e0oԁ*O9[ho=ٌ.zsŴL&;۵_0Q< ĉ% wՍv˄nHڦUȍM7>ih_ĺ4fԵ%L&CWhjd`?5<9!wsPpPa )5ղ&Z1xsFr%1Sە/W[@[wu-xa=T aZ;UG4CY9Wu(㧟Й,6S@hs* Gɞt= ){ޛ ?'}~c׫v-+qkV~! dg8;W+q%z{nVo(@BB9A_6 /=ߎ/Ilyt[&0 p "xT+E|AbxiCG~KBЁ/rlx$C<{_-R#ĝtT_`_ȞG~G2M+I"~|{׋Z endstream endobj 14150 0 obj << /Annots 14152 0 R /BleedBox [0 0 612 792] /Contents [14159 0 R 14155 0 R 14156 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32116 14157 0 R >> >> /Type /Page >> endobj 14151 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14152 0 obj [14151 0 R 14153 0 R 14154 0 R 14158 0 R] endobj 14153 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 454.4308 159.3712 465.4308] /Subtype /Link /Type /Annot >> endobj 14154 0 obj << /A << /D (unique_658) /S /GoTo >> /Border [0 0 0] /Contents (add_force) /M (D:20211013063105-08'00') /Rect [104.1732 438.2307 151.6602 449.2307] /Subtype /Link /Type /Annot >> endobj 14155 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14156 0 obj << /Length 19 >> stream q /Iabc32116 Do Q endstream endobj 14157 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32117 20830 0 R /Gabc32118 20835 0 R >> /Font << /Fabc32119 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYGFxaH&E d_ )2̼d9 M \09 `5ǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM B endstream endobj 14158 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1268) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14159 0 obj << /Filter /FlateDecode /Length 2190 >> stream xڽYIoWy2/H@@x8c[i<}1}̙Mu4dg4d,<~1ֵq t0ǿ.Kj;By3IVXWeNk`[ocq^2lp&_}aR岊nuq64E %b&8ղw(7q,Oz:Nc[RRp’ur1{~V';'zwvq)2L㠩K0څ@7kXs1.D;AAyt0 AEzNX3h8R?%Uw%>ķζv\K۴-KNnbPr@P2rt3& ̻V{X^!>Q/%>ڕCWu*tJzE0ajߎbm*Z@QXOK{x', (t!4ㅕ>VXKZIz񀈥Q(v^mͣF$+;!D{cK )@ܹԾA/Rz\u0z?;_˵@-Zݟ!at aMy.h㌡& mF]'M1NPpJ;*$c,e5[Ϯ)C P@-&G˸΍再|6/110ʻ][{u[SC|"Z/R5Qՠz1ax_WF^Q3K x"%3ӎbbg; _L7h`t[j7x҆n}2hh=7ZV81 ZB\ZrR/b7,#q~KoW6W[\V4OKч^mwӎjMz?[eSzE `mY{ t^֫mAP 7R- T [ۓRad%ӥ[J PTeeNj~Gi1ƓXȇxJjYD-TTi~\’KC^{wH?S8Tr̀5Q_e:: R0_̟bXJif'6TՐC>!5+JLܡXo,dK%/&.-ԥL!'y6eN3&geZ [YO:-;6sW)oj>|[;k(/F]hJPwiO2ܦ/:H3m41ҙZ lC^!8FZpKLGld酷įޢdMWi.1?mK,iܥFb;v ^ -#1Qcӈo\|&C0O\12H銺e R)#FXoAK8ۑ[HH5N[^wG3nEބ3NDr:0'yvUv3iu@PV1>G(G33JQCg3%ĬWùs$Y۞D!ʱNlalSYyCNIxbO]дN==ocL­-p~ˊ 7/vZZ{{hSO{I>g?4ԅ} 6b]zyӡU9p/ssY}@zƤ:Yt=H^ڵם4k#pXe`aOg\ 6'\~Uًd9k50)^[zw@Bg#m|G\bݏi^|=twq zĒsM5M94 X#a*ȒzRum@i<"u]l݅sNqH" ГZS4CC? endstream endobj 14160 0 obj << /Annots 14162 0 R /BleedBox [0 0 612 792] /Contents [14169 0 R 14165 0 R 14166 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32135 14167 0 R >> >> /Type /Page >> endobj 14161 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14162 0 obj [14161 0 R 14163 0 R 14164 0 R 14168 0 R] endobj 14163 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 402.825 112.8525 413.825] /Subtype /Link /Type /Annot >> endobj 14164 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [118.1655 402.825 163.7275 413.825] /Subtype /Link /Type /Annot >> endobj 14165 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14166 0 obj << /Length 19 >> stream q /Iabc32135 Do Q endstream endobj 14167 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32136 20830 0 R /Gabc32137 20835 0 R >> /Font << /Fabc32138 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n&QthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14169 0 obj << /Filter /FlateDecode /Length 3045 >> stream xڵn7ί9@n<rsV8fz#d-QdUd77m>.8cЋERGsǯTR`LwzrZa)hjz6m kqB9~<%m2ںǖNџ7erIGZ3 _Ӻ@>5Y鿅fO㼮q :s6;4ɘt?@,48c^`'8K_ҟ4 LؠĈs@%92w *FEO+ ]甜$D-2Z ;ϼhBni5va?ןgT/0H)wn$f_ NusX@~?kq zH)6Nx'ܧ<,cq ,y0֠̏k 0Mjغ67 |"vKsD3%ƣPΏxcϲ.o))#¹"a;=JjEyfE,iBEt}\q|vep޺=WIl>FFMIG{K17L˨EN?urF\[,ͽz%nN+ysUgm Fa;^M;þ&[MO72<Ɗ=58±wpZwFZKa+kX[ϡSCWxҙ fp3<킰i>UDEʂR"#Ux|i鯹J ;#԰KFʻqb($YV=A-C]1j#Bڻ‰JGjjvGˊ3 7 88}80BJ*\YF$ QL4u.nQu Yp

RzꐂQjYƍPWNDh&$,P0!٦È\8,%gIE Qy΁FДL+hm H{uTgl"`uΕ6{B/u݆ηo;p!$KQ(y-XДdO9G¸0R\(H0N(m "9M(6$JR{%61]tVܓ8fn3c1Qf} H!*PGN/H5@RsaNc$C4aA+5;Oj,0m;&ۅE<ndӬ% mXT\+[LbGdXM7h{!7N a$_D]{)[8Wwaog88$LL7(HW9j|} #8 p@oBrc]ix8x!AEq~]SA|6V`!I$x\$]]&ڕr$]*Q\* U]ZDz=R+ O5ēDua-*/zρ}lsG5N_QGQ1 O9L. endstream endobj 14170 0 obj << /Annots 14172 0 R /BleedBox [0 0 612 792] /Contents [14178 0 R 14174 0 R 14175 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32154 14176 0 R >> >> /Type /Page >> endobj 14171 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14172 0 obj [14171 0 R 14173 0 R 14177 0 R] endobj 14173 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 393.625 145.297 404.625] /Subtype /Link /Type /Annot >> endobj 14174 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14175 0 obj << /Length 19 >> stream q /Iabc32154 Do Q endstream endobj 14176 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32155 20830 0 R /Gabc32156 20835 0 R >> /Font << /Fabc32157 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14178 0 obj << /Filter /FlateDecode /Length 4226 >> stream xڽn$ί9tnhܜ!i;~GG3ͨlX7ɢ7m|oY :??~UvaYCZ~y3&{}pBno7ʽڴ{kx:.,9;w[uƝh/_^76&[OPmT2|2֯t$r 絝RjeYMl,t09I{6@E_4X[^cZ#oRP}E=ՁVnels|bؐp~A*Qzy I]l^j)!Z|ߴO.ҿIRZYPd[B_N|G>g~O` T(v|2=F.?d.?잟=kZ~ 2F?Œu6%4^CSsMI(ꗰPR! 76 Uk}r:bAF"4&xKWn #V12<>M׃턝~4-A ~Rs>Pr ~K+'56/qFeouJY װ88quTSQNE_;ua*OwDex(I;e[<_4T 4ݱ='[i@fAr:C܄-vZm|wu˕<&c 'dxQ)jNP&S TLХz\61tHPHYɊd$?TxkXۤځwlSN˶ dw@ơze Й: —_z1#Xjvm%ަB$_)c߷|P{Xa+N~D\%ZN{nW!5#䇼w}1Ä>RO'kZ\=i rd hζv^tC1Χꊿ`2o_T>heo 2%|\d7n`` kك%(Lk,+[\h;o0AOZpj*x k-R|ڳ,KCd7DƒF1<+TZk6ŋLv}# {DbbW V_idIjT UxPGT9 f<*_@DUͪT -(&=U討.oe0< QHrS]fپn`&lj.%:\b˽J5f5s%R K&*KKn6t[/ MG%bXKM7HmvW kM>zDHTr;DUIvM:.8o\_4/pPgc@R;'Y^oWNN*B,7ԡdV)uUV-joj~q'j!*w0˂V-zp[ F8`vyT ]- C4N]ָr0A*YݭnSEj.k&U: +쏪I:Yg?ISI $uR%穓ձQ'5'uXFRݳdpIMB dZ|grJSQQ/\Q("wI"Zp-M+)7 x)wyҭ/qHV (,M+%H*_Sd` ;݅Iq>o{^ TKVq΂ ,]q/ΧzTNc=M17FyZpYr`6jqc~d  Z:|kh{l$|`oz~#OEr4hi"NΆ {1ga S̑Б>xgJlk͔cX!.9 e"WW `ZJ΂^|{Eg8&y9(,74_"l@J-JhL%ҲBDrQi&͊s]3A$¹YdQ(Z&>bfD%e+$7-gj QcH^qo@RyV@? U"BYҦ +Y"q.qHZlӖ2L I/Bqko!"r6!syqjkc=]fPe:kKF;j"Bl*Y:X;[x ONDX#># L@`Kpa \r2@td̻"h} ɣ<6,ˆ<1 *U609 z >I {1T6R!BRv< h8r8 n[ma^mg{tA˹Ё+e 07-D=Hݫ|7ٞ Kݙޥ`e#w+n,6&!mzbЩ8ѦkE8 ~A{3Ei(W<$P5U?a7hD :.c >SDĞzf‘TfW+kLp{[ԉ8T;= jɭ$ٕ4j=Ϋ=qn\ ]Ơn7"ǭcX;'|S cec"9qAbFgTe5u$fn3{- ,'i320e(\Bm  穷SRAս==.Z'nN8=p$ΐ<WMtdjח\O]*.!q`zSzg]Nll~`/2춹.~C=%/6Ok_SCwڑ`K)vN70pIEuOM xXr5Ӎ+wdvd3mq~FEG +.1w%L=e1SV+NY\ 1{ޔOm҅ϥ0YMf͸a)x~ŭs+@m&L5Њ@%Qnڎ1W1'y乕w,,t>F9aDӲbr+n#훤"lȆ)DsPїs639_y"]3!p3p e<V}^A)( l%?q&!VN8ɧ5Jp (hT3E8@yR (z}Aԭ7lJo)G~bk52׿ˡWkkjr!cGNf] ]ܿHܥxH.< "%2#GZ/8hZ**f1TJ_L] xB]|dż?Z]Ͱ d[^Z>f \{"oQEJf؇r{]:6ש&hL|8^;qQd2ƫl C;a\ _ngk&DʏG[17kE)k x'6ϱP7,g_\RDDX+crFW*eKsmX2nSHa*bmqk( y怌{0ƚ#gKwG_']Mcs?Y k`Е0xxD|w⑿[_=QH5GU*$gl%'Ͼ;k }4'u> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32173 14189 0 R >> >> /Type /Page >> endobj 14180 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14181 0 obj [14180 0 R 14182 0 R 14183 0 R 14184 0 R 14185 0 R 14186 0 R 14190 0 R] endobj 14182 0 obj << /A << /D (unique_208) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20211013063105-08'00') /Rect [104.1732 553.6 246.4417 564.6] /Subtype /Link /Type /Annot >> endobj 14183 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20211013063105-08'00') /Rect [104.1732 537.4 266.5552 548.4] /Subtype /Link /Type /Annot >> endobj 14184 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 521.1999 236.5197 532.1999] /Subtype /Link /Type /Annot >> endobj 14185 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 504.9999 256.6332 515.9999] /Subtype /Link /Type /Annot >> endobj 14186 0 obj << /A << /D (unique_220) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 488.7999 257.2217 499.7999] /Subtype /Link /Type /Annot >> endobj 14187 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14188 0 obj << /Length 19 >> stream q /Iabc32173 Do Q endstream endobj 14189 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32174 20830 0 R /Gabc32175 20835 0 R >> /Font << /Fabc32176 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 14190 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1271) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14191 0 obj << /Filter /FlateDecode /Length 1741 >> stream xXK#7y{V r`!4&O`V{<3iTw63Ry|2 Lfvp8`3Ґ'kSɜ/w{k=|ڒhuq/c(Z;g,xO;Njǫfyw""KOBߏ ݭDuL*K;S/GƗ# ZO y̸ߙ_G81@_}7jKȖ1ygu!~CŜ#/KUex km0"N NAVB|l;S;={Nrj9OՌ%*#IM|2/r*v'I{h7p-:rZBWi U=OYcr4X(^SXBl>n>3Cc꟧ZEB[7ͳ-Z@7x>{aG6`=Ӻ6`~*?<,5?.5f7Hv M,_BU*d J8ٍV aMvQnSz37! lcOt1wcX:cq٫/(6+ =o-t/U ]Du*-Ob7_unse !W^ b5bC9Ά6v F?RxV xomf!KoQNfQ͇aM'A`WA!Lg1V4z yA =4IxC*‡ʩip4d[fr?>8U . `.LX}&u32fh 4NS'ܕɂE͇皛Wk3(?\+oAy.֩ yߠ43i:TO:`z$DE9y*trSj!j?F[pSQ/0^x9Az1[ev7-2O ?@3 L| OH {Q:76JoU:TU/11ňM k|䁙sLU[r['n}aŬvtݲrӔ9"l4[:l3|LL (nEݲ08$)ӀI%!el8'+vUv3hu@PVs}P_llV8<*Fj2G̶"U Q5uҕ` 6=. 87 %#{,3vaDGٞǙ晄,C(0xeEx. +H̛0FCf6;Q^Q^Cl@ߖUMDѵXOM-ХʐJZB0>BeJPJ~X7G >8S[֬]RvPquO}4JXWWT?ye牮̮63J7SeUʱUhQo*nXIu,)o/m'F„.ꎫ r(|{8< MOx{12x1 "g-&sЧ~;4*pi,d.8mi<|<tO"sM%U9T X#aliUڞ%$eֵeA_ޒOH]ks~=f)dJ"'7§!t߳9\gC2 _2 endstream endobj 14192 0 obj << /Annots 14194 0 R /BleedBox [0 0 612 792] /Contents [14200 0 R 14196 0 R 14197 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32192 14198 0 R >> >> /Type /Page >> endobj 14193 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063029-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14194 0 obj [14193 0 R 14195 0 R 14199 0 R] endobj 14195 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 437.225 145.297 448.225] /Subtype /Link /Type /Annot >> endobj 14196 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14197 0 obj << /Length 19 >> stream q /Iabc32192 Do Q endstream endobj 14198 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32193 20830 0 R /Gabc32194 20835 0 R >> /Font << /Fabc32195 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM$J endstream endobj 14199 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1272) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14200 0 obj << /Filter /FlateDecode /Length 3916 >> stream xn^_QgӮ}R49>) ]y[m==\${ZZVwŸ\[1bп'cOC}rHO7xO[(-[U~ޯC [jc>k^ho!tȶ WgB\D~фb7AfK@ٌ>ï5YO?f7]f5^Ǻeh=1[mT"/bsVU4["@Vש 2U@T4 8{uKyг"J@Q(e oş;F[cl/#2'upr=HPdd<ӟ@#L PF@e w|W{|82=`Fඤ+lƼ[k6&G)6EYn>̉ ~ Bmfe ֪l}vq.#ȵx}nPAW&VM'o7XD /+QcĊ!*Mı`V[=<[\+N5lqFe4NGnTq/SDH= U`T4[5nCgـ ;%H'x2+"z U-;l}QS'QzS(~%uO˛DFN-TYu[9"MRRx5K>擽ꎑbt6FqP) 01ͱHd,텙 -S96){m,(:zG,XȖ ?ԏ۲nzmiEwP-'~2\UQ^Ǜ\*aɡC݋0.NKCgjte jb]T^{>#y3ce7v Y}f9.$'9. /PӓņK{?xe *LFL<|#4k" XP: YIFCX }JKU>n0PZb3L# Ŗp\i=N Ԩ+ KWh a"l*^[H# .]GZkRjhmRuɜ P/],|X4)LHa)V6lIYRB=MP^Rie"1[QHM{.VQ]ڳ>O8+zM ~[f`lfXee.v T-'vɲn[oFw,7dA8M苤'V +,cb ?ju#†r#GcxfBJ:燱-Mo$Vf\2u !ƹUZrHA IVYTIO 15=Y_52"nU>+ aVy 1DT|Md=5u#8|'[vfn6L'Èn[sXDmA^pݲܵx0N>ž Sa Eso,pSrә.࿻3( ,hL`X[[A}g{& %6-bT8|ih~܌zljL%UN͠zxkPՕa.!m3|\fH= 4PPe n9L3㞙)@O ىYXIitN -qh^~d>E)7%mKl~%U"' n5cbjx#22d& 9u^VV3[ cEA7RVrCZ4BL$F-^ZfpX3ya Ԅ9 <#0|;Íe%ᱩ,ú&rn7~MjtB?;Wf>Z.V W((8">ݭunJn&5/ɣ^$׳tg U_z\v-$_ St:Ы^@@.Gqͱy8nCk=qc۝f W)PGkvoTf]2.d,-ZksauԽcC@Krޯ^jXB:9:%K8u؞ϳ[A!(v&"˸JYv>\.eU.̹uP9*ߘR{ nj}.O |FuRM,rjuVFkٟ \#(| _7%и b6xH/0J.fqMLI`RG$%bb`gh1/qkB|0q̇/|vKٹaDAYIsi=3QD UҠ;*'r@od\yUG6e* ex33s O]n29\Tc '1۝vkrP18gq|}T׫Oa؜ }*ls@KNGm3(yऒP]xd= ҋ7\+wk4q}2sBgB%ã?Hs3`XMw Q[5ag@9???Z ~<~.w齓sr'YQy?*xPX6A]^3O|XM@[@+A]U1}-嫄IZIGx.Kw70|Jlz_0Kjԃ5-] endstream endobj 14201 0 obj << /Annots 14203 0 R /BleedBox [0 0 612 792] /Contents [14213 0 R 14209 0 R 14210 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32211 14211 0 R >> >> /Type /Page >> endobj 14202 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14203 0 obj [14202 0 R 14204 0 R 14205 0 R 14206 0 R 14207 0 R 14208 0 R 14212 0 R] endobj 14204 0 obj << /A << /D (unique_208) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 246.4417 686.7] /Subtype /Link /Type /Annot >> endobj 14205 0 obj << /A << /D (unique_209) /S /GoTo >> /Border [0 0 0] /Contents (create_gui_custom_command_arg) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 266.5552 670.5] /Subtype /Link /Type /Annot >> endobj 14206 0 obj << /A << /D (unique_211) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 256.6332 654.3] /Subtype /Link /Type /Annot >> endobj 14207 0 obj << /A << /D (unique_212) /S /GoTo >> /Border [0 0 0] /Contents (get_gui_custom_commands) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 236.5197 638.1] /Subtype /Link /Type /Annot >> endobj 14208 0 obj << /A << /D (unique_219) /S /GoTo >> /Border [0 0 0] /Contents (remove_gui_custom_command_args) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 277.3352 621.9] /Subtype /Link /Type /Annot >> endobj 14209 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14210 0 obj << /Length 19 >> stream q /Iabc32211 Do Q endstream endobj 14211 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32212 20830 0 R /Gabc32213 20835 0 R >> /Font << /Fabc32214 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo? endstream endobj 14212 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1273) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14213 0 obj << /Filter /FlateDecode /Length 1300 >> stream xXIOd7Wy/BRK9D91DQ !ٯiF@0 \vK~ÓcN!K/vNҐA;kj.!X|k?X` g_VCr@%XGƸ? BUҝm( bx g]Fiد|P(kै[#X DSԈo4F~=2E6':Nj ;R:.Y[)cSEl4#2Um3ULUsonIK0G;IWQ0rBU0ޡós1T\:"Zg&ZD V4u|*-x1uj)ZK"^g V>%&{2">@h?L[,˂uk,] 4SC3Q(/(/x1T(~((DMb09q&Nk\ Jz@:V0)ι- j^*i#H] Mz?. O H>pYU.Ma ;n KW ^K K%#)@+\h&t"fr\1(c U*PYn$^ϱPo 0It, ‹Czi}.y؁܁),撎Ål9(o,<vG`hjAhE @YIpvfzŻ ^fPT,\8aq6æ85xk%&&Mp{ZevCzRem|R'^$*,,pr3$GG7OChJ~C6 2 ҃37\d endstream endobj 14214 0 obj << /Annots 14216 0 R /BleedBox [0 0 612 792] /Contents [14222 0 R 14218 0 R 14219 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32230 14220 0 R >> >> /Type /Page >> endobj 14215 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14216 0 obj [14215 0 R 14217 0 R 14221 0 R] endobj 14217 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 392.525 137.278 403.525] /Subtype /Link /Type /Annot >> endobj 14218 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14219 0 obj << /Length 19 >> stream q /Iabc32230 Do Q endstream endobj 14220 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32231 20830 0 R /Gabc32232 20835 0 R >> /Font << /Fabc32233 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 14221 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1274) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14222 0 obj << /Filter /FlateDecode /Length 5402 >> stream x-~5ί䖧ov9X w>׻۝mwVmݛ[KO}8i Zx}yIbvyYU?ˢX2<ҫv%ZSY/Yqms9Z/q eM:Tr$6N'OWh`Pjm*coZ%񚴎\A}{0UdA9笹"aUĢ??Jtkrd H#JJfx2U.zw9X[|oK+ZcW@w5Q2X*ȑd;˰ 卵6Dz)|YG BAf>?8 ; )Dɭ36{Q˃3`Gw@6>qEԈ2ACV40&V<2*KӾ&"٩&[]wQ؄$@5OvT,>2H%="CaG eʁAt/lYcαZ:PL mzf!Scqϸ` .?;z@c|gWդ\c#(p_[} |>~XOe< ^%Go7OhW=ޖ# ebvؠ#.sdGrU3 . ۇwk&@ c- Yt ^ u G^Y:POS_%+GK%7 u!_?v `dCЛ,'~ {g&:*!::Nva##p-/0r3>Rxև8/^{8 @(WѢ(AOQzlPy +XBhn곈5}e!1J( HIA.ecI℥365 j;56&@0-䂅N5)AU&4 蕰j,VXޥV"U_$ N-O؛ԧMJJ(^Zͥ)sF|q*aHѯ&g`fH%V*ˀ-Xf,/V%[X4j|tJ JMgiD$OVWK,}.w#7M]i/7\ALX.)$/{& $OT-Us#fP:uV؋j09h}l(d jбގfTћp5Z*s^0Jg] ttb3Onm`̪y2'ܲ8C)o`c : [OiG@YYfaf7 ;EؠB,NA#3R[065Ug 5'='tQWv&̃9)awdNfϜ֜XO3nddXL9ٜOi sR9-_dNu%椺|9IgIMW5t>;I>lNsR{ڎNoN魥`L'O2 ƨ@lH:C Pg q1dE0 .]wԩ=a6Nģ̌Uv ' +@CRBfϴ[lqGq= iIz 6!]=.BV"D0.k.tr,gs":!)IRөL-u>CRk2e9TB +!%Itv ց[& &nau+途u& s .$saj7p>ear'ew.UZا=ҚglZ5P_(LF*ǚ&!5Ҋ QAcnzZ&-iDc!8dHĬjKCn_5HRWt4*1Cm2Dkd-9HN",~y֒[v9)˕Gb 7bWSaV+q!W/0 X ?ߖ$ F7&iaK-\Q_u٢ M"ρKKJᛈQ1\7kR1Z N923j,tJA5`(\Q! 5k^4d;lD" '!ն@Bİg`9J&S"PaZ`1N^JpAjDy$藜/ `4ɏ %wXc:L2ZA إ0F4D "ͩjD LwN){KZ`D[gsC@%! dgi8<܊Rbύ]+Jq([EAt Ҋn.f~@ @P="E1SĕO)BmxbnvjpW #V%[ k/5D-(G@́*O mf~͂!VPĂuCf YU'Y3BcAMgJ $0!ëPt-;"zE_!%8, Tk9eTt[@i9k0h;KB [Nzi(ҸX뀂i4#(D˩6`< (%|#, yX *D-vsKv*70)A+RAA{ ˱!7^0ZklǑ=`.ETy'P~P6>cOcFc~2P*W[~}>,Љf2`M9Ix6US?! 4FNE2M`iΠD#L6Qaxw[6!a>ޟQs8=4t?7p^Qn:F< N HF&S} \?2w{N:VRg6b/ZQS*Lwf5=`0&ZIq+=n7EZ# hUaϝU1bVN&}qX.UIkcbɑ/-DVJL.%JF9gWd~ն-'p·oqzIs\CK;yH6-oZP' &7sR Y>:=6y*:GSqsaZZ*Ypu_JMViDN%5:u7`}ΜqQG?;ip cRvtDi6UA-q5fx(8Tί]g"B^x|cņ'Ae &hu]hLÙ8Ghq;8 FVYωvkƅ$Z%]Td I]瑁%YBCp :趠f*-1-DQ@NB(R,]fٽ|)~[ヴEkS{\^{]iWb>t=S3K|融]D(f\pT&!Sq\W-G]lܹUQ [F2Tn}"lO l0?y[c'^Mj^v QndgƼ%m{]=S?Wx9m[ܔKߺ(̓_;2wǭW}gm6>/;uܩjYl>DiaK܋ Fkl;ZP՞7}(c>s3r{I?U8eho~R\J8 n̘$ށ`W/\r(s4Wcqz+3俧5|<#.;+$煢 ue䁼0^@ZG{ mw>|ʧޫ|x#+"Z8VCL,g9T71 dԡԩ !@#[ nyDg^ܞ%ޥCAeوP1b[S dxi>&}ᄺZzwTQ1ݎrIZ3q?ѡ%?z-_䒰[θ47DJ RkwtoXEk{cA NY+$=Rcɥ^T\b+`YZ˾q /Mn9\P!~1ۍfrPT10<1b|0OߜѴ*+a[eRD8T\p0c#mOx; L/>FmÔp]=o.}nOq!P>sbBcocA s%/Iq~||8^z/Fo endstream endobj 14223 0 obj << /Annots 14225 0 R /BleedBox [0 0 612 792] /Contents [14239 0 R 14235 0 R 14236 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32249 14237 0 R >> >> /Type /Page >> endobj 14224 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14225 0 obj [14224 0 R 14226 0 R 14227 0 R 14228 0 R 14229 0 R 14230 0 R 14231 0 R 14232 0 R 14233 0 R 14234 0 R 14238 0 R] endobj 14226 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 480.7 186.4367 491.7] /Subtype /Link /Type /Annot >> endobj 14227 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 464.5 195.1267 475.5] /Subtype /Link /Type /Annot >> endobj 14228 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 448.3 192.6352 459.3] /Subtype /Link /Type /Annot >> endobj 14229 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [104.1732 432.1 170.4757 443.1] /Subtype /Link /Type /Annot >> endobj 14230 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 415.9 210.6422 426.9] /Subtype /Link /Type /Annot >> endobj 14231 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 399.7 184.2422 410.7] /Subtype /Link /Type /Annot >> endobj 14232 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 383.4999 217.6437 394.4999] /Subtype /Link /Type /Annot >> endobj 14233 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 367.2999 198.5807 378.2999] /Subtype /Link /Type /Annot >> endobj 14234 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 351.0999 203.3877 362.0999] /Subtype /Link /Type /Annot >> endobj 14235 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14236 0 obj << /Length 19 >> stream q /Iabc32249 Do Q endstream endobj 14237 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32250 20830 0 R /Gabc32251 20835 0 R >> /Font << /Fabc32252 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14239 0 obj << /Filter /FlateDecode /Length 2166 >> stream xYKo#W𼀸|?AM` `ONf@0Of˒=̠&"Y7,JY6Sa/ãs24dc-Zlҏ[{kڝuLmpzhsp;ʇP;~ &D`)% Zmޤ )3^!<:Ē۰D!,"/䈂(-tv wly >B"dl\*&2F:-Gkߐ7c)/X%вZ, 蠠)4δ\[ל{lGYaq{R3++w$ vvK$_;+Im3ky/uYw)r~ρx=Z'kSLYD:yxTyh!qr(o\q& ه $A"*El=pCh(2Tf܄$!Sؿ#2{A7X^&p4T{MJ`,;VWs"fZP Rg[{XBpÍU77V㲖h۾b@vYwq {^~1A<؜(2*$h)M(}xTߴ5-bZD,K=ڥ`gO#L9)qrTuh9921}7 x'`<<'!Z#RwҧnQ}%[znbW+`FtV ۞ps6ކ,L 2t]ͰYƵf*"qpxklaPsWOoR NSe+Cw_M)Y@"i )[eGyx~.p҆E#'0~*eΤxcڍA5O3<<\l=W 1xGhqˋA΢~"{$HNWTJ?}/7zrNH!07sĠqH %{_CM?k##8 !OH٤7|33]07X27r'Rd7ҒkUm")5$8kRvrQ;NJ*jt^]%SR4"ndU,-yĎ:TSԅv>.L/+$Z7%y4kKTHt2{pW.ww#ks/;aWoh0pWQMGx[fLYhz<7 5_0Q~p5PLz6Pn32G{TE6]K!׈Sw/fszRk%q7-r\~+$NU+qiobHQ{ײ Q++q Xx'|D= OhM-hl-WAY[7AlDKE--)]nYS)˔0(zR8܊TT3"U͑zm[uK(h&_EO^N) +lz즪XYX^ ^Up y*5w(LxK{qe#DM>6RǫB.RLk4L K*OĔ_E{^'{=$3E(CPxeY{E]1vQ,* ʨ! %?"/ʪpQhq\\rܨ]z.iYI5arSc[,aüT-/N 'O/y#6RT_l + $^K M?>/dȵo 8s+B"6V|k?+<L|>!_聓h,t}v(/ ‚3&Xx~ԷzDzJ3iۡ `Ÿ:lyk|x ܠ<=> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32268 14246 0 R >> >> /Type /Page >> endobj 14241 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14242 0 obj [14241 0 R 14243 0 R 14247 0 R] endobj 14243 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 372.425 137.278 383.425] /Subtype /Link /Type /Annot >> endobj 14244 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14245 0 obj << /Length 19 >> stream q /Iabc32268 Do Q endstream endobj 14246 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32269 20830 0 R /Gabc32270 20835 0 R >> /Font << /Fabc32271 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nf ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14248 0 obj << /Filter /FlateDecode /Length 5398 >> stream x<Ɏw~E T47xdž2ظeem-V%#`02m1]^ߗ 3KK6_~f5}ǃ!|ZVÓ)dS6M{Zӷpه;U=MoqYpF~=jY[KWzθ֔h_QvCpV-_n13g]\/I^ D;I OYR^DɈbK;o 6'k'Jȑ< UK G 酑M =}R$0&hJv}'̀ĴYx=6^0:ʃ-kցV)L_+z D͚,۸|_/(f 4г䵔i]}Ƣmed@8/@gT6 6#ׅ:1:,P/ C`1֬XPNDK<:h#uO-tK$B3KH#HЎ/Lrtc5w\a\nIk#kZkHcDB._K&;"",m ҃%c׺|ڛЭjϖ5{r@Өy%OZXzKE!0W_ZuB>1ҜeP> " #ȳ}rT_]ntLk1&iym ϻ2=꣨X$0,qF+?0qm'! O+5pE^ᰨɤ"yrOW˅mK\k|OC$c+oiT,&I4&ُX+InItԁ[܇C=Ӎ2AOrybRW&њҐxb왑t- EƊi,shHL1_q)0bYia3#)p2 ԫ7 (ހ7 g4+Kq@onogyG C3Nj}A t270;nu3Rmѱ3MqU1֥9@(wڹ}?xP\m| .b[#Ɉ~п,b @]1F{x9;$b~ z,^PQuP8a錍G 0ׁp:0n%1D N5)AW&4 蝰jnȊp6U & N=O؛ԷMJJ(^֛K)sN|q8O(ҬAa|,!e 6`@sϧ) phV{!V9ͪ)cwy+_ޥyP&Qa5~L۷Elz2jUՒ9XbMSW{fk k)%X$ [eNT-Us#fP:uV؛j09hžmNZh5 XIobvM-9\3X.y:\z'X60o_y-Nfe@1p2R:8`;Tu@շ ӎf<60i3 ;r,`s0 cղl` u8hJyT 2Ԝ d ȞE_i0椄]9=s[sb=͜ ݚݚt9Yf?'^3'5ӈdkNOdFjBԠ>7ڭ9 Kx`Iu1͞9 =OFs0h,=4 MI$+.ծ@EA뒀O9INRg֧:>-?>iImק'O # I.Z?>s'uAiPwԕ `K'vI1 Ʀ8lG6; Pg[}|zԤ.ŸK; ;ۀ:>!irUv0ByV  /W{T?G[`E7i{%~oɉQcM7ffbniPj0fL / NK{H}cE:ȄN33[vgeSKrw0Rk gj[m-)=X"eIX_H5+} Kr[{ }p h V{I.e"њn)l]&wNJU,?A'ߢ+[J*Ii&*b$ɓUVz1F{xZGKDjw3}0 ~$|8suMa!cGbe KО&3HEYD&h3|&``̦Ռykk6q։> jIGd R.W,֎m׾6 8" Vy^n3A-D+­T)Tx4K?r_&JV^G6b)ۛ{-@ fsK'UDF.ye 8z Č0]@sBmZd7d͈{!!OZi&,퀗0mg%+[ 9xQ@?Y۠%@ˌ5ń!1;X鰄䀹,?d$AkY1߱AЌMM(Q!վ@AJdR1%"ajn3q}ПPU(YC$ %59Qq+х) 0,5&:ZAH: eQHw굃QtDyt=TkIpqH*5+"֨8H(ѥpQl Ru,5F" Y5|ZqPb!@@Y @L1>~jo /) S_~iXd^|!eM'ԯх ǟ+Qwq sڲ̂!VPĂuCfYLOyqdE®؀[uD%RZP)CKHBM4~&W Cu-0xK~a(+wбmg*! kI#DƕZ~%\':B 8(Zˡh7&/X2ܿT(†z~*D-vs7|J*.@<ΔC0)"g ˱#3XZygZ G~:hHe;=3j:B&xXpnːOKNHeȵ9$m:Y]xj<7pi\"@.&{iރL5ZohFFHh<ۘn۸nvntě.]e>c;40J{2$"\s* }0Wb _'Hh6g0d5[_.܉4o.;:#9|^qϧ9o=`ok,6 RhoG+s>9. z:>e9Pȳ\lbˢuo,7|x,xTKP&7jҡÓ0o> O|2:)@X-{w\+T~a^Jw[<0LGQN6}eYW՞=,!O+AHpx< iZq7x endstream endobj 14249 0 obj << /Annots 14251 0 R /BleedBox [0 0 612 792] /Contents [14264 0 R 14260 0 R 14261 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32287 14262 0 R >> >> /Type /Page >> endobj 14250 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14251 0 obj [14250 0 R 14252 0 R 14253 0 R 14254 0 R 14255 0 R 14256 0 R 14257 0 R 14258 0 R 14259 0 R 14263 0 R] endobj 14252 0 obj << /A << /D (unique_232) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_probe_enum) /M (D:20211013063105-08'00') /Rect [104.1732 402.6385 207.1332 413.6385] /Subtype /Link /Type /Annot >> endobj 14253 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 386.4384 195.1267 397.4384] /Subtype /Link /Type /Annot >> endobj 14254 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 370.2384 174.7052 381.2384] /Subtype /Link /Type /Annot >> endobj 14255 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 354.0384 180.2547 365.0384] /Subtype /Link /Type /Annot >> endobj 14256 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 337.8384 159.8332 348.8384] /Subtype /Link /Type /Annot >> endobj 14257 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 321.6384 177.2792 332.6384] /Subtype /Link /Type /Annot >> endobj 14258 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [104.1732 305.4384 163.6832 316.4384] /Subtype /Link /Type /Annot >> endobj 14259 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 289.2384 180.9312 300.2384] /Subtype /Link /Type /Annot >> endobj 14260 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14261 0 obj << /Length 19 >> stream q /Iabc32287 Do Q endstream endobj 14262 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32288 20830 0 R /Gabc32289 20835 0 R >> /Font << /Fabc32290 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ3 endstream endobj 14263 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1277) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14264 0 obj << /Filter /FlateDecode /Length 2668 >> stream xڭZIo7Wj/@C@/s@4 R뒿Herr5Y[6gWN?>6gu X2ux8[f׾קYygl*Jkc4`| ^:gl[%YVlU7 ͝v6Ýΰ!LƤ3<'q2!XlאmE S@ÄKG9\s{R#?Yp=HvD'<}N~J$2Ux 4wG,'~SeI1b 7og^^j^ˀbk]Z#F$M8N4 GNc ]QBt|f#h&WiX bML};@A^nM)6o1KZ#c,r$0Q8U[aD,H!F)su/m`99S3½T63ilY7EGe OE{͐zxܩk&{LdtꙍSd@1oA2 Ͷ|jw!:\)y8~:2[nEs1P+c-JXĞӺ =ps¹pbDMtptrpBxv+3عrb]Ox6x \JZȏ-(VM鲛#)̖FC^誛EK-j,4T)-w*mGëlm_gk ([W3xE,ATp,rĽ .X״jB"[GF_kG^$u:ʜR%{Ox<;LjqůQ)98RaJqK") ps xA"[* DljmJz`_kQG^4gJSOM2tM.<7XlaTJcr:+]h iNY)9Ep@$hp>]p[ieG5 [zC Xn1^ѿ"/B&߁rqJ1kOtϓ5v:G~B $61wXgq v|Q+5q l⾅4מ`Q.k@T2bA(gCi=ϑunhE {ל;'Dx*{$a>9I*N ? CW +h]IH̀Uh8],&ZlZQ"uSx4\n3nJY)uxϋӰdKI@wҡ 7 22C~YnA'LszyƦ VL3ҬA 6C'.ǫ~ P[Usv 0ato<r5d"jHP+j ĖP!S[r"X<#%-vXx_~3 YN ,VOPYW2=2\ Y&KO~"Р~"# x}xȧDNpi"bp$ocѓaXڈ)AI8Wx/cҧRW!~< /fZQ/gSc:c݇H1Q`1n`;^ivׅQh"&|dyM%m沝 f oBlS/8|KHM^A+-՗iH U-e)n/Rl@zW-1 Aw t̎rvvںx~94x1Lgfl4t!yQgo Qd̼:Z{~l7&@w `tM d ]@o#<$Z}dXIX TB 8A 5uf"vۃrmQ;qⁿxrID5r9wPbֆ* =qbK_G7 txʿO vs>#5=W>{` t~ endstream endobj 14265 0 obj << /Annots 14267 0 R /BleedBox [0 0 612 792] /Contents [14273 0 R 14269 0 R 14270 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32306 14271 0 R >> >> /Type /Page >> endobj 14266 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14267 0 obj [14266 0 R 14268 0 R 14272 0 R] endobj 14268 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 14269 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14270 0 obj << /Length 19 >> stream q /Iabc32306 Do Q endstream endobj 14271 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32307 20830 0 R /Gabc32308 20835 0 R >> /Font << /Fabc32309 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7KPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 14272 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1278) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14273 0 obj << /Filter /FlateDecode /Length 4227 >> stream xڭn$ίs.s_Am9>c`۸UUZ3UEv,]-,/`P/O~fy}|Lnyy[uujxl{+jlW=쿆ڝgȆULt|zQ_i8ݓ]^}1[տ,z%'jg\5%?+)0+,n13]XK/IJJ : /QxxY^|}{Es9(!R`&sO < Q:.GպxLmSfx~wrc?ce<Ƭ{h{TjxQ) aF VIWx >OUYӂeo^~YĘa7>=0c3Y5ϋ]^ ,0G=6S ߟaFm$'ΓW03,0kɝځxM։j-?an~Z .#x~3hYzWJQrv%!ѤH:Fv!}7ʌT`ܨrrf9,ъA,=@ aӮS,שުW`=tT˚wĎ_] {(j@iTI X l,#IσY{岚͢")ˁ`£nqmi؂ Y^R>xJ*(/%?&ٓk/ۜٙ5l82Ljk$3:rWp0PfKۄ|#";m$F"c9D# 4dm?jy&jߒmWl҅ΝNA.2s"7[gvBڦ;ȓ^Y}BY@sv['u;;Yg -'-!/1ӧWKk m3tؔc x7( %C COsqeh6(#Tx(}JCE1(DM+ I0$ 5W걤zSի:rBh ?{qk( sb-,O:J%pQ$Uo-,VcQ~paBK? 6/-T_'MFƥ֤(^YͥkOa*]X f- _M ϕ"XPk4WMXX^gK JϾjP[}L{bA6F@vi'۷rzRɪVՒ:\b˃JՁM3@5&rW(g6I4g.cdiW"Vj<&ͨU:9標DZnAj√t$ciTIM.8o\3ha%t|R. Ax9n }[6+'ut alS+h]UD}KI:HcQ Q 4U-ZA-ja@Z2PžjsAW&jlו@vV {@v@W+qea<|w.NdnɊ4uNvNvNꝅꪴlTHKqBVX`hD@55z}R2q́{ٽ?[r?\j㇔U& 2GG lX?Az}Öo&kb-5nJ@}w]d#ǐbP-61l>N(('-3Q-byەW${7I"ҙG%bXqwU)Yn/NIR 7< j†&Ly0@=!Ƃ9r!Ȝ6O :hMvscV:e6MH$1 yxO)a!ߩl7D a)px9LxDL꽰Ѻx?28* Dz q Qt26yãlfnbbpD@̸:ks4ETSؠ@-!Z&b\EI0#8 F)j솤V !8 Yu0pchCB*4>$k ,[QvOG F7h D*h *!&wUG\z!MrnmBb؁#}ޒs..soj2ǫ.:^~@h ΃W-mMbullsf_7 5xc<|>$^jG7!$[~E{Wwx)ᥳgCfdzg>~MiV?-XIVrOW}dбJZM1o˪3<%h*^m!;ΈHASێfZlA+VuʘVc}~`ySUuIM|4w&@•;WTA+([ae&P˘=͘';Vz]]:c @=U aZ;壯!A iaaEGqMx~vVR#"lS9Q"a,uOifn3}.(]!$@Nvaz˝Pʜ~xi3?~AH󫅚Q`R(U <5k:!%u4~]I6ỹ~\wO}T7آLt::'y~H52׿˩gGTaTj@.py +NݬyyW,/zuUbV!pOy6|&χCJ. l K@tBIK-^{޽`TJVlCYsFZ%zQwhfi_kLT*lH&MvY+5 ) qf?s}V~ȧ3yl>"des* 'dOkD7X90.F%.%-v;0q8#wpMwdޔ(b|3,mwh*q)$Ftlp]gY|'Bm <WK.?&Σr![iIv =+?$?CG!p)<~CL'g Bvl٦~]dC֮J{yNB:_* Fny3=h\ wB"6.ׁKj/D endstream endobj 14274 0 obj << /Annots 14276 0 R /BleedBox [0 0 612 792] /Contents [14288 0 R 14284 0 R 14285 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32325 14286 0 R >> >> /Type /Page >> endobj 14275 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14276 0 obj [14275 0 R 14277 0 R 14278 0 R 14279 0 R 14280 0 R 14281 0 R 14282 0 R 14283 0 R 14287 0 R] endobj 14277 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 538.7076 194.7032 549.7076] /Subtype /Link /Type /Annot >> endobj 14278 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 522.5076 222.7367 533.5076] /Subtype /Link /Type /Annot >> endobj 14279 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 506.3076 195.1267 517.3076] /Subtype /Link /Type /Annot >> endobj 14280 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 490.1076 190.3747 501.1076] /Subtype /Link /Type /Annot >> endobj 14281 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 473.9076 184.7812 484.9076] /Subtype /Link /Type /Annot >> endobj 14282 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20211013063105-08'00') /Rect [104.1732 457.7076 212.8147 468.7076] /Subtype /Link /Type /Annot >> endobj 14283 0 obj << /A << /D (unique_322) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 441.5076 228.7537 452.5076] /Subtype /Link /Type /Annot >> endobj 14284 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14285 0 obj << /Length 19 >> stream q /Iabc32325 Do Q endstream endobj 14286 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32326 20830 0 R /Gabc32327 20835 0 R >> /Font << /Fabc32328 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nVthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14288 0 obj << /Filter /FlateDecode /Length 2092 >> stream xYn$+x &I@(QO e~/R]n XB*3_dPwWimNzjr9\s˗ejF첽ڿ?9;;trLMϙT*9пwߙ(&UeT`Y.P2#b*K ^: i^:iZ̥r"O2݊%H NU~ǽ v> L0wfI%=LK\IYag./L ɏCTrvv7JalDZfix&O G xNB>a)BmWYT!:\b6I !z'E1碈l7V;wUHq/j4ΩB0h3C J%w7~g aL( vN^<,蘣 i,{:S 5@W}ץz|R/b62Y1gԓHϒs 9Y1'<|=|ZpS& $Ç&T+ :+w8w=6|1_RYoc'籵6o/3hㄟ(vkB#Nc)5s `|Z(FB~0C8+i 9@XE`OYFCcn\F` 2T ~K B8~.5 Cj!otыK૲3yVJ2P',gjX|`PLB˒Jx9|A~Dt*I~LnҹP>yOϊ~/Aۈ-(;,E 3tP ߐd&F g>HPDl2~t?l#ۚ"Y드dF=SE):'#a5 =%h|ݙAwSg٤X1v83c:â'_6^Q())WEfg_R-U b->"S*+fCf]cFj/(O'%.rW%%y\pEz:|Zg-mַ'ih[=6~2 Er {ZG< p}{> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32344 14295 0 R >> >> /Type /Page >> endobj 14290 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14291 0 obj [14290 0 R 14292 0 R 14296 0 R] endobj 14292 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 14293 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14294 0 obj << /Length 19 >> stream q /Iabc32344 Do Q endstream endobj 14295 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32345 20830 0 R /Gabc32346 20835 0 R >> /Font << /Fabc32347 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 14296 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1280) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14297 0 obj << /Filter /FlateDecode /Length 5496 >> stream xڵyYrGẺ0DPaq +/X[m1u O8`0Px-l^/ѧ~0a1ڬi˷]Ę1Y=0ĂT@U<}CY0]^lg0s6XH8saX??l;b\Y-0]h+\+Aqy=^C { `]) ҆yhDW#1Yxꖓ3+e"l gǂV-/ UHkHf᷆⴫1 STo+[Cq[w:e;Cbub!CDobEMUu+P H`j<.O`#D1@Oz.hU)c:\ĖὥEOm0Qmi؂ Y d Q_DŽ2{2aQqAt%̚F oe<7Ig+tT=bjD}o e!e0y;x(+lL" m "<QU5Q;~vnC}ɼʴvw*r{%y1A~e?s nV QBPpYtTT bGykp/?9p?(ع,Xcoy ;os^pG?(O 0t5W XȋmCЪ^e E/Pi课 XW!ElZ^u_Lr8XqK8UPo~ '$񬡎&q θWH,&reS TgP:NRJU^Hqp&#iRkRkZ/|R'0P.LCsѯ&j KX-Gޫhf,,/BV%]Xg_5j-tJ JIgO،}zRɪVՒ:\b˃JՁM3@5&FW(g6I4g.czdiW"Vj<&ͨE:9標DZnAj5 PInbTч`5\*q^0rgJP"B]AՁMW'\ܲYrRNNA6rvu8o >Iil6ja6jf=R ;r]-sP {U[@=b\ѕ:(ueh9)))M_q ꤄"ߝ:#u[u=M3ݪݪzc#ꤺ*-[51R#Į>z>z>cܨ֠F}j4"ͫzmRp2q֛Q_{[:"~rԀO)OBMzdh؈Nԗl:q fuQ{ 8]H:א\W-r` wogwdwd7 u23n󝙱9Μl.Ccc$:Qcb;)'RT< s)H!5!C<}|RnPK V,P(D3cA 7#taK#K[2m4, M\T(`T6nc@u i5 5킙%Azf>gփwX8_3?*pIL @E8ABaZ^tHe"ػ$-ƌLLWDŐ%M3Kt<$)Ift躤. ֊șs949lpa t#629qRyЌ4DTe|1&Q^egnV@D8^do"ϫ3snV`002j'j;팀ŬnJNhN0-7l0f `djTYLM4bj*Hfd Z'NZ0ݩTPC]M7ccَV=ц,V`S\'#ٶM%S :iVݜʠeU}T_w<9ʲF% mfzrm"j&-f&b{1v2l=dԿr`yWxc@Te7 |H,-Rxe+iwk.-kӡح04O/ŭP)I P Xښ5SX{kg 3Ұs۹jնjߤ o՘FsS]^k ܏``/۴TJ3TefޤVA.2P=r!,)Q/ ,TL:lq/Y ]KְǞALjGU7Nm+(Zr:,LJl}] cY̢ռp$Ze=rc! #rF W4!dLtsCI~Gz3δ^8|wlH%lVBL&`tli1. RxPyR*WeGȓ(wG# =UrXˡoCcW&7A9[q#ZO'OCh@pHOX$0`(E6y'7yw>Usv!2r#وfOoex(a _ؕs'Jb3VktjYU_@j܎H5a xZ:obv3N޺v#Ǯn̯)M2e^S6<ޯѶ-ׄ{ XF!Sc:>δc$?+T!-:u\i@( .g=dBfc7x _^74-͗JPo{Ms˄`klo`<{{luUK8:a(\yF#c]$–R/n)L*`s1Eİg 0<6y;~q _חZHwM5+bG ia%<[Cj[̘ߡ +V r=vهw2e$KwCDF)h(3;tKjB7n Et3Ee"݇Vh a!x٦E)F (w٣|qJX=#-J~)p'051]r7'RwԏݜZSQ؀(eI?1zI+p8Quټٰ|Hu\˧WOVP0o˼~:a'^Mb?54pw䠘[55oGsww.d-$6 Rܬ^MKS jۜ(= ʄ9%(قjI' fBJZM1 lS[),}N\PvHIOF|WDr=`\=KZmxW Z@p+ZܤUps|y7# yE~乕pW7؂gQ1L(f܁\ѠNe5ipEGq<mchKko+E\Ee*i\xi|Sed[7wn7k僢!Y<.Գ=z}Q_q= >A 8C31З88WN䦇35#).'?+>Ka :|vE(o.Gi{>p+q%z{>ް!`p_D%!<6 τ#n \WKr/_ppV^HL6 p7v?+!?C!7A{,ɉ^[c8;x6= 8ׯ\O#ĝtT_+`ȞG>#Εp''b3>' endstream endobj 14298 0 obj << /Annots 14300 0 R /BleedBox [0 0 612 792] /Contents [14312 0 R 14308 0 R 14309 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32363 14310 0 R >> >> /Type /Page >> endobj 14299 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14300 0 obj [14299 0 R 14301 0 R 14302 0 R 14303 0 R 14304 0 R 14305 0 R 14306 0 R 14307 0 R 14311 0 R] endobj 14301 0 obj << /A << /D (unique_247) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 514.4346 194.7032 525.4346] /Subtype /Link /Type /Annot >> endobj 14302 0 obj << /A << /D (unique_248) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_linkgroup) /M (D:20211013063105-08'00') /Rect [104.1732 498.2346 222.7367 509.2346] /Subtype /Link /Type /Annot >> endobj 14303 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 482.0346 195.1267 493.0346] /Subtype /Link /Type /Annot >> endobj 14304 0 obj << /A << /D (unique_284) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_iberts) /M (D:20211013063105-08'00') /Rect [104.1732 465.8345 190.3747 476.8345] /Subtype /Link /Type /Annot >> endobj 14305 0 obj << /A << /D (unique_286) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_links) /M (D:20211013063105-08'00') /Rect [104.1732 449.6345 184.7812 460.6345] /Subtype /Link /Type /Annot >> endobj 14306 0 obj << /A << /D (unique_285) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_linkgroups) /M (D:20211013063105-08'00') /Rect [104.1732 433.4345 212.8147 444.4345] /Subtype /Link /Type /Annot >> endobj 14307 0 obj << /A << /D (unique_321) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_link) /M (D:20211013063105-08'00') /Rect [104.1732 417.2345 200.7202 428.2345] /Subtype /Link /Type /Annot >> endobj 14308 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14309 0 obj << /Length 19 >> stream q /Iabc32363 Do Q endstream endobj 14310 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32364 20830 0 R /Gabc32365 20835 0 R >> /Font << /Fabc32366 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7KRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$L endstream endobj 14311 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1281) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14312 0 obj << /Filter /FlateDecode /Length 2114 >> stream xɊ$y b/$R9[̜,Ce~oSH9TVq7QߴO˫ncVǠ!C~Sghk׾'~~c^tN>IW7brfK9|?Vl|7cVqw*3=uo,ΉJ;^D14@m/QΡd۴`8z> Z Ξy4ӥ'Vt0.Iyd1okuB>pϖ7_BMe+-c/AMޔ: 5r8]SF#w((jra>ܸ˜*1cR& T 9lhqh i_CXRtydٚ>fմ#Il+jkro~Cblo__ CDW,p,&$Z ̛B]<=ÜW̫-*3R-wq؝q8;7"mz̠'^4C;Yzi$NmSΆ8; !^X2ndz^w(BwS"m:U%3&7̞~bɨ+tDU=d#ZRamSݾav0R@`bL}WG1n[@C3#z֞nҋV{ V-XTȒ3`b|O w,w, Z(/25LŴE%7ʓ(ƉG 䉦ݛk-9X6cs$DG*E'$w VPHde)|G5Nq' ?8${> endstream endobj 14313 0 obj << /Annots 14315 0 R /BleedBox [0 0 612 792] /Contents [14321 0 R 14317 0 R 14318 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32382 14319 0 R >> >> /Type /Page >> endobj 14314 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14315 0 obj [14314 0 R 14316 0 R 14320 0 R] endobj 14316 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 14317 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14318 0 obj << /Length 19 >> stream q /Iabc32382 Do Q endstream endobj 14319 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32383 20830 0 R /Gabc32384 20835 0 R >> /Font << /Fabc32385 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v~1OEҤYȵ7-$G5U|t%w JJhx&I 5\fP=(%ypf%)Rwm[;0ROMˡ|72ْԑJnRLsVWӬ.~7‹!H5h}g:g("G :gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14321 0 obj << /Filter /FlateDecode /Length 3990 >> stream xڭ[Ko$W9~̎C:vHv/_==h^fUU,u1b/K3KK6X߷?ykW_-ϯ?q9X w>׻۝mwQmڝL{ꏭ[Lp;uծy\<|zV_i8܃]^}1rp[տ,z%#jg\5%ן]ߗ,EY.P֤Cw:wy\h K^B\aХ@WϿ]MH ^Sԥ|b,BlZQ.k}TP,K|PG?)C ƻqOw/][m1hXoH ' 0.K_86kZl[>*`ԧg==cWa_^ a88;6S٠Np/0S6.`dzU 8k]ځyMMցj-?an>Z.3CL߬}^+N{I h4`L#&[Q3 31d?b۩$3k=0Wj rzek3n{Pq C@=5US4hTj<v+rH,rYMmz肪Ik =ὥ6p[^Rj<1k1x1 Y/߂̵zF\-i- ]0,k湱O<\EU*5oj27fpCnW+g!SX;D# 2d4/bZ$mzN=*R6J@n*"w{igu@kGmWOh :gE⛪n7~Qw~0A>8r3=zQ X6]@s?y /̔f@Hp4C98(!O-C]Ŷ.(̨Wd…z ToUDa$j`_It0w^LrT~K8TRB0'"񨡎q W6L,&rɂVR5CWfבbG_ǀ^rYQ N?aȀj0'~qR;dފ7;Q_mmtn4R?Wk"+AԈs- l[:_[upiXTXWֲH[]lcw,t0UK1m|1S,e:eO,~pM>)EJQ'|zu憒Ƹi*卵$PT.($~gh)A11Zm>xI[Q]qme/X/xӸ1YRe㈨3\I)Z1L,RQF}tC vẕ3ѮGQNe*Clho17l8q8q; xz`8̆x I8Ϊ=ѣ( m~=`On&QeMƵ,;^&K^ӼMu+`$ )[fN4?*0(DAVr# M0("q &'%þՏXb\y8i $*-1S( ed5j[ Iwa<(/w-ѱ9U5{ kj&ޞ+Q^~6y &Qݷs9L3[PtGs;ז˩:~7+ӻ4iVd0Efa,>  kue`f'[c]vdWϪGw&߈zK~mjц Ctj6Ыn^'ɵ WqkZlg#U}{Ճ}Tl|1m%cK^plG&nt]eI.b(ROM7;a0oրaO[xZꤌv8fڏ'-ꔈf4op VemNሳ G@\oB<WxйY8\$)Xv]L˰z9 (Ɗ"`&rÜFA tnn(7"|)ѽ QWRT|)02PgJF QC1⯞d/wbN?mZ;/x*Y8_y;H=GYj֎DXX PCLh| %.:2VkP0]X߶Rt)&k>{1њLwm#mcq,4dM67ba "9'6~".90Dq!yau:ju4}BZys\R{Z~2݆}4=7S5> .#Z b |As1RM/|suF¤0rgѣAΕ "ay A endstream endobj 14322 0 obj << /Annots 14324 0 R /BleedBox [0 0 612 792] /Contents [14335 0 R 14331 0 R 14332 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32401 14333 0 R >> >> /Type /Page >> endobj 14323 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14324 0 obj [14323 0 R 14325 0 R 14326 0 R 14327 0 R 14328 0 R 14329 0 R 14330 0 R 14334 0 R] endobj 14325 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 199.3617 608.2] /Subtype /Link /Type /Annot >> endobj 14326 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 581 189.4397 592] /Subtype /Link /Type /Annot >> endobj 14327 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 185.6062 575.8] /Subtype /Link /Type /Annot >> endobj 14328 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 190.4132 559.6] /Subtype /Link /Type /Annot >> endobj 14329 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 207.3807 543.4] /Subtype /Link /Type /Annot >> endobj 14330 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 516.1999 194.3402 527.1999] /Subtype /Link /Type /Annot >> endobj 14331 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14332 0 obj << /Length 19 >> stream q /Iabc32401 Do Q endstream endobj 14333 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32402 20830 0 R /Gabc32403 20835 0 R >> /Font << /Fabc32404 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2Z-LB.FPBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 14334 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1283) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14335 0 obj << /Filter /FlateDecode /Length 1648 >> stream xڭXIo[7W`IVRɩSrofȷ(rDӟ5yǘ)h'?_NQ;Ohڠ55Z_M{kcDkOxN85PὩ$wMa=N|D~銱S>B;E$En(-ib .TzSrg?1ԟ+,!%]˰Nb .+?t`S]&xEs.4VpV5-&GMei_>!>:4.뗿;g2*qVZjEjӒލoxOsu>2q>I[Ix}i;w vY\IT[k6X={bkv8l~}(OǷ$P[6 |ƺWJ} H 9RZ6JPŚ]ӱjuBX2|:*5ٖJ$gMK0u%W}xXb{†sSsa1BͪN&C^W`ynkeW7.hiB7:c2ޯCI:h *f,BIuH-!Bvݨ_;e%KG1-E%nZ `uIme2GM"V14굖7N67DBsLأhRxΡ6xgLc91Azh}}-@ VyTw\\(O045{B [Ib.ir+9|@ғZggw !CSBB endstream endobj 14336 0 obj << /Annots 14338 0 R /BleedBox [0 0 612 792] /Contents [14344 0 R 14340 0 R 14341 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32420 14342 0 R >> >> /Type /Page >> endobj 14337 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14338 0 obj [14337 0 R 14339 0 R 14343 0 R] endobj 14339 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 14340 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14341 0 obj << /Length 19 >> stream q /Iabc32420 Do Q endstream endobj 14342 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32421 20830 0 R /Gabc32422 20835 0 R >> /Font << /Fabc32423 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoE endstream endobj 14343 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1284) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14344 0 obj << /Filter /FlateDecode /Length 3984 >> stream xڭ[KWe)w 99cL݋~ŗU,VWE(M[m /8Y:cЫ*CΏՏ䐲׏NֻhڝkwNm]l{έiLelڶkT7[f~E~Th8O:o\\ mTVxdpu$Y9Ь4<<}\JIXlbw;gc~wXW'Wxz;Ec!I/1-&R߈1gr/9R>3xt6}^\HƪF{k͓`}NOj?k'e_PY/;c}c! W,,)9v"%݇zt)!Zڃt]ƲM_P%AJy0 j4VWX떰HPe㻿~N`g]XlQ0K6Rg.>?`ETz&@pun5LQ%jAvp.WIDsi4|Kc׭NF Ȯq.铷YS\łVl9ួ[Oh)1/"XĹ⴫`(To+[Ci[w: eYwru/Ss{(@i5s=3#{,v UB1XaƏ Lta|0^&IZAcm̡&K^ M8iFrQBQ7t7; ZXk]PQ2R b'$l3kHȾazdzc?(cP+XRũU}YB9LG u4@#uĽ%;fBۼ(ZZH:{jTyg3XTO.h.wƆ⭕+5DH}ڴԚţ6\jo3t"4T'aڬ`B MчreXdKƒ*i=)LE$}^HzUݺg)6sA{Licq4!lWw#L0PGpņ˃JՉCT KXcfq)]R^RI/{d`d&{%r\46HmFtֺ' s16['lQ0b@QC@Jv; RM88w7jTf )m D58|yFT]FlD/7MxE B9崶 ~Z19βҒu(7߹k"oY g[%xj&EIr*x)g3T0m IP) ?ؐx+nrj 7*!7yާs(m5,028Ymq"v)MYDIHzH~]+mPky\;1GO]GNi"aDWjr!dɰY+̍Jh#)NN6q+ELe'BD]%[n64>ڨX|K9{F5*K%-f)MkϢrG3lݖU`-f) 'W8i7]@780-Rp(xފ]{lĎjۈlwev7xe s, ׁ x=W/L%`t50'me9G}d!s ziO2Ad%WṿM'0(vɯi&G*ҧcCU''NpJӵ-IPFa&qwbMM[_$8 տ,l5 [®~>SUAfpFݵ2ɚ9 keWlS :pއ7u4Gj,]gc"9kفjFx Iw4v.v˹cU1]ߵNg0+iSd)Ax-dB'B'B"N 2#݇N˱SmNVa vjO}e5$W肓PQWmJΆ_ Cdj]!Wcjq:iKV٬g۽g찗qcQӡ[]tz/bsfiƃ|/ƩUXKO :oy:(WL}JDװ4 ꍓd$[by5s8| EGV>Z9:|:Z\ ۮiAv?f& ( &w<B n")RYFoYp&~|OcÕ=ZXߒWWCbf%ㅸZBlSRg= [.9;v0d\ Oh^ݰ*:;rνL j<aҷNg٠?k8𨝃KcΔbsnVSa e1+::eTfq)Tk<1~[''bMM&EGdR" rxT I2v(HWҊHGʕTڵtm՞sfGj˼ ܖܤvrxL3DHŻN;Q'Snd 6U`L<7k:1%u4~g2mG o|S5>-.3#^b |EsRM|suVYWZIRľI`SYZzTQqbL$ x!!Hb勔|qKٹhO;{Ψ"D5:gغrR!9{#%{QslpGsMGM$om.UgUSGs/|*LƘ"63X!k. z 3&rqX\x_lajɎ;q!cW?HC[>~R@"! `3i{98^)v k4F$"-D騫> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32439 14356 0 R >> >> /Type /Page >> endobj 14346 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14347 0 obj [14346 0 R 14348 0 R 14349 0 R 14350 0 R 14351 0 R 14352 0 R 14353 0 R 14357 0 R] endobj 14348 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 208.8492 608.2] /Subtype /Link /Type /Annot >> endobj 14349 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 581 198.9272 592] /Subtype /Link /Type /Annot >> endobj 14350 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 195.0937 575.8] /Subtype /Link /Type /Annot >> endobj 14351 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 199.9007 559.6] /Subtype /Link /Type /Annot >> endobj 14352 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 216.8682 543.4] /Subtype /Link /Type /Annot >> endobj 14353 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 516.1999 203.8277 527.1999] /Subtype /Link /Type /Annot >> endobj 14354 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14355 0 obj << /Length 19 >> stream q /Iabc32439 Do Q endstream endobj 14356 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32440 20830 0 R /Gabc32441 20835 0 R >> /Font << /Fabc32442 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 14357 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1285) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14358 0 obj << /Filter /FlateDecode /Length 1665 >> stream xڭXIo[7W`VR!ɩSrofȷrjA7~qߴUy}G)W?_Q;OАA;kj.!ÕZƈڢ(uOC 81ὩO_gwNa=ꛝvhc\銾S>`S_A/-^ %wWA $EU{kL;> M0H z2t:}q p1NhtSv̤Ne࡙֙2-`:ۓi19r,KԱtY??OkWVq#vմV[ҩdfoקGxxrF|dڑ}tX*ǝ1HĜ砅y6[9fË72=PGp7 .tVvRJv(. :-)=glͩȐ)4;%gBWI+-s"Aq9n9(lZ r pe9KiX]eիY5B0$ʏbɗaUE.TV'5s2πe#? +u@qg;`y$u^`JiW+aSlX?Bz*֤Q+bZ'̼]%SXao`g[*RɦV2YyeBu1X7]\,X]( E+L%A,c"r~5 x~x+Vʹe!Ne1BGR4:h32f,BIsH1ګ첑ǖN;e5Nx"[ b׳Km5J]H?MD8}62)i7HU{̲|Ө 荸+E:x$}?vo>7ڶ -/}g,z􄺤 R*KsERӸjgDZGS-:q&ѯ*q'i9I .ЛI=fƽ h peyqYX;1x !\BLS"piHpɪ]8iܩiEA0խgf-/v4>,$ҪT,,+efwO{yc$c2iM-> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32458 14365 0 R >> >> /Type /Page >> endobj 14360 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14361 0 obj [14360 0 R 14362 0 R 14366 0 R] endobj 14362 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 403.625 121.8835 414.625] /Subtype /Link /Type /Annot >> endobj 14363 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14364 0 obj << /Length 19 >> stream q /Iabc32458 Do Q endstream endobj 14365 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32459 20830 0 R /Gabc32460 20835 0 R >> /Font << /Fabc32461 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ X endstream endobj 14366 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1286) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14367 0 obj << /Filter /FlateDecode /Length 4465 >> stream xڭn#ί9:|?À%[ m99yv~gwKfIM6X*#J-'f#>d;4v)8ʿ4Z>>j]V~>(<W.+ڕiWQƝtwG/|y_ KD` ]~*_WRF5:-0T[g_r9I|%=*N9?J#rw;x(/B`jppy@yE,Pi`~!@?$JiCF%cA!,qR98_[d5? R+Dm" `PXf&mjmod~F~C?3Pu3`6τ$mVm=#*@a4g*zfF1`ݗ3a4 t`8;|{0Гd} 8,&/l#v>Eb:dG}թ~ UpE,V/>JO=nUz&,~8lz4>G6E{!-xBE Capnu2FR䭤[I7">#]/%CktZ*C`>rGjOmf.SM ֳt~UY)´- ,„g]ran؂ YC^2˴$ !.}F-¬75YcaVȮ\XJ\籺`ڐ)i(㬎g`4SY>!:1 Jމ5A i9CP(LgFQgKeP3UpǼ1*?tD8WМ|nIMh6̈́~w2R<`&YJ|w e?~G1f^9q#y=e ԴZU~Sp[ts\`*' ֻ $8axbdynj0)4x%X`7\~ŻA:-Q͉MŹL;XIe~z~:ҘBH_E"6 r[V{4}'HxPGp OAK'Z…()+~ )4m PfQ<;tjV+`1PDL Wb,. ٗy ;}ġ]}IO\a >"PmO0lwhrXL$cŀTS8[ꬌTq*]â2wnHngĆ]w9H#nRR{S$qs=e0I!*_,Cfm [t͝"jRPk 4ϼ5b!vl7B՞m׬:2N҅QM]PUZiLֻd7}:-@M&Y|J0@̴Lj{7,Ƈe.zBB‚wM>B읈Xc5O<¬M3Xg{Ou9\'vmO" F((wYU8tj.+ѧ`5\*s>1J~'tr)KX{um:F0fY P9)yR7SPqہn,u %mx@kY 0[Ȃ,Z_[#بb5ٍc} bSS1p0r!}*rc3t隍]n+;Da0 !vcSGtUeHժɜħ; J\0(%.{%ܠڠO2(ѭ'X-~A]+=>$<A ǠcE%1B6A2)QpMlH6C k8$YY+VwBۂ:EϝͧC*P}`;'.1_;X\/[u)pLY x,6;0쟧_lv#o[W8ݷ?:|o`C;:?$DQZ.pqIoV|6;^[+Qфhb(#zxP5*' HˋRVޔZCF\?)Ш.cT*xN@3@A1&ң\<ҩT`r}ЫH춬DiJKR)28h`Ў\^ U&dZ`Z`3kA$K`:"ZJB/ϙwT@¨{xehCT7AU/Sp :od/6N;i\ejg"C*6TmT`FĎmLRaY,M.-J3Kyp6KcS$ k2EqTbq=ß/ : +>G&r*_qEVql&'K6r:E8\l[UУ)Aº]'Vj\^Ƞ1'#m+kր=t8E==K"bKN=~#+jHc7*O`!׫O7䊗{3>3{U˫ kC,%k|Ks0M"׹t 7lGWQE r:fXx#%5fq' H}+edH,v7ɫU 싮a} ^R0Vg?Ihkop~bur9Z~7(uΰ>dqW+^r 9~ڽ2CvzCnL>FG67wo>tGzJH.ߞC VAX탦n3E_gr[zNScq'=$iΓ[ y!Z߷~iJ^o){9e.Pv1n(bs_ :f8lPr,+W`Y !+-k?(ְt .<:e.kgVŦƕS|??q4T2GN):T|8b= F: r| V >/|O}£xr gl7x[YRVYkS.Cz8<>~+Qyсz$1z{87UCL}=|jk;|y8]g0O}fiKlrCa1|.@=XsЂPq5 endstream endobj 14368 0 obj << /Annots 14370 0 R /BleedBox [0 0 612 792] /Contents [14382 0 R 14378 0 R 14379 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32477 14380 0 R >> >> /Type /Page >> endobj 14369 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14370 0 obj [14369 0 R 14371 0 R 14372 0 R 14373 0 R 14374 0 R 14375 0 R 14376 0 R 14377 0 R 14381 0 R] endobj 14371 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 257.4423 155.1032 268.4423] /Subtype /Link /Type /Annot >> endobj 14372 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20211013063105-08'00') /Rect [104.1732 241.2423 177.3067 252.2423] /Subtype /Link /Type /Annot >> endobj 14373 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20211013063105-08'00') /Rect [104.1732 225.0423 174.8427 236.0423] /Subtype /Link /Type /Annot >> endobj 14374 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 208.8423 187.3167 219.8423] /Subtype /Link /Type /Annot >> endobj 14375 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 192.6423 152.6942 203.6423] /Subtype /Link /Type /Annot >> endobj 14376 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 176.4423 166.7302 187.4423] /Subtype /Link /Type /Annot >> endobj 14377 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 160.2424 154.8007 171.2424] /Subtype /Link /Type /Annot >> endobj 14378 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14379 0 obj << /Length 19 >> stream q /Iabc32477 Do Q endstream endobj 14380 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32478 20830 0 R /Gabc32479 20835 0 R >> /Font << /Fabc32480 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XL[//d"ר`O6OaePDP2,|<30-s@,`K'o.Ŝ|B毗bj;j,'9 ).Q8ΡRNZu^lM: endstream endobj 14381 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1287) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14382 0 obj << /Filter /FlateDecode /Length 3046 >> stream xڽZn$W<@/@A@J60c2ظeeii[B*L2ES2JoXE>W`o-Oouq)נzr&fasZ[h_@ Wݢӿ0jOxaQ5pH} N'#\q>yl_߇U}Zc042pu>exSp`:k{ | V'.\9iE\#m`_mp[h8H$0Up (g,E,[ZYY=;%&5hbLti($$I:uCiFcM.()IJ|Ga,AaօN\+3C63AAd%@-gk4E>xo[}!`LV}} U~]\'Gr֭JE8lT [!z: 12ua/vE52$=iyF$kFMQ^ueMZ{-{& Ǥx`48PLzþa{;UM љEp%|u4?lA!VA(~p-^%\'ڏnj3D7i PJT;ӛ9r"[}l&M:.AVMZ7ٓUmq$:D귂M&.7.qHCD ;dk_Fk ZիàZinmv1% w#hvM3[1-՛7\C܈p7kpTb .g)_d`ޓ<_SW# ^lv{;9y+p"&۽lwJT:j9'lf@rnLk~Njž2 j@͔ 7c:QlA{*ӻ9J|ZQ`)Fh()=Fz9Xfb?_:. ( qu!?U5[]F5l 5*55c 4&FU5D/,jRph|,>EoP]Um샎oVRz* B>Q/Lp\!^~#.C OxwH -}qo$ Bkvpf_ T+kB.8BBpS; qHs qN朆]) Ɯ8qi3--0!%2Ol d#Jr4p.7tB$IN8M2 }{e+߫0^W=i2nƙ|5dVXUȗ<=0 JS,g#@Ϥ2V&D `PS%/g*zAhL\;WN=!0x`B/ ˻ؘ6_|C]pUd>tpZ x΋IFv&*%O?v?yn .o,8PbES Ňh0V?23ٺC+_rrӐ] pIѭ)`3]HOWoCv, i,m43 .}!_-x0:XRFY/ʯ  ^^ SY 7ԋ`֋ 1zr:+- 9i"k6qVu9_9W|$>1lW<˫RgnZnҲXCpIDsm>\07tkcN.Pu]zShj sݚ-82(h"#E r2vOl)\W,R"H:/ڔ (_X=Va2wm3@6ny&3lp}g_HTNojj@%#0(H`D,K  Gevo"u0:gQRVޓal45mn;3  .fYxizZ륚l'Hjܠ&?ڶPw+2#I?R}F)a de ?"$@zNb.ɱ5t)瘶~+ f, ƌG'R>iYi<՛<?\Χcyd&)fPA n0]s`ƞ4q 15V=\~+ɳM:˛ йkl7zwT#*'̼E;څ|ϳ 7)F-jpnP( UNW27c #ēDtTnPzq+{^ Fb:WQ~"aau_ endstream endobj 14383 0 obj << /Annots 14385 0 R /BleedBox [0 0 612 792] /Contents [14391 0 R 14387 0 R 14388 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32496 14389 0 R >> >> /Type /Page >> endobj 14384 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14385 0 obj [14384 0 R 14386 0 R 14390 0 R] endobj 14386 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 437.225 121.8835 448.225] /Subtype /Link /Type /Annot >> endobj 14387 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14388 0 obj << /Length 19 >> stream q /Iabc32496 Do Q endstream endobj 14389 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32497 20830 0 R /Gabc32498 20835 0 R >> /Font << /Fabc32499 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶQ endstream endobj 14390 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1288) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14391 0 obj << /Filter /FlateDecode /Length 4388 >> stream xڭɎܸί9@)@/@ANNf;}۸I*;TKǷb NH._ߗZglJӿ→/˟~f5}!<.'t{|DfM M\kOg$ cL tM@Wc3._#?3~'T6HO{Œs?k,KkXNhi!Lk [an~Zh##fW.y2Vv)ь9ٍ3&#Er'̪[N1d L|jP2;h$f<ෆ⴫1kuꕭ!;Uġ:ĮCc=5UU]4jv c l}=y0 3h\VY#Uоh%+6[\nbjRyB֢yQ5_DŽ2{2aeAm5̮eWZAꂎfa4m} ao+{-U͖ F "vl$F"o9D# Ddm"EV<oI`W6F=*d6Yat;̽dnegyj~А #nu?Ue@_uW&3Xs@ʺR NNoYCQAlӛ(yC[L6?8~NP Nay3No XU'%uŦ;VM]qfjL{P0Xmh\ݭ bbDyfM(RQ;kݛjusQ3[cl(ՂQ5 PInbTч`5\*q޹0rgJP"B]@Ձ9Vsݜ,lWN@۩9ئV.tQ-ffhZ#Z,j0jQ5 dc:}悮ԑ'ML@ٮ+CI@HNrWʎyP'%\ݪitMVV Q'Ui٪яz!v[;1Fg5ҷшjj4{?~q4:70dQ{]:"~ ԀO)OBMzdh؈Nl[:omCb-5d${$G-۳#e<a7%,j)b>Z=^x'{Ǒ^xZzhr~$`F;?w~;?B*c*IiG0 koȥ^̑-yH$OEr-X{S d w(uR1pxK$9?lښ1CyQZ5PwnC;Nq Yb^ZK3|+f&`k V4Br" @lucÃa;5"a = چdTY'ie7Զ0NRZU{m~MYDS[C%@ku Q[e˧e|L45ֱI>AJyk!JX-!Z6"#٬ h:D6$mLo\%̂;ᶝ@0]ڷeq/b̦ 6D5@&1ɡhnΜټ^UJ+l%#}"\dw5\f4#<:9i-ǽ,utY4F_č[@͚S fb.3spbd`=ݻ}} Xk25@F.p,?p(PDY]sjD'TKh0D0Lj[gBn`ޱd ͒t"zd U9A"ZY1/(ܨ .Dby9ٹCx@yEqK>ңǺ4: # UݹAFoc^MruyuMPrEhqƺFW9l unGӌ lŸ_^+ Cd$LL+i0GG5֠|$S@bZ8ih[{Kf ՠU;)<|3c%ĄEzwXý1`ؖ2RrX >\h֒2iMt@qG p>}]ܞ?hjUm[p QІڽ;vэňK5Ҭm,j޲yLYG }⛒Aj8B֙%&J:Q2L= 6! =>L 'qt;6]v9ד>gYćqA׸c!(Ɔ٨I[=Mo K.qϰ$] ɘDqi0Cd$òsꈏ&B|$g!k榌 `-lԩ @\M ME?Xךe6C]z0Ҙq{&c]Fz( )iQe)2&'.GLiV{5M cB!{=>emjnlwk IZwYV^&&M7N*rZXaC Gm$za|֏OBpr9tn|ԘpeD-nMT5}YuCŧ \~'JASێnE(O陨S=H>`toqay[p'w@81*hEk2pVͻǘ=͘'Vz]]:c EX GtOc>@<"NS?@Di+cm'6q5phAM619ߖDfM6baҕ '0O(eN?bRRK?~ :j}!Ԩc0Z+dƚqsIMgp(u5}=R? |§>j ,Sێ1H52׿˩GTaTj@.pyͪwErWhɅ|̳BıI`SjMJ䰟w S!:< ågStDPÅ4A(ŽJt_zӳō{; |$zRGO}d##Ε "b#᷿?̸ endstream endobj 14392 0 obj << /Annots 14394 0 R /BleedBox [0 0 612 792] /Contents [14400 0 R 14396 0 R 14397 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32515 14398 0 R >> >> /Type /Page >> endobj 14393 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14394 0 obj [14393 0 R 14395 0 R 14399 0 R] endobj 14395 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 475.8346 154.1957 486.8346] /Subtype /Link /Type /Annot >> endobj 14396 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14397 0 obj << /Length 19 >> stream q /Iabc32515 Do Q endstream endobj 14398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32516 20830 0 R /Gabc32517 20835 0 R >> /Font << /Fabc32518 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7+ethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7L8F$!īiWm`yݝCR\vhw%‰ph#bwo endstream endobj 14399 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1289) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14400 0 obj << /Filter /FlateDecode /Length 2095 >> stream xڭXK$y!z?(Goc|0{j{vY ӗLeMwflje(Pbi(͛7ITGS//y y6gdyy;Xu>Z{>w*>~4w4/SQ /޺xQfؖ#2d;lp#'k3~W0X"Vż+Xʐ[{P b*J:N 9όm= j@+u EXΕ;ND,.)ˏúEf]Kcڍ"Tf X(dqͪuDL(х }Qӳj>r(-1.e\&8*M-0WRg_0".xPVxLm :Rbx8k>o. n;Ӷ[ϘUz+M$<Ǡ:mbEmS3zJ;nI?xE4asJZqڙƥ}}"w} < Z"Ic XޝcZԁbCtڢFHNuZLF=/VމV;PҬse/,(aPG`>9T11X9\|+-ϫ'vvTY&ãɤ-BEnzV i6 itp0IAS|to4geM8/qC֚5&Bmه l?|/K %IMr%4H挧֑zVkP8?+t3WRkyEPʶG6,f^FoII*^\R}V׻sҺZѡzUNfyHWEoSO/c!Dz"e֥9t22s.ww ]G:V.;n~6޼cƛ?ҌR څZ՛T_ORR`~=hp"-~ϖ*u'-PWmiw;w i~dSvI! XZE^i$_C}6Ry#H-kN#^T']oid7m@3Ln_bLsSZwvJ5X)R!WToܴU3|=3x~ SmfstG=: BQgEb=qǙGHH5N#R+nYK8$?+4À ^asNv_TXIAY,X(/gfV""f#d^5Ν#Z U Q5up6=nJY;&Jf-e7veetHy&Veer~_g۶nuF.'bM3K(Al(bPv@S5bIBVqq+urZIk8b[O㥒wysRWBVJ~ 䃖n )j}RN}=)SUߔ_xqKE/dDrK.|U\w>?=Geڪtűp-k}ў[1E<]k/JF/ 17`Ϣa4n&C\TX3}Gi_g/ ^A"cL笿^ЙBr7\)!_;.j8']\w7-3sMRCN#n*Ē$޲]ŀ{$tu7k&2뗽&9 @OfMCh?ɀ.CCحA endstream endobj 14401 0 obj << /Annots 14403 0 R /BleedBox [0 0 612 792] /Contents [14409 0 R 14405 0 R 14406 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32534 14407 0 R >> >> /Type /Page >> endobj 14402 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14403 0 obj [14402 0 R 14404 0 R 14408 0 R] endobj 14404 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [90 437.225 146.6555 448.225] /Subtype /Link /Type /Annot >> endobj 14405 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14406 0 obj << /Length 19 >> stream q /Iabc32534 Do Q endstream endobj 14407 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32535 20830 0 R /Gabc32536 20835 0 R >> /Font << /Fabc32537 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ ӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14409 0 obj << /Filter /FlateDecode /Length 4450 >> stream xڭ<Ɏ#w~E ($HJ <a0 #sK[(eeI>zEÿ>R֙%%mko]_-ޗy:`5\߂nogijWӣAyo˗oወEdWKDWe?f_W[<WM)K(kҡ9xqG+ϋPmN5{95')rG@ 6ObYӂe\~ĘQ5B=>$@Ϯ>Ȯ]' '`z wlxmA|9S9`_ I+ 6tK[1c/r[}_ m_#x>Lw ϫww3Y$aYΞژ'xј(٪]3,1`AZߛEk\z^ۺ^/k8T~;SA:KPWѣ|{Gp=y0 3h\V/GhOHa='u]l#\M*ϖ +yNQ=_2d+gr6ʚFײ[5uA3Ot1>tʰfK|#Qcv!T&''GD ''D>jy&jߒm̫L;vs|"Wy$wO9nsnm[h|g>_AVޫ"@hyI|45ıt3}zS/Xco EP<'Щ`8)^'o4 =!b;PAh * WkX}FTWeFS!>Bꣷ/_r HߧF#]Ӏ@QovGӱ)S<4*^ۤQAQ1ֲ_ u=־aGn͸b] Bk QH;alp?}B"n_&‡"Id.tJbO|zO<+ZӷS>K,o?y;| $8r2@ _J=[ q띔KTD-.>1l68T,Ȳ{(gjEUyIO/"'O?wa ȇvb(Ծs.8[Fx)p̰ =6bT2NOyrWgFD;kG|t ~#k(fG:Dʒun6CRGb?g..ҏn;n'Zaҙ!\ۺ|VυAvhLX&:W^G?*纗ħ^qZ#mJa) ̠$rD-'PyY&<  E;Ql-gkyJ6B*דO!Y:N2ɜ1ԝ/Ӻ:+I,p" B=JMhEq Y7a=m8 P_}%u'8GCꩄJ ;kXU~Pch=i ij2 u%#h$T/ :~=pT Hv|wG)\tAB^ VY;7#FR D_P-g ?TX'֪ps1bx``,3k7j݌IFm>M*ӅVC8Թ< :|ɨңj[\W;cX I:x;7MV4o'bB64ӫnnA7Ξ4sԤvw?i)J6^&rMQV2qV1З~Hh鞖ǩY bҾ۝Aaj@ʚlI>@Ӹ cjTf; >l35X)XZ"sl$y01=ljkpv\ὠAn4>ͭ w qI׾CpG kAf8Q!31B[O(1;[חq AfHC%"^ץC'LF4݁$%-7y> E2  \Gg twJB.e>AV#(oZf [ߞ<͇ M&sr"nrk m 仆kmý.vAnd#+ph+x[|n ?k?$+ۜL䘚 !OPc& 8֘@[Ef?Tj*:c!E#W!>dgRPԶ#xq-']~5Q.`W-x)Ka2NNj| Z@y#v-]nҪ|sur7# yE~JTgl!º (>bGR &Pp@L7J\t$ʭׄ&R3MDsԐd(Aa,mOifn3}.(]qrO,\ҁRQ,@ [!n" "ίM8c&TqeX1a. v6G۠1q[>>)UoEg-`" ;C;j55eC3sPaTj@.py;2 +NݬyyW,/zuRK+\8ȧ<*DkX F05l_p.VKoR**a1T*TN_th] '<4εGKBn)[;<n%ﭦx}tXz"Qj(uZ eBtK.j2[͢b[LT*lH&MvY+5 ) ~fOۋsfLSI7Ω2d% !ƥ3ߢo>[wުC܌-"uI5O{_? ]<%yJ!_? (cm<ǚU$Eu+QxVÑk-"5϶E aprʖWyr͵^myNB:_* 0Kȯ HFs%< _د_`A NLU endstream endobj 14410 0 obj << /Annots 14412 0 R /BleedBox [0 0 612 792] /Contents [14428 0 R 14424 0 R 14425 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32553 14426 0 R >> >> /Type /Page >> endobj 14411 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14412 0 obj [14411 0 R 14413 0 R 14414 0 R 14415 0 R 14416 0 R 14417 0 R 14418 0 R 14419 0 R 14420 0 R 14421 0 R 14422 0 R 14423 0 R 14427 0 R] endobj 14413 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [104.1732 379.5346 155.4057 390.5346] /Subtype /Link /Type /Annot >> endobj 14414 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 363.3346 155.1032 374.3346] /Subtype /Link /Type /Annot >> endobj 14415 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 347.1346 154.1957 358.1346] /Subtype /Link /Type /Annot >> endobj 14416 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 330.9346 159.6022 341.9346] /Subtype /Link /Type /Annot >> endobj 14417 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20211013063105-08'00') /Rect [104.1732 314.7346 181.9322 325.7346] /Subtype /Link /Type /Annot >> endobj 14418 0 obj << /A << /D (unique_510) /S /GoTo >> /Border [0 0 0] /Contents (place_ports) /M (D:20211013063105-08'00') /Rect [104.1732 298.5346 159.5252 309.5346] /Subtype /Link /Type /Annot >> endobj 14419 0 obj << /A << /D (unique_512) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20211013063105-08'00') /Rect [104.1732 282.3346 179.3417 293.3346] /Subtype /Link /Type /Annot >> endobj 14420 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 266.1346 187.3167 277.1346] /Subtype /Link /Type /Annot >> endobj 14421 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 249.9345 152.6942 260.9345] /Subtype /Link /Type /Annot >> endobj 14422 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 233.7345 166.7302 244.7345] /Subtype /Link /Type /Annot >> endobj 14423 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 217.5345 154.8007 228.5345] /Subtype /Link /Type /Annot >> endobj 14424 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14425 0 obj << /Length 19 >> stream q /Iabc32553 Do Q endstream endobj 14426 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32554 20830 0 R /Gabc32555 20835 0 R >> /Font << /Fabc32556 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14428 0 obj << /Filter /FlateDecode /Length 2471 >> stream xZIo$Wl*@/&Cq`HFEVuI4.ȷ~o![ ruY9c┡/˽wV?|{}ښ9Ʀb Ɯ19ec`|p~J^1gAz<c߲0 :gh0樝 |QΘt+G~+2z!)؅ 26.-`@DXGUDaaSμ3 J+e;*ٔaH,|ᵝ(31L`!%De%1ׁY"\;ދtI>YGFx sɦfw X:*q]G&zr~@R*'_"., 4 P[tp^ t>yuipe%`u@gMS=L])b}h W&uȜO R%⻣Z9uȽU+~)si|Sː5ͰB |gâxYG&C~T^48 fKFCIa X)9ʁ+" &X0 8:ʙvs9̂T Uf\CWp gyw QN2VdEjE)!IlX EbE;? ;70y W  |ef"s^`7ԬKitVPfT?g 8ǁ+%#_wRu)hImF=_Ka)͉`ADJ,q({Ji!F,Ώ884QyGX?#GMIAGhV/俠k *n2Dᒃ`R8VqI8VH/HNvG(Y;D5t[jW\'᪶pEVgw>;ojo71]{BiXîRԈsy|G7ho.zI]7~{HRqc.MLd8!73/ tJqjGUA-菝͚W!gUV0/7agV49Rk/+c`75u0:w&ךܾĭ*|*5ہTV-87m3ݸNJ6\DdE$t'fGj~/w5r#I;wz19)RM{A:] ?ڢm6˿[}˔ڥk{栛c9Z0]K;D.KB]vBT~#<>l0j%̹g{Com#fkRHLK!Bծėí1ÄсHgsԐؓ';r~ιFWhj0teG'+wxx Բx ?oUcrA[gfI[7['gx?VRccMxK-@2څ"qN`F^'nl`ɫ[%W['Uf]iuzWiĝ4!qn$ڂ 3z ":y 8okYImS}(!> I89 !^~.i:ECv5<2_Պ\Be>Cm^fqY Z٨+rR]_%H>?7eNu: ^fu=fRߓ3]7=M TvU!O0 Hz)mY43{HUkT5"u[ WyݐIGi-e@<,]&S5@:44*+%#h;3+2BOx&yUH N"Z޶ D $@6==hh+ g<,fÑ1SEZ/ skZY731C$ޘDKfE7r ^е h"&hq<-~uqAMaĶh(bûԝԄ_ziV1%e)?#6+PĪ^r8hcy~Ê͘W/׮Vʌe 4QD |@ >p.x nvȘyuүvҪvp 60Ox YsƝ ;|' g|<;V;VBc- Fs8^!QAgg˺Q,x@.nם|P"ƻs߅y)BD5r9_.=(TqknlJDX{RdowR;GětP]i`X:u΁9_hF5'2821 @=VI endstream endobj 14429 0 obj << /Annots 14431 0 R /BleedBox [0 0 612 792] /Contents [14437 0 R 14433 0 R 14434 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32572 14435 0 R >> >> /Type /Page >> endobj 14430 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14431 0 obj [14430 0 R 14432 0 R 14436 0 R] endobj 14432 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 412.825 140.4735 423.825] /Subtype /Link /Type /Annot >> endobj 14433 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14434 0 obj << /Length 19 >> stream q /Iabc32572 Do Q endstream endobj 14435 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32573 20830 0 R /Gabc32574 20835 0 R >> /Font << /Fabc32575 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14437 0 obj << /Filter /FlateDecode /Length 3018 >> stream xڵZKo9W<@*0-0Sf½@|_ۉIDJ")UߴMȫӟOA/Y|U'1hgӗs){t㭞RXJ)ZrުM{j杖$K?7 ~фV 4wm.PeftvMVr,2?}5gowPc=`,&'m Ha+PLyBC .w>Fa%acȑdí7AzLܜl b ^0^0P:H"yb :<$_(DD 'fLxn$5Dr{|6vi/<3z@@usXi^0w<} ƺ@XAX VF?o)u:f@mjᰮf ʰ#yCR1œEl5ꘀ&teOW).Xe}d^9u]]JeO.:pp֐ƥĎäqBv䍋98OGe\y^)tOuZGtƱjyj0y=&LOLbǬ si͆+v(ڡ7:rFmeY\ k I lOi2{i3ɌQnYg`7N%Yq'O/'N%uk˕1&Z ]cͤN/K. :'tc]T pu- @Yn6$(k㑻3aeW!B[eyo9z#u Ea[\"+J$3r-0dd}$HI [G}SfU|URu&ܗYi7tih?1u?1װDyɖ|8}t)%%VMDS@ +qn‹ƒŋ,K* RAZ }`O< #Q9ţXm 3Tk1Qx*$×7 =<3pp`pա097hefN$-jg 2LԾDΰ:D!A HQM Ggi7R׹s:%"CxlhKlSad. l)YRB=wR^KQ94i|TڈT5m|`~iA; YKM&>aD[}ם/fdyJ@p dS5aДɢ\9G02C4/eN"niPʰ!i"1*jT,Dt謼gUyc+lJ٢ǀ,DҲӓ% S 8صdU[sNLiXgO;F`X}&u|^kt ޅ N\kBCn)W``3|j͊lL^ :g\lCd~#98&hE̲ uY8$sՖxs+ٮ^:[ 3EYxN}!"l;垆Cf IO9]4g|iX pȆk5kt}yNjy8ECvG$ A5K869dՕhߩF FVR 'Rń$S-0g.lY,<# 7P)yz^1SGd3u;2XluCVp`#XIϘ^VؔԔ3³ܓ\UdF: J.!PDِP$l 3;t1U<֌i3r]B_?"?uoܪN }^{akIggFqZ ?05 iYnO_8}o>o2y2? endstream endobj 14438 0 obj << /Annots 14440 0 R /BleedBox [0 0 612 792] /Contents [14446 0 R 14442 0 R 14443 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32591 14444 0 R >> >> /Type /Page >> endobj 14439 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14440 0 obj [14439 0 R 14441 0 R 14445 0 R] endobj 14441 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 422.025 121.8835 433.025] /Subtype /Link /Type /Annot >> endobj 14442 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14443 0 obj << /Length 19 >> stream q /Iabc32591 Do Q endstream endobj 14444 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32592 20830 0 R /Gabc32593 20835 0 R >> /Font << /Fabc32594 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7Gth۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM7 endstream endobj 14445 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1293) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14446 0 obj << /Filter /FlateDecode /Length 5247 >> stream xڵo]s{{LܨJK `l )-hwK*vyy[*,/ Hӿobk1_wZp;]0 L߫M'c[/|H.Kоzj[_KGzθWkJ4?~SvKpV\bjmJYBY ` ܸG~rܫ|!/XYcx?X7KS>/~S]6kZl|@1AX/貫:E-va{&~,^z ~ސXW v4T О~On>=!_<&;&|ex;z (P>>PG? i[zW@nm{Hp\3sil&#fw;v99C=$!~Dֱ%PBZ yavbzl-te;U0#`'Z0#P c0ԅa࿡xWEM FW`]m}jr#30S =odؙi9\1g0H,3慉IgV4hV%ٍ+}għMGbFGy>M2دkz, j(*.xnw^q)yf;™3Xf8dl,Ώ5' E MUA $G_A# (x䀂;xRQ@nj.v@ uۺyT!Ɉ.ԋ~_h课X7 #큇K߉uo HIAܲ?걤zSEA=I@tƣ P@8\qD,&rBiAS *'Du|&XEJ -@P77'MG&VBZ/|RkgЉP/n-%AҬAa|,!e :`@s{ˌE8}dAF]Kڛ>0* zOw}['f>Ye\-e,6<4u7n&q)]RZcIb9s{& $OT-Us#fP:uVثj09hűmNZh25 XIobM-9o\3X!y:\z;[5g)mr9- 9t>vn0֩ũev4 ٘٘j,`eY ,YTn z,b\󄱩8me9 UJ\i0椄]9#s[sb=͜. ݚݚz')YsR9-lNj63I |9 秘I6)癓:_1'5NaNjӭK4'Uߧь~nFRo#n>zk>zg>cܘOTh$@]0#?QqSfC㮅oӑtjE}KPy`9y֓V dNaөm̺FB;dVm_IIٌ~1N'E!e2SA{8}\kXUD3vv-}`CcJ:ݰT":Qϰ½w܎9JlXRl잁gNxh EВx ҤP96!%6ܾPAcw(:ţ2D DLeFh$ U9@Qq% qЄ+h* FQH;D˧9s)yPkJ5pGEY6$TZT!x2#U n4<% E `=8ӆ ˱#w ˠ؏#}{AMTvϼB~ ?cOh h#2lT*WC:4uX9ؘ} $(_Gv/,&׶E,dA?zVCM} FQְ-IVp9_Qxd lҏ1lt~:J24z.j[ZU -'$fĀk.: tZ\:@φUCj켽psUzHB\+ӈ՜#z'Ilpv1ăxju<$g .??fJ"ʐ=8h0OEjE}H 1:o0,VD<%I}*+}arB7B(Ҫjze ' 2~BwO}TsRjF*M(߾*KoNf%œTqTji@S(o-M{Er{W@ %N(F2'!ˁc/8>[KR**a1T.ITx!N':&x|![|Kn)[;FIAjFu/Z;#j(uZue G4K.j2[@k T*lGH.ߺALvYU ) qG1Wu~OJtHv܄IlSqe8T(]?\w10x>\IQr^gX ڽII[?\-3 BB* gtph2gBm>6a`URSe! x7>DT4̰h8rth}c*<ҡã0ܿGYE;UNO5m`(" |~)~E>OHXKeS4g=?#Εp'  f?AާW9h endstream endobj 14447 0 obj << /Annots 14449 0 R /BleedBox [0 0 612 792] /Contents [14463 0 R 14459 0 R 14460 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32610 14461 0 R >> >> /Type /Page >> endobj 14448 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14449 0 obj [14448 0 R 14450 0 R 14451 0 R 14452 0 R 14453 0 R 14454 0 R 14455 0 R 14456 0 R 14457 0 R 14458 0 R 14462 0 R] endobj 14450 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [104.1732 364.25 163.7437 375.25] /Subtype /Link /Type /Annot >> endobj 14451 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [104.1732 348.05 155.4057 359.05] /Subtype /Link /Type /Annot >> endobj 14452 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 331.85 155.1032 342.85] /Subtype /Link /Type /Annot >> endobj 14453 0 obj << /A << /D (unique_445) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20211013063105-08'00') /Rect [104.1732 315.6499 161.4227 326.6499] /Subtype /Link /Type /Annot >> endobj 14454 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 299.4499 219.1617 310.4499] /Subtype /Link /Type /Annot >> endobj 14455 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 283.2499 187.3167 294.2499] /Subtype /Link /Type /Annot >> endobj 14456 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 267.0499 152.6942 278.0499] /Subtype /Link /Type /Annot >> endobj 14457 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 250.8499 166.7302 261.8499] /Subtype /Link /Type /Annot >> endobj 14458 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 234.6499 154.8007 245.6499] /Subtype /Link /Type /Annot >> endobj 14459 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14460 0 obj << /Length 19 >> stream q /Iabc32610 Do Q endstream endobj 14461 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32611 20830 0 R /Gabc32612 20835 0 R >> /Font << /Fabc32613 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (KΡ\j|=B/} endstream endobj 14462 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1294) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14463 0 obj << /Filter /FlateDecode /Length 2780 >> stream xɎ#ίـ*@e !iqt6.UbSEjU[m>runkVǠ%CM_A[>-xmRR^?q:{8{aFWk-{c^y[1 kΘ Jg,ҀOك>1dLxvF> -2!;hʉ7e*^;Y5#Ǿ|>(&]|ω>'{q3) yB 7גQV"I8vЕe@x8?~%:Tw9lᗚ;Q ,,˃a%dSCx$H|A!'(ę3ntot΍.1TUqGp5T7T;ZAF~xו\Ll<8__ s\ Ei9{:<8UġPx]]l`m{bf%MOM]Fķ4l?(HB*G$Dn8$Wuy4o3S8@ lsFafP#;X Qj/G.ںCN?`O=pRq]͋w;IEudc+)dCf 4CQ9^bfܳ(IW42*zE\-fm&bwH: I! OLA/{y`߷ٓPE $dcxh 1a6} QNZi1'79Ώ(=7‚o֌# &riLnxAVp~8LJN8&:kJe>JCZ|l*vF?J սt^ƴV"Z9)v!F~opq7EkAP猗"Z %aHAd7DH+W^l[v䗜ktv&] S|20CEB$XRbOSc*? QRll8xZs ˑ~ZNV2#vn -EM ˊhג?F^b4C$юmʚ oW&^fBߋ+7{ee<^ϭ4+,U-UfK2nJ`G[$Wg4/+d 3ښ$@gFPbfI + ~;+2C}OzLxOv8h5mN28rT^ȶ1EfiZڌ)`g_L}n? IBpn 0"zg@,7d<LFM|'A9J'/]o.)^VbWfXg/8}KCINi$;%է >" G3q{w`3 zC^НTN~/ݸkeP8cE܋S.w^F@Oqdރ_}A'ޟ>G{w .Ui 08^!BqG͡9]0{ > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32629 14470 0 R >> >> /Type /Page >> endobj 14465 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14466 0 obj [14465 0 R 14467 0 R 14471 0 R] endobj 14467 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 422.025 121.8835 433.025] /Subtype /Link /Type /Annot >> endobj 14468 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14469 0 obj << /Length 19 >> stream q /Iabc32629 Do Q endstream endobj 14470 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32630 20830 0 R /Gabc32631 20835 0 R >> /Font << /Fabc32632 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (KΡ\j|=B/} Z endstream endobj 14471 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1295) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14472 0 obj << /Filter /FlateDecode /Length 4473 >> stream xڽgaOԶ}xrW@ѿ޵yV3gx4'/l{K}(~/kw/ˊ`͞ "vPJ[x<:2^:P'~ۺU`!@.Yq:WiP6ʫVlΠV]L!rePJ>bUgJR rksYqX@Ozg{I:ײġ=bv:;a C2 a+yoJ s/Vt]1JetK1&aqr q >Д!^']a{bgFqVb9`"9f1m&&YEŜv{hw"&>ϔm=6>)/bF=nWo4$|X:¹wZ7NfFz" q]njcxRGҢH^2M#JOe7c%*m(eh;ܭ׭/' ,2ۮ_ "⋠G% Xvt]#I3wGx Lz=r oo1^mx0JAQr">)K8$4N4=ַm#;(Gͺ@#KF-$t(P͈i=ML 87mDϓe2͢*"4z*\qA~YWFpWi]2mO@KHGi=4k 1Y#HBQ77b3&Tèz{I\fQ$xn I]@YR [.S2q ڢ;.n` 0FO %B'@ r*?tu#)}$aK3{7>BV5h]KؐVK 䓘|-{>1pb۔K(tCjiRTv<&WA@N>[Ȁvǩpڈ-." nkգHtXF9! ״Y1792ix-~me\ {z.)% f" 9{'̬{:GϻDQaU7,( qX1WxlVC봭,PU.N}HOy:=o6<﮶6.6ܒ\ \Ƹ@dEJP>D+g!akȞWBӳ0\CKqesV 1)j"o_`K!n/n;-@ ~ Z@*ںS)Oī-'@zLmYUXG*0LŻNQ'Un$<;` +c}IEl ]ܫ3a(ފ?|SU>->E T&:< -n5=RgM$p @ BFG>ŗ@\bUY1ݛ"5)k͆Il37UCP\%, %>r/Mn28\P} ~1]Rp/7m}_G9WuN'M{TTF㜊/VG0s5?#q?1EWb j< dTP4xOWݶBk0Qx駇#맇^@ڻw"ck/8sӖ~G/z>On-cYyeOF% OPvpkZ2f endstream endobj 14473 0 obj << /Annots 14475 0 R /BleedBox [0 0 612 792] /Contents [14491 0 R 14487 0 R 14488 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32648 14489 0 R >> >> /Type /Page >> endobj 14474 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063030-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14475 0 obj [14474 0 R 14476 0 R 14477 0 R 14478 0 R 14479 0 R 14480 0 R 14481 0 R 14482 0 R 14483 0 R 14484 0 R 14485 0 R 14486 0 R 14490 0 R] endobj 14476 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [104.1732 364.25 163.7437 375.25] /Subtype /Link /Type /Annot >> endobj 14477 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [104.1732 348.05 155.4057 359.05] /Subtype /Link /Type /Annot >> endobj 14478 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 331.85 155.1032 342.85] /Subtype /Link /Type /Annot >> endobj 14479 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 315.6499 154.1957 326.6499] /Subtype /Link /Type /Annot >> endobj 14480 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 299.4499 159.6022 310.4499] /Subtype /Link /Type /Annot >> endobj 14481 0 obj << /A << /D (unique_445) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20211013063105-08'00') /Rect [104.1732 283.2499 161.4227 294.2499] /Subtype /Link /Type /Annot >> endobj 14482 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 267.0499 219.1617 278.0499] /Subtype /Link /Type /Annot >> endobj 14483 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 250.8499 187.3167 261.8499] /Subtype /Link /Type /Annot >> endobj 14484 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 234.6499 152.6942 245.6499] /Subtype /Link /Type /Annot >> endobj 14485 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 218.4499 166.7302 229.4499] /Subtype /Link /Type /Annot >> endobj 14486 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 202.2498 154.8007 213.2498] /Subtype /Link /Type /Annot >> endobj 14487 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14488 0 obj << /Length 19 >> stream q /Iabc32648 Do Q endstream endobj 14489 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32649 20830 0 R /Gabc32650 20835 0 R >> /Font << /Fabc32651 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7' ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (a94C-Zݛ endstream endobj 14490 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1296) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14491 0 obj << /Filter /FlateDecode /Length 2750 >> stream xڵnίyp_F́9y )u۸T%mRY۷jU[mo:Y9c┡/ӣ5hk㗱էYJg1.AGxGx.pxƻ+Õ7wk-{cl^y[1 sΘ Ng,wك^1dLxvF^ -2";yiyʉe*^=9W:u|PMa>'x}Vĩv&t!/9Z{!F: JJ8Bxu;z1y%`"]>tRss"w%j(eXbM671zP)9:QrY>7D8P*[ags(&m4>w.1j}^R 0: yLÿa a%|i:x`- 9yP  (:&zWz']}r5q0w3ռxkRq汈E䱮w~wxGJcU! /Êx233W0TeUlg5Fa1+싈܉%O $0{D#`T.P=u[WھX~U/sfnQicf Gײbf X{\ߚU6{@V]D[v"]3-eN6~NgI/6 ΩnM 02|vłN9r>%XXEx(ů¶pbM%bF7+9vo+#Ҋ9(Fb,9"0 n3 sFoax"-Qils8CťwAE^g s:|}o_Kqv7y7-SҼm9+Q}TCxK!' XBD9(2_?ahI/OnTAu.49c?i_5 8^@Bh''f-XaH wt_J\뾓9>HI fVC5 <OpUbx`0-_WͰ;v@ D'_75&ju.[[1HΦoѣWZ2=_<5Cܳ~ޑqлvgnԣߚ tXZ8P\=g̢$;XwN! PUu'1,zj FD _ETڻAF*;I=Կ*6ZY%/?I#A4BaRP/с?| g1H?~ɹFWhj0ۅ 1GH3Dd b xv LyjPk L.+\d]@Ԑ~yqq&dH&!Caψ mt\pn e@|ssag+y*3:c i@a87m7=U< ,:81ГNqZ2YGJdR lOC0^3l&3_ԚhM_ \Bb-!鹝B;Q+W Nh?4eN Lѯg&au=#'5f1nF(SI0yR#l٭434U5U MUnik[R7%-YU4-e6gy'uX` t@,Xl!x="#ǀW`']g͈v#GZJ@ 6==h7 K6%\i8B`ۘ詔{ zfdho>OskXnΨnje"vM8fQtQN q d) & >rO]nB. Vbf X'/8KCH ^Fi)է >`"km@R 1\=X{`5:OV~Ήt*fP3mm0XȔ2h84Bt WHᒛ$< 3J~̂EЭ5B2 ԃ5 J endstream endobj 14492 0 obj << /Annots 14494 0 R /BleedBox [0 0 612 792] /Contents [14500 0 R 14496 0 R 14497 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32667 14498 0 R >> >> /Type /Page >> endobj 14493 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14494 0 obj [14493 0 R 14495 0 R 14499 0 R] endobj 14495 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 422.025 121.8835 433.025] /Subtype /Link /Type /Annot >> endobj 14496 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14497 0 obj << /Length 19 >> stream q /Iabc32667 Do Q endstream endobj 14498 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32668 20830 0 R /Gabc32669 20835 0 R >> /Font << /Fabc32670 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ C;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfJج$Vazצb/mk: s[({L̇h*dKRG"+yk|\[-<8יq|mau3ͪo#x#?d_cxy /K"rԠKh*2 'LKBV%|)Q8F$!ī륨Wm';2!xڡ1K!h'-:A/}@ endstream endobj 14499 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1297) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14500 0 obj << /Filter /FlateDecode /Length 4500 >> stream xڵIWl@hZ5o6 ]`̬MjcTE&3¥7_e;4vUUB]R?ɃQ˥Zzq'mS8P~_ŷ%w0MzUHZ6·9h_0 o Qm~9$}^LQ𢔁O8Q[jwO˓(!gi$(/! ' @ ODId5~ߥ R+Dm$ 0AqLc^9^fqIŀdiwd0=EG0~9g>ٓ̕>.\'A-wVF-, xۀu4..֧g@C0J'K_m?&<ܙx c UH5Lإř"cyD97HTj!JT?yժN TPO^ )Zg2FR P|\B}o.>; }Tlua%m m`@H;Ȑ!!C>B@74҂*]յgh@+&Dv#^Qe4{!.zPdXpk =)A0Y׸)_`iAATuH3EoYҧ0fc10KD|V㌟%"j\sB?;ra ?5+&93 $R bXQ$C +Ls)(ӆ;BAnٝ3+66|. &caFG~>fWw,YduyNU魴0sPA^ 9f BǾޡ3!!u\RMRFp`8[ꬄTqCхMVpbCRy(zyX$8YeXL1gel6ؾi{YKKd%%TĖ3.IbDyfMh1u־7Yhe7mM" F@,C-z;6p`>RNQ:vO.b} Ե Չ0fY P9CCQ1sv1Tu@ ӌf<++@7\왅B^6 3E7A,]# Rg 65g #'2=2*]+;3Kq2'gNfmNe7s2ks2ks75'1is9ɏ0'1 s1'mIJ3'kc?cNbNaNbǘӽKhq;яE$>B6A.)ItXOEz>όF3rsW&5=k{-} Gaز;?O㧌UrrYO\ s$\ߋ9X,WͦW)+M ZS+w +8߇]x5PĀ;ceL'd}7xOEG{1`VE5F|1^i\یρAXӶZ ),#oTVlRe;z#>ms= aa*'`k3#!m'эcO2<@Y ‰țtJq̩p X:9%]a8)Di̫[p'9WGHMv]LPΝƷ=axj0>;;]:M4򘅥q7fAJX1dꚫW^n}`.f~/AuԽbachK;n6cf%HO\ȸ~Rg$N2 Au'ڽѓe5M  m itWH'V>U,]Z&;s]8XAY\;FĊ7˳.IYUX'K *p=&ۜjV(^/H3]T|h(e(TT9w`Ւjm&xŐr7q1w;sYyflg]\$b e?(#$M!iE3y5F+jl.s/9JQYf&Yؘ 5CnP Soc>6 ;|9P^ r*=wRHJRrOR"gƶ>goU\E@"jJF6@SO~5'b$N0k91fpbm}^JkEBVod+vN`c"tU]U2J*^Dփf^6Sh~-OJnZ D"}5ibJ$O.7bi]TjI:tuI.֫e<(<+|mԫy\z ڽns*A<`|^{NSXcze| c68afԒhks 9,$5Ǘ)7ՒTK3e#ejz!x~e*c NEB慖 ,w]LU 4dzCmgGjZu/QmmHE%v#tM zt=nD]O~߿u!M׎)$:1Aߵ^Dj9M%M*Ƹ^s8Ds{͐Y瓥jn6xud^ ¯ {鼾[Rw̢!$trar,j+hQ@rp®>bX#/yUya=T a:%/'* DrkE8@conXE &iLwm9 K|&BezUQbcҍ]x,.:L'| 2şF*Zf| uHso#׺@] /l{?D98 }T=W>yCģI>^.ZQ`ob(eco6YΤ&X&R$|85ޚܘ"8/:`qn7A>Yo|er/|5Mz@鰞_!3hby8wzCq kK/寀P>! ,Z9sgj.n7M}3|vrx:8a=Wo4yirleRuq7QB<:O\?q>>>5_k$"]zsn@FMRPUBͱ(x(d&ngzw;YW TO14gYEeD=_t\yD%f˕ԡπ ufG3@鑍Sk .>AYCiZ endstream endobj 14501 0 obj << /Annots 14503 0 R /BleedBox [0 0 612 792] /Contents [14517 0 R 14513 0 R 14514 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32686 14515 0 R >> >> /Type /Page >> endobj 14502 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14503 0 obj [14502 0 R 14504 0 R 14505 0 R 14506 0 R 14507 0 R 14508 0 R 14509 0 R 14510 0 R 14511 0 R 14512 0 R 14516 0 R] endobj 14504 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [104.1732 212.0462 163.7437 223.0462] /Subtype /Link /Type /Annot >> endobj 14505 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [104.1732 195.8462 155.4057 206.8462] /Subtype /Link /Type /Annot >> endobj 14506 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 179.6462 155.1032 190.6462] /Subtype /Link /Type /Annot >> endobj 14507 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 163.4463 154.1957 174.4463] /Subtype /Link /Type /Annot >> endobj 14508 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 147.2463 159.6022 158.2463] /Subtype /Link /Type /Annot >> endobj 14509 0 obj << /A << /D (unique_447) /S /GoTo >> /Border [0 0 0] /Contents (remove_pin) /M (D:20211013063105-08'00') /Rect [104.1732 131.0464 160.2127 142.0464] /Subtype /Link /Type /Annot >> endobj 14510 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 114.8464 219.1617 125.8464] /Subtype /Link /Type /Annot >> endobj 14511 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 98.6465 187.3167 109.6465] /Subtype /Link /Type /Annot >> endobj 14512 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 82.4465 152.6942 93.4465] /Subtype /Link /Type /Annot >> endobj 14513 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14514 0 obj << /Length 19 >> stream q /Iabc32686 Do Q endstream endobj 14515 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32687 20830 0 R /Gabc32688 20835 0 R >> /Font << /Fabc32689 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x]A ?RoI2ɭs.DAZ/zTЂ7ݩ-V &$y'IW??߰jfk> }0+PNii4]ocWA8§C+~hUJ* ,CQގ*p% 4Ab<Y@Q 1B5dLdirS$y$C)C9T0ڢ_Ћm߽?XL endstream endobj 14516 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1298) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14517 0 obj << /Filter /FlateDecode /Length 3369 >> stream xɎܸίyiS 5@n4COؗJ՛ؐUǷoWm/Y^,N7uz?_V?}n[}Y3G1.<jLrd`YO8]ϼ& ,aђ/,w2@\Sx5x}P O /\ kBY<dšβA9:,b`[甜W ;xp1=(dM͋) 6\Gh7fc:~5(vމh`y\|L)13 Pp.k#~ۺ|""D<4v( ê|O,g "JU-CPz6\^uDE3AЕx^#ʳ {+m!l6׬&dSA8pfV2M/=b-e RB_2_̞uT"K }>4OZ\!œòM/(SQET'5WMCy=2)e.(t_ϼqʰ=3qQU 9h.T|Q?&gYݘzI8b.гpf0lSp p9"i~/99[_ mOt0X "Ӫ:GF i8 ϐ;fZ"ދa]왨Õ~'FKs2hkƠňm^*0}NkFU0Nx>cy#wJ o^ QppA+TH-tK"f:,U|ؚp28 +HKhWDrG>sIs5i=20$N[ Ep Nc,P a2lNǠvق?T;K/3[?ů|S#eJ-^CB/vi{/.rf's<Ԟ$]I-{*Y$@2jAaz%WEruʦ3[3#ׅ?vv2Pց %hZB(Xu\D\uM'-N<6xp'xx-z1zg_Ĝ̮76E_lYGS[Ur)R4XW&W؄^u7rQ_lBZjomj'ypZyEtӿؑaɱ{c ^[:Ad 6p ,`ƅ'Vϼ.lc>0g0 #Gm|$M`dCSvHL˅}֪TwE4Nw~t.=m]_ ⦘A=!olit [CI]"'LGx6Ě1Q2]bSn-p,{UuS]DP."1. |Dg:8w:ׂI^Q cP9I¹tܘl 32{* /q* !RUjfJY%ȕ(l=I:h Dpu^k1kb`㣷azH=L3S])*WĢDFV^EA,TΉń(v+(H}Q!<@"JgC-C5i1§!` `o[BXy<+:P3MvV c3)ȶEř[ve !:0ܕP۲.Y/q4*ذ&ILKo7E,?)LgVW{/&?bT ;gI S-gӐ7!/vC;vs˓QKõ+<Ud%۽]h+ոKpPdԀT I ϯ&L, '5"fI2lamqʋN3d{0W)37damC Lvl^0 Zl\x 0/'X5~u2f=3$!3g VFQet31W7cW[U]uv׻^oGw* qvZ Ϙ?:81ГNq>Y;Gv 6ا! '3n9K;c go5R ۥH8Y hCfMQrʀ4y_]$#Z1̩&_"73Tuf\߳j37=JTvIi&Ojtei5UMUni+=і, UiRZ LeNebU3MC#(c9g/lgfxmx0ɣ F T Yi1I`S^ h!FǣgMp)#ڦKr2 >t}b*;2&z!-|ܜa>Ⱥni;ma4b?j FuG9yNr/~0tek(R4ALȃ*7!WGEe@r+wb n s장&d#ȝaH 0S\"D?nDK^=Թ_0 *Ғw]=奶~x5$X.D6WTm˻4#@uYR|}3D1>:Osk,׼riH40 t[FXȔ2hJ2GBtRc r?•c"c"}I~\=~v5 ef"Њz!,;8PW+d.jpW8cQ$$>`5l{7 ZX(_R:|G6O_A~$fC!ߖi9h^I endstream endobj 14518 0 obj << /Annots 14520 0 R /BleedBox [0 0 612 792] /Contents [14527 0 R 14523 0 R 14524 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32705 14525 0 R >> >> /Type /Page >> endobj 14519 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14520 0 obj [14519 0 R 14521 0 R 14522 0 R 14526 0 R] endobj 14521 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 166.7302 709.9] /Subtype /Link /Type /Annot >> endobj 14522 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 154.8007 693.7] /Subtype /Link /Type /Annot >> endobj 14523 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14524 0 obj << /Length 19 >> stream q /Iabc32705 Do Q endstream endobj 14525 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32706 20830 0 R /Gabc32707 20835 0 R >> /Font << /Fabc32708 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Z'}/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$P endstream endobj 14526 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1299) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14527 0 obj << /Filter /FlateDecode /Length 1181 >> stream xڭWMk37W\X`;񡷀Sڼ8:_:Ni^}&J v9{nǽ0߭O@m%#{GyatT罍{6gPX``ZJHR/eL!T! I& ̗lCp%d'yѕr,!)55`.^.)(P,հ 'յ2ViT ڄDW]1WBث-.'to3},d~6 ݌؝(?[>o70^`kZOba_0wLم0yFxˀPc2rM/pwoG\N \ gAŝ(q?֣ w#K}D0}1)TR̰Z6F}j \(ڧ#fSyfor9~ YEem̏Y99Pە)!&ݪA-ZH~&5CcK<mz uUj)>f4Jg]v`a;`?afA22DX |[g`UW2f/;-F}/M76/ ^Kg3@7aכ#kjJ)Hc~;M͕3Xin: SEp4XYeN'/{ضngjcsOXMk}I@2p>v59p #<| N NC8G#Ahs^,E\<'ad'3Ғ G>5<,o4⍤լI'-Uϊ|䐆.Bă^TC8h>aS11Ӏ8'4>~ayHE֚T endstream endobj 14528 0 obj << /Annots 14530 0 R /BleedBox [0 0 612 792] /Contents [14536 0 R 14532 0 R 14533 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32724 14534 0 R >> >> /Type /Page >> endobj 14529 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14530 0 obj [14529 0 R 14531 0 R 14535 0 R] endobj 14531 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 422.025 121.8835 433.025] /Subtype /Link /Type /Annot >> endobj 14532 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14533 0 obj << /Length 19 >> stream q /Iabc32724 Do Q endstream endobj 14534 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32725 20830 0 R /Gabc32726 20835 0 R >> /Font << /Fabc32727 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nVЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM* endstream endobj 14535 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1300) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14536 0 obj << /Filter /FlateDecode /Length 5219 >> stream xڵ׻`7WzgcS:a~ߖ/w =u #WWM/o^cHjMfo. ΊW[<~R|IK(kҡ2!D{:>im\֖xM~{EB>s?8h_џ'\o^Scqq1ڬiˏ]~Ę1ǙacWu8Ա[2r(}= FZ3M~:O oJĸ€5A׎ Z0:`5 >էOܯvdtOP vׅ^CPS˥PG:4]@|^-,6 N=$a`d>pP3si`&#fw;V1m31dѴ Y[)kYQ!|0N; SV_v:*Fna[|d0P<҂&PJ30ڮh= Vq*c!#u9!ғ;e5eߛEW"t5:qxoiQJ--7F-i'3myOTs=L1{.pq)L74A>x$ꤹhʍ̰C_Zs#왝MC 132Èi^yfEU$="y_n_a;$>i9.:i.?j&ϒnһWl2;7;q88g87(vY"Vsٳ;?r0D&71ӣWYƂC[$SrJ0 _JF z񎺡2E^lfQ$#VP/}"b X,2+}'ֽAP U1a?cnXRƩꠞ ? NX:cQq [Cb" 傅ӂTTgOP핉:Mz#l21,W.,\hm†⥜Q$80* zOkw}['f>Ye\-e,6<4u7n&q)]RZcIb9s{& $OT-Us#fP:uVثj09hűmNZh25 XIobM-9o\3X!y:\z;[s5(mr9- 9t>vn0֩ũev4 ٘٘j,`eY ,YTn z,b\󄱩8me9 UJ\i0椄]9#s[sb=͜. ݚݚz''YsR9-lNj63I |9 秘I6)癓:_1'5NaNjӭ 4'Uߧь~nFRo#n>zk>zg>cܘOTh$@]0#?QqSfC㮅oӑtjE}KPy`9y֓V wdNa˩l7ec-6b-/ lB"/Hf$vawb-d>)-rMY=D؍KgZovp^Bn~L-=1μ5ͰZ#AϽ>n@(ýtבȋy"D,3"%@(jԜFy% V~x; O4*r.5yI5y}ʠzvʀѻb}Ld܃#:F񳛥ctTp.%HZiz\mY ڲꐳEDY(]K[Sq CuFim.4fp g< Ä$ Ί,6X׬ F 666#e|`>"H8Ǭ}%)qHZń*yd"xh EВx ҤP6!%5ܾPAcwQ/QA$d N@gVrf/0Bs%! O G"+ɏN(&\@ P L0Bک%_>N ̣]S;(ʢ!ՠBb7 yŻiuRp!-)A`(Rw6VX%9`k,]m5~;#?Wr{ڟCmA@<7{ZD@K@ݖaR5߯ļ݊OE H{F@d@sVB>A2`F=!`cZ,`MYhVp6_ kQaXƎ,ƉV:9^ `+SL⦞Pᵡ3rf2;9%TFKỲ|&?q! @V(ه&nc\,XʸMuma+;fowGmب;w̛0.xZ"Äct]mlQ%ct~.C)+l'nHH(1|);4+Kͧ4gB ;k &MU d4bؖ-\pA+L*C> DV7 qrfQNirq|f'@QUw=Ĵv7L>YF\x 8,!gِڍn&iq)%rfcu@yE}L=-ux*N}}W݁vi#ﵧ b:Hypг,?[ cmb¹*=cCmee$4nU,\Elv]2Xxn? ܰ./  !BI=l L1>~7@X+kA@Nkv/HR{VY1x7IdV5T\P"5L3S:-K#HLزWQ^cwm%+Wi* ?JHJN_V*|-\ي2VGUFG=(xOo iɔſHٰf^,43a-fSZ5T xn"FZen{/zGnO\w3wM;ltg];m j)C !dCO&ercLjن񑲅4{=AwƺL@ | OȠɫA>֫'@VHUѯTE#]e EU'%p옅ox0,wlÊka{Nrs_jdW/\NQ$0r+3?mOIUXy QpSOԕf#S\RGjmՏz!ʷ|7oV3Vi"F@OdMUY/|s0#-.tPPWKBFG~+ocyW,'zu?ġ]r$2lDx)Sq2>&cC*%bCLTCkgpGx8j)$얲3.al_xmtXZ3R[WVr[KR/Y.CL, Xq.6HpAv2n7ޛAQŐ,y|}U_wMGd7:lρT9Wɏr@Cx s gHՏ,珆%)1S_ٷо`WpHZ^R*}AAhɾ~Y.T,SHG 6 ^ ,g3ϸQz}_{ruϣrÇ3 Ƃ$T녇C:tx&>ZG~?kNia > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32743 14551 0 R >> >> /Type /Page >> endobj 14538 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14539 0 obj [14538 0 R 14540 0 R 14541 0 R 14542 0 R 14543 0 R 14544 0 R 14545 0 R 14546 0 R 14547 0 R 14548 0 R 14552 0 R] endobj 14540 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [104.1732 364.25 163.7437 375.25] /Subtype /Link /Type /Annot >> endobj 14541 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 348.05 155.1032 359.05] /Subtype /Link /Type /Annot >> endobj 14542 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 331.85 159.6022 342.85] /Subtype /Link /Type /Annot >> endobj 14543 0 obj << /A << /D (unique_511) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20211013063105-08'00') /Rect [104.1732 315.6499 165.6192 326.6499] /Subtype /Link /Type /Annot >> endobj 14544 0 obj << /A << /D (unique_643) /S /GoTo >> /Border [0 0 0] /Contents (set_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 299.4499 219.1617 310.4499] /Subtype /Link /Type /Annot >> endobj 14545 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 283.2499 187.3167 294.2499] /Subtype /Link /Type /Annot >> endobj 14546 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 267.0499 152.6942 278.0499] /Subtype /Link /Type /Annot >> endobj 14547 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 250.8499 166.7302 261.8499] /Subtype /Link /Type /Annot >> endobj 14548 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 234.6499 154.8007 245.6499] /Subtype /Link /Type /Annot >> endobj 14549 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14550 0 obj << /Length 19 >> stream q /Iabc32743 Do Q endstream endobj 14551 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32744 20830 0 R /Gabc32745 20835 0 R >> /Font << /Fabc32746 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14553 0 obj << /Filter /FlateDecode /Length 2746 >> stream xڽɊ_LǾ@QU5LÜ$k6H}-̮jٙ5m runsVǠ)CNOoנOVVcf-){^oc\0&ƣe W:5`:SO&p7xc1 Q?@xb] |Dg,Ҁw٣^1dLxvF^ -2";yiyʉe*^=Y9W:u|THM 2x_#^xnZy"ΤX.5'_ pw<JRPD)c3!taM̤mXC:ͱ`2gɡL3%?iAif뻾J]et0>69w)1j2hkݸ0P|<&3!ns AêkW r3tV@z,0`/Eҋ%QlL&nNh[F7Z( ى;70ԼzkR-V(V]'F4GFcM!x/Ëx23Y$+8*i2*zE\-ZD "bwYI: I OoC5š<~%T]- /C,AD"(#lئ1! Q X1&Y<տ-l5 [nb52pwL u30^Zfs3ŹGuZI19]Fd"9Ph*pI6zù-V ?)3nvScf #%d䡖0-(z\^M&ՕfNz:]:f:oN͝sTXEhLLch\0:P6'rR).@\;en"bvݴKԥ7jϨqߙG6I݊U5VYTj:~Up9ZV[L[4~[ifWwꈈy+D$K]_Y T.s{k+ˀM9 >%X>^%֗u'om+Q2kwuFkfi4${,P =68aTe_b Haޜ0 ӻEV ۂu?{ӂu~-~wOZg7B-SǯI}UCxK!?O5DtoF_<-ZIRqT!vu䌧IŒȱ{ɱn\_Qh_\K"#UקN.rG}!2N3֛N[ݠStx jJئ#GwӦ]0"< >!;<u-p\)W)M |Cx^e&՛Rgj$ (ܥQxfs3^pS/"g4c':5>RBOmSc(? Q`5L{Td̎g>-G,62#̖eC4kD6DoA <{IGbMSCau0SInx#'*7Y.OfG[#-[; -KU[KR`q-і< *zeèA|䇥Yމ]&Q5:442+6K2IPО Xgxcxȫ™piWۜh28rT^ȶ1YF4,mD۔HI0#IJ7[1S |$7綰 #n?gcb=!Ѡ`2nB= ϛk F b،QlVTYBVI@Q 1\=/`<"xNv> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32762 14560 0 R >> >> /Type /Page >> endobj 14555 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14556 0 obj [14555 0 R 14557 0 R 14561 0 R] endobj 14557 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 388.425 121.8835 399.425] /Subtype /Link /Type /Annot >> endobj 14558 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14559 0 obj << /Length 19 >> stream q /Iabc32762 Do Q endstream endobj 14560 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32763 20830 0 R /Gabc32764 20835 0 R >> /Font << /Fabc32765 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14562 0 obj << /Filter /FlateDecode /Length 4323 >> stream xڵ\n$9+xn |8Iw@eз0Ɯj0ȼm\cR 433.n67^,VגYu4SX6A;gV_/X[r%Q9ǗTc<0g5O~l?~ʹqGj0XFBvatZ >q hNGC yZ/bC"eŢ]aAkY"#U".BE}c`0-`x֌M˼_iڒ-T<'*񨡎q >VXosBD(Ƃv-R @+ UUꍨ +a /FxKs/`ǹ^|$?-V*MrQ߹]_*i !$Ś8raX$K굡ŕz[h 2"(:Zj-=۪uTeR&.ύ+ ZBg<7o|"#t0P'Gpł˝JUCdk)P S8$)$!SAiBVTjg,؄"iљ^U3-2K}l&ʌ(h1 QA@J~ Ru8ؕhYr޸ZAn%봩vR.Vyow , +;8T=Vm6phʆũ>*NulX3,,\ }l`v`]5'mUKV2bqShbir{r]+ NJ,]ɍpr.pr#'upjg.9z8DF8c'I:L.gQNqzhf8aqS'Unt'Vر+ƼLة|7"TuvJZ~%ۢTƞB뚁;{_(N?:; f'I:>2;}NwNjw줺WNNΣF[੷bc6`%RNx%%mc"؉s"tE,*L{\9dx%#-!sSJRjMBa-NX󣲔gft Ϭ1Tb*J)@44mт'^o, w2"!5qVsLUg}ʃa.yձːGO\=]nUru&a&3KӜ~8(IG|T[fڌYB^ M+yĝ\s24:1j/2<IJ.j=ANbl~|3gH-\d7 s>^'gq<۹&A”ZZ/#B"L5slu}M/".v]G"p eyk~Y-.R ;(37$;yckae&碏^̰`nQhj?^V6"M gD2MQk0v#(g͕0WS737:`\^QrV`f49e'ʒ^e~teK[ڐyټur00)B $0ق/];qx ݽQÊj*[|g݁3S 4}T]U_[ H#Zqۮe<;KIuXЌ;^К=רB`lLme2fzI2y&$0iX#lm"wD4] ;EQFɒk͉m&&Z6jh%5nC+1FyɄS*p2a^Sr`D6g%eZ+>ng+;ZsN\]Ҝ\;ػUɕv B3> c8Vtf _#M;kKW< ~i}~d/Z?D;Zɗ/V=luN'OtC\jv˲ mCAo.Loޕ Ҥ2 ti˅&XQfn啃dryϬs,7*s r=ܯ͵YL,#tWyr327P@=ĝBs{SX9Y.QS ÒҷR=s-G{ endstream endobj 14563 0 obj << /Annots 14565 0 R /BleedBox [0 0 612 792] /Contents [14575 0 R 14571 0 R 14572 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32781 14573 0 R >> >> /Type /Page >> endobj 14564 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14565 0 obj [14564 0 R 14566 0 R 14567 0 R 14568 0 R 14569 0 R 14570 0 R 14574 0 R] endobj 14566 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 386.6385 190.7432 397.6385] /Subtype /Link /Type /Annot >> endobj 14567 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20211013063105-08'00') /Rect [104.1732 370.4384 166.9282 381.4384] /Subtype /Link /Type /Annot >> endobj 14568 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 354.2384 152.6942 365.2384] /Subtype /Link /Type /Annot >> endobj 14569 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 338.0384 166.7302 349.0384] /Subtype /Link /Type /Annot >> endobj 14570 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 321.8384 154.8007 332.8384] /Subtype /Link /Type /Annot >> endobj 14571 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14572 0 obj << /Length 19 >> stream q /Iabc32781 Do Q endstream endobj 14573 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32782 20830 0 R /Gabc32783 20835 0 R >> /Font << /Fabc32784 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=X endstream endobj 14574 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1303) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14575 0 obj << /Filter /FlateDecode /Length 2591 >> stream xɎ^_b/@c^ ` &cd@6XճHF"\XWJꫲ3rujkVŠ%C}m:=]-|r'mWhzr06c`599/w&?sƆ#e[mUgRhpTw`j}4&] 9f0>P Yhk2phL9f ϰ(k1@ g~:q᳜ +~:Ou}0|N$2VĂxvg,'~{aY'/DX#zE<돢f]6XMM.0=bDb H1Wi"Q3 Ɔ  pu:K g :uMQ;ͮxmnO11 NFMN Nt9ihʶ2-f;fo4};@IƢ؋`xݚi 촳q19[ E\eZ lVu{;MA4$* e&'y ¨r k‘xlh <'1$LeTǫE&ERz#~Wh;Oؔ4Lx"EFz=n.n2U|Mlxބ5I>jX#pЪRs>YˍYB, 3mipKXz1ØQl ŋ Ur\,@qߙr t:TR%8W?)N;P;2VO-:*udV!baZ>q͆R֣[G80pWrʅG~ A "ѽnVm0XDUC6"MQ Gn"Z6w@ ]1(ni(-Aɻ!9$o6iaI#//E ]-a73Ծa_ye2bOH]]+`@6:2gd=Xs#\i犔_ AO> C?#eNi7ħ!a8 v1ndz^_D] tBP6;SwbVLURBmڴJκ] z |GJ}/ӲړBeW%ɍ4+{:=U:U;nKGY$D?ѽ,Vvg15'.@An ̊ϒ'0?Qк~"gVxR SqтpnVڂh69rVYȷ11"> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32800 14582 0 R >> >> /Type /Page >> endobj 14577 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14578 0 obj [14577 0 R 14579 0 R 14583 0 R] endobj 14579 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 320.825 124.1605 331.825] /Subtype /Link /Type /Annot >> endobj 14580 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14581 0 obj << /Length 19 >> stream q /Iabc32800 Do Q endstream endobj 14582 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32801 20830 0 R /Gabc32802 20835 0 R >> /Font << /Fabc32803 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMoA +.؞J= P"!TqHJ Ŀdziw){Y2zY8lo0<ݏ } |;Me0O]]na gx*RIE\1%9;ߠh` j*"$TT Mw1)WJIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(>U]Ѿ 5Ժ@AC5ypf Rwm-־0ZeӡM\w>@lIHB_%e23ϋ-YG'Fx|1$ɾF{xyۯs"r,̡dXx<20́S@8cK WgbNFzqHB>!K\|5t{ eBq١1 gC)T0ڢߎЫm߽뻿 \ endstream endobj 14583 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1304) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14584 0 obj << /Filter /FlateDecode /Length 4625 >> stream x]Ko$Wl|?A ؃^ i |Te4 -Kd`D|Wf %K 1K?ί/+_j3yoBXOJ ΪzמG(W^dabԻX=`^ُd´IWW@_\ HLBKdtD7krvFx`E,}~)x|K,XTZ<ג<&@>LjcН6*F?Qb%hbB,dZORT5E0 &1Y,M0c茰疞'c2QU#aSZf4T ?đkJ8?@\ 9yiϿEۀ$ؓ 'L1S _኿D/ 3|-^6Ћ ̳|(/(@p;% z5ˑ& ґU3H3Wz2<]AW4-dB u+:mG75̷~!dw4*&(0tۅ\ߤ(5Fr)VJ͕L (LzUIj 6F:GM=?'nz$ )[($,%zEع'iʨI4 F%A4v4ıL ssq)ը+ @n"Lʮ,L",%*!zV@#\{2Fʏh8(ؒ`\q=`L]ShJ ~3Nyj nwZ4ĜbY :;ŵ8Q6uuEpYP]̳rKOҀ!uVϊ[XdQ ew(R(ߩP[|B= eGuSm4^V#R =FG$ .k^DfqbͅIn5$ò5sp.iqWMIW8L~$:eCYfa 1Dsje`Uxpu<[\56Z%,T0/BSL$Da1$뜜Qni"R)+&wLO7&S8; $*Nsn$K%!Y&[*ZF.]ض'SXr^綟#Cح_5;5ЕeO mN/vp#Du]"Y @:,BvWm:y\Pd$<2mcʚ 8 G x"F*+7J3#;jAX}R}MRyRIn}H:Or錦-*Ϊ_5ɐ4Ӛ'l+>l"|ĕ^4(wu`7̀X+eJ XAi~ _<קk9td./ME $mGl6 JOBT;UXTwnϵb9{DG@g[^? &*KG.!;r4o3OV\dFl*WdN6H;yr)6$?9c+{=y`$O#-bsƆ{Lezt7VDFg:6k#LQcF5m(L6`@RNoY]F  Xk{Auqup1K3849$mЗ)͡>`X`!g@7\``:XmXHZEAv D,Y= LY'ن 5HH-tU4l< ;810w''5"T8m+Ipb7N)? '6‰X'IpbOk$8V18at 8N/>'6:}|tӿn} P_:[_ƹxN# .H{!n)ve>H{[3֞ݐbWg~'G  *sjkcDb"f@R`3=$f&32&^q$3(B~E-'&~O+s'/tƖoւUhIF}fo>W7ӫm6%ͥ/K %F/¹?K0~GԽqs? >U֔|ZS SHtHX,E"يA m}6V ׫&b$-紟IƀXBbmñ6X!~Zr>;` CAA\(R➅I `햬/E]= 9sd(YMVgԿƸ4cԈ/ ϏKN (F|{L ta@T7Z5) kMW;Ā* JΜJAgh y熴AcVif*f1jl:9g7lj(l0^Fvĥ9Kb{d.7UH'¼)u}oEE&ao| 13d+-dhiΎvcW1\7TuSP32בآ;a+& -wku[0Ps"Ys=\1d"ꗜƾ*7 'A$!M<&0CM |hn63FvCjivא#ɫƤ`Y>)CUl0+h'g4<\-%áxYc ѳxҲ*x޵ g F ΆCu kfJmdfyi]w);fȣR ڀ;Rltύ+sL| VC~2*a?RiX9Lk6 nA{0 %P P`%0 .G@Dt4;ȮM]{~{ =Wiɕn6^ήg>68.UE7asjcg&HhRE)+G#.rdWf[+ mRU *{A}64h8WNl,A/bMOįx"}u kP#vs'5O56;jݥZ"@w)Θݚ}OͽbɠEI|]~\RQ7 Gٻ"t*h^Y ~p V*N.`\dPF7L)jlc 13N`MRYaNp5%k&dxF&Ioi|)[|WHDڦ &.}yus'>0;ϥD;*-MZ`G:Mc]ƎhNZYv  | ɔkw['-MmWj+JXȟ <ש&]]ݑv|;RiE"7ؠJ3,T,;@`aӋ?bQ6ιL!C;3iQXNcF7暉Ʊcu?`p>݌}4= 1zǎ_RK4>pu ;;T4k{94@mG33!bqctiREv5zjg) ERہzju%\)йe[ VÇ)| s.pLhsLD{̨'/}km~?OWGSwv3tEQ>Hy} S TV6~GZָ??qZDzᔨ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32819 14594 0 R >> >> /Type /Page >> endobj 14586 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14587 0 obj [14586 0 R 14588 0 R 14589 0 R 14590 0 R 14591 0 R 14595 0 R] endobj 14588 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 142.6963 146.4737 153.6963] /Subtype /Link /Type /Annot >> endobj 14589 0 obj << /A << /D (unique_531) /S /GoTo >> /Border [0 0 0] /Contents (create_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 126.4963 168.4297 137.4963] /Subtype /Link /Type /Annot >> endobj 14590 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 110.2964 173.3797 121.2964] /Subtype /Link /Type /Annot >> endobj 14591 0 obj << /A << /D (unique_559) /S /GoTo >> /Border [0 0 0] /Contents (remove_files) /M (D:20211013063105-08'00') /Rect [104.1732 94.0964 164.7337 105.0964] /Subtype /Link /Type /Annot >> endobj 14592 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14593 0 obj << /Length 19 >> stream q /Iabc32819 Do Q endstream endobj 14594 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32820 20830 0 R /Gabc32821 20835 0 R >> /Font << /Fabc32822 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7+|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 14595 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1305) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14596 0 obj << /Filter /FlateDecode /Length 3744 >> stream x[Id Wl P(@n!iq\CR[jiOب[("?.R_?WH5ŝQ%I??)/]ㅔ+)tףT>JyH|R^z L!`H0?(R.XAOi'O\+xAO 2u@?gMh[7+5c*2 SV=dzxrp8 8+Az'e (ٯY0rތEʗ Tc\|>f98m^Lk[jDŽ/+JqB_ pXBQCX^)8`eA`wG01L`a|az&nfǗkΐa8pO^Z0onƱn5I9ׇ+cpHAԬٓtk !U! nzS%I .f `2^`c7VwmjR=U EՏF"IkX8o1܈J+ X$WaJf*hjW& 9)I[G];wOLjQR1IfG{/eN&f]ʝؖ唴`(ZIGqM)J=nOJ8spke]yY+_{ODuUXǁ+]ӃUR`!h- \+^XgB |[ue1noƺkjmǝF=&e6зnV}Y8X8v[ᤐTsGC^ z&VUXKkM;I(momY3WlJj q암T zð5S6+,?!W7&lnFq3gd?lFzw`c;Vs7jْ HJk۶ ( ѥsǂڑW=B`uȇ(C3c'!;y3% }xr{USvR$݃hakۓ ztQhߝp3 yeYh]F2a^@0󠳧x/P%~yPpG}' {]|%B(?}ˏ|\cΥKHk&(XvrFDٿ_q,On"l\}kX8,pѭmM̍JEt)= X߅&}*k>DEHTZHYA24]t|J&tν!f%J})ɷsE HV`WނOuh 1Iŗy9r |{Аif2B褬pre#jgֿgTZ@y؇cenZ ndz,Z@6/  K#BHNGzj 6yCdܫ 5V=<=s"П-|<6*vP ~V0{|O<<0p/؛%l(<2#4 U#͈_i>qF5aGl<)9nj=sDڥv`P9ܦiLžnNQOr )6J WoGۚa *Ãd?2kDԙvdY"'ܦD+;/l"G>D R21 ÓӰ\-YL[V\c}}!RY]V&K%L~b% ʦ(Ɍ,^ԎW,͖ʿRȠU%yg4/U.У >zM7_HU 7 ey"0[lt\~#uIѳ7emBV}ʃCu+<Wg/z馥)^fA3Yz@gB]Yr`FH,@o7l$>ç~': itBe5]s.q 3#JC`ν7/Ey8ٶxsy .~=QHe94yY2 ͔Yby7RmS~Φ²@Ubl0zT#ԘE/eaQ2MbgDW/ endstream endobj 14597 0 obj << /Annots 14599 0 R /BleedBox [0 0 612 792] /Contents [14605 0 R 14601 0 R 14602 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32838 14603 0 R >> >> /Type /Page >> endobj 14598 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14599 0 obj [14598 0 R 14600 0 R 14604 0 R] endobj 14600 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 296.425 147.728 307.425] /Subtype /Link /Type /Annot >> endobj 14601 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14602 0 obj << /Length 19 >> stream q /Iabc32838 Do Q endstream endobj 14603 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32839 20830 0 R /Gabc32840 20835 0 R >> /Font << /Fabc32841 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ` endstream endobj 14604 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1306) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14605 0 obj << /Filter /FlateDecode /Length 4754 >> stream xϮ p<\O EΧR ^,xPKѠ`A= g~ėy| "*fJ' Ώ4QdrZ{=v0)v}.4`Rѣ,u3𬪙@ f qШ*謽h0 x^h_J' ==9C$ksftO'qZ`SW<`\ ed}{k+!-~8lj4XSDo+[CgA*a60_sRrK [ GGq?y]GMqh&@ j8ꖽ:$kE;Q׸)7D7lئ YNV28X#d4CܠO[2vpo$Нw<Uuc!ח: |ti@kS/kc-gXANEPM$,=cQ?_^>ٗy\ ® <{ޔn,\VyWue/,'*Ye*5Sk`"]W2 A"2K!XNlMNVԏfʘlit> ( g/خXV*}C^Pe j,f̶drD־+mg{b[m^mm si)Í={+鵝'4KdMmOX JB,-\|1d{y~|;߄E5𲛡c %|pQxC::_iwU_`i"Iӆ(7D…FQeWW][f"wݛEE$acu85J5DoqzU@9& 1p{a{ ,l [${dS  gP uꍠyi/(Y /܀PE.i zq55ZrR%0.u`n 0.Eo\ZDM*urM摷ɚr@+ْBҳEG4TҚ?`_:޸y$Q`-Y[$I%ZiH\b˽Jс&sSqKfB„%UDJ.czIlbDyfM,RA;[ݫhuh稛}ﱫ}Z0;ZUk`>RV #w.W/ +kZ|Ҝ,+WTNN^56uE1M]tUZ-_j)CQb6j1T"7P{Jӟ OnP*ԟ qn^}j[?wf؛~l$F]Tλh6Ƥ:/,n#UU=< a:3~ 4]D.!k{ag$ޫ@|L#61q*PzLET~dt`ܤ>t:o[ATYu{5i/J Z3h/!!Kxwȋc727Z~*q5c=_VC}}-ġC uf业w_]G<=H q&Ԉt/ҒP&#qm) (ut8? %#%La:zr`.P L k'Qqqj©$%h] aCzˡ0fh"I9(M*f .OTfipAcă818ffy-og-W;y<sxU.4~5k> Y{3FZwOӞIa8W1zEɷIPiT 'V| -znϝd~ LY=^]SrvA͘vʋ:nQMguݢL3N8їFW3!-ਃMJWžfED").)aRcDӓ`AntNcUU=9¸q5xլu6'Qn/֢)a|Fu\6)mFEǚѴMlsrÔS9f,v&^51T>#P!3j,l6u!Y9uv2|J{>"<-G}UWNA}i?fҚӮ0QyJj)!kvo-)E}dPXZm#M IqЫR4FȁgH?]&LXsVGf^\tZJmJЂ%l.vC@y[ɹ6aY~Nh ]MOZ˘C  -f}W ;X@+#HmL?*V*͔nKQ: 4l<}}d z T+n/P2$d3S^G0Tc=F8M}xZRN'GB0~DNY܄jcEZXd|gp/6ikJ]s ̄^PulPV)[s*FM1hZLɎ~;cfԙ#lRL+E"fZ7c`@¹פ!2@qEN6rMi1:Mu4̰X{.`m d_4סr{sbڢ2,[hd"Fsh^+R* ˽ǜz|< xk4>,a%h _p N!74V8W7u*m:,Ja5M"3l5/9rې~OGKѝ掩;K]n9/&Q ~zYA b Z \b.|>!/ _Zwqۼ䋰@;=T a:eF}v^%FÚRY\Ə@?Cm MKղ8fhj2-Vr4&.+s9wb~/A)Se3m3?>Ȉ;ޅoU#*/,n5c؛H98 }=>yC{Ox{f-`)-X_n"Դp[9:=m* +\ȅ,%E.觩kc?vT8R eR8Tx6̽{y1:f؆2\/wK6h<S͢ﰨ,Rxm|S-"v`hA }ó_ƺٗ{k/}Dzaz[dhh|KGRQ=nC>#e#G]'P-ќ{:312O7|.ޔl3}YWiI^tH]c@veG:LϚv8땒'3C UߘP7< `ixO+*gB03Hx(>Qv,zx CAgQo;8f1p _(\;)=T:1\nrjI#>1KdZ~`"Ij5 endstream endobj 14606 0 obj << /Annots [14607 0 R 14611 0 R] /BleedBox [0 0 612 792] /Contents [14612 0 R 14608 0 R 14609 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32857 14610 0 R >> >> /Type /Page >> endobj 14607 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14608 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14609 0 obj << /Length 19 >> stream q /Iabc32857 Do Q endstream endobj 14610 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32858 20830 0 R /Gabc32859 20835 0 R >> /Font << /Fabc32860 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n.jЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶f5o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}G endstream endobj 14611 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1307) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14612 0 obj << /Filter /FlateDecode /Length 3969 >> stream xɎίy+)Fe<@n Nf;e~?o&Uvu'.M[m/ܳ:=[e_UO1^[3){_蟏Ƹ`LO:ux>''=?Xk.tn}NYhl^\1'\|;cq_.,g>'/'x Xib*B*l4OYx5pt4bLe,.&z!O)Xc+H\/3x pݽ+`(K;rhty/'3Ă0aO[Xv^ރ"e݄)6LqI6FVp/B8H`<⥃y6?؅'5{h2 IBE2-A>O.Q\JnM(:1BYHN=)jⲨ9M\m63ݼ>c3!b+uՃwlٹ&LQәQ>] EuV(';i߰cf]F-lVC!IϚfj uVGZ0Lav1a8YzDŽ6<4it߃NQ3G +bO֎/ɂ O`A`IKTB](<5L_ gLN^I3;]#M˴esJuVvvx̓HH#cOžU:z7-Rv®.\Y Q4HBrP|v\Y{{?=;ƠcAX(A1"Y/ny'ʳ(\iaF-UzcJrschT .KP`5.ToO^}C@wPVY [Q';)n,k.{φρa=* MH&XX+,/DR(Ł˔=щwJcH6ŀD~0y~i. a?״u  XR-ڠ?޳3n u2}RQF 8u:U:{qo9O 3iRLLMAtIYɲE*T{'8_]EZ8>׻m<殺%I\ںg5xk7vז"{C~9nfW0x!O JwlAXpZrE8!1IgA<OzGvodj.+Wtgwްٻ!C{'uxG˴wdhvҬw{دoF@ q?@a1?Ԝ$)<,2Αsx ϶D`h.C- h <[ܷz.ǎE ǸNrm ߳И,4pS @Й4ۨ=v1<Ǚ k[W͒h ={n(1[hJݪ/=iI77>Re L\ZُJ~g W }U@Y_~?)ܝ}-vmoNx_~N~;N~lgb| :-0*UZM{w4P9tapܐ3]Alj>1KI{hY,硣9 ]a<ǂwg|",Z,nhNi˵%.80L\ޥ#c|ЊB r1-(~Z4:/FlPźg|gxkmwwL-p81N3¤]Ƌ`˜~/ˆ𤞌dﭟsfl̩RZۑHսZ\B7ddMA9X΢;îE&e[m{%K'\ϓ~P3Ѧ/l#f݉|nXw$(u]\ޓK֦RH*UROn |ِpg^+r0b8eئ0LmD%ũomVcak׵؜vdVY}#Vv/t=)R#]I~!}tbqat߹7ll _O9/4ktG4>Y $)s(U'sbq{iq 0aT'Oi'NÄ/aL<g pFf2xK X3bf~tp.m5\m1wcWkY]uv;{( qrJoKEuXp1,yI8M)>R?* A65 !v0S覃ty;TxfgO&Zf4nEf hP9)dVz8圿reƒO%T:ʽ&093oNbЏ-PWɛw1#GhԶ{ZG[wO=|-h,9B[BҟO.Vni*K_=+o_m \#/PErn'CVlOq%~xI2 D\{X F0_dt\<,#&TpNpIV'T{bb}0v @wQ=4-|vعᅰC`?;ei mbt#DՍfuXrr^6Tu\HqM,ç?1yM#EHemeK߉)4ݮ`rhḇ{6jn''I3\%Y?LE~P6jҸy^ºR$F\9;7O+RD= uűFv ddHYЙu}xo=ZOܳTF}\xCR xcBqesBȟX,}O~OkDzD/]G`8'  PR25GХЈx.Yw_Y“X9f*E ,"X*jϴS7.[dG=O.,R_0Y=|G6O_Q,]`ٖW9h. endstream endobj 14613 0 obj << /Annots 14615 0 R /BleedBox [0 0 612 792] /Contents [14622 0 R 14618 0 R 14619 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32876 14620 0 R >> >> /Type /Page >> endobj 14614 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14615 0 obj [14614 0 R 14616 0 R 14617 0 R 14621 0 R] endobj 14616 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 172.7747 686.7] /Subtype /Link /Type /Annot >> endobj 14617 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 162.8527 670.5] /Subtype /Link /Type /Annot >> endobj 14618 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14619 0 obj << /Length 19 >> stream q /Iabc32876 Do Q endstream endobj 14620 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32877 20830 0 R /Gabc32878 20835 0 R >> /Font << /Fabc32879 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7kQthǬ_{9;u SJr\1%9wr @L$V-T0EH(.$c"KS¯"ɕL jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB3Wuœ}"juZՇj<4hڴ[쥭}-UaoKdOM\w>@lIHB_%e:3ϳ-nYGFx|1$ɾFxy /s"r,̡dXx<30́s@`K 7bNFzqHB>W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?^ endstream endobj 14621 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1308) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14622 0 obj << /Filter /FlateDecode /Length 1219 >> stream xڭWKk#9Wyz? l'9-`òdHaJUqɰY*Su:x@ۦ ޾ ~ `-^V1%etC: qǏDn+B+9%8}36< l6N_ெnq4("wioe۝rhm64ȵ(isz*ˢh؊F$N{A/)'PS# W:]! 1-jS|tdc] xjeŢΔ+pB[SKɥ1^ TӖ 8C-18zКG4CҮЊN艎ݩmY>\~Z|V~Ay2?V]?7J H:S"MI'lJr+"zW.]+ɱVXFPc=6'"5mST; EBXnAHTsO=6Í`2̃Opg=C3#yGǝzWH^ڔוtv[Ow.dQ7X)xDCc{lYƎh5gF9HoVfCY ^'5=L<{,ZXG"B9wk T [aiDڞ$MJy1g7B.As Vs?$|ĤonDz_8|/-i9S k endstream endobj 14623 0 obj << /Annots 14625 0 R /BleedBox [0 0 612 792] /Contents [14631 0 R 14627 0 R 14628 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32895 14629 0 R >> >> /Type /Page >> endobj 14624 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14625 0 obj [14624 0 R 14626 0 R 14630 0 R] endobj 14626 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 96.825 147.728 107.825] /Subtype /Link /Type /Annot >> endobj 14627 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14628 0 obj << /Length 19 >> stream q /Iabc32895 Do Q endstream endobj 14629 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32896 20830 0 R /Gabc32897 20835 0 R >> /Font << /Fabc32898 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4Gۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}eR endstream endobj 14630 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1309) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14631 0 obj << /Filter /FlateDecode /Length 5265 >> stream x]O中S 4Z M!iMt?`_%Q\eW/xMOYLQ$ErS3L%F$/qb;K}"s_pdtL>}t4Y[蔏&v8/߻޾_ء;'m9PkWN~Qf_&?"t;L<d:Uɸ~;7tIYZ}錆srR :;I8xleV P[9~1ODLoFSIe/}b؁X$XCZ;X6 ~GI(;G>PV5Q`6/PéAw Ҵ%JhA9jME(??M-$X'cFkxhR4z~T`kcP?&Q6kα\νeT$$b= :p>: rnʍQP7xBD&wSoڗBGH¥ޢib1=ujV4`PǶ&{IZH30ӂA R`3e9I6cqS6FDq.+K 0q V:?ǿ:]~ݯ8h{6+d=00)a%Ư/!伀&j{|9j r18 |<ſ"/=OK$)'-uVI|ݫ-2qD!O]]5ʙt >_x1RB-VWʛx{Lir+Tc!9I=h j c?&֙,|95nCGq`x0n?(\-OnX؛}ٯ]Vj1MCP7:*fX7#NVrMhSols|(}  =稙( h{}fh#?uC=zQAl AΑD$8ʧێ0MvG`#3@ ; gX~9T(mA.YȬO(Iq j{m vDZ}'xrsg㏻y\V'1yAŜ.%?7b6g"v",BmJrOѸS4oqC5c txu}b}x2i)K})m/h;6΅۬ܖZoAj]xL{kd܁㯋wTIvJ`\%.׬X Ӣc722 \Ӵ .3/!}i{5\,DcC8?-YW6l|Xxi֍"zg\l{|qS'dT}ν jk#% Yf +9ph7Y\Ou0 Kg%jU8Y- J 6ݺ̘!42fhU84;TXvNIj< rS Ie&yecեځG(^'.S=w9W$<8L#<\ <'{+ h`o;+wZ❻Nu&Am]_WGJ Ibdl\iCv =<GVThbtnB `Ky2D[}3*i4(A jձm%/RXRya0‘Jkn%vPixBP%DQxbZ9jjU,JF ">7 Y,Xb!_ ƊkPe`rŝ|VUE^.zu)_TkxO1`%0^yglM#,');ŕꖗ$d) i KoVK'YjY8/hT\iɎ; 1?wpg/|{ۣZdyJg /f\60kCS& iL"e ~AFӈc!Tb.U0癱 P3EɈNM|E!((#p ~ˋDr*^Y|5ĨSF(v!D+\ryr9t *+8=-dpuq[ sWީ9,Pj 5  `ѭB3X O ݀EBv15H^HŒ٬`%\qn*f VX @nH/tպW* NL]XIp҄ ug89N#Ip=N{G)p;O-'#p 8 fi[;$Vf$VfG$Z$''v{ΥbNPPe_ 2AdԬ/HǪ @15@QÜgʴشZ~P)*&@Řp@PXM(b$^ \:ǐ?)s1Emy|KOpb#gwYuj'0|0ּokQօo'\rUN'=Y|ZI^HFTh|S X HC| ΃T_*XڅwJ)Ҷb)yYrμ^̅>֒LvqUQZVym|Ș."\ma–qXZbe~]: !2WkQ[`0IYV®5a}cwL{oQ#j,vob3p;S;NTB^ag3b7,~AhaQq2Yh%Yjvd. ùYJ+pT9iS)-OvT=u޷43(Ѳ RBw 2a2~CRDnF!2`=8.3K>ww=NAMǁH%}W V,ԨI8jZ"(ŁĈIU/w;8RLP4323iCOO"1ixؐҬmNYx, եSc`/$NFN4U̗N"FbdlⷨXxv~e 0ldoSY;狑fi v[!կU |koH/d/]_UqVL, >]>Ѹ% RWf8w Soia_Q=R/lG: mmJ"uwtZRZ#AK9L(m"> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32914 14636 0 R >> >> /Type /Page >> endobj 14633 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14634 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14635 0 obj << /Length 19 >> stream q /Iabc32914 Do Q endstream endobj 14636 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32915 20830 0 R /Gabc32916 20835 0 R >> /Font << /Fabc32917 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1V endstream endobj 14637 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1310) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14638 0 obj << /Filter /FlateDecode /Length 5423 >> stream x\K#9Wyk~Ft}0SY \IQ;j,UN[ER7N>~lNomIcoqۻ۴޾֛ V2hY)rI)>|[Dm_Yd12?-mh^Nt -t0+7 _P  h;˖܈A$JyXUnM/"2Jr 6$ ,[ ߉#s Z鼈uH#-KmҊҵ; L]TasQz4Z7]NRUK%ޣٸ,t2ƜeR3LK歝ދ`dZ)!c3˧&<5IZO_IWHh/ٶZ- \jQK_o^uI&30L(mm.Hԟ{΋V92#B/X|}!NlR1F47pҐlA{_tC@s Hi(oh8i/n2 Dbu0hрO@> %L lUFlpvJR#gPqLT Ș"Y+S4oւ(6#3fAVe#T AhJ\$I*Q5s!V^jc3`Y pFXNƂaQ^U 44G\Y31toAsĜ6:&Ͻ[B{;P3@YCI]S˷6LJ ҔdpM`7]5b@?D`xM 2W}Hq0$BeaU bvڕ՗1}!|GV,茋'0ES["rfiP<"%Dq@ܕ1I6sPrXYmUQ܃#QUf(M3k8dT- η 3qxgnEavo<7sdztxoЊÆ ՍPS]Vu~k L*]=H뎣Qoؕ%غm!}۰Tߖs~bxAcѲlfehP@8y 1b8'EX7JB!+h- 4wpFD7ѸqC&dSR㒏X 4DjCZ{ 3T80ڶE,y@}6f.h cXJl P=v#~,2"" +yy쭜xwS g*::>VXԽvLNע-JTfM73"yP9A+wP1WЌ~MkTKzԚ 4CS ssB$V Įfģ͵q)u5Ut١i&hh5)&n+P}ɏ6VPWD*m.GUՙhٲH:y,/ R!/x+o9!x\[ذWVs 3>yGX@2"j6,שNwۘQl=cd]tn'Y;)۰R~#CLڐIIJÎF-P* -#14FWS{WjX|Lh>苨l.%>PۊQL؛ıޘB4Rэ=T:+Kb,,zdc"FJO FT^,Bx$9nم6Lk ?"jpp11E]0F |^ JQA:ܶ[hwvWwJR!͌F8NcߩպuEXx~=N'G! s&0)uQIC~.y06ؔz`I>mاhq*[fL0{j_Zahw$/PrBX'pKl:.kngXtbH̥b~3xஃr_cEomg7q!_SEm-oDҫHg2cM7Y. mb}8 iD=9p(y!؈8C2#O+w c<[W4uKny}'mNX`a1߾7q)`(M7w%)% &{4BĚ 7)0Ű]{F2N88$H9"0!T9 ](hjy|-ā-<6mF9C1弃CUc<{E˺N;eyY<8/ؑ6N*ӦUN*?*VI;sgxa>z{8sXsRפސԬ;Xg7;8wx7$vݮ9H@9@G"pʺ_cmf̗vOtb%ˤlxzLg㙑hﶢџG0XvbX R%йq7vy9GYV9Y̭[{Xq{xI%Տy>ym[OBI;Zc(7˖u )[/v!LjʟݣO> ?gx`G-k4nΊwrݍO|٠8ɇzs=?@enrTͤ)7>:0j8;JuTgvwH{p -%G; 0}}.pk##P `mz 6> „ +S=;(bhyXxJ!1_vzTi @w./Hu=fA }Sxs1r`b|Qricz?-}lD*Km}.si|h)&fƺ9Ŏ xڅ|qII 5Bq&=1Rpt/y5PU6LY~dDywx5"1%"aELTL= 2 z*WEi˳eV3 iqJZi/'~u/&sps|{ļX~rxw^U&-1MNZB#o\Ȓš -r+ϗk-}l= "TM(Qc'%p;Lwwt-⬏_fWDnA >AMLWe::{BkhoOG~_ѽo g M7UqÇ^zSEXF̘W1y!>>2Hkex 3 r * sK>r|P&X> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32933 14643 0 R >> >> /Type /Page >> endobj 14640 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14641 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14642 0 obj << /Length 19 >> stream q /Iabc32933 Do Q endstream endobj 14643 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32934 20830 0 R /Gabc32935 20835 0 R >> /Font << /Fabc32936 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ lжYzr"eYHUC.$G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r6 Ej92;0/5"]zϐ&FG'uc*.BlH,>Q]d}j]֠gQJ<͊fhڴ[쥭}-UaoKdOiM\w>@d*u$R&o%ee8>϶f5ykEǐL|0h}'2g("_@9Ê:!l2g)-\0cDA ߞ|ն9)evP(x Ph|=B/}7 endstream endobj 14644 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1311) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14645 0 obj << /Filter /FlateDecode /Length 4452 >> stream x\I#rWـ@($U||j=10nA&RU7< 3d0&~oڜݢKmwYw)ͦ۾~G)=|nR^x}/AWg)ʾw\iRo0/V#,Szҿ&7iE_ л SKy2҃_@=r;CH ~m-|(nWnToF_S+.lKa^d)~7V׍Vƹ[_ ~">XB 1 B&LY +_ͪ,$qr@ZUHoD%H?Vk 8 OpxGL<[>>0wS-/\_9%;̼ʴBDe|pC L*q}ZH,;b؁ Ҹ-;6,jծLY4sWvCK RrbD`(zwJsMR uɢ=3:_1KQ$ly] !|@)>iݬbh'2Q2y˪ff`{Sٝ:l9oȢKiFP24sP`7 C-D'p >MLM1z{fQ1T`A3ټܣS3ҲC͊/#Znۂ[. 43F;E Q_if.s3/Vs1-`6EJݚ9Y^ @aI޾et)+$<33h4)Y\zH_i i O8`P`N!f& z7r`qXlGcg Ѷynv:ݸZҵʴ \[ 2/~)E OUe?T8 valEh=7[uhaWQډE5}At6d?@7[nMm$0AB +B Et2M]g6O'%x+\,n}ڴ;(,]%ĺ,ht. ؾo\lຼ&'@%K? Ub 3h~6 ɯ1{1EqҀ~d6(n q;S^HpTP>LQTiu=V]aX+d>3^qڌd֥A~Ιi0w6E,VjH $X+[*zS՘I "ie( 3U P JzfsQhٔ>4lN``}~=%7&4d6=4Kiv\̙Wn5 ݪrZ=\Т?20r2Afwc9Dd*=iFڧ!3nw%;M`wmԞ  | VN[6o٠_P)XL!Kڋ$˩8̚&{TC@L4 'fvǃ% 0](U"nJAi&6yqzKRDHbc]ku9Q ,aYЙ=7=f!g!*Թ+%yq14  }NAR&RvQY ̦ +8n|9Gm s~`Q=j }b˵@F{ķ j2wmu,*n/IM^5Ĩ~" 8&$!Cg<ܓFɠNjQh5hڀ NNzMѬo<7^IM;`5rrDԡ$rp{ "XA0[FI =#~ ||7t!IIIO5¢krl:PZft<0[0bĨ=fZhZ4{JN,G9E ~G~ԘX@MN)#kEܷkHDUH'-)}[Gbu:+AT-)%/GF`%y}7vZ/BVc؉xRWpf0Fl Gג 15)Q!́-qeIٺۣ1'a6l=X13*'Z.@-hw< L|?dG+C4;jzBH-aȋS)d2~,AX;Wu2m.GnRxMևDr\J~>yz8hvvU=!o~R\VE$|jޖD㎯HýcҵzUNoxğ?Z<7쇋 Q\2vmC뭬U̱T/@Z)r=D}:..mii54tV⎝Z6څi:/:bߴ}kQj1K{||oѨo=rzjG@{][z,xw/G`coZ M7O j~G4 7_+#_@Kӵ_io&$#lM`rL^Wֱ :*!Zo'^oߓNR]y?1]c ~l~[ AS vcA%tK8R=M9| ^o_ >vDp=ȑJzLAIxh'N '.6LrӐ(ԂPmOcDHu5aϚ=+|}I+ѷD`"_;*ikǩ^SIW\C:, <kO ~NŌ-  0=k)sTnżg|Sbw3BF&(UپZPp֏ ԌlU.8H+ Gi=)IP7J˄zK $+Ǐ{kuZMb.Y[&he) %s{xnhVGD_j> Ӱm]a[PG׍E7n~r̥d$?y*DGj@:Ps9 ^*,^$B18^q~8 +.R<ÀMͣ0ʃo_@Wj~gi,i]1hҏ^ 2g 7ꙁSR,+Tw(~ylx |+|B"΋!]R>{$fR zQA }.u]{mm~R v8>[OeT#&oc+_f{qXu?#O:* K Vf:3_A葍Sc;}%otxdA g endstream endobj 14646 0 obj << /Annots 14648 0 R /BleedBox [0 0 612 792] /Contents [14655 0 R 14651 0 R 14652 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32952 14653 0 R >> >> /Type /Page >> endobj 14647 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14648 0 obj [14647 0 R 14649 0 R 14650 0 R 14654 0 R] endobj 14649 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 411.5038 192.8002 422.5038] /Subtype /Link /Type /Annot >> endobj 14650 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20211013063105-08'00') /Rect [104.1732 395.3038 177.9282 406.3038] /Subtype /Link /Type /Annot >> endobj 14651 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14652 0 obj << /Length 19 >> stream q /Iabc32952 Do Q endstream endobj 14653 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32953 20830 0 R /Gabc32954 20835 0 R >> /Font << /Fabc32955 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>Z endstream endobj 14654 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1312) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14655 0 obj << /Filter /FlateDecode /Length 2470 >> stream xZI$WӋeoFxIx1OWWbrf<7Wc{O^Dʤg\$,csǹI${^D$rhD2eAe֦ 1,UZ -D``r M|bx:]T(S@vA1\O{p (/[9 d+67Gӡ+d]~. qJ5t1vZ98B2A&)-b~O%uEbY>u"a nks@A7͐Ċyp).w6(2 g\Bjt@-b.Sr7%&+!*+scm9)jOkC#֗bɕ:ED&?wZŮ.eMwo2 jHmn >vDly&H5y)o1TwuJyϛ!ј"az n䫠r)7ѓu9Xqa8jY51w>uE_$yM\ON+8 .(̓_9~˭*sFiMK+e} >se~9]JA9qg1d:υ\Aэ,-XL\P{Vu.{0# 8PN%,y:f;E%MV}Hxc,|Jw`{] z7U$$a?x53OuhsЪ` <#2)&+bl#ybm ]NՀȷx9drAA)+ԑ偾<7Wn2[ 0`gҊH)%6OZeKJn%|$^_WAvYJ ۴G4Cz>*ryc'L4sg鞘?Hi$NІ5$LaX2ŔƴYvFM􋤵[j] | !3R޸,x-lB V X#H>;oʜff r #3]W-M T6U!O0 Hz)mY43[HUKRՈԵndb놤uH¿>#L h)_vmNe2U3MC#adcyAmu 흈}f';TH E5mA1X8rV]ۘxtn6mJ$iL3Gƶ1ýnׇhFV`!x8euy=}ew"ƌ&BG]2Qt+'PN8|^ ]0&bBaG:M%ͣkl'Hi%ވmPo; +9HrX:RƔU_t\#PEpIF^ endstream endobj 14656 0 obj << /Annots [14657 0 R 14661 0 R] /BleedBox [0 0 612 792] /Contents [14662 0 R 14658 0 R 14659 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32971 14660 0 R >> >> /Type /Page >> endobj 14657 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14658 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14659 0 obj << /Length 19 >> stream q /Iabc32971 Do Q endstream endobj 14660 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32972 20830 0 R /Gabc32973 20835 0 R >> /Font << /Fabc32974 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoD endstream endobj 14661 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1313) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14662 0 obj << /Filter /FlateDecode /Length 4193 >> stream x[Ko6W|Snw&;99,K֋/In{rL)XXTQ˛.}VǠg]:}[S){M E_\=G%gYlγ__7;8 1 ?i3eKf2 Ggd?*k;J,@Ie&Q/pјw>'c }騂3rv{ xc܎A)$7{ /3t}Lob @qe/SJ΃šшZ4'$1ִhBn?~>ik5mKRʝY 1!%f`m?>~ܾE?f΍~%`dHN`d-jwN?\BE>E I0Omwж.M'ҡĉ1Ҵ-?ě BZv~ GA`s )6LcJ"vAP.q#Ѐ>4T!Rȵ^*$R v R<FȤX!&$!1k#9 QX,Ү,D>p^"@gJ]пMB"n)+%LxzB y@Rf'Κ)P(zy8v6=`qjwz#liw 4o(v_]u.4UrZ}tM8'l$O04C=sn^&da=dХ2W9Ns£ylīBhfL\3 AV)cHڟejjú+b+{bQuYN̂bd7,Kcy(DƔmU}Nr+w{c>>OSɔ#:2DU#Ln wؘ-lt~h1+}97e=o0U̕HlbG.glgKqx{L;9?#&lƗ `u:4ӥW@=E-k7x3XZcq 2Vrp<_ޤYs'a-YZDF Y`>goV\tFlȜ"8e )CRRIs?e`d&['r\4+6I,}Fg}lAYǀLD*m߬(N5`6@Rƍ:w;Y]Gu6cBƄNN8gysC9ofQ:U5 @,  ,,\ }`v`Q]:5Q&mWO VꦪlJw 7mu%l< ;8)Qw''T8]7p[ɭn,>'ՠ0[OǬc6`9G`3*%_ xCjz%Ib{~Էマƭxy.]vU輮z/Όk9`gC~ݿJ.?И4̀.'y(oiaWh9RK:xPB/?VrU ѥ2+)8{)kr/w-]ZB>\JX ca2V-SxJK}UXh >h`$njVvyRpfMq%VfhRJt_ܒWVT^"hȪObC VB($*h*jW7.Pw˹roh^K)-At誵JP]=1ǚT拍L,V8:p;wEp6_*_U?NV'#s Ab1nZm+*6QALv| EER*Պ,V0F^FyuE/['I*xšH1]![|: N"QŇ&5Ӝ]̼油`xd-A"6᫕pct䶲msC{"?;՝9"9x)֗){ oA)CF:A `7%=|Mܔ^v6<> #0*iq;!] ́Cx!6Ju`Ќ˼F;aQ}h+;[9;%@fJGt-d,o1(oi [wyZuoLSt]\fsn @y<%_>I+T`Ni0R,]\9ls*yΕd-EͪuضZDݶUbPzX"'_9NЪt[)KlD.ZVV2U %~ot/2jFn&ʩ, b(8 QU7܇`;ZoCu:3Y&߲0oΗld2mneo^O.\@0n͠zAz?ܭ1nbs^ ^ ޺7{ޙ0$e}mus {yԫNj/ h{ޛW-e08g2K!Ξ PV9'X,肓5m^؊ *nW'yoT]ڡ ezI!tz_]Z׉<.os9̫5]]u2=i89子Ѩ eBaMO\iVC|GZҤ&n G YO-RxV7 R [ bFG>@ঐ|;w*86C\ #.Lzm,c, M ܕGf}O8Ʊg v. V,| Su&Rh.3m@mbX&[ӭl>9>+O^ ]#*Lۉ*3y 4zB2a#N-w*֫XPE͹;Ţ%/vDaHCl!H,*{ -Evnex 렭R{[#DյgYr!N<޷|nMUkEWd^bƹZ&‘j62کoW۩Aэ1Zo62 cfL/&1q]ށXL}7gizT<]֥~'0wn7,l3tҮmE}Ir3`Xt=}ż%fܣy2֜.O{&O\/p<\>xuFs_߮}Ȩ`EK aIx>p9l/D5]";9_56^l*PϫDu}876{l# :~G1,_{ WD, endstream endobj 14663 0 obj << /Annots 14665 0 R /BleedBox [0 0 612 792] /Contents [14672 0 R 14668 0 R 14669 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32990 14670 0 R >> >> /Type /Page >> endobj 14664 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14665 0 obj [14664 0 R 14666 0 R 14667 0 R 14671 0 R] endobj 14666 0 obj << /A << /D (unique_656) /S /GoTo >> /Border [0 0 0] /Contents (add_bp) /M (D:20211013063105-08'00') /Rect [104.1732 464.1346 139.3347 475.1346] /Subtype /Link /Type /Annot >> endobj 14667 0 obj << /A << /D (unique_682) /S /GoTo >> /Border [0 0 0] /Contents (remove_bps) /M (D:20211013063105-08'00') /Rect [104.1732 447.9346 162.3577 458.9346] /Subtype /Link /Type /Annot >> endobj 14668 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14669 0 obj << /Length 19 >> stream q /Iabc32990 Do Q endstream endobj 14670 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32991 20830 0 R /Gabc32992 20835 0 R >> /Font << /Fabc32993 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14672 0 obj << /Filter /FlateDecode /Length 2046 >> stream xڵYIk$ׯ*Ћ1Oc3e]KVeZo$a3"X1?3fzͷgǜITGC6̟q<}߶śg/ֺv0.%?⥜%bQ ;nIIca [/іYǔ>Vk`Z0wN4wvq)%^9AS7/hnװ8k\j>*Kw:mF?f =KHÝDM8ţiX%!8n3#?Ar"'KnBa/+.Iϝ>LWlѦ9w#xe=ښE6{!<;HX?=`ȧ ʷ]AJ֖r (:^28ZI52NOqpwnwكʠ{w;] -`Q=?q:0sm=ن I7o杮ϛ7y^y(9r$LaD*g8eߋH+*3'fp0&AtzLi-G7Í xށSۈ9RWoTNjwGhfgKMVJTs+s2W>}hdOb [BdCcI].\n=MsXR,6Sͩ ϐ%(>JMO~IU > @]kw(5.^h~uɄ2fY1Ԋ*ef:I<̀,ק>> XC+ 2C"/,W^#f30[CB3^Nos+cAĴ.ޭCr|Cg[GhA`R+0WS_]W.g]Dpf zb @2wfbMͧךwk>2)?*o>z]EG&/(@ݹkxgL#ӞHiwH:H,BJVCn?mQl8,yz-k[,ky">e23f"H.e4k-3ޱCɑ[ 9FbFSǦ-qUk!`['N}iH醺g ]S#0z)o4#:jHF+nYKc$;7a/@|9I}aWu`]`7V7pYB0ߙYU8ہ+ BՀZ`j t?d$i3XǭQ ?jL6fnѥ]6]?XW,xr֖^c~MϘT"Xg]k}Iǀ8:0tͅb9G{Ξ='\ljx#~E߽AV9oW~$*tfl4$uX;O:?Cdyg N:\\7@-3sMRC0vXevdIb=Il7=id.BO#&2w&93@OnOqO_G ,=/i L}W endstream endobj 14673 0 obj << /Annots [14674 0 R 14678 0 R] /BleedBox [0 0 612 792] /Contents [14679 0 R 14675 0 R 14676 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33009 14677 0 R >> >> /Type /Page >> endobj 14674 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14675 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14676 0 obj << /Length 19 >> stream q /Iabc33009 Do Q endstream endobj 14677 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33010 20830 0 R /Gabc33011 20835 0 R >> /Font << /Fabc33012 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 14678 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1315) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14679 0 obj << /Filter /FlateDecode /Length 5116 >> stream x]K丑Wl e%*m|XŢjL*Eff0nפAF|`H)WA@T+p$1RYYO_##-㑈Gӎ?ov L2߿BdNI.g|5"g7'Iy9NRO+PN3aR|alj;28'>h|c@EBw_fK6I1ϵ!^m'b/Q"50:d]- \Wq۠iUQs; =L O۟88Ѐ1sf`#N A>1$˯/THz>Mq@~(W_*m}. F m͟lZDIɸ~"X-fĝx~s"B~c+:džinD52 A*!K]ץ!=\-`}?v:|j:}E ki&dBie8H!&3c5 PuQѯGMYQ2[uV+Z` # dW֚PSaˈ0 VSA[ .]t5W;`4Qs0me6ZRLbgK 198av |U #F.d۩ً6.Uw[8hPf4? biAXaZ>^YY{dXMty pjDaNhvE2A=xaBc.k48qT/}V_XS <Ő. Bvo}o vpw jX]9'S7:f! A |bыp\OV=nê#u Tavq7p8pH05,`ڊB9 39dflqRu*ЫRllIllll㿬xk(2K YJy3u+W}ĐDkdz`ecBuykj!t40&} lDKZe CQZ2go 4DM(*lt'Bv6x|(bCLJ-BUOo>=)6 KIGS~ɟ;#D\ej档rb%k6^N:*%Ƥ\2, /'yuk2nuiz61H4pq ZEhy" )Pn10yDbכ䎩0,玄W) udfOٻ |AW8@mEP5{N(NFR&p[ܿ7roe3e91JZ9C96~O8]ƶ1ID !1ZsCTd#Ê瞸H>~ WGL}7M1wW(ɴ$#ٌa*iY]mM=m=dzhz6սOet9emZ{i Qmak}>:X13lz;FH+-P3FVdQX/ܓGnyў[Є՟znm+ +^2>qM!;[dMJ&'o|~Ӻ:/wh|/H,цN#P&o|Sw JxSɌyb2V&wtJN٬62 ,iH/ْFF+\?VZR74#0n[~u=//Qu`@o*МhNڹM_ gyeuz^c٩Qndu6:IK;,-K79ꑰ̡8j+K6`"3Ű&rS4Broz܌j/+bgTMy!2^2_=eOn4l?wפǙ糬dCs-&șVrbzʫZoK;5F3`z.Da:ftRzwUK]hvQ(ϩ~bDɦLЫxZ!z1Kq;9EhQ熖3\-L/6͵i#y~(wĠ2bPKfu_,i9C3AP}67x.uLN.K25oA٤pNof)2hh kXFQMVs}b`i 0'18**Ƴ3j·@UCc<`-w6_,WrYT}%Ƽq6(f h5WWO| YPhH=lا}'TCkX>r7>G27mb"6Ad ?r"eaUA=>BYb;8xcF,/TX%Rz\f;H>myDr,QQ>t1EVH?((z- "-@Ej@;$ PZ$P[E t@Lx R$[Hi URE,I )8% HAr=< IA*##N yekHEZRZMsO-5)tnE$!E'1C>?-DuJ Z|/lc}n}#n}#nt}n}n}"#q_XܷXܷXܷ^ bH#c1Ua1Ua1m1Z_ݺjܼjܴj\j\葟x'T #CP d(z^)n>rr$͠MR FPm%)MI&(AEofZP6Үλ$):@E= HTà"j ITIP=O5 *婶@%o/ Td,HS\]* *r}7T2nJSAE AEn"]>D#Q1y x$*DE D#QHT 6x+4 "[Qi;@cEՄmEՀuEu)rU)2㍏G⑤HRlrM".&D;,T@`Ӟ/`"b`RV `-5 W.7dzyiItL"q>"jx? ͓nI@4OEp}n_z]06?DA4ϫ iޠZA1a5#?"]C!VNuɏL0w܃e]8[qnؼПtԴjU,9wjv(Yk ޶DLe&1ٙCqb\E ({Cp5hIwjҠ5'clSZW%R1[V oowrL%>o1>6>r9cZrFPL ͅ{յ+1"Xρ/X2z{tǹCulg$%wI,&]O-Ng9C(|~ҟuRN8+)w [K.(\nFɷl H3LK`1l mOq_n_\Cܾ#%Hװ԰:yh&Y)I) >zf$T$twE1YvWw QosSdC;eCI%ێcϧb+YgLc3yJ~-@\-c}*#˼:lO%c]&nتeLl~YoES Q,䕟M IN^m?7 N,Xg5C@0ߠx9e7X~>ۏ?;;OpuӇ+~O b߲"*%`7C90~6x`jgZ}6yڇd{"#>>OcZ_1rb|[T0g9* endstream endobj 14680 0 obj << /Annots 14682 0 R /BleedBox [0 0 612 792] /Contents [14689 0 R 14685 0 R 14686 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33028 14687 0 R >> >> /Type /Page >> endobj 14681 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063031-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14682 0 obj [14681 0 R 14683 0 R 14684 0 R 14688 0 R] endobj 14683 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 675.15 122.692 686.15] /Subtype /Link /Type /Annot >> endobj 14684 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 675.15 160.653 686.15] /Subtype /Link /Type /Annot >> endobj 14685 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14686 0 obj << /Length 19 >> stream q /Iabc33028 Do Q endstream endobj 14687 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33029 20830 0 R /Gabc33030 20835 0 R >> /Font << /Fabc33031 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛE endstream endobj 14688 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1316) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14689 0 obj << /Filter /FlateDecode /Length 4845 >> stream xn)s9FU$V+G?na>n΅?\4T ^zmrdΫ @>Ûç(Om|bi6$C,v951z't&) r(F%$&I`te+o@d]A:Cⲡ_$@uj˄KA2 C KoA/ *Qz+cqQ8u شdӚ'ICCS%Rc!{cij"= (#I[YӴ3i=cc̦ok< 5_{' wڢ.w)αmBӡXsCO2o ^J#f5N5ĩD!q)TZڗ2lC^BUP=P}Ifo[+ 식bF\8W6II@C^F50]LD-%#u.PF>_ ՞PYgF y:.~eaт5 Y.Ι| <]M7nYy4D NmeUGГf/d`扐lnGR #nZk=MDžzvL=صP6[Q\"c}BqױĎ-$baݡs.9J4lldth48qSh9&rTBT%0m2f`2XQ<`B:Wy/&=ר]1n"m7/LRGTZ0P(*hPp%CJ3&%J-Őʞ6{Oekϰ><di@ ϓYZ[i %`-qhXTC C$EYU.rQoy09֓0@_\5j10>.sQT콷{iu#28.=ϱP5V*~l(vuF{zJt܋MҢ>_w [ywݞ=LOs_^} &]7ނ9;yBs]Q 2$?BmI˛.!# Y=2)YzWSzXe``&9n=u0R$Ƅ2ľ^ָ, Aw3Qq}*v#knE 2"c+..KmºqBQN!x&ּj-HvAfp# Xb{ohQa}/$e@Lz^]AuJІsƉck~-Xf?x͠I1D*b'?P祑9gb,3HT%7Qm˦ N )aW~LC4M f23l~kEkP`'"!'[pHo)S f_sJk .I/M -ԫѯ@ n>m:}&nddY됽FVzqMlxF,Hws!DLhR3FBOn"jLA|B&@[42Z[n[dh/N>a8! NS9tԈYzx:ֻR'"I+C^o C`3 (B%lp$r"#* `B(p0m6  X$zKlyxlm#y,{Gz*o}C,@ܰDncX AK&X n RGΠ ;9:tA9}]jMI# +@Xi[IQʵAhXWZQ.e3m}"'2ہ^I~"I^d  wļ $6 :6G2M@ tbА֦k5ZX<-&pq% XWchX leN^ةZfc@;=ǥ쵙J 34KKLϯѿ?_uXVٚܡ;|Q7<A׳KJ 9-\1; txgacCpK w9}b.rwj)؇Vl:eS NSӱ1A >ا)}HK*/w4PpY0V 5zV(}1K2u-(W! g[5=U5}mR}Uxu*>23_vܪjT3`mIJ7 vFFۧڋ[]ZJyYo)619fFJlLXTjhа^ {VBag)sN4r\`_/)|,v۸N%9 UXj m2X 1&p\B SkVcm NCr?I($rA~߂#f7;A+bb!nEc*羟VWT%VVD;Ub_[P*l`upӐhcqX%w<:|L92v v{ WAq%_|W|B/fhsq%kAHxX +7FMJ֛AZa1mi6x;&y+Sp޷( $/d`WƲ`mn QR%5nGbE~ep7^{Q1L̴7%k8e SF,MKARZc멺=Է 7A l!vRX!ֆ ZסSOl 9M3"pBteF/&>d~UP)0tpҟnp1j_eG {ԻƲxt{:-qCv}[*o@gocY+!o(.4pܜj7,wбUH˷Se)ɠHX C(SƉ³BĹḸ ;t;B5Ӱ+xfj+X7敮i=|rᖍLnn3Re"L]iSGwTMcdZlS Bز7E?祘&hFHHxo|K-"v`h^@,7j-\?R>~Xc.܋Ϟ% 'qv+; ('`],Crq߇X.*(/(+_2s%3s_ /D~Vtq_q+A8֯Tp%6k u#Y a7Э1O 3|y+!9 z}O{Si O,j ̟=ij|8ETΖݖ Ox(f"V&hg1~щ Ċ dfGYMaFCͫ;nD|FKL:+ 9SHxgvegz$#OX^+"9AK endstream endobj 14690 0 obj << /Annots [14691 0 R 14695 0 R] /BleedBox [0 0 612 792] /Contents [14696 0 R 14692 0 R 14693 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33047 14694 0 R >> >> /Type /Page >> endobj 14691 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14692 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14693 0 obj << /Length 19 >> stream q /Iabc33047 Do Q endstream endobj 14694 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33048 20830 0 R /Gabc33049 20835 0 R >> /Font << /Fabc33050 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n ӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7} endstream endobj 14695 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1317) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14696 0 obj << /Filter /FlateDecode /Length 4262 >> stream x\IcWs@hjr30@FNATq---u7Ho+~U\/RŚ%H<%.ߖnzrkT;. |rҼ>-'/Y7!|ϋB-[Ĥ7{%7p*D<-a$/sxo%ϧ>1. G|2?bgGz^&i;>9{Z|+0FjQ g+Rg,0^ <}&04*\2IŽ1,'%. 2Q I )x3ly1ë4Y%]ɀ:<%!j뒽}ZT-X#􀊎i&rb" o*P6Y{/Gyfչe*>~PU$)Yأv\;if?)|<Ur"O1IܥD~6IU|=63 gbnlܼoMۻp|t$5WYDBtm439=>_)E`o]ݪ+؍,Io Hıv!mpf̻L (_&EyFGvR{!e7 `j7xT_aZpUctEy-NW^Z2G /`=~ci7l$17#IMM&E7gx./ `sT T.FEqXEYb=@T]wI UHFuf/C. '_}feT.,L 4od)j]{PغLb*zǃя ɩԺnus#W֩hP~ VB  >u uuWӊ;eK|^/$ MW Gθ;tKh$# ]}qt;>8;6缥ށcܪ:%9MG{QG`}Fvg*0 uQtw@;"xcmz\t7ArN;iz9QǞ}v%L9 -:T0XZ:ŽwCF~9 *^lHE{h%Ǖ5Zǥ5ejNT5jQ"*(sK)]=nj@XFS 6z5Y(q&&kܧ;IkwsQ28+ XD(m}ib QJu$e$˩'/=l7>԰҈2cHѳCkn[cϰPc^^YM2ORU4L%. jd(8FT}8*pu6)AH?y"u 嫊[g|(7C͐Dɮe1cIa/#K= 318 oz]v.YIŽ,jݡW%|k&ockzZ_o(ք{z|ہT}su n|NYTq Lh22;fhlUpׁz>³xZ;Hxy1mQ挘 IxKֻ$Ĉ=^h?yp'2[UٰqFmZ ?ZHåAïT J\yW>:Dct{Gc7^`ŌSֳMx+Q9vrvPK?GscfS6G "WwZV|?緧>ې'`CICސ>Mo˓y;yHs^~9N`oiI|99WOr5yZizB,&{>!ncĭ\?EsM 21b'sDN|]o,t~ǻvpo>cD32?~K7X ={|-:m8 ؉&F%6JPݟ9[|{0y6O~]̝r=6&@M =0S6M( ;"BeCT;|oA3*PvrA"M$p_Ūz+Gy,lg+{T"l{C!am=6ʑXaKRrwgD<x,0>v؆RDb*GCRT&Xȍɭ,. βԬ`r&b2]Xҍ[rm|/spgF5)b?ckQlLGo?X4 J 5­[ %g).I uI{q_A7SrE%LEq$noWj^qk&Js4xtKI?)YH<#`H%@)9$idaa^yH!4 y |3||"ʋ!ms)z=ADGl`zQ|I>CKabL5ӄ_,R9f&X(",ƪVFʫQBy6ߗ{ȉЧPaHd!VFG_@鑍7t"X(<&5-$l endstream endobj 14697 0 obj << /Annots 14699 0 R /BleedBox [0 0 612 792] /Contents [14706 0 R 14702 0 R 14703 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33066 14704 0 R >> >> /Type /Page >> endobj 14698 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14699 0 obj [14698 0 R 14700 0 R 14701 0 R 14705 0 R] endobj 14700 0 obj << /A << /D (unique_589) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20211013063105-08'00') /Rect [104.1732 383.7423 163.5072 394.7423] /Subtype /Link /Type /Annot >> endobj 14701 0 obj << /A << /D (unique_748) /S /GoTo >> /Border [0 0 0] /Contents (set_bus_skew) /M (D:20211013063105-08'00') /Rect [104.1732 367.5423 170.2337 378.5423] /Subtype /Link /Type /Annot >> endobj 14702 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14703 0 obj << /Length 19 >> stream q /Iabc33066 Do Q endstream endobj 14704 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33067 20830 0 R /Gabc33068 20835 0 R >> /Font << /Fabc33069 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14706 0 obj << /Filter /FlateDecode /Length 2742 >> stream xڭj$_JǾ@QPj69cdh]X2+$t7޾+4+:gT*v5f~YkuMZ9Y/+uev^~"ڝ4S8L,0@ 2)Fp 0 sBRd8*9璧.Ơj 6̰ТO^qfhh2&\%~_DY (Js6Em;?͢O+iG[=U#{}#w{ʼ6tنIT !G{ Q*BwU]sX1s(~=nUM@x ɥ|ed ).dSCզAsopiPU ՈLrNp!`jDA6~iwV$nbq'uGb۲яPe CRY7)\{FLՙ'dQ NЅScqOOTn FT皻l1ɣ88ar5NKI#H͞f}7Y Z4[㚶jѰΫ4lK %4GJhIzI'ϕ.l`;5y o;(^e7"&3 f}/UO7V萩o'!35iIk YїQC-?k![S&z,e6XX8PR1tTjoF}T?}4wa/y6YM]m;0y7F#uh7U>z*"],{,s2Ʈ}TR&_zL@S,kxqf70)}l] @G<ЂCIyq>Jv Uq)f ?뻡mVsUcF5Q80Jkm:kߺ,ImA(jdLJ :8 >Z \\5PED$vaA1 5lþ Æ-h;[a 4륖DAs[Lۍ2P̽{oiIƐМ=$O^a+CZJEVT{v~|`Xpf@t0AM6+ oZi$F TzNrR f?TS6Pi A"*) ?j }P*+جr^+o(0))f/ uE^^eMfN.{v_g fs/w<]2T{tQفƘ%.HZrxkZU"iw3N9#:4' D}H3 xk:d10^SƳuoZ*'휙A!e BQs 0jyjfH\'W DzOծtcxH3J| /=Q?m~z lpo8| פ@Zt~ :`3Z `;_@E.@yPF~}p`j{;aaNѭ ]t(<<0:lx^Tmk |z2ta,D0 t$T]Gp.-ÔOkϻ@PLm]X?AR Ӈh7xMx p=c#n89e(Nz6చ(_6X/?Ӓ &GU-8mZ̏@'iXDI4ӂbÅ@؁{ѦM]PLV3VYYNm3@e3V-NU6Y.Ofhtdi~ٲiigX4Zꚷ Һ&*eȓD_ѼLV6sOOHTN7  Ċ͒s5oevU.d)[UV' LQj8*/dx"0uD&`Wj+WIx-/cP1!e~4H^.aiFec]L/t"&|G2VaCeeeȥ3 bbQ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33085 14713 0 R >> >> /Type /Page >> endobj 14708 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14709 0 obj [14708 0 R 14710 0 R 14714 0 R] endobj 14710 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 295.525 122.692 306.525] /Subtype /Link /Type /Annot >> endobj 14711 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14712 0 obj << /Length 19 >> stream q /Iabc33085 Do Q endstream endobj 14713 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33086 20830 0 R /Gabc33087 20835 0 R >> /Font << /Fabc33088 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7-ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?1F endstream endobj 14714 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1319) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14715 0 obj << /Filter /FlateDecode /Length 4111 >> stream x\K#W@ iV|3@FNہ1`⿟zՏ4;9YjfW")R^ϯ:Y,Vwuz~}Շa iU`L ܅)E_\JF;4r7;wgsyB9T¹PC??=/M7V/P6C'xd윬B3`0@TƟ1Po$uN>^Y zdyHy?OBݢ>?6 s/)w聶ƲK蟰 q' j1}a#Z7 dEv+*X ^W'|^~ \<>NgsƺS?;~vm=V.?[;?H4.(aСlr9;k-Dd!) ;* :23dj< ?钢.;~i3tl 4㒨ұ4L{6hTSφ.Q':т{#*oznb=IoM_q놱l O9LCp:uAh8 ١k;6:TR$DLn"^$s4017c:aǸp8l193sCI1g}aUc!9SU, earYQl*K1 ť̇iG7E얠֑T.ȖkS>D-}m⿦xƾCPUPj5nI 8h|~}A  z]eڲӚ%N dljC/Cw4[\\m&H Qpu0nIs-E i1*n*ɮUTYMQ@GW3 \DMq̌#@ NQT CU7>C6uN~tb{O#~}W2Q8 Z3)ȓWd*2{ysؿ1,\}ymX~KG b(a`;I<-WZJܮ7Tp]Gxw *|p[׏2֋UX;VZZ$[: &_91Mm8IYGiRVP ]=ü3qv7DC!Y%׸)MYq59ݓooЗpis``b7U_5FH24)n5xj<iRbHu 1ik/W\>xܤ inXcoY -^ WXh9 fJKFt 6r %vL>TBKۆ@f!N~W X($̭f;zjNaҴ%U[2ZU'*qq;q$>Vx!!{9H n0v-R @+ UUꕨE L.%4ʻT`MqU v;#iRikhv}VNDhgIKÄ}İ-9KR[s^CSf@)Vk!eYW(-ii/hR,ܸ“c0B,Z_m*A2üEC 8s_bVĶ)} sT0KH 0D ]| $ ZRT=f&MRJatlجLYŀ$\jRisp)Ge*dJmQ]:m*]T*%"կ9E.IEU8T&W6vSUo%ֿ1!PV<=nR]с] x/s;](R[;;.>CO8ol:ᵟLi[cZl 8i_}*g=:n#:ыM7추]=iOӣwb cS,&]Av$C䮣1lJ|4Pjo!0?T[Eb0>LOz|ݤn*sEi=]xTCϰc-tҵ^体s1И&s̀$FCU524@Hwfx33!:mv@87#z9e,(Cx/2vE>+Dk:^ky( %?1{-oغ~f$I\M =GiwHuifzv' %Ig9tbzb<BxAN%@S{婍[Uc{ T}t:2ݙ?YbGsHL{{okq魴7 SK6L*ƓO\ 9p$#Vp`DaD|{b{HHOVU-s| _>>QʲQԄ-_ԓKł;`{5K/N0ܞ]˧Jl&*q D! M: U.^'}ԽWcմ H{q}͸>X&[SSg9:+o2刽?d@zt@)d|;ju rT#'~%hb"j#I- /ҋS3>+G\ԟjx[?_GQifd餵?⥾c*cyxfF!T%+I,iy-UaVKW\ӖKt U*l%H!Lvѽ5clgf]y~fCw Ly)6.nƎ3l.C{T٥9R¸0TϺ̕΁[[g w #`4 N>CU!qGqs9>|=q#tz(~?mL2(0]S?$s9dՃHe)~!@T#lW?7G=Xzحl|\㣺ǟ؜#džm9xĎs`ruC1,٭UfDFU endstream endobj 14716 0 obj << /Annots [14717 0 R 14721 0 R] /BleedBox [0 0 612 792] /Contents [14722 0 R 14718 0 R 14719 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33104 14720 0 R >> >> /Type /Page >> endobj 14717 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14718 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14719 0 obj << /Length 19 >> stream q /Iabc33104 Do Q endstream endobj 14720 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33105 20830 0 R /Gabc33106 20835 0 R >> /Font << /Fabc33107 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"k 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w; endstream endobj 14721 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1320) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14722 0 obj << /Filter /FlateDecode /Length 3284 >> stream xZK#|?#ie 7`䴉ދ~W5#.`iɪb_U]?>f]r|]>EQv}}IkSW!^AC:Ƀ;>\_T4SYV=i>Nzˤvg$,msǾQ$Rx 2K^E+*t0^*9nH SߔbWPUpx{h$H~m?A9k|aJtg`/ 1Ze,x Pt˧n 4GM*egJ ,*l-*1} S'Y*{ .\M*ux4d{ }"wf`3>0FZ)ꇣ+ês}6ʇ&X NNaclUo9:ؠ(S,}eڻnvc_t |@ty!,K<ó%evёuĠM<x{^wvܽXQ/p泉)Y]d  `Гjs9Bs!{~ž.WL׺YݠGW%+S?AM|r}A:xB+EiH`F}^sqb$U 9%Zlv u1$@`{쏛U٥K\nMz\|_G i·cM7--UǵXX]W8.[OO Jكua`/^a?QK':X}S w\gV~YK?]yn_˭3Y^B#3_pJHJWJ! #V^ҍ"?GcOhx*g Ch xT_B^9>^ND/X!{_$ϖ,/j B(=CDT@TP+kM^Z$;#mjB@Tl"mf q#,sy{I:_ F 9{|G5N+I"KH endstream endobj 14723 0 obj << /Annots 14725 0 R /BleedBox [0 0 612 792] /Contents [14732 0 R 14728 0 R 14729 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33123 14730 0 R >> >> /Type /Page >> endobj 14724 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14725 0 obj [14724 0 R 14726 0 R 14727 0 R 14731 0 R] endobj 14726 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 185.2249 122.692 196.2249] /Subtype /Link /Type /Annot >> endobj 14727 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 185.2249 160.653 196.2249] /Subtype /Link /Type /Annot >> endobj 14728 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14729 0 obj << /Length 19 >> stream q /Iabc33123 Do Q endstream endobj 14730 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33124 20830 0 R /Gabc33125 20835 0 R >> /Font << /Fabc33126 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 14731 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1321) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14732 0 obj << /Filter /FlateDecode /Length 4865 >> stream x]K8W<@x $Ȉl`n=[{ sK%)3숨,&:-SO)[ߥ 0F~wrHRUǛF˯շZ7.V~`)yL>ςFtB>kȯ_$%w0]zʉ棃' Y!\Rڎp4zZa3Ok Mè|8GFTxIxo:깘U>FZ5j %ھёJ~@E~pǂ5:Cpc9] (17۟h5( c VIdjm7h޿"}FA͎*b j*{C|#I/{59YD j= Ad5i4hʎ&vpczq2la&ktzuT<^$c<Ʉ䨵z^"v#:[Jw7#Xyqƍ2RᆱR5!`Ol?Lnƨy2a󖠄?i137ZkYm'PXTun!6ڜnؐes04FP~>!{8IpLc7':g=Aci*ĜzD7CXsFl8.6W@U֊\e=hɵG / EV?tځ%D_d*qF>M >zt=]dOVH2VteݣY$[z™Xo:E y_lxPlV2S2cE;,bGp!{,y&Kܨ]-tj -wb莅s,{ b*> ~skX˦ 5{SBݜ ?V7b02Sj)Ljg/\Pƽ4ئx 0TX^v|3{bsd&niM_*.;cOqezgwy3&|K,fn~62}/+})P7nv}OHaX.⟥pwSt ʯ7+~_ mSp߳?{-/-=[K949UC4Bn26'(mN}hFk Er\xGl]Sav &O=MEX rsm{4Fd 2s:j1jg-D3Vz&Wig>h*ŧ#z_^`={7Yc.Oo6&@8oNVWOE[[MmQgՊp(3u jxsӗca LaHSoӱ]x^~^g}Ѽª~[č΢j!&L;V- դs(|P> =:%R4/VzU~d7}r`,b2.l,yW ^E!>Pd z7^r߁Ze _O'xdQȃf=Z, x\(9U!*mZ*('6ո`d##41a5j JB~E&&}7/5 1!c"K W V%>eS`SrÝ}\VYEZ+)_w+*y;[3*q :xZag I ^q́ڒϡ s@NVK"$9'#(uq>о}p2 sJ O0KfQoo{i""@5L0oP O3ץNf\v0CS%'MM8&1r4ސ0 i̱Q*-晱 IS3E52}lBZwD+m(`hpЕʲ$|p[YB|uZ]1Ċ`3K /ň9ae境EEw(ع~p]'Ny8mFc'Jt5Jt DwIJwzN^<|v@=@]xOxځKo8?P{uMc;!%.̯$f~ħ1 %1 %bWCJ|3RⳘ1[ %z1mJ왈*m"jĖ='6Jl *}"j;Ğ-&.J웈 *o"&~{ m@%0nTބﭳ]Pgw7A%J\?H,>" *ㆹUJ6L4y}ebk@e`k444첧 y&ParSemLTlzJ(zյNb]w+ VpʊD!=+$Zp]2嫪Gx)v)|TT@#P9P3Gx*v|[n1`>I\؀Tɀ+ EOL[r-P+pؙW~͢jqYS>)KX܏߫]h:Lv Sz6ݍ3).SfsCNW*.}Kնld~❵N6]Z$Qļc><;WUbOq/)m6g7ag1Ai~roXX2=CC%ijZIey&hSplW#8-6o}NJ$[d{) G+T,{ڊiHN6&toh^/q U!Oky43|J" ۊ%Ye6oc]H,XE(iWjU} vf@-Q*)U5Jp_򆬺:Bڥ1N/]H=e`gy,R%tlK50;J n9Z1<4Б>ErKK1KgM cb8hkwh葦 FR[1|D(W{p7x׌90 7cp~[șE0fÕs­8aq C7 se Fä瑖]^vX bix:s`@}Źr5Fx^^!|̥*ŧZw=ݶ{Hy:TL<02^f,^Ƙ9[a=tz t$ƽ7*p<L{-c-lTXLݢ"j/&*. v099Ot(fgPy)%/? RhvntNŠ x]#sOYM){ߦD]Zw +O^p>JR1G1-H9JXX՛|CwL&[Shoh@ˆ?$zL|@]W؊ b@#'~15X,)ޒȘ8)ڬfxH O(Łň‘:/XHwNŊ JNUOZ)4U(oVxħdfHSvdusژѪ܂2%x/R0]<FE*d.0NoSv,I +׹*& RqoQ1ƕ#yQ]0&Lvֽe~cFzc_ům5[yz,-8#썧qnb%G3>jA_+>GՆj,7); Ke~eYNkڝ x8)G6Cb zKۺ{ c'ZpiTK6pǣhD,8,Nʾr(M~㵲bQ$@\}M\(ьuhkP]5 $SUʺ:o%WfM% 'pzT#?_ذQgKG{DAY=M endstream endobj 14733 0 obj << /Annots [14734 0 R 14738 0 R] /BleedBox [0 0 612 792] /Contents [14739 0 R 14735 0 R 14736 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33142 14737 0 R >> >> /Type /Page >> endobj 14734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14735 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14736 0 obj << /Length 19 >> stream q /Iabc33142 Do Q endstream endobj 14737 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33143 20830 0 R /Gabc33144 20835 0 R >> /Font << /Fabc33145 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM N endstream endobj 14738 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1322) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14739 0 obj << /Filter /FlateDecode /Length 4046 >> stream x;Ɏ#u<`8(P$ m||j[n3>2IVUFl2"#_='m_omn1l┡~:on_2^IFG/߷??cf=(g8Xs~"'r0&_x~7\gۗ_`Wkdlto>kXRۗUJ60}*NjLWFϛO]v8G:AiBMrFv—Ŷ0/y˲1~@G, 7R͈lnDCLϠcM6uQXl lh,OaT90pY>:@qt;zOm]\h/˪]d=i㳢cؠ'`zV]s' pta \ ]][04Nl:ɖ9hbpR|d 8uS-a?I["Y̪h?o."XmSYKDG,nOk2DښROg4^lЬ~ZHi/_xKչӓ3cI{wAyߏEt;h`FupAvXI]M8#3u2=duVMzZ&6.^DWճD^ ](`Ն,I->l  a'L-~vΈQ*<}c3L;K}:GJh2띙&qO00Wu^/'~n{8c?3k)>|%,=jL,`G&uemnePt{q㮷8hi=rp:n|t},Rj1)(׶WA6ŲD!ߌ0v|'WU;b&ISNi=;26;h#TȻ,.F"ųZZٕZzOL%2!!:Tiҷ> 58@}y& ĚCo*[Yk s{DvR<@fxj݂9 r!Ən|b4e6{ 61_ùfVZ D-,wUgu7L[0^ք& ٯHz}'EhE>8f+c  Wy fu}O{z( 7pb0]`O6e/5H깇ˈԩVt٧FCKl6rD7ܔ"#.z'T|Py4BQx%X>9CAMZՎ8R Y.kG#G; %E.p>糨˘⦅7j#VTcP?RmUq2jT AXLچnq9z8^W k@&p}At^S6޻Vz_ Ѩw+6G+1 _#1,iw{}lkN3\\ڄn%t $9fj@ҧLC5Tor3I,nEuh9@W;س%mC8 [%hQGպc>m:2F_<定<վyMYaa:_t6豮la_1jg2ڇ!4EBF_j[$# XɠL OEXf\T929xSȧʜ# L]hWolޢZOFlL`k|@yno[ѥ/{_s"N؎bi"/[B7r;}id[bhC]# C y3 }j?Mu^PCN9&-Ly6Gsrk_⬤󲕜m &urU"[2)z W/{o|-A^YYOQ1HXǦ)ܕuXQLp6ǶCՍ/X"e} P8(XH,F`m^ E_41ſS3-!&3&*i$Yw1vЫHF2PuOzeXX0B[ϗaU]~Dq#ű`%Kd>)ҼV[H^$L@ԤbU&ǁ܋/sY]k5v0x~αGY,<8gu;tFǬj $(E'ۻGK(2ca`,O%xmQw4P(HpQsWB;T.:Vc 15:]|NS.v^Ϟ_FM+{f5fn.h$َ{V=!wNOg>wAs_M5&0\h>I !;Aq!p)qzz3ii,P$>jO_nv7_jlqySǤ1)5c"9_װ $;>a֭Ҏ7)Mn'WKEWEƿ=2~Cƿ=0~Wɝ%S2q\/?`Si%R?g,4Qg=@ s;zDCl U%D t);=,;O1W\|t̼p)Ow=n>b3ԜWZbNը8O EcJ /OrѮQE{wb驩ɠg85;Mw/O>Y/4ԡat#s>x)xw]{W:u=9a;2LZ XqkRUms Z026wkE`B,^*NǸ-@ZEv<:>|;ʧ>|-,9bK|Eu2M,欼 B8 ԟ \#/8>knXO+}[$ lxjq)cq49ԲAZS5Ɉ U4,)6.4JOL% HTj* zhY/,얱s+,YIxnj1Dm3QMYL qY w O㒫ܹ{zȀEfd*,Giss P4&SJǼ: 7S)9&5e B?qOu> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33161 14744 0 R >> >> /Type /Page >> endobj 14741 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14742 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14743 0 obj << /Length 19 >> stream q /Iabc33161 Do Q endstream endobj 14744 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33162 20830 0 R /Gabc33163 20835 0 R >> /Font << /Fabc33164 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_R!*p% d Z%LB.FPBD1LS4$dqJU$z(SBD* ^Sl\9 " o=kDN{r=C XtlP[QS)hG_;QOj3Rȃ+6+1C+p|ֻ^k: -?=%CO4i.$RG"erk|Z-48יq|mau3ͪ5ۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"X:p94C|=B/} endstream endobj 14745 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1323) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14746 0 obj << /Filter /FlateDecode /Length 4163 >> stream x\K#W9h#it99mb8"Y|5[ٍ,jbՋ=o\;jBbͲJ%a/7 Yz[a/toB" quv˿f\y-ޗJH߹#`+N<`tQC|`hu-Oߗ/Ł3=3ā|?-ILNLXaNzhs wwZ4>yI/دPś^%.M Uួ`''iHrmYni֗$ҰWt~B Ì} 硇~3'[6\3ss 0`Iy IGc g.z{t, 3TACˏ.SnhUܝe#fBJ` *LrBȤ@F؎^Ii6SQAR pQ oZ]uhQ5an2%h$Gc1}MYbQMt#q7wQɝNP߲R~o&WtE $myQ//U*zO܇P1@&>o'\C4-獵0ҀSCU* ؉k`Qsr}w҈ZL3ǮBp|*m6%r$ĆYVk@gb;)K`g=N*Jڌ*:| D OCgFՃA}6{p J5$>Hhf0<(#SB`QveUc散gDВ q3=`^Ѵ P(l&^w[2|҇móMM-$NgIe\MxV'*J݋F<EjZzw ][coH$NM4db׾"oI8V|c Q@ziLg s)j`Sxqy'3,w5hfsL8~Qo#VEy7ɥ#dtf@ HlIi1Ν3utxҗiǣ>|Ҁ%fn( E3lZRak J Eh3Vd[ ߑ3^nuhJb 75.}(!n>ܾD6 cvo Y KɊ"Ưq6v=͚ZQlFszX|*[c.c|rMFpޚKmCe;w2wLIaջDYf<`yFށY=; +סTA{YȑW=6Rwʇ9s 5\DE\ۧdr{AOss*Vchm)⓴VUx:tuA4 \B['ig}D3*>z89PKDys.с 7/DUuTOwq?5MnVqrE[}y aMGZwr9NBc\]ݴE_.5ٜ]~}d s组mɩҹue: =6 O8ڍ iPpwl#7.is:ŶHЀy*l"Kicfu% 6B{*m,81hq,Ŧ%Bm9^xIoUH|:FHcan¬۵J7b RP.ۢ VWhLbf/ⲱN/+1}|10px`C_b_1I8L\xW >Apꁆh2) iI[|BO]v9g[Η9ow̧̳^ݕz6#sZGvᜦlAK4>yݲC?` 򩩣*Ing|#LOJ#vA`tm<1SiY$Ώ_i"egB ;tP DԡD6꿙0 ?ό{u Wpga͊!DϚn&6Y{rlmb L ̾|c*_auiKdfV?Eqc״_Ł$<@4'"?M16_#pS<&_Hl-},N#LCiMk<E5qql$"2 5+>r+MLYR96FI_- {̼gq>!S[gqR=-lYn-wG}FԁӵixXִ*gYRFm[&+T)yS㣬[\\8TV2nT.bŎ77o|{j&>яs4υtN7RL6EAė6.)1n08Xe' @Zzx 4o"p-"}8sDrpd%{DZ7̣Or&,KQ,H2!|aY2ދxq!Ul*2#&-#ˣőq5?:>L DZlL,&|G1LsنWIsp endstream endobj 14747 0 obj << /Annots 14749 0 R /BleedBox [0 0 612 792] /Contents [14765 0 R 14761 0 R 14762 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33180 14763 0 R >> >> /Type /Page >> endobj 14748 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14749 0 obj [14748 0 R 14750 0 R 14751 0 R 14752 0 R 14753 0 R 14754 0 R 14755 0 R 14756 0 R 14757 0 R 14758 0 R 14759 0 R 14760 0 R 14764 0 R] endobj 14750 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 372.3384 149.5702 383.3384] /Subtype /Link /Type /Annot >> endobj 14751 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 356.1384 164.1782 367.1384] /Subtype /Link /Type /Annot >> endobj 14752 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 339.9384 217.8802 350.9384] /Subtype /Link /Type /Annot >> endobj 14753 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 323.7384 154.2562 334.7384] /Subtype /Link /Type /Annot >> endobj 14754 0 obj << /A << /D (unique_48) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20211013063105-08'00') /Rect [104.1732 307.5384 221.3452 318.5384] /Subtype /Link /Type /Annot >> endobj 14755 0 obj << /A << /D (unique_593) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20211013063105-08'00') /Rect [104.1732 291.3384 214.1402 302.3384] /Subtype /Link /Type /Annot >> endobj 14756 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 275.1384 168.8477 286.1384] /Subtype /Link /Type /Annot >> endobj 14757 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 258.9384 169.4802 269.9384] /Subtype /Link /Type /Annot >> endobj 14758 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 242.7383 218.6612 253.7383] /Subtype /Link /Type /Annot >> endobj 14759 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20211013063105-08'00') /Rect [104.1732 226.5383 186.4697 237.5383] /Subtype /Link /Type /Annot >> endobj 14760 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 210.3383 172.9177 221.3383] /Subtype /Link /Type /Annot >> endobj 14761 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14762 0 obj << /Length 19 >> stream q /Iabc33180 Do Q endstream endobj 14763 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33181 20830 0 R /Gabc33182 20835 0 R >> /Font << /Fabc33183 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEu櫶`ѼΡDHI]@ U8Ρ\j|=B/}R endstream endobj 14764 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1324) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14765 0 obj << /Filter /FlateDecode /Length 2854 >> stream xڽZIk%9Wr/0n[ 94}L0UR*&)")i n/oY. ~Cߞ,kb,D56c`5s@ _2_[ ;˰$꒭8Jy&јhM~5%E[J9ƔPA:1=p@)/NR,sJ8[>^H?y$K*R{<{K_=$!W7Գ~'ԼxXM;.0Х1$tSc'4 Dž3@ Ɔ# \aGy$?=mق"$NUv{h21ҦL};y+!rMW'XŐ/i0&wGec X\ Gr 7/FV0E܊aۊX!FQA^`} 8Dml5[Tc7d 3EykʴpF +#,#_IhpǃhbppL뜎\e S$Ӻa_[%ܠ6lkˋJYpM2F K2K0 (ځ ~J7$N W ;R WR''@ōܩ/`̉@k]cvV-p "еJ+(@ؿtΑdlj˖FC~/yi8Ij%R U eȨe{rlC5%ghmH(BP;,.k a7qeY F55~$Z4_WBDs+.#U/D:Oڻ~'{<Kߴ j_,mSL,!"@o")\'U'rK9%5x4Y5ZͰwDo\*zIƤ8V#s9{MZԬ膺y\OWkrJ1g £K ƣT_yfҴAW!WAeJ>B I 1t-/+zG‡.| ~4R"}x1`[k!Pqm3 xY}!Z;%'>?};%O>نy^ڋ) 4jCn@z|bc+ħ# q;s= 2B4C!yLp;&6[)js=PEiaŮ*]vtTfKL "U%C/~}[򗀟$- w-Z/S׷xK\ `?*i7a=[K\ 8ຝMqYBHwNuCx1vSgI>LnI0k*A(j H:#č''ׁq!=SG-^s?L›*seAQ1 %m@[DA{JOM^<.4s1/07 .caV>qqW` \0F菧T)Tyi 2bͰ3Ky"!IV=F:AU.u}ZS]}[|~ꊽ0%)[ yA }͐0~895P TOp.gG>[#*ւcrɹW ϯ6aJjF}^,:΃{Z+>ڛngw×礙ȕmaݼ@>Hn '%Cсb~!ex/Pxد M| !&+_H!*[Hj<.USyϰ]L<uI'7 HztRޜL~ƞKfO?S詧`qĥU7$%-EUe܀CæY]&S5@:422+>K6G%#z="-\oIF^'uFyo[mD $J 6^h+ gjƬV3~16SĪьLBpsneBizsLg,{7fLF 'CaM-k]Fb-΍uD]Z\$Xaۢ[Ջ9R_& 䅒4tϪYEOk` UY+ךqx>y| r ->wAvu.! )|j3J緃x?.g"cyOÅBzTZVPCН0d Ys ft둄??Tj\Y++ V5WzTPNx̂E) o1ށ']X쉨FNn]*,k* qbKG'Bm&԰B:hF5n;YX4L]9 endstream endobj 14766 0 obj << /Annots 14768 0 R /BleedBox [0 0 612 792] /Contents [14775 0 R 14771 0 R 14772 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33199 14773 0 R >> >> /Type /Page >> endobj 14767 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14768 0 obj [14767 0 R 14769 0 R 14770 0 R 14774 0 R] endobj 14769 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 212.425 122.692 223.425] /Subtype /Link /Type /Annot >> endobj 14770 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 212.425 160.653 223.425] /Subtype /Link /Type /Annot >> endobj 14771 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14772 0 obj << /Length 19 >> stream q /Iabc33199 Do Q endstream endobj 14773 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33200 20830 0 R /Gabc33201 20835 0 R >> /Font << /Fabc33202 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶG endstream endobj 14774 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1325) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14775 0 obj << /Filter /FlateDecode /Length 5203 >> stream x]K丑Wl eDI@ά|>=XT-}Kva: FGH u;i?lo]ndgY}~at`5) .t4<+9v2G8ڸ8Tf%5gSJt_ҽ~UIc޺A|`ïNa3n_k`l<p-B"Ρ~C4wzZ3wpᅏ~Lc&?+A{=>+Tv2?Nm [Ci K2THC6nYI+`L 9`=P'cG`ԏCG0܇`^jLՠSzg? ~̿?~tF~C_o~EA! [׆y @hmy_lzNHz.|~LNǎ'pG5 5// z_И?@?? +#&ؤhccmo&E]xxbH[zr, u,4<4)cPTYmnkk`} }yeUcl|C?8;xPylUdZN@6 QUC'A֚D+uap | KLmZ |Q`\4ڋx1:g.>tpm>'xaoc( | ~ ӫF ʕ^5*l*qte'|}ʧ[6J|w2"g#:G Ӄ5f-8U~p6dOǖj!d'BI 8UZ 0MA>fUV{8#Td MfPl 6M1$!̸uG]3u(V7>-zd÷}nPAvVMYQ.ܦƅOUHj$rdL@LE͂]T5;,?霛$?4ŔpB1}<cB-I8L@F[׸9wlx(` *)[rVٲ4׋#t.[m!x6V^7gOsLmzɃ%v{;J.s5u c52W052[B<< ϵ FS^6'1LX3C'$fAh&ҹyn8ip<XQb] ,f(h4,^1+-&Y[^'G1/Ǡk&`E'iO *Fļ%[4; ͥh1 4,yhh4C#ĹpS1xX:,.P2T4s UàO#/fr S W ۰vҴ^1Z]򞀦I/Ş{!\pM'{Jŝ=zbg* S H1kLw1wJ>CWdq6yhӚ}} Lr% Ѹ)`(Mz)#]d$a,\ܐwҼʿS}(M4Ty7=˭?u%{(L\/itM(4IKD_stgn2\kDQuY4}^5@1.c[PfA| -n\|hq75t2\ArCӓ|N}xWdxgqIžni5 /CMLMMhe?\ 7;SMDx`jw(jNMeI*'*qFTxz .8au;3R דV5Z* eN܆j,7B%:.k 3*?CKZJJ(7֫K骵rFzq bX*ߛ08_'hYBB\.9P0R9 !zϚTJMK..υ+-uywԎu_wͺOYuWԮu_ݧxS=(n^1zDܼyJ= nޘ}qV(޸yRyRꮸy{R=n~JV_~ވy#Q? O|' Ox}ԕ g7@kwJݰT%PwSmPhJݹj(M P *T;@s7ћUԽa D\uKH6ylLe&_>L=5xW\xC@n=z3T15eiy$%{c[g&>CcoA [W%UJJ:?k$HjR$IFɹ Fm4\$1s[>6,[j SBzνMI"k1'휠Cmg~ll.;3̏ĝ;܍ODrzsΤ. ǐGa:2_:J B$uE̷sKPEI$cmn=6JzVN<괭\Q7/҉ӑ9"eg ϯg0 Tk}$;d1zs{z?őelVrv9iIVPE4LcP'3/]Z-|nAtLY%M=Q6MpYbk9v2'lrڎaܗ à0pj$ڰK ~BLSt #>[' ?%N?Rr[ּ47d 'R([F\D1"A,Y*$\T|^b,\1Q\xKvΑ.Ґ]a&};*P 7fD_P/\FO4csuX~ό30xg7ף_]&ndsl/< F kW$-2HsxӨ٘e9}áfuOkQ9]$^a+UZ(p1fY32"ϯEN3SdB9_Xr;:sQ:39CیܦW"븿r`z3;򹜾+E)} 6^$/Wq{EFR'u?1hR^S3,%[5Թyxâb^+pD endstream endobj 14776 0 obj << /Annots [14777 0 R 14781 0 R] /BleedBox [0 0 612 792] /Contents [14782 0 R 14778 0 R 14779 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33218 14780 0 R >> >> /Type /Page >> endobj 14777 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14778 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14779 0 obj << /Length 19 >> stream q /Iabc33218 Do Q endstream endobj 14780 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33219 20830 0 R /Gabc33220 20835 0 R >> /Font << /Fabc33221 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 14781 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1326) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14782 0 obj << /Filter /FlateDecode /Length 4066 >> stream x\Ic _h_]>6@99u2f!\g :zDQE~$ejB/kyVgר>UӯBJ*)Ƭ;Jν+\GHƿ >>e/@VLhj- >qCYʫ ^Z2 L, LHId[R!7RImRv?tzY.( LMJ / ˚gRAx$Fv@YL0^tl!\K vwbKxGL4MAz#c!ZSNDcՒy$@jB6k]$pW\JI ӯ2c `h"] skn*L:\,+ERFF* ”)QBsCJ}<+۸@ZC"(UmTJ\/l!0هlݷ k  uUHJ_ Q ͨm?ݪh)L?F~UO[fEIbEA=(N/Սo)K)q}ߎEOEI#lP1yAœ!Q{JFەQ ! 8ݏ;!@-͢uYSc;.'mS#kcU+ #rQ}P]}ﳺm*rmgZh=!/g~ۣO'FD&752q!д*EU(3ZXߦO2O5 saHm4 epQv04[уv-C{5.* gCndXh,h"'U4|d9?Rh{F9^7=fBЈNTل:QNup 0"Lab@ͷX5}sz\S>zQ8:N{شeg{Q uaY7 yAH6 JocF;G]o̰kc=Z}XvrMi&8$ l ֤^AGT [,'5Yvt\r ٜ()QaŜP{۸,{R,Ywb?ym$\GJw^.nLQr&FXr9덐ޒAIґҒҹ`SU@xR%uJ'[`0FXtrZ 1}3їnXТfeqzu/Ԅy,A_A\2LslU@ժc+K?AievU Lj>i*8w޳2c|S%f.pj=r` XLS-5+ n(B 6`lw)YsJLC;;BFfaВJ`WrFUPϬ|Ms0/id|e4ӇX>niXk2u2Ux0 kD,l~fXe&sИ !9c** 'pA[XPt/^RaQ, 5pn>2( KuCjh7/PƘSQjDz9D+l?)>Y^XE#it=@k1֜kQbbUa@8UHZ$_j_f2xȵ|-5̏Ӿ;(RAIX%L#+ ›p8tmM 5 Qk]\F, vVTPcc3)9$J ʻהU.FϹYB$sMv@/9,zV+^reRᶧ%EvխE*&ZK5WJ7xקw<2E>[2|#]氥,QZwe#S(&w ia飢 XGRv7Ϟf{LӘ04IR(Ru{bjp½B D9ma0s1ױ[]pɏٕ`0ZPQ~A;2u?8Z>'nRXu@Z{@7ufiN? @F V3uRϝN?"|Sb{$ZfߍҝjrZA6λQYB׎,~]bhs5& &Pp)6[Pc%r|s'ݷAve ^~קtڰ^t3oă}SQ\-w~u?׿ij MNGj5t6  CU$="RmcNN%u7/C\~Y-=;f}_,/&(̓_*H;AvM\!MΗ-Ü旙+V}+u|MZG v}ӄ=Qy[ |# |kHpqEZ_z| Ŵ)DSkۤk0EKy'Rw&#AWaJmfsX rVz 0qؔ1|3 Daxkp2#Y[;X5?Y1R"Z2qG֬8vn[&3:{Gճ:W[U6bhK \"s0U[?EǴԔW3_3{(,PhP܁\D/֝hJHgBb}#K!_E^Pı! 5 ߽;BwEJydLjo] $&o5s'ߙŝiIHi_xo}d8nH F[YC3=;RkTtav%$7o¹MU$.MLv= 5tu7in-`\JFD''pT7!_1"-p\$UQw8@ƓCb Xyv=Z?bA?da,,En֞龎%Z[Ӻc~4^nGm;g !ԳBljD3f> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33237 14793 0 R >> >> /Type /Page >> endobj 14784 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14785 0 obj [14784 0 R 14786 0 R 14787 0 R 14788 0 R 14789 0 R 14790 0 R 14794 0 R] endobj 14786 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 332.0423 164.1782 343.0423] /Subtype /Link /Type /Annot >> endobj 14787 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 315.8423 217.8802 326.8423] /Subtype /Link /Type /Annot >> endobj 14788 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 299.6423 168.8477 310.6423] /Subtype /Link /Type /Annot >> endobj 14789 0 obj << /A << /D (unique_705) /S /GoTo >> /Border [0 0 0] /Contents (set_delay_model) /M (D:20211013063105-08'00') /Rect [104.1732 283.4423 184.2972 294.4423] /Subtype /Link /Type /Annot >> endobj 14790 0 obj << /A << /D (unique_568) /S /GoTo >> /Border [0 0 0] /Contents (set_speed_grade) /M (D:20211013063105-08'00') /Rect [104.1732 267.2422 184.5227 278.2422] /Subtype /Link /Type /Annot >> endobj 14791 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14792 0 obj << /Length 19 >> stream q /Iabc33237 Do Q endstream endobj 14793 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33238 20830 0 R /Gabc33239 20835 0 R >> /Font << /Fabc33240 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZ„H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"^G+QO TϬ>JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy45ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 14794 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1327) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14795 0 obj << /Filter /FlateDecode /Length 2981 >> stream xjHsԱ/rq6̭>yz\K-e*vU5,E(k2JTzQlpJIz6Ψ}Nӗ61k +c`a|zPON&uVǻ,,8:e l0U`CRgN}v G;Q +zy|a"pLI`h,G,E,{'BĂ`Oaϸx.iq6\gPU35D"2HѻWҩ"R  \AReiO#ĸGa`G; xaq݁ Za>c_D +hiLS(Wr9.֤n(۶їPꏉepr73<^?[*TS@a'† XU+;AOu=V?U5|G FT°]38-dȺ$\Wqôh"0ހO=qh)48PsUg-$-TCmL/W .-ire(◨!.C4Kk4<qnH6-6CvSHH*N;YgN VA9&^M&^BVMZhw*֞emj#!S|l-".t3uz*Qd0<_RZ;T_l[ ĭbL"`\Z#Kv)i(ei:1[pwި*z8IQj$ѝsnG(r6e(`H1kDi\/7'Y`̀ZpZG] J 2SG!_2Q aZ[ry%5gIe7"ӳyr58kp3ʒ[[Gi `}?u"'/:$㜏_/Ktu!'Ov7e+Xm#.yN=xAic~C_Ƿ֘%X)?u҉oIu?'R s->X |lG1AiP##Nƍ;oR6sƇř!^Hl&VQ?sG"nK.>Љ5M1s,1dPn]Gϝ;\C{lb])wZgmkB>Ҳ6gjk-p8> uYj } On!\`Y.rW"Mc`/n9(?1Ʈ\fr' B$4wOԵi>_@:2"~T>L4v73IK^3#jy- E{[=EԺqB+LPmRtI6`/ݒR "z0=r|(}Kv’-Bl(2:Q5 (.izQ.EA%04ϐs6!@ KH M=DD]GCaMʧkջ).WA<]3e)7aYO\)܆ hu:M_psA/Op`LNd{x>#VC[ND&diog8^ބ]R\T)7ɏ- ^Hax*SO%է >, /r7D9W׏o$cqg[?5Kx3~|^2Þ<#W5(u:}#| &Ui}YIC,@{)õ"d΁hׇG"pä\+ɳe&e&!Vc0\{@4_C[/Cw`}zY9|SON"`nXլ`&dٛzKG^'$PFC}2u|/=}ݦy堣KU endstream endobj 14796 0 obj << /Annots 14798 0 R /BleedBox [0 0 612 792] /Contents [14805 0 R 14801 0 R 14802 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33256 14803 0 R >> >> /Type /Page >> endobj 14797 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14798 0 obj [14797 0 R 14799 0 R 14800 0 R 14804 0 R] endobj 14799 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 169.225 122.692 180.225] /Subtype /Link /Type /Annot >> endobj 14800 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 169.225 160.653 180.225] /Subtype /Link /Type /Annot >> endobj 14801 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14802 0 obj << /Length 19 >> stream q /Iabc33256 Do Q endstream endobj 14803 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33257 20830 0 R /Gabc33258 20835 0 R >> /Font << /Fabc33259 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1H endstream endobj 14804 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1328) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14805 0 obj << /Filter /FlateDecode /Length 4947 >> stream x]K8W<@x $ Ȉh`n5S{"sK%%Jl94Ңe"I,+wk!3MK䤑?~ǯ?N-n]!(rS\r? v Wy~̙I0׮, _{ *` MVE* nAYmGm/?gw%JaI?YF=*5~{9) tU=3{Iu_Xo̽G'y`vhF-|7l+AjQbW_24\NTy(JE*\G =R+-$zz:K%hGGk&HmA{JR.Au}1֥g-XW|>$#ʃ 'a>Q@@#v՘H f=z:PwG~Zb;3a U_ ;<7P7٘|"=Ң ޒ|ۺ0}`-ۉ$ґ>RZa6MN#aB'[v\ Pᆎk:,rL 0fDed8L-CBݡD^-v  mBf$bZ00CYdMM*2{Vx:.ԈDž"pR+uhȱs]X϶Y^#Ǵes{'M;h{e6`nRv_f!Ql6}}e2@Dgby}s݋9O5h_hț !d3slj| j-8rn"=|;]Y.gk|:waM&Z}id4;;~7ܛ>4lѐ.~1,;.\> w~/Q%3vp:k`o,Wh~)i\s937F Tn\0>5/%KbI;TwҧCypU[q]w*k8k%!TJXA?]OH  P;RȞ䶶rRu)a詤1P ޜ(`141ِ7D{,ٿo4s^#={t`=.IR7ޚK]WmLܩ]O=)JpY/>u60g69kfiI`y녹Pb['T|GҨ( sKqëG/zPwMҴBc,R(kA9N5'b9Aq=nvtpoj0mCwCSWe xB Rj2Cȫ|M7x֌jj}`'wZ`-ҽ&r"j}+_J~&ڃ0=U%RtlM?|Z`,Rqw)xAKiRo@c4 %t"#Ww;uWm2NۉK!0YYA5Z''*^!S9‘J[n@xG6 _N̠&VmjQ@$TWe"GQq/{e*T(ƂcmQ7oG 6-7GbR%SD굒wזSkFz8@%0.Ao]K,F%d);rɁږ$d9 iko#Vk!dYZEܴ/hT,\iɞ; 1?WoHBj aQi"S_be(*>4id>RfR"A0LyL ]| (2јF+Kچ9όM,: . M%trlD((8bvL r^E|5*ĤSFv!DնW\hvLpβr1A%b3Iy*rQ4TUeٷ2Yn 0X`V`ĮpmÜgEiAB,$Φ;ՖMl ɦTy1T.Z*CÙI3+ KSd8]40U^I\Tt/D '0D ' 8 '$8S$*v'H'#puvzsÆ%6槻%6G%z%ӟԵ{1[E*n j(jzy(jdyA bl6#,@(q+@71"SZɟl/r =KxՂPWފ'-3t[Ę,= p]&LxZZa'F'jxOX56Ōf<1&O`*T+V'-*=%7m.tmC⭀2@5Ō.V@1&K@93L FE9=0ňE sPX\cka@# (ƄLj}bE'd%)ּ󉫋|w|}?)˵g|@'c1X|јO,c>O-ې݅!%u .NRbnHi};Ҩ %Q@JJnؗFݰRܺv#dRrO&%nˤLJܞI')qO&uRLJ^̤I3)y9gRwTfGow|^A{ )Ջ`$>8 !ZAHm02d7->(qƋ(n `q$.£4!q*-y*C*v{i ė^,nd峥gҔ4=L*O&moV>/~F0Fv3m ƹx. s>U*BTZ\Xx,0=oܛEfD,' I|Zv-NG5~du‘gSG*' r|۹ˑFA,:!}1EZqnK!Z}Zr[rμ^,wj)&$-D| K=d fR%-^S^KJ-G:_R5֨)i%~}e瀘?]4cdoiz2`=fSH>L \bsEd6;jRzRp[Sgx -$ŁHEIu_/)=ϥw*XXZc[T|yFCbڟ5lE%;lLKt< %xϨ0=]<7 Vbi[=KvEQ+& R|KOb7\4e+8dKo!AĊ~^6@/&"ٮ9"[MqN~l8#q3[#8:k3_f^i R_` 2!stt'9 &ct]]h΄98+G6!2̂}\PyNLHS<TJyv۴<X-Aqt^ ٿ_ɰG4:=s [` endstream endobj 14806 0 obj << /Annots [14807 0 R 14811 0 R] /BleedBox [0 0 612 792] /Contents [14812 0 R 14808 0 R 14809 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33275 14810 0 R >> >> /Type /Page >> endobj 14807 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14808 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14809 0 obj << /Length 19 >> stream q /Iabc33275 Do Q endstream endobj 14810 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33276 20830 0 R /Gabc33277 20835 0 R >> /Font << /Fabc33278 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [naUthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14812 0 obj << /Filter /FlateDecode /Length 3788 >> stream x\I$ W9@@/Ӈ < ؁&!K~3xӵHERGJ巅/ ;byg|jq￐]./o?׮P)#cB. ӢṼn9ÔUklgv-<0w|40 _مANO۟KJo!]# %8D~m8G2:9ÜxY $]|nnaD+ l!3äkӞ?vvh>pOKq?1 \Z.RŶAE9i,L4꩹DFQi"N +*\4c IVkDb^0);gFk웣]rXMKI%d [w@C݄Y/a5yIԕh+=ˬ.Ҷ< ]]z%0Zb4Y%-DakjX,{ol_w9 Scl,xI3\Q> iSDrPue @QAB7UKt@PPf7HptbEO !/]1aD w0rl1XZU\nH&"9p6(Gjg=KG$a^Ў$< >a8d 8[/1Jag(f3F(B!S5ῥ:ܚ]tނ a+:ňlwV`i |TaRK> ڀ?UԀ~έa ؑi4" CFu$ߡн`g$*xkπ`̛mj*` Yd7҈FѮi@ I;ck0vez84p8; Fts3A!}lv9$xM fkg۴D˶ ƗL_H1Et([Qʢt{dRxQU6aBh"Geq cqoKEsRh-nVb Van0SB L@Plu| q@jnE(] zJƧ[4کPgd7k[~"%2UB5qSHGNXLz |vRkDI|+6$_+Cڮ4B,.kw6kaC ;qV7X6s_;g&sG\Z'Mn?zZIm.eɋo;C |Pk9$L ^De(yO=N7%˛ h(ţm\mPHsXy]Z*ؗYzjDRPEɻ)jRa $E+kQdo8: Ն%Wb],+,‰]4[U*ǽmlO)3+ZR%ߔ.eGiRRQiō>' 2Cu:Xprm4{]I[D):x/AsXGoagG>(JW# !\k$Y W[(5!7)G'(箩i f}3Qkor1'7Y4sf=nrR"3C^n}X^^N|T%mCB0NVeRσpNv-,kAʘE2a~Y} 㷉B܆,{yu)Xܡț)L<=<)ZR0.ΑP3 m,p RЬpR0--9tYi%zZ=%k 3/;O4֗[WNlpP9…;x]LܝtۦvG+9~Y~Ub,@UN/ N;r,Ul />Zțj ;,&dw&/]vx_{역k1cbe׎Pcoss"-KwLxclC ZP!&<ɼc=XRWމLT'/l>BU Lq,0M1?!?SI <Gyp-O YYϋQ~qv3zx cv2~ nHiܵijd G(g)@ .z S|@JyY><2*DF)w iHkj@v&8AMɚe7-y22|؊5RBzI ȼ!s8\K4<6M]o| x+){C9{t icUIW@;BFq~,)+S@n۠7 ́@ 8aQ:,z~4r/Pb>&Fc0Fk$7kTlx"`ju )v7pu[yꔲآD` l?h.<=M!?C,ii!1cmx ;LStM1W0*qإ!!rQg-Cc5o#Fd.pʣ%w.N[L,4ryo.] [<~|B3ҝI^qqK&޿'GwEy@iUmJAP"Sޒ2X}"25 'ޣG[\P&ud+2lԤmه15 ;3yOk&GҶ9sqBĎ|)_`4vK@_sQ? Xxt.̈N;-@4̇7D4|~*|~Up_Ƈ oO6 vupyWUq83U~/?2{{?Eq\LP':z'pėsw *H]Dҹ~`óNR1f(8lV&v#ٛur|{"Ls F:#_Q S)>&b/ guyD/Ɗ& endstream endobj 14813 0 obj << /Annots 14815 0 R /BleedBox [0 0 612 792] /Contents [14823 0 R 14819 0 R 14820 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33294 14821 0 R >> >> /Type /Page >> endobj 14814 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14815 0 obj [14814 0 R 14816 0 R 14817 0 R 14818 0 R 14822 0 R] endobj 14816 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 347.7423 164.1782 358.7423] /Subtype /Link /Type /Annot >> endobj 14817 0 obj << /A << /D (unique_584) /S /GoTo >> /Border [0 0 0] /Contents (delete_clock_networks_results) /M (D:20211013063105-08'00') /Rect [104.1732 331.5423 251.0947 342.5423] /Subtype /Link /Type /Annot >> endobj 14818 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 315.3422 154.2562 326.3422] /Subtype /Link /Type /Annot >> endobj 14819 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14820 0 obj << /Length 19 >> stream q /Iabc33294 Do Q endstream endobj 14821 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33295 20830 0 R /Gabc33296 20835 0 R >> /Font << /Fabc33297 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo>L endstream endobj 14822 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1330) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14823 0 obj << /Filter /FlateDecode /Length 2861 >> stream xnίyp_B69uӗ"RNbCHo_)?~x+O_ts:E]NY蟟s6hgMI|9Zu~n7kڧ.{kk O֥g~^ CGqY$G@䭻K:BL8 '~9 o=i GړH7BKn Z]tGPO ##sIxnAg3cCgᴂ3N:!縈ܘ> VH@r= qɮ+^LY,}2xEZvmE)!t0%'NėE154 SG$<2k6 7Fu f!0Z{Gv#z0DĔ )X dB̰wCIN-2t52`Bm']&C@ pE΁$NUSwa=\j|K Q3M 1kkլ+͌o1,zҫh'@@-P>&ډͮ{5‹y5Fg|aa oIfZJ=GtZ֍H0o`,3@!I>56JHÔqnJ6)_9NNvN5B7ZG 0""u,0L^h;]=CL^ݫ#btä˅j xuʨKtA x>E6NFhlZoP}.ת80'BSa"=`@LGϛrB:ߛJj*4-M-hfe:'7ɱD!Da^;Ur{JL\n歇2Bf񮻧#?mWI)+{;ݜEP[L.]{GZ@]Ec됵+ZrLԟҿS6v}`>CU|Y/뗯0GNmOϒ6'COe yտ0?cSvŸ8W'Z7 X; ,NJ$'hU^*40[&<38DȜ1l+\OD|5_3\E=3<3 5x'TPAҷPeĆqt^.Q"++']]\'}tojt7D Ulg#*{k|#ěDtu8;2 ,ūݷi`l9O endstream endobj 14824 0 obj << /Annots 14826 0 R /BleedBox [0 0 612 792] /Contents [14833 0 R 14829 0 R 14830 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33313 14831 0 R >> >> /Type /Page >> endobj 14825 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14826 0 obj [14825 0 R 14827 0 R 14828 0 R 14832 0 R] endobj 14827 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 264.325 122.692 275.325] /Subtype /Link /Type /Annot >> endobj 14828 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 264.325 160.653 275.325] /Subtype /Link /Type /Annot >> endobj 14829 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14830 0 obj << /Length 19 >> stream q /Iabc33313 Do Q endstream endobj 14831 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33314 20830 0 R /Gabc33315 20835 0 R >> /Font << /Fabc33316 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14833 0 obj << /Filter /FlateDecode /Length 4251 >> stream x\KoW|S 61C$ \|J=ŘiK,UŪH]j/q1ۛ4-F?%/g'/E ʗ7;rgʝ.&I.g]Ӷ7;e.̯(cB|p"T#_WtupU/RMaᑚ6%h矅'_+u&b_|v{T*3\=N Y?y5˗PE,3wGQ-0#Yχ_)CEU\dYic:ܫ03=`j`==1U10?vTâe X+^urEcx/_\;-kǿR+*1 I!7zӲE~fr3菞kgi ;h ; 8X10-ѡNGMn;W\A<!WRGbƮ:ckxak70UÍ j!}Е5rx'aH#|$98ZIkA@$qh2!) ޱ@fl.s"9U; `sh6V7%vEͅ6.1CL#{5t4~NM1 7>5!}+vfm !w_ԝawz/V}*}Yoq<0%0`ixhԕ|O8l(4QsUb,lnDpl%ctmaL/L\UH)lR^PD]e!2:U!]h?>S~#|OIO󓼅zg_'$ۛ#$hT;LVe:NL4T#A 8+[K31mnkm⽡yXiR׺ih-4s1 6*7#܌o&"͎D Yolhad9ju &ݛEdBg0q(oyG+& w~Y{0|)R@4)"TF˯W}jo!*uoU[TV:%q(Z}%XrBrkk{oE}N$wSԧK%K|T)5N#$.CfN5゛tęa5KZ׆{KB3!3,/%]kKXgKCGUZq?оTd &VۀIkMl_P$3[T?93ץKf\2C35mŸiIM  Ӝ$:=tȜڴıQ+֪$iYhfs_K YFATÕ6C>EU\ǫ蒍:c"۴!T$Ɖ v7\WpE[:'쳬\uPL:)ñ ]7ĆnWUu޷2Y`"l`[˂V MbKj *XT4Xir6]HE  ջfwƕUs' x@D,?EӻNYrTvpgV? 'I~ND'y#8M$*vn'"NbIFp?o˿DPb0N@Qt@u?@{/@} @y/<} <{/@}@}~E+!%.}REAJ H"' %> )" %[ "g %F|}J*j&q :5D3)QI'u\7L6oy,*A -Pcr׭UOA(v1 ~r0' Go6/u\3 /SXGiwLhǝӇW~-(6nvwMܠ&y#nWW&Md;yvC .2kVx3ۗi{Ǖ.2;igxw~yAs78XT`t8y&i6IHomYߗ "(5TRa` >6=cd%@ܵK,~͓-\a!*<\F?m#Uaspѩ՟=GڞGǖ,r*~~E;bpqfĝ=f52MP5gq/]+dtF+#C0b{a .X|Y0y&ĵWx9kAHVvK{-p|pщLo|s4JT2`3_ P:x0|;U]O4̡x/\‘C`8G3exMZ'LOYCR#M5/X^ʩהT;l$R4H > Q[R6&s1=/łpEJ+㵖QUp*]< #IUJ20U*ަlIQ*& R|878'^Rq^ԪrVd[۸.S>Y'%luјWk纣stȼ##fl]u(> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33332 14838 0 R >> >> /Type /Page >> endobj 14835 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14836 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14837 0 obj << /Length 19 >> stream q /Iabc33332 Do Q endstream endobj 14838 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33333 20830 0 R /Gabc33334 20835 0 R >> /Font << /Fabc33335 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 2~f| fpYw.Z 5J8.y-xvw7>6W^vqWsRƐ ?WG?{`Ef2jr$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξW!ۋڎ>E;!%]vhw%Tsh8r}-z^lM endstream endobj 14839 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1332) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14840 0 obj << /Filter /FlateDecode /Length 4845 >> stream x\I W9@W/z 0I $ 39vnBQ_Hw¯R%*? $%%n3{'b Ed ⼘R]w n51'Ipަ4_=IڗD 7 T&x7VB$ן78'h[>~YbVZ.* m_yK2~bނLZ^28 {/_ W<*top/2Mw,{M#xܬ^[#U< l_8|BSq:iQTH$I`8*`S\i*zfa˵|ª5QXj7 Zeʂvi%ԆP?i' ܽ>wjCs?pp$29Úĉk762023}(~-XRdv^R-Ai$0-lLU w_3j0J /8FjRގo̙!GQDaB:s-:6ը|T2+"Ϭkf7+XKPEs57C}#;5% #r.gnنy*2XgeR᪓z8 >w+C_݇2q[Z^o4~VZev0sSw; 58 d&\S#jKPQB/lNvNNH50mH56YG3ƪ#:8ABB^װ##h ắsV+SoZU_X$B> Sf ѾE+)g/k1|#*A3M1~5|;Sb,;soeGBXa{Xp(Ew>mM>>O)IA @'_"Dz*z_1OgIv=$ z*ݼ䃜aNQc>2Y 'A#(-3rf"BHecΑ5Z%Qd t|IkF 9G) 5p x蜅3/7h 7(wW]0W BL UI. :#ߔ>43cTNU [<b%R`l *s?\u{#P8XYhb*,e;yYĬ ,>9;Cd>A dͥ'C!dnq:)󐴺9Qh 1YEvܽ e6,e.̌J5+/8~ɔj 2.S ؔ<^y+ *6'w`{m iΡەCZH)wuf5aHunvlaߛ%&Wk;;۝"Ǧӓ19W32vGk. Q&XųV45.)@QFXw+ =U9Q5ձLT&k^DY:9t=cNH5Z=@8IKA*N@<>n@a_~*h{ g<#` vQ2x>GnIO٭w$?o#/Q`/N&Um <I-:b n5VKRZMJ (*E0UjS(y⒏1BzM{)$gVdV>{ U]W8ǨeOQq yJ#K )W`\)(wBny LB(det{|6c-þؿAل;jT ŗcO;-Nd*(_d(A˞D,<°jkDC-`۾].unWkk1ǟ(k+N|vO=5^o |JDt"y MNVݒ}\XOQ'X@E''sn75TOFRw9f 2@Ap.F1-̕z^Ioójo_Vc<8=J_&e0ͷZ{kf{5[5oPPW< /mu;6 wl]Vh$$dȦ5򏭆볡.H#^+Q|DUs@]4}:qT{ՙo;μ34]׊zbZGUrp^V&z%N8c-mp=;`^j/q~mYmǛc^5p[uLӧ]e $z}c76+o]VDT7/9)s\KPel\UT}oAo]EuT(um:Էl^f7굥d?fC]GjuɮF>S!oئiT" {tg_E*Q@nrpRd ӝ#XcگpNҒ^ C 1mpܸ%Wl9%Y}WCx͒y{sWPjbu9~O #2=7=ѳ {Ey_{c5$|ǣ8aܡy,Q? igsm&yA4}:xZ׻nK|قg@Xw@&x=pۡ%is85inݚ4J8嚇\Kn!JKϭQAhd$ЩɤZ7nw[w  4vdqg;rzVF1 QpP`XѹJ_i/|R V zˡ>i/Rg&j9*?E'הV>d.?5y /in]u~@!-2i{viW!Cs|y_yJvR'n=`T8ܧiq^O^:qJ+ߒ! ffpۯ juq_v6Gz1I̤#4 CR-Ln݌A)G!PW"V~I=8vnlv˒MY~[(x!6%2XTf+>(>:_J~1w_pBHBW 9Ysŷ+| gb=#Wro '>izB'f˜*/8/- ۻ"%97>eI3[ \Wby<xeeZ$u;Ez\#[|wk"Y>`Zڬ]i>u}˄v `YhQ\'Ή6TRF0tdnW1-.o|blD? 1{ͯRcH&-Py(G6㛎_+s=>/@"7rFB󣡖 Pv9ie vcr|]Kp*@= /'|6c^|J%/oW|_T~A}Oߚi4 "?/:sO߮^!"ե  *k@at}aƹ~.,2 *l<5SvHMo"Nx:h44E> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33351 14848 0 R >> >> /Type /Page >> endobj 14842 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14843 0 obj [14842 0 R 14844 0 R 14845 0 R 14849 0 R] endobj 14844 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 450.1307 164.1782 461.1307] /Subtype /Link /Type /Annot >> endobj 14845 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 433.9308 217.8802 444.9308] /Subtype /Link /Type /Annot >> endobj 14846 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14847 0 obj << /Length 19 >> stream q /Iabc33351 Do Q endstream endobj 14848 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33352 20830 0 R /Gabc33353 20835 0 R >> /Font << /Fabc33354 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14850 0 obj << /Filter /FlateDecode /Length 2418 >> stream xYIo%7W `<-vz``< ڗᦒ츻3tJERGRh-_QOϺ9,UU;?!CYZ%xbᥜ%3PLEykR:.4k?aF}2* frUDr0f5"m0eVA(,2šuC0rj D?::>'Xp\'cDW';';>k4%VPnthq0jZ| 9g^@ȩ0H N^@SG|6佩䢄!8Z G sg &}]lAd( mt%2FEJLf= .VSۋ]m)fkl.D2esa{,8(."ηZ+}T:AWMZe8KL&A>ĩoq63-.uAڥw7O*/6\; P+ W"iUmGu["<:q`0N0T+2 .E& ~$ q~T~ x 8&RவWdae8^OsmM#2/bHi΄|~adWI` 66*KdlϛICz@l"^2{~!qx:vǤABA$T.px"@ x qv#sz="P̥G|aA8 9LG=Ay5?rr6.-3[D3!  ΙX&z f"I)'#o6wSԌD8  X!+Ρ̱ls< ZmlīVns@,TnE y9&3lO>+/NHVPffI +Kb'bUZxޡ³ ōESĽDQ d!FUZԬE˔hIviJ#v% mQ2!U~2ioiYKѵQױƎeh"u4g V^IQ^4B4}_3 M5΍zn".ںv Vz4a:8۶H(VRIXԙ줟FR}) YUjߛҀ"FZTϘb޿AG5~]KrO3IAG?G,I2r`3e\ P _n,TwjAm%1 ~2o$ҫ6>:X~ ֕nD,yl==wO̟jѣA!t' ?Ot> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33370 14858 0 R >> >> /Type /Page >> endobj 14852 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063032-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14853 0 obj [14852 0 R 14854 0 R 14855 0 R 14859 0 R] endobj 14854 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 372.425 122.692 383.425] /Subtype /Link /Type /Annot >> endobj 14855 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 372.425 160.653 383.425] /Subtype /Link /Type /Annot >> endobj 14856 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14857 0 obj << /Length 19 >> stream q /Iabc33370 Do Q endstream endobj 14858 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33371 20830 0 R /Gabc33372 20835 0 R >> /Font << /Fabc33373 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDUZ ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14860 0 obj << /Filter /FlateDecode /Length 4589 >> stream x Ѓ5>xKlsYu*q6a<`#oה0--7 \:؀I8@R)`d<./EQ+9zbeiP[ˉ"s"}cC7!\ gx{TlsǑUp:WJYZLP"^:@.#6!h#lTXcP5)n"<,sSTȯ 9?)Gg{])jܘ~~H|j(֦Y(vE+Q5"@>؞Q͵ skQD݊]y\3?J'=%W'(2I7, 8 ݨT yYn*+ۛG3*vPumLaLJ6Uie @O][ ;.;e7w;}g9) <-xBqAOON~'lp)>IgN@0WznCOx*,=xW,O( r"1]+'f|#ٽ¤~H$櫟#A!t+T'"1G #V{(;36ZN#p. X$]-hB ˍv/5"L5=4q'ip<@o6RG%0^7]QHr|m֖2TKi\-fOR*EAyˀF̗)YV@kwnW{TM4R4e/]ilLicYz~7/lY9{\ʐD̼ {wM SUB uP$rmoـEb@HR5"0obQ^Ls7yc7;^}@Qm)vt|4 -U8龼2U]/X:: [39CKN$(T:Cm!Mkjv5Uu v [k7h )\%nDaͭ[ndq٥汎y(v_QWQQҵ.MJ]i +w2"`oE@w;Ci;`ZNG6?Nft'dFwNF w2q'}lHNf~ƝLNNFcׂw:~ء̕3e8?Cáp;G?Oʗ.&MUC.ǃbR6>G~3~n#MGi|WDߟ5W;3&sujSSjzrq3O92Y\tHU-ZkxּF7x7hkyo&MӒ(bI9Ma! p@NOާ ү`ltMNR]RvXHs\[$y"CmYl/Gwm)FŃlM#45Ul%kC7pQi CK-ǪC!r†;>(罐h{|G= Z75HoaNyj(T2pԈRoPJPJbf)VWFL%NqUD_]mEU/l+`/o%LDN܋U^$VBEŢ֮.2+` ӎ8.oZ|+ 8#9<X*C5m[ic \H&Joĕs&?piA m8e@+N~l f%Ӥ8(6UUGwX0,ػYPcT]VuJ+3:ԥ k+y/RVTFa:pN.@a[ZӐiLT"DfObD7v8Nh+X)mw:fj=`MWLRC6[P X=f /X̪Dj-@ T.'zK)0X9G+EBuUmtxw bntBRp ϵB:"zOmb2ϨޝVE;\R]tQg^k^:9O!xqS*6juSF=_yaa,CO=VW{L;P@9,t/ :wefHDJK'0ZJk#V;v^;o.Ɨ1j [~wW;!LbrmtW!vm]٧\Rno\7[W9EySL:Wa;=T a&, ֠SdsX!ghf$SnS2^cpwi9Mx?rpu//5DEdq1#3#pFPP3CI@aJ3p>7$ @ɖJ?,;21A@]` ,|x&]ӥ|r}^ĕ 3r0\:R({SdOKrnF IA֙xe*BGz/ i1b*%L$>rk /;? Ҋ[!#im=~DulTS֚ UYv݊so + e+%΍7aMgMORSd離C9*_DqHؼ#oa6^5j9Qdľ,'r'Ogp*fczh[lyKM}e~ǒlΔhHݔ}kw Pcx[Cm70R:u2@LxrPy~PV8WO>bDً, fsn7x<`2IH[36y}>HGŸ#m=-v99=-,ǰ؀|!cO|SV[( cNYyLc\In{\Kud endstream endobj 14861 0 obj << /Annots 14863 0 R /BleedBox [0 0 612 792] /Contents [14871 0 R 14867 0 R 14868 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33389 14869 0 R >> >> /Type /Page >> endobj 14862 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14863 0 obj [14862 0 R 14864 0 R 14865 0 R 14866 0 R 14870 0 R] endobj 14864 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 270.2731 164.1782 281.2731] /Subtype /Link /Type /Annot >> endobj 14865 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 254.0731 217.8802 265.0731] /Subtype /Link /Type /Annot >> endobj 14866 0 obj << /A << /D (unique_594) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_utilization) /M (D:20211013063105-08'00') /Rect [104.1732 237.8731 216.8682 248.8731] /Subtype /Link /Type /Annot >> endobj 14867 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14868 0 obj << /Length 19 >> stream q /Iabc33389 Do Q endstream endobj 14869 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33390 20830 0 R /Gabc33391 20835 0 R >> /Font << /Fabc33392 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$X endstream endobj 14870 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1335) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14871 0 obj << /Filter /FlateDecode /Length 3183 >> stream xˊ$_B~@Uս̞ۘڞ50sw2zf =RJxGWe3>RsF)M:__2Z|n[]Ū/'MyP&K| /"/~Q/(LV/qEkm`<hTgxFXV Дņ 0fEg;[W6H]\]<_b"KIx]) m^"3 *mq<'u>]sPE$s$.ݽ S&Dlϖ3l`GDl@qdZ9> ;g,v_r7- vZ 9<~6 SeJt%A-PT&I`E.$ (HKQqmav[&4c @'J`2 Av IhPT:]}KyW|e.V% /@4qP#o `J'AT BLu#FT>`!X V*y1yP'ą=wL4@|\FR!&ېi0^SV;-8vEF~bgB t~°@?Rf3433Vjfʶ1׈;z"@ ," *% iI `$4'{YZ0NDu#xy`uՙP9Djf93<#[J *~[}nIi-^.Cvowo[o[ػz6ҧ*'g|a.;`"Y8ne"5S:܍#s2um΍ K$}@fC\2 QPǩzws٤gTߋz܀e|e z jAQ֊l  L8t!iՇ㭾o9;[n(ף/%ޡ&ˮ.xcZUO]7=aPtQNG zi-Pv]TjYq].q"s2~lT"K44%Ų;4nt}Ey~l;[xf9o8bb1b ٧WwM-k}}HcoR*Ғi -BxU%\;Z;Z֛U`!C9ӎ+^I98={ޡn[Tك R(>݅f>jdIIu[6te&U\# ךlK*;.+Z[]ja7a0A1Q{^yA\Z,6G U`t6 KޟpL -S(5^V \06muSEwzԉf(G|K_l-+7JhKPS4Kk{}Þx븡-"vc +` PyƱ dTQ_0먁}h2ݗBM]k|Ɏ#j3&,Stjxi;wym캵[^_'Σ\ޚ~Q2}`}joݗǀ'* K}I} 2Swyd۳=Hg7wl ]jy?w<;L ʵmS)/]Q!sa]m Rwt383V1P'Hw; N߿αa/!{ph9,\{O;] YDh2YP\9 Aæ#af(xJWhF ]LUnrY&.ݵ(5o[nߧ+6w!;AK{m/d.˸\)g*_XXOh7_yfRP4 N*fZ5 :`S9%E+ƻ}cV`Zkab Kţ9JܡL)#q1,Q4)E<ԛl=2gA%bAЬ'6pI)Sī W]3e)7a;ȝ+0 Z-\u1БNs6P8./u7`6& gn9K-2l>cO㉂&p'R_7.a~lXSb{N4@ WdD+f/ҔRrj?Iq tr}oSia3:: QɓEɠeSi4j#4u5u{ 8&*8ʐ%z&529;@'87 lĊ/,#;2By xfP"ZV# &(5,x&:mpI08/z)c:O`R!xX;Ҍn6DMЏeؘwbx>#m#f"E24l9΃Unr\:z*[ h\'&붜P )tbR%W~j 43R‡]~vYY۰- `BTϩXgv9!I+H {N*i[o5c3ȱ'#*\P"4-x j^6ukt 4&Gd$+zIC>@e`J|r >jOD۔gGKn!@ȬAQAfOQkh.ix\u^yN87 OZEܮ/84,ƪVQ=&>=bɲ7iGxΗBI`~l=c#Εp?`IN@=XsPA endstream endobj 14872 0 obj << /Annots 14874 0 R /BleedBox [0 0 612 792] /Contents [14880 0 R 14876 0 R 14877 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33408 14878 0 R >> >> /Type /Page >> endobj 14873 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14874 0 obj [14873 0 R 14875 0 R 14879 0 R] endobj 14875 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 301.625 124.1605 312.625] /Subtype /Link /Type /Annot >> endobj 14876 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14877 0 obj << /Length 19 >> stream q /Iabc33408 Do Q endstream endobj 14878 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33409 20830 0 R /Gabc33410 20835 0 R >> /Font << /Fabc33411 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMM endstream endobj 14879 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1336) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14880 0 obj << /Filter /FlateDecode /Length 4868 >> stream x]Ko+Wh}H츁=$?wr,&8RQɏ$ w/K J?`O/gÕ/jx/o? a?(W&+땪W+뎲5n gWe+=9[DW~$Ir+LHoW.R{V2: SL7IVs9JVE-q* $WB3|[ܥo{XȰ;g ޴6D'hL.ǐ[ gCIHcӑA'ڧ38^%.\@BVB:F29J8$Dă"aHvvƎ[{.m}}cI|{ntD|`Ey J}T%uTzL= D( &l!+jld{S\clЉvV: @'̃S1?_O &p>=>Khb0G )6ؖ(ίڤyT@&,FTx2apea_j 4 gCv G O ݼKL[ رⰪձ֪UֆڹUiVE(nUE*Ǻ]u8ŬnUJ)y6 jʮ?lJMnbwT+S&ۯLOl2NeQ&ߣL[+mP5)Ԣb4DHkQl=ю­B\dO)O¸ h9vke_+'N+&r 8 ̪F@_*w_q+ɵZjq妭kH0gIt0OkhhúyYyPIjQt/m<ME-g{ dtu9noA]If"NE/.1,\䓤 ]鹇b6;Vݒ YҎ 5ˊ_#u!տcYvZ{ћ¿Y] lvd{巕u}Q-+U܁݁ɂCV2&bVGJsL7qv)v//9@pqFHQ gGA+.SORP$@ LTw>u߈uߣ }:P:عɎ\zb꧳%ꪆ WecgN&볽{sbA'G*8AF<37@'y_*}no.EuNϒ]ʋʪ ܧʲd w> ؝B3MW)gb>gPETbuߡw7W\o ju6AW +ϱF$vґ۟tMq/:q At< ,O{Jxe3-/vhEvAo2Rԋ~_R"a:(n ?޸^F&rk 8 fR%Z V?`.tF4@mˈ[ԋ`> jAVe:kJTxUoJI*p*gStuKY`7 ICj#nRmRjZp.R}j-Lht:emRg0ƙPX^&cq Qf@ckhfdH%Pm Fa0KS[.VsA{LidL&t~߼Ll{/!@ ,0P+.7*Y# MQxoWd.6HR,$2r2>P⁑}Z*+6s5vӬ؄bjӣԽZ'=6{d'Y;abFA<U4nbEAd6h */E8oT!~dU4d¹]`:c`Og~zp|rCC2&}RQ8U5g֩zX 9pڂ`/Bu@,, k]'d\JyX͔umXZR# @W@ݴ8InIpR=N\f8N{F8Ép'@Sv> NlZ"}&>'6N'sw=w‰] 'vav pbpu/@}@:un O_xO~q/YbXYy/:"3ܢ{@Mez\ˆ3k4 8} P-@u=P]W`/@mU H,\hZlV]Ihi]S N)vs93Ů왿obW?0G=QҞ(vu}b9G{֞_$]oik3BbXAHnsTyLG(m(iv;dL8agO8# ?-q~ա'&~OE|?L-m:EG]ukq\|j?~4 ?D-A{OIs[J_!J G-MB_[*ƭ+|ˀWY3*߈5hcVp!: )cHU"| %gJڜ3ŝA)B)]&h2Nbwδ%$2`&܇_KnIIIM2%JIZ~Ͳz`QSh v/-% NXUq0?48 ? |#7ƌ1i$B'&te#dL\|fsyIEsk31_lgJ2IGL3؋ɲ'Lj"wv=Cc|`h3KX\$9^Hb̊_h!Ԍq=3P re.s5\ed3<̢Zv)-ԖJ,lH zLXBCߏ3 xÉa_xe1fڡBj5XF: = vYrCa%hPXk@j:DXBc~ؽ׆T|MOo|k:;Iש)i;]JO ]HS%K`.qrIY"3I+PT8[t<Ww8d6ĩ$cRz%(Ån)S{T#l}cL0Vx%}%sYdJkMiڞt+"Y0uY-֮T"?ޗoEV;kPTa2j,^,kC%mhSi]e/Zo{n=cmDƃ73L@Iކ8cwb^;E)Otއ0V`L'5:O X#gҍOct_n7R!$#`X=t?&Z<jDH#)8K"|?|?bN|3#iQm?}DT؋uۨ²`IYI:>Q1#2ե{-H=iMDҜ]蜕Ƭ.$M) OJ2> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33427 14885 0 R >> >> /Type /Page >> endobj 14882 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14883 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14884 0 obj << /Length 19 >> stream q /Iabc33427 Do Q endstream endobj 14885 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33428 20830 0 R /Gabc33429 20835 0 R >> /Font << /Fabc33430 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM  endstream endobj 14886 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1337) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14887 0 obj << /Filter /FlateDecode /Length 4581 >> stream xɎ+s+hmwr^6o!m<IV(dyeы'YkznI/׿wh|mھVFp/K>l}hnF1nCvCbizpOp{bEOFPAyeΤڞ(>ki 1l9JV zU 3^0,՛`ݍaǃgŭ?NNH >B[g" w9o\SAwJŠe];]}#AmKܘŜ #heξmKhw&߂5i*a|[|l] 2c\-[D!Ȱ(rJ|xBoJ.PxzCvd\?.1 Y˽4N͎$>UA2uo`‚3_Yf[hxuj¼SNMUVyuRB irgDE\JboCĹFYvG h%ab^NmC205櫙^lI^ׇ\ s7i{pM6TK ¶rRRŕ.( 3{_\N+עA60Nl65q[ow?f-h s,@“M}KO!<-;m{l4`=Űnu 6Fc'# ?KK,RaˣvN ^伈L 7SX|cԖakb@qkqxxC)OYڰ. Ǣ  "{TN99Ľ W,E8 d<| R:bB'( mU+$C0_w7*F &D&3˯EǕ8ˮKz0Q 0 lt`nz8UƁ<9FܫЉ[5suFZys,E-Y:Oc7Jfd6:DRz͔uTf3Aq7ɼ>rT|~IR)Cib|Gkf~O<\ bK0 bxvmݬ)\bɏP( sE)jRr>Qz [@qYYh.x=]4<ڹa [@b/e(t3Iѹћ':I; =JDIwJU 'ZڊUr|5bsyme{+ oKwVn҄^>x)|mw qe{`tp~QK#2R ']e~X^ag/[~1/u_ _&YӀ;,U,>F=z'Koׁ蓧1/cy^f.}b_^&8!p;p4X/aoOpZI+i`;hQ@"J4mt \c?\l1_Ɯk/ O]ܥ:a !,>L|pIx/N6,ih +q'B{!0. b'%bž(i}mKWj#.!ؓ,r2Eb\p3H0'>3?ހo"2sPx}E52NTy+48_{?i\W?8߭GszOO<|cKMk1D`.hߐ]t\κLSF_N횮O&O @1!cB=HSey@]`QHВ48[Ό<5KX*\T~ ':2Ll7*Օ[Ը|0E~25iԑul KBX~>Qc"X`YLPe*<1}qn44uy #i[\98/Ve2Wˎ `(}^|Ӱp;>Oπ=:siŦ'-u~Hnj)?)b_gj\q:SDg<ߡ4e/1 oёw]OwoO9#W~G]˸+ n O++yeunY&xC h !1ꪴ_VcԞ>+|bnh&@A_\ꇏ;0QAD{}_(mtV~xۏ_!TO6BAcllje%}_*<_3DUҹHX[[X]|G2M\ Z T1.` endstream endobj 14888 0 obj << /Annots 14890 0 R /BleedBox [0 0 612 792] /Contents [14898 0 R 14894 0 R 14895 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33446 14896 0 R >> >> /Type /Page >> endobj 14889 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14890 0 obj [14889 0 R 14891 0 R 14892 0 R 14893 0 R 14897 0 R] endobj 14891 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 452.7307 173.3797 463.7307] /Subtype /Link /Type /Annot >> endobj 14892 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 436.5307 144.0317 447.5307] /Subtype /Link /Type /Annot >> endobj 14893 0 obj << /A << /D (unique_569) /S /GoTo >> /Border [0 0 0] /Contents (update_compile_order) /M (D:20211013063105-08'00') /Rect [104.1732 420.3307 211.5662 431.3307] /Subtype /Link /Type /Annot >> endobj 14894 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14895 0 obj << /Length 19 >> stream q /Iabc33446 Do Q endstream endobj 14896 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33447 20830 0 R /Gabc33448 20835 0 R >> /Font << /Fabc33449 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ|O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ7 endstream endobj 14897 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1338) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14898 0 obj << /Filter /FlateDecode /Length 2224 >> stream xj%_J@-Ҁom>91к;̬z- RU{DFߵ/*ǜNQWCNO/U;ͭ!ÈE㋵KG9G8o鯀2I jrYKE,h2+ 9wjZK j15!YW Uc#s?HU t)}>#H v`o#G~D1M./ NY<\]m\'-`kpq .33S$O>Gmqm6>~ǝLJ60&;>( [7\&Q T) O_:V"EÓWN]9K"$֦-vTڵ%XTLΐ 'sA3xB6dhGѼÎ8,LtKӶH G7rn2陇yf/W ;Ȼ#J|AT ZEB2RZVm%BpRIɍSVj,ެ)A#1F!KU|e[**hZQMQW"W]/Rd]x o{(nV: ;6r ,ysuϹ~7k-*]Pm3M\G.}1H{\KKCWMba0O8c_]4 #^MPpC@[pP\/zyU[m%JJ_NSt 6NcaOQNe4k-$6Loy#o!$ъ?5eLMFaUXo3DȔ#hO]QfȓEDvRڲӸTTT[pŞ-q hG}>{9&71;/P@+fgI '* 7!Cag=^"S G1"1ۃh69qVYȷ11thYCM2Ϥ)=f@0ӨX*BYi^oqY& ~Q׹N²{ > ̓i }'3M؄=d]NV0MX)}[$dCTj.O#u&x%y@T_7+@F*SV#Tm\iOZZv;l&"A$oyGwҝK5ݸ?Pc735$ܜPS"qXE,԰, Їms&x#D<Gd=NtԵCKbXV)9Kt&*dɁbM 'xOoBB@!`<'ywDmZ2h 6b"ǃ|PgQޙN<b!s?Cs4lVYKT-ūˏoU(?YrdgpzT#4b3M`@)n endstream endobj 14899 0 obj << /Annots [14900 0 R 14904 0 R] /BleedBox [0 0 612 792] /Contents [14905 0 R 14901 0 R 14902 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33465 14903 0 R >> >> /Type /Page >> endobj 14900 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14901 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14902 0 obj << /Length 19 >> stream q /Iabc33465 Do Q endstream endobj 14903 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33466 20830 0 R /Gabc33467 20835 0 R >> /Font << /Fabc33468 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>N endstream endobj 14904 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1339) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14905 0 obj << /Filter /FlateDecode /Length 4339 >> stream xڵ\KWle){v 9>mbL݋~ŧ =)XX$K^.fy)uf ~ ViOu~\~~5ί7Zp;ꝭwV]O}")L‹p#w @C!kcN&5O61ZqbT?($2+w>D۟i&.uĘ:7O=*.6@ Fboh_ O0OڠJ69ށ.ѵ?[/(o_]3T:m![طiM>ntSB-Y8 ]#ջjB~wIC G_Lm [E'#m֔ M3bؘqi-b]7b,x  AP=Z;Fs᷽?m= bb& %'pC!fdeu[HVUop_WB6!"/,D%,0aT磆Q\s?6GsdzW5XMy `r0/&fu;3}\5z&R5]lNV6Yn}emhp0 "3C=Z'DF<ܟAlU5Q;~^?x Dkyi2y+z:^ `UNՈ"prUV#\=*랔x~ sƉ(W$i5d;9XAr7z``uSEr^Hv@Hpϴ#(!O Q7t5W; Z[H=#H.ԋ~_}ϬE& #QDD Ide qK8R-0'"1D*|+#nƭ!92Is"͂VRΞ+35ꙨPTO.,\Rwuk{ )FӪڤ(^Zڍ^8]'BC8tfmRg0>Ώ"2XPO hyMAfl/"Zn%B,ֳMGUVY?_ҞX3ɒCo0B5wxH%8XbAjĎ LX&b k%%X>Oe|ܻ5#4*+5s5vӬ؄"YTӌ=2ج(=ǀLD*m_(J5@R̅^;+Y]EӋ uꌁ1>Wc|^''2MP\9ɁICߦTvԮNU0Yu(c3L@7\`a`a;X,aa;X ٵ eWd`g~glΧ 1 a+ŶnlҎm*z .߱8,dSosDBv XlTieضGä!BD%Jg#yF⠣Ӌ|_9q&nҚ Nnyvs,T )Xcª.MڗVHk?u;YCK7ilĮŝB*ruFw~NU[=DO@IB GzpiwӔֲ:>^C9K1*J`c{Kt}& &/sHzƓL |C`_ߑ6{4_ {1HBOG~Z;y~2{Ќܲ~g෺[*!An3Mu%Gۜ$Ub([3*u̴NLkY SW7dޕ%@{̷ybڭM f5nWrO|+& *;S+bgrtM vr/Kw%W\_rȒ-PZm#Og迗_Ef:B([h-`b _NxAw~,z26jU`L<7k!%u4~fdowhz7Yo|+Ƨk|mHlT \&ǼRGxP&]SS9:#obGџ ]-/<=r2:߮xOC7+"'zu>WjImPľI`S©%$% ;"% _HRBƓ)ݠ"%DRv#c֔O:[ΨՕgXR!BZ^K.2[daGsMG M$n]&lެEgEYbF36縄l2xK.,i.` v`Cp=3n{ f.?O׿5SRm[$\wN}/) | m/v"eV/ݧ=vdo"3N_ >\gWwKroy >nAח+=8@D+\Ox<Qyr1Y> g~>'DTuwpBuhX}6TG/_կ6ܛ=K":ȋE}F c޵=|G1LF~'@_^y ϝ endstream endobj 14906 0 obj << /Annots 14908 0 R /BleedBox [0 0 612 792] /Contents [14915 0 R 14911 0 R 14912 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33484 14913 0 R >> >> /Type /Page >> endobj 14907 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14908 0 obj [14907 0 R 14909 0 R 14910 0 R 14914 0 R] endobj 14909 0 obj << /A << /D (unique_657) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20211013063105-08'00') /Rect [104.1732 360.1346 172.4887 371.1346] /Subtype /Link /Type /Annot >> endobj 14910 0 obj << /A << /D (unique_683) /S /GoTo >> /Border [0 0 0] /Contents (remove_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 343.9346 195.5117 354.9346] /Subtype /Link /Type /Annot >> endobj 14911 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14912 0 obj << /Length 19 >> stream q /Iabc33484 Do Q endstream endobj 14913 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33485 20830 0 R /Gabc33486 20835 0 R >> /Font << /Fabc33487 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 14914 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1340) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14915 0 obj << /Filter /FlateDecode /Length 2664 >> stream xZI$W<\*|SS=T/6Q[ۃn"Ez_/x+O_ts:E]vYuzҿV~< =/k];h!_C9C8e*[yuYkA? F-B:j_Mo%{יc 䋴mwP"\֭W[kCPX "&CY5r`,r#^ yҕ;GGgR|T8:r9KGPR9ểY)2bT@ v*8_qY}tEN4jcz:(dlVw:͈:ܛA=u8e`3Gs>0#?̒7.;ƱlTf?~$4EM\IՉzaVN]<{{~Ls'j3e4w}4hL5yfr0" j|iY[,m0536HxC8bMsE8=pQ*טY,kTMb0IɎ *s:5e+MJ#wߔ]0%~?ߘR혒}nsجuڞ!}i?* P[Z7|.{b?fOȴt2 !` ;2tQ.~HNZvC_&w\2 W"yjYk,8Gһˈ?ݽM. uO + Dz=x ݚ+L>6L f10'76XcQZ J^]҈ަxUvh$VJ&8m֣`z&h l|r,E۴"`w#H:{m}٦d7=׽`Ziŕ[s+w0Kh ެPYܭyBX(WE9Y9ϴiͫۚhjpr," v?蟰|ϹLպϖq\J&D_6s W<W^S ?;|^0t&S \yx }NNG?"Wa~wX<ڸ}E-K[[ kJ5Liu{v[q( ⑔O&Ȍ;Ѹr@zr{;&>^?MgS`GXuQq!Ƭگ ˿IvEddFlgyw |g?oκ?=8;琗=VQyo+9U'djT=DlHXŷ|<@@ 8ի^']uO{ġ[\6{87m)ߕ0*杒Rzϓ>Q|;|;@k+RZ򔆷؂jH`cSvrncZo)tf-b]0Ryy"kY;o7.+³ .epAӭN&voLcaȋ{%oWGIv*,m3EZG.]}w~&#n zi|zmgS uHm/^І0${i8476gW(Y">l9YmeT{An=EMϝFhZ>FmސkR%dkX>5 +]Oww=UYvo3DYGJ7=M TvU!On_7NJS~=OU[O OUW#nIJPG;$nrx&4tie@ ,a, <" z?*-w( x֣ȫEAp"bp$ocֳY{)AI8S!7v%E\o>6+CZ[(Bp~K2#O=y̱M$ޙQGLskH{ V(FbtcyXNx^&swAJZM&3ٷEC!Ja. i,;[6#@GO+8eUY79J]i@WZZhֽɗB::K?MP&?&5kL.9+|苅ug)?ߖaXxq4^Qlow? ;Ys-VGtES ~?Uٳ*I;@"m=Z gY\:ggI'~Wm-D5rW7 UnG#*s˨"G' tuGEpBש9238=҃~t5${?[: endstream endobj 14916 0 obj << /Annots 14918 0 R /BleedBox [0 0 612 792] /Contents [14924 0 R 14920 0 R 14921 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33503 14922 0 R >> >> /Type /Page >> endobj 14917 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14918 0 obj [14917 0 R 14919 0 R 14923 0 R] endobj 14919 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 319.925 122.692 330.925] /Subtype /Link /Type /Annot >> endobj 14920 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14921 0 obj << /Length 19 >> stream q /Iabc33503 Do Q endstream endobj 14922 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33504 20830 0 R /Gabc33505 20835 0 R >> /Font << /Fabc33506 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7hPthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 14923 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1341) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14924 0 obj << /Filter /FlateDecode /Length 4387 >> stream x\Ko8W<@jV0Sv/U/$eiU)1"_0(9}̤Rӷԙ)i1Xߏe^~:?'^ަ>htRW^G%WINq+ws}T:*j}7,yGRSgU}We_˯ӗ4*=u ڷ #5ϿMտNzy[zθh,4cʖr- "c!Ib. :uZg9iҫ?G$_hwkp:ޜr'nwL}&:e: 4_P?@?jPL` n&ڪvcKls}!>S[/BjD8Z,q|/oYZe9/:HTq-~pmh 'gl2y0>ҷ?HY3"gAg|xll#}Y㼤Đ!Ts27hMv LMe&A2*2=Nsv`kYxTi!N-Gvc<(*>,A֮VE&L2]5̬,jsK̭*tN2-|c*#";2$Q%FxŕyO{6]I W, ORg# vh:K ;4|%oDO25۹ꆞݾ.9݄moPj|xJ8  %a*T82ݩ jH(ڞ8)~ Z*?" O7߼OvWLX4=|wu ſ}Mi:@ز.i{=;}zzW}7N\.₷^ k /8[w/7x' fFo~m"\(!O4^j"e2ʂ3o(2R/⢙+T/BOԐ'ݽ{q($$$|PW꾤BUԸ8O*+=n͐8v"c$߂U'R @+ 5ꍨ 0z%PraBmK /bV3Yp

RV+GJ^뉿sc*c"4TыðcX:&ʅa 9K,R׎59o)ȌE4P˭V{U*JnYK3ڛ?w;?%o7e=*m=2 .*U#M }9PsBy)1@.cr 'HLRkF=͂M,3:kݫucn-v٘(FAJJN_,._*Հ]9V( u+Y]E uA{Cuq|prja 58RQ8T5`mDְ[0ؔ*nXt{uȂ [t_(Ŷ';5ꦪlJd74Vblֵ]Mr'% f l{GWpR7^,pR#π4}@Sv> Nj5E<8]}NN'st;.O? (ua| R/@տ;u}G?7iRo=ņƏǀaW+Gp .ȏY"?lz7>ir|=ծ vdσO-17v\Afo/΀"#:Rn-Dv?~wMe2~svQn-ص#H$ۃ3mj[ ȓ '77q;p1ne};[]=wt  ̷wtG@hvC70Uwlf۸A"uć$;RXz@?n3ݻe6 e~66Xa$3"lQ)wQP{XP#3i;T.h p8KY_إ;@ F.z;; d3;ڞib'OC[\Ð6d񐣩̜St :#ˡ+oS[UP:MVQxTtf6\:{WEWF ̕}-xDH|ѳ1Z{7,I xA@Wc6)./A0%] 9:zѶneOec#``!Q8K8qyͪ:o /,VSsz-ެ‰L$TaD8k92ҡ9M waԂ*1u{I[Ԏ0z2fh6}``fTo7̻rxmNR]?~ϥ11tTai-^ 'XiوTg%q6;xH&x5^:6Y|,nuAa<0Wgߖ\!NJd.?t#8.=eYD(dV@ؖ,ad~'H&HRo +r,qQ 2.5(iGQV0#ɢR>f3ꪻivKC&:l 1·ۼ6nR7؈%RXqr!fKk |2H\GyNzhT͊ *f#pAc=aT,=> ^NFJ&4ۖaj[d7c-֛dz-R'+SvBB V6CmaqI ><<(\AFt|Q3CL -stuN`ax:AVxou2(.BZ@ RLYݗ\m%'>O?!o_]xh4CKj܃]Hzg9w:_Rh)-ڎ blMտšij {(4t*t4!ds|9u̚yVDlw4dJ4An-lPD^] bp$}5RzwTQqCђp7TCmQ8>!HɴXfKJ.lHK3#C֥֔'^[KVDUR+++y_rUeKWlEg5?^ݤsdZWeA ufͷ\Bw/ 6B^NU}w3?-pð%2xIQm(ˇ-!Z(`L“=0[8UslTOSfyuui5%?wMN-oSmifQ4 f.fo*䞃0I|yuCkn#|k9}g[9ʧ/WzYQ'4u3,?:1 ѽvįj1prΑ{1pX nCЯ Κ 7z?kpOg'pzT#ԹDObXWčf9 endstream endobj 14925 0 obj << /Annots 14927 0 R /BleedBox [0 0 612 792] /Contents [14933 0 R 14929 0 R 14930 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33522 14931 0 R >> >> /Type /Page >> endobj 14926 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14927 0 obj [14926 0 R 14928 0 R 14932 0 R] endobj 14928 0 obj << /A << /D (unique_101) /S /GoTo >> /Border [0 0 0] /Contents (config_implementation) /M (D:20211013063105-08'00') /Rect [104.1732 299.7116 214.4152 310.7116] /Subtype /Link /Type /Annot >> endobj 14929 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14930 0 obj << /Length 19 >> stream q /Iabc33522 Do Q endstream endobj 14931 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33523 20830 0 R /Gabc33524 20835 0 R >> /Font << /Fabc33525 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛV endstream endobj 14932 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1342) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14933 0 obj << /Filter /FlateDecode /Length 2944 >> stream xZɎW<@@Wzd4'6 ]^4:XB)L2|RߔUS_^T*U,vhUO_\1^YT9yt<`ݫ/|5ͨc2,ɰ G߀t4Y`3ÄtgjdLzhMӪ!m"Lrl:*? ʧ{囡1Ju&%pod;aeczvjq(b!٠SMƯbޏp難lp rjBnPKnlL>R[n 86OuBÖw6}Q_}z'E{Pѵl+똺"?`JQc^>&gkD$=4n{B6 i5P- Z?#b CaElucݥ!#׻Kt&iϑH 4iuk\^\ _L7"L0va~;rgl53!+q،t%?<'?G>rG!h<5zɅͻ[v5u'<&'nE9b V(73 03D$vA:F'uw7VsR.Kݦ%æ5!0|}A/P;+v zL563 #,vϓWs7esTK2%04i7kkR;Wx'P\D_ϥ|/Qi궉onOSVe`(]W6CC>l7+{g~6 9H R~w-ygZZ =NϢp5!~FTNgc"m3PnݒWŵdssϹ7t%i.qh`&Q3PKxҘq)P/NjnleY ms k y͘m֜-z;w9)Tt-vy;0]ͰkN{{V8ˡ*[$\юzݮ@GKW kU;x1gߕ&Xc3L]>)IoCYRW :Zju@@qpkcaS'dn&8x:0b ]@B5T*PINىEvs3vrqn NH+.ZjMWFU]C3w:Lƚdܻ.7r xVsه+ xxKrIH_?uܳ&xa SCH!ߕOʇk)+I}s:3]ب|ݤ 2o*=Nq7N"X_5LFŶ6cQ}跑bY$:|s"*?/>Z+ҁO2K[VMVAW5Cw%sQqqMŊ."6/`kl6&b(>:u+b< x il}2v0d]]K5A&0|Q=h vcij J<8޷j3hNz#Q'DZ۬ vɹz73mϼyx^:s[uI p>qxf hmxl/8Cŕ[+fnzto'a&}$NmFSh- 0 {z5%,g>oDq2 `ȩB.0?BtȌmzo,Le\CEFAvSP.z]f\6z1Z$S*0y& Hiʊ0\C"U n3R`qĵ]7%,Yn e@|C<uTh rS@`t,Dy;+h(nSq xhqA`S^ d!lֳSMDK25Tb:xԌ=&y M ?|4VZnjlc Dm1qn ΰdf_wRz!-k`f# `bqƹMĥAJ[m 3EB!ŋ9Nؤd#<䕔2dovYE>ﷹDÄf)f##C`,'xI]+ߋ+; @̈́'A!Q 8%R,YbHI9$I>C3ϣd=R]KKjϤ!_V+0y⇡Bqd9>?>at~,@PY](t۝=8*̠`% %~ӌ(ě-͓LŁF UmlJ*EQ'Bm*:jX!֪ÇrOzT#D2 ACj endstream endobj 14934 0 obj << /Annots 14936 0 R /BleedBox [0 0 612 792] /Contents [14943 0 R 14939 0 R 14940 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33541 14941 0 R >> >> /Type /Page >> endobj 14935 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14936 0 obj [14935 0 R 14937 0 R 14938 0 R 14942 0 R] endobj 14937 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 326.825 122.692 337.825] /Subtype /Link /Type /Annot >> endobj 14938 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 326.825 160.653 337.825] /Subtype /Link /Type /Annot >> endobj 14939 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14940 0 obj << /Length 19 >> stream q /Iabc33541 Do Q endstream endobj 14941 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33542 20830 0 R /Gabc33543 20835 0 R >> /Font << /Fabc33544 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14943 0 obj << /Filter /FlateDecode /Length 4985 >> stream x\K$Wl z?BU]6v>>=XT-}$VFdF>dQ!EE(J  wK Jп;JWYq^O'!J zu/5n WKz̔Xk?_w$"8(0B_A_X\ pK,BKW$/L?2Bo$Y(Ymm4 [īUBJ^Mܳ?LX}U$Wx"DFY-ArW #WZ+/*DZLPgXMdcNmbʷ xx`*L \8Nixž1A=",o?~z$9? 8;TI 1*?CDP^2pu?79|5*sHu #3T~+iE_P??RENmtU!HY5+W/ƗW:"A _rᠠas1vcH$^zBܚD}Rٙ= ^>jkѰKZƺalhK;65,T7)(Y۴MXK[*S#}v i:o b`lu 4Ë K؀^"(z(رpR#UcVYuJpB:k ,uuv}4W6$΄d~z\WҮxب/6?@_lؠ/~غbW "#}BQPU #D ( g48Cx|.AbA)B\dpM) `b܎3ᵢMVg^.8NrBqC[/ 7.r;ٮ쬿N Lw8 /$l¦s٤ YsZhZ1+4Dsi8tK%v$'ZPc4 v=3r~}w֚qS545WZsKg|;mt_L2*^2\6kmP10.^qN8fi> PrCofRQ`T=,Pr`nBBu۰P,f Z׉A2XVd6RZ(V3edV'@j @@g@ݴ8IIpR=N pR3 'vaV8Nn8Npb>ky4Ez*FGu| ~r%t3t8yt/tiZ@)PC`gZ@uDf@-3JErM_gO**7, Zw:2kZP]SL *TGTp.4-6+_LhiS P;wa]B]9HS)vf u NAmMn)mbP7Rls u ֦PvpAŮY*o]P=׀\ *w]b7ub׬Kn]2uAŮ[7,s?bo{b *žP][{oTžuPAn_wPuаRY;INpnV/pM#& &Vdƽ^d$uS+WRbڃ"v)kf[7ӶkͪnX|TH  yWVÃkO:ӣqW;\WNAhBsm"#(qW,>Ic܏߻Sx^-A{Ǭt]C줁BrpVjΩ-YvX:N}O b=*KЭ]NMx=.gۭAk@/<%25]p֧96+x޽}kK qfg?{̞]~K;=(£ε Ǟnd*R kXdIb4RށUBz,XؙED번9#B聾j}1Pk:(-"lJ!F=Ls˚@8ҹ\\@%с#/.5*Qk(5-žf#j UHj\CK!zvMrxMmsWF[0zx˱S/G>1%jp`M[Okr:Ϫm\E,Q0vMFqÖ.IR4H]a*~q0) J ާ%g@Q+8.eba?k8<˟7|Ζ7o/Wj s4"a,e0R.h}:^ 0et6V %uEi>_86,c`"hzy<4/ͩ]Hа C):lFPCOa"a T ]qǘ|d=u,ˣ<Um[c/)RI]qžgqי}b2z= %` jl&?1+4(rP{Z½%3|ԱcV>K>$iτa~Yo9ˌY^!OsZ+cG#sss`L]74T֊L5vW[o6`FmO݂ОIOQ#Dvis"6&(!&e qa$ED ݴuVFԴRnSU5P-say/MOHe `5.bNB'}*SC#_ ['sPLgliY.X}xx1K_^ġh, pm/KBWk b1*ѭOG~e(0ҌH[>.mUO9gݜ5r-Fmmi*MH鼜P>0-NIRG*2 rSG],tT! "aobQճPxl2#B~ 5V暈[f}Mڱ:֟zju)v7gpc_Rh.=c Ej5=-]JoF ]_!xbK`.t|͈Xw Iud*t<Vw7qql$S %mG.2*)喩$[_/q/ݽ֟t=YܹHK$}ǒjMy⣽#ZVD10uY-֦Tț"ޗoEVksWTa%RoIQ;Gu5l#H.]NMv\=h·Ͷ~w͐ؑ6 fDKGc}b4^Wܜ&]JY-kksLj;CMj6.PsQB̧-zn*E8|f]ڌIVScKӎ,@) ǷFG+Ϙ%_3+$AaLr !d[npIyKȇ.;NnsLZfO;jӘ]WtRߘ1Ѱ޲ޗ8SB\ѾƞKK;2 -^i9gLzG1L) 9+_Ny$A?; endstream endobj 14944 0 obj << /Annots [14945 0 R 14949 0 R] /BleedBox [0 0 612 792] /Contents [14950 0 R 14946 0 R 14947 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33560 14948 0 R >> >> /Type /Page >> endobj 14945 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14946 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14947 0 obj << /Length 19 >> stream q /Iabc33560 Do Q endstream endobj 14948 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33561 20830 0 R /Gabc33562 20835 0 R >> /Font << /Fabc33563 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O]M mw/g+R\T1Brt~AG3cX-LB.FPQEq¨9 }' LEԕTx5s剢xq-lb@r2dv"`V_g_5kDN 9!M.%O#T\ #Y}ɾ 5Ժ@Au꣔xJ9iK[ZNߖj?ɞӦ+<ʡ|72THLJ\[-48יq|mau3ͪ_ l?7‹C2Xl˜sshf^2 +?ɜ F0p|sLjT:I*d~{UXb^@wP"]@ ̠h#bwo$Z endstream endobj 14949 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1344) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14950 0 obj << /Filter /FlateDecode /Length 4020 >> stream x\K$ W9@W~X M `CHQJU=m0zT("?R*/.j ߗzO-.Q-q%/?W;^|_qRE9wp\ޖ._T$QZ./:KeR-_ =L姙oKo*AV*ALW)?h)o7)?A^M,oupb΅BL8$u\= :U`Zv6*mRK#)okhqvj۹BnWRHCECоȸ$n}Yν`ʳrm Rt;T*G9E3}TVoUakWvnn{ {;;b^)Wk}t.}a}%LZCԦ&),6-~wPmP>P VˬEe+QEڔ贜(S1 OX*p*D\]֍Fi|ԳsJ8wk֑ )p/犻gPǫa,5X zR'UL{I/?Q230D#s11ahJuU'1J" ,VO[쩚q !bU;,Dɮ[[i3;&DU==E@'j`~.͚aydh`7@M@ЀE}trY)b^ub JЊ"CFTK+ŵ\\Pˢ ?I|6jMVKsl }?辗=RRTekzp]X*ΪUNtܑ}ՉB h4k*U#vW w+;B,85c7dq{#|0e~Á(f'N|[߄]щF+XmEtha; a|a{QASQ9d}5\ ZAy3;=o}T8 YUv7~#HJrMXp,q)YxȔmX`Wp|)`b1p] 8,xIjCi&;.?3KL)5V<,}&.Mե`-,&2aoV-$$8J\?tN ޫP:ըHЧuĦRblZ~dj)]ٝ zK7ømAzƝ sP-^k. Zw)U`SLbL> áؼm~&F@秗#Jy,nŽPq%^m708;ŏLyzP7?j.l÷EʠvQ0=s:A3yt c+'1fbJzBPfglzʹʎ^C9L6y{٥d'g\h[3d.+xAtmJ* '4R[:#ݙvlc/%}Dv#::.n@EqN MF]ƯEpðzl?f{isJa3h^ĕ}|L%7b;_ 1xY'~] p=^C;ٴhY|#o* :};cn {*U1i5C\~%wX*`kS˷%: ONW/ūjE&r Үc*QcŖӜsbf~S/xNBZwH ȿrOӺUkN4 /)uJ| jlʭ׀ۦncmIo"Ds˺2a5jM0$oh"me:Mt ܉jp=De Ť>s_J0uUÝ#`z ̕αscKMYGӳxu[xWأD`l?_\TuֿũSMWҡҕBbYrŌ3O]j˵b{"W 4$5NQ$qJbOSa˜*/8dt\VZ4QMۻ*2rn|͒Ok#`b0擻9SZ&q#-I);Ƿ{ kuZ ˍ,(]Y[&he* +K~W855e9ѧJ& f/ Sdʵ]po|-kv0O9Z9bn\I!8d>]g-QǢ2[Q?QMo4mCքلEwrF9&Qx8v3ie*+rk 8YH<#`^,@$Py{fTr#3c& wriF$pB(6AW\ʏ7 }KH *_ 隕C>,Īg)/+ 쐪ݎcJ) /h8J,R?+D/TEX2PX%Ց-|G1LI\^׷mzA+` endstream endobj 14951 0 obj << /Annots 14953 0 R /BleedBox [0 0 612 792] /Contents [14959 0 R 14955 0 R 14956 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33579 14957 0 R >> >> /Type /Page >> endobj 14952 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14953 0 obj [14952 0 R 14954 0 R 14958 0 R] endobj 14954 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20211013063105-08'00') /Rect [104.1732 572.9 206.4347 583.9] /Subtype /Link /Type /Annot >> endobj 14955 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14956 0 obj << /Length 19 >> stream q /Iabc33579 Do Q endstream endobj 14957 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33580 20830 0 R /Gabc33581 20835 0 R >> /Font << /Fabc33582 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14959 0 obj << /Filter /FlateDecode /Length 1813 >> stream xڭXɊ$GWY.(r̭9 s*Mk]-KVv-TEdY?} x?ӭ`^yiXN/k2ޛXsxg[1'Bs.K w+`^8 `B-R˯4>L=cL _0ե4Z3.:tHAW h0j`p0qpQ%Ea N LO2y-ؽzVBH|Q@\9̡(Azs>ܨإ K=X cvBm]b oS-{am.6ĖvN1 O6$9ϻBB\V( F fU6nK wЗj[YOyQ¦3]Gi,zi_j<t q[?W=S[k;إqVR]a0f ż||U9rCt1|lS >cƹ_L0/V_,jg~ev3Y_$^2u,N%02YW6[1JsAt<_EǕul򻭍|'ozumg Kk XY %㳆52\/"o5㢖} `]vt\lVRlBP}Ʊ Ρ೙#"JRIȪS߇$+a?}ON&+!I,!+24fޯ܅ڍe>,M>]-7N2`Bg ta@[,v!-Ù$/ !S';&hk9pZlNAuPf/)(UbZwaVzXFa&7lG:!<7 an'O1q=Dw,hdH@O mA$^pGw[?o"6OߌXm*1fʘcmzNo44V!#BueJ*6ڱi#~ٌwf7=ʎzdtQ g>O;B9TRв3vF:h5@K̨T޴8|l e}Bm x6ՏGUl{t[ǸU^IʘZ-39PARR0՘ MDYJOM-m J^R7iJVlq/׼yW$ #DRӺ@>R}IGсR Q?ځHz=v~D^Tvl=|MנY:l?锞 eλo6T,EWu~)UƱ>cٳ%JNz0$>9@#1GszƟpv~5G\U88AQ vf8Vt!qIaǁ;쐏g YO.-.3fYÒ]!HGYvdM=ii:8#$4t F`x\5'|FS{~Ҁ5ʡFdRo endstream endobj 14960 0 obj << /Annots 14962 0 R /BleedBox [0 0 612 792] /Contents [14969 0 R 14965 0 R 14966 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33598 14967 0 R >> >> /Type /Page >> endobj 14961 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14962 0 obj [14961 0 R 14963 0 R 14964 0 R 14968 0 R] endobj 14963 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 283.225 122.692 294.225] /Subtype /Link /Type /Annot >> endobj 14964 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 283.225 160.653 294.225] /Subtype /Link /Type /Annot >> endobj 14965 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14966 0 obj << /Length 19 >> stream q /Iabc33598 Do Q endstream endobj 14967 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33599 20830 0 R /Gabc33600 20835 0 R >> /Font << /Fabc33601 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 14968 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1346) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14969 0 obj << /Filter /FlateDecode /Length 4730 >> stream x\K8W<@x $ Ȉh`n5{"sK%)GQFwVXLQ$?aR?ei5q|qvh{uCt!Z)_(rc\rL;rׅ'4^iwcy5.p4OT.B6[]T镫*&jԠ~M'h$v~B0֊ac}D}/YgƟbHj( M~.C!4IiSFU>ߤ?QO ;ͥ1ѾBρj:qsH{'|6|K%?hG5P6q`_d*>)j& `tHM"q_O$6[rU:IeU|#ȧh =³{ HR;yUdRGrY> - r4&: `?Ru/w4,"O"'[AE >!߷vl 67& &DpA|_UͰt3cmEíin. ,h,hix wc&2l{+F%SKܴ@!@p#F` 0ԃHvQ35i,ȳ 1e EC&DU0ֈa " <:Y)-fYucǗwmW%vr;8XIfyX([/U]E7zSVv٨UՄT5N CgBX7 .-Z3ڧE=]]XAHF>( y#,Gh΅u3x}&'pqv,&/3$lY'>sfC6)i9~!{&N۰1ݰI9_B19ezAu}S.bx;ב:č9'5DTB^l/ܽ]As؞I\u{w廁m%$v&u:#՘ϑCq 5e|0& V O%p18l|%k<$jf]lť0{W"wGdWLxtZ#I,?Uc&M #f{ ' DY<-_;06p̠(|B0&CyEQ?VmsӚ!ܓE>(a5Ϭ:6nX\Y>ϞmYi"^ԻkuAj8Oq}]Rj1oѺ)Vw2wJĸF+Y~,"ܤ -OSܧB69LC5vz>:(QHu _9fLPg-ݻv/?^v؆n0`THķ+?$H`%*JhkO[a'T%j'vp!=mT╧gWo6@451JSN"#WVTjUrt)AmFaWx睮`ag@ 3,,\ y6p+.F -bIjl`%q,n*V45;@n @nyeIٿ;8589iNn'7F@ @{%6%X|Pb($@'JIXE# @ƞ.Hf!a=_!Rj)]\Z&sH-A ^R@Y@! <˲ sH FjجnTn]1T!%xSS>V0E Q-Z՞kRūf\gS1%V}cJ݂)ЃZݍ)w޷Sb)8zaLa^Luݏ))kaA%vTJn9P9P*cTbPkխ-P|IvP3*q2v(wwTPJ7x` *㣆[(ڱGTT7Q)*c HGT Hg,:HnԕTϖcH-2c3Pwl@R 6(3=q EnpPWfyfUiϝSx>Ů4ؙȀO ķ+M?Ti3k St qvDg>tO_Rr-5& f?1hcA Xô OO^Io'`*N% q!jBwj L˗S`Vz 21+asZkOw.m3%JuRr[y' />R֓v~n%\<_hIP0stPCmh(%W.0D<o[O6\bě,((&ͳiYS/lRԑLJKs>brc{e1>,pKIkTZܜ"Aګ$pS4k)UbXx9L|t= ;O^q>q.F5-H t| .dk=]2MXW4aAE9l,w`-GJ!5 5& #MRX$IS|\Rꨠt;%n ISߧ2COaIg7ldus٘J i| %Ϩ0?]<7FESbi0?ޖlEQ+& Ri&=i<>ݸsd8/jWV2mo!APE}s/36ڜ4j;myFf'`';5Gsuq cҴuHa|T˩es.Ʌ/r69srt/BEuO+Mrd7%πaҜӞ)&*L=a`+u> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33617 14976 0 R >> >> /Type /Page >> endobj 14971 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14972 0 obj [14971 0 R 14973 0 R 14977 0 R] endobj 14973 0 obj << /A << /D (unique_30) /S /GoTo >> /Border [0 0 0] /Contents (get_constant_paths ) /M (D:20211013063105-08'00') /Rect [104.1732 183.8424 197.7997 194.8424] /Subtype /Link /Type /Annot >> endobj 14974 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14975 0 obj << /Length 19 >> stream q /Iabc33617 Do Q endstream endobj 14976 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33618 20830 0 R /Gabc33619 20835 0 R >> /Font << /Fabc33620 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?Z`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM& endstream endobj 14977 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1347) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14978 0 obj << /Filter /FlateDecode /Length 3394 >> stream x[K$WP(ѵۚOccعw"ꮞPL)%,.zQRŻ%i|߯盆wzy V+|9*RR5QEdIZ&4iקt 1˨MΥwҫhO"5pT9?+nrAgcy02xYrx/O@۞CYmh{fDC`H>-X-H l= fJkenb9DYnGWȔh])PSHLӊdM[iIIe%2ǜӃ"]0/}'CTevP3uSFNtp{px"^!X\D >H]Nb!WgW1 wg4!L Dtb\5+B.9 v2M?臶~NkL^ &UɬcP?qZYq0xɀXGE J .J6%Z&G v>ô n 9~'@^%J|g-,wج cތУWSuEJ K{]aNO*2f #&RںmqW'm8~7MVHᲸixq\MGa2DVs*?&[PH{D{ӕ8c] t`iA)ĻL1 5 q4G+;!j2ʘ2`UṲ b!G(iv#Ql0GPҹc :i9 t`j7%eOCu7^vZqjs7x8Wfz5n ]y7y8lNiezpܷZF.*A5Nbu+Bʞ7ߓ@m~T P bq*op%uQm/p>lʥ֔-nZ}+=A!7ш`|VƘIzcy `-8,uvoe6!Ͻ@*&+v8(@Tk<5%J( ~`2T˜AmDΠiQH{@vm'T.b0#yf~橗xojJ.-Q>M1#"h g~` UCɯ/h#zi-AI7t7#&q,u%Xݓh®e;6 峘[t":4kFLLO2h5*=ǫD__"|y2*LGm"{IUkO0]QO^F&ƄS7>C|kjdcoUYt$WO/͏ JW'9gF&ALHG4(e-0텞XƁ_%uJjo bp+,ʭLU \F5pP*.жFD-ACp1fh"mǨ>1M$^'+[3ța* s~l85Mn:3+O׶n,nYz[miLn6KĚx>]Td?R<K§EuY_3@1E)zٕ_9{>򞹧W(5׻ɺ$OJxBI).6+GG%>OԜsn;3ƕ_{{V-mZRu& 99< q//$fIA^η ~.z_ސ֐(* Ιc{G:K.!Z%R:ߟC +Bε–šPM[2/ICXaxSYb< Vœ 445h :6#+ {j[<9h  endstream endobj 14979 0 obj << /Annots 14981 0 R /BleedBox [0 0 612 792] /Contents [14987 0 R 14983 0 R 14984 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33636 14985 0 R >> >> /Type /Page >> endobj 14980 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14981 0 obj [14980 0 R 14982 0 R 14986 0 R] endobj 14982 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 236.725 122.692 247.725] /Subtype /Link /Type /Annot >> endobj 14983 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14984 0 obj << /Length 19 >> stream q /Iabc33636 Do Q endstream endobj 14985 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33637 20830 0 R /Gabc33638 20835 0 R >> /Font << /Fabc33639 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7dQthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!o5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}>P endstream endobj 14986 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1348) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14987 0 obj << /Filter /FlateDecode /Length 4592 >> stream x\o#9믨Tb'`o`wٙEKDUq9qL%ɟ\}0 f I?~WǗg7X3&Nn.ixyEkd˓Pv2%ׅN OFj9wf΂oڏ ?uuϿ  z Yzԓ"|[3e?g+sLk)Z~٨>M0Vpo\g|0Q:MA-_GxzRb*> . DG( 1X JU2) 5 sC̯&Q>h"_ ~Zf!o9A@Q  ꣹eݿ2:s4=Cy=;JA?D{zJҁρꦼuz>ߤ!6b3~Mz,G)4јTK>'b=O>)ѓ6rACf b&Cq/QBX!&eo2ԙam>YjK2m@'<|F:: LIF=bB ,hj>lJ\ 7+Aư6 Ѹ਍=vck h;(K: DjTrߤ㨡IF`Wu-͸N0z"\9!%|M{h! ^&S7m+i3{?sGqNN;!6DST%n5#7n֯u><p&5.t4.nӶ.6TEcXK'iT~ROO$+?␞Hy@JNp2̀TPӤt+ :e4Vܷa7os8)xR6-BV]ρu-s, Ka?8XM@̛ ]#H{A".?&od!3q^p)ϖ&g<ʆLugîɲ9BR+zP>maY!=R1 +4$xfUYPxp̘uf -l.|v !.:n):RgDY ̡<0oO9Kh{MOBx;{:qG^XL?sfk(w+}R. Mػ#{wYD{e]ֵKc8"2En_>c=?Snwҿ;N dr-[Z}?ufRL{k?7(b`,H[3* ٧7|~/D̃-"zC+|%)Ѥ"c (>fg{ Q˔%U%?PMrV @>r+L#̜&":l(1aG=[jSlRIʯĤVc"H@R7%PL$/ Ƀ{[TdV끾s6Ujm#02AM`oh\K Ѥ` Y *@-4axa tmmjM=[R:"k@R."Ve0k~e%6 dDKp4ɌQUf}hrg!+d>QRA0ιOJ ]B~OcZV`3c@gBӄNM\ktY(FD9%aG&sgjpգkx]q(!S'M3A]Pp]cWp̚Ef0pI SL& ne%,F` *?OBʵ%2dAr BÖ4S-m˨?ɪ;L4{rؙh 3DRs;͊Lt!>3хDR;}HLZW?skRܺ7q.} DMrk xfa"_{#@$PF&`ՍH7ӃbwP;R7Um9ΝoOb0ZﷷG C#^).0e>Iջ`V.0[~Q)H\Q܏!n=Az{.>ʪ>Q2Ыf|؅ hJ}$yH8cu LlPqQ/wD~{wДi$*dWIL3ɚ Tce_e!ڋj|] ؊i|GBZwA}ݽ8²G(0Dae͡ᶟxպo=M bQdx0e"M3 Y0g8$^VևdW CyCkf(vZ¦%l`mabY0ǿi?01.ΩTl1ߘ?DXvw.8?O4*X=mb^P8޶v 1)"1[Zu8iy3VOK;.3X\m5vk.7ʫRZ޵:i ҋ }ֽ`J'> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33655 14992 0 R >> >> /Type /Page >> endobj 14989 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14990 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14991 0 obj << /Length 19 >> stream q /Iabc33655 Do Q endstream endobj 14992 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33656 20830 0 R /Gabc33657 20835 0 R >> /Font << /Fabc33658 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶fh^_ |W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoD endstream endobj 14993 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1349) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 14994 0 obj << /Filter /FlateDecode /Length 3925 >> stream xɊ,ȳdJAU}mӳgg#ZBʬØ^27)g}ы'&|{znY5R?~vzjT *_>/;*ˢ-\'wzY<ܳ <ET<?1Iy*~B\It46 ]SEv'H:"3ml3^Z%ro`gi[ uVHaXR 4a7VpvJ98) %~&0GҬIV-@GFgLl`+{Zz AB5-BlKsӭ,j-ZcīNb6MM'F˻vhsKQoԳIzӭrt5Uy+ܝFD"_iJQ/)\]&0+b. _w;*:wɨ,%=dMKaCʓ^Jze14F窰RRصH=Aȹ>v/; jNkީuWE-*( cq?jTT;ᛍ9Mj@9m|'n4+8@v/: gr5k$@.Vm|t2|)nu9u|Hݝ`UAz&150%:]a 1; Tj,| nIᄎ⋝$S]K"_Z+3ԹW1TSW|n^#,rgZjNܫʪ :q[ .5{pfĆPZBYtlقRk) @V/oA7T~f CLFȸQψ]׽82m 6M8FAs͚"+cö1Yi'M/~ N nkN^&aCj}mKS-\T(\S Ʀ;sPmah)un'< ̽`/nmx0!OhW2hK=/#{ژ'{`U0{=pUeH x}7wWLW'^%#=W~\Plf{5E0n!$P°5I Ri)C2`n'rhZ0⡷0Jݭ5;P'І9orId4΋;Jau-Ϡ.\G3ʬfݬ)BrmlĵahljN蹒)Zu~{k_ +3w ԝX&jg^gmjb"#}PM9TQ뷦/FMԑ+8eo3[pf/?ճ|_kWk^Wl/2+gN8=}?懞KLȸM eݐZ Pvn l:O6;0=ٍ;|SY+eJ*[.]W{/U[ enMvNjBîv)mɭHxjs?./S)"Lٽ{GetۜKXTݮjCd:AaB̧n%xiYSsMNMy#]n>D~P &IZ};P\F918Z#~_,`g T Aiac=jC2m0#e_E#ܐFT\?~/N.,L&Di"&˯/q`i`%VPi`hA{v]#(b<9> /f/ O]:a 5UXG t}OqMx/ 1,ih*qwncm`g_2bf޵x*0&*,s,ӫ n"D]3$^<`\p00 X< *D.;' &1X#Q@] ތ{?D9nBUay[(xV:IkE X"r@Ţ3Z7T]ngY$otqty5?T:VCX1\"pk{\ lmO$ J2"Ş!1_dt\4,#"Tr2+ Vqfz0ӌ<ӟ2a)ZH2.o! 6꘵a[ʔQslC ) +8N&B\TR0~i\{VEg[_zɉ~|xΥ՚#6}kǸ~cf/D5&XAB uO牞-ĻP0}gP2a)Jko飣O]́D֝˰2nO yy<Yx΀tm 43=Nxu倌yUg 6 W/kCDZCDA #8 dTPED@FeV%OU:\^~!TO14!q5$ƪVW"}ߥyt?g^*s `^ʎV>#&, --@=HsЂ kM endstream endobj 14995 0 obj << /Annots [14996 0 R 15000 0 R] /BleedBox [0 0 612 792] /Contents [15001 0 R 14997 0 R 14998 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33674 14999 0 R >> >> /Type /Page >> endobj 14996 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 14997 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 14998 0 obj << /Length 19 >> stream q /Iabc33674 Do Q endstream endobj 14999 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33675 20830 0 R /Gabc33676 20835 0 R >> /Font << /Fabc33677 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=϶fկ ۏ"bH[jQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{wKT endstream endobj 15000 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1350) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15001 0 obj << /Filter /FlateDecode /Length 1695 >> stream xXn7+x4w6Y4rs '9v?^f4cGvn]\^*Vm?`=';[5yh)Çujdwcm)هOw>g=.j} P`btcjه7'q/#Ɇze<mc3#&u~24~AD[=xߢ̛A[l w" :p$L (lW22Ay`[Z@*2FέJؔ~CjdO&P㸿D=E 퇩lK}z+!<߽`J :=@z5ђ}~ɾtmC3j[teV_h==rE>(m#qUOUr_ l-.eI/Cq>ީ piYp2PT f s: Smgrرf<BGdiq-昷Y:^2KMR_fiuY!T][cĆPoQ.9 8:;cDtg!bQΔo38%o'eJ~ 3@/df?f0.%$._e1#̛f $&!WDf3*hmY0ջg|u"2hHbi3'|i׹P Rl* f>KC.b-_#k p.lXS3j.cT0ೱ+1\{&Om$O6-'K(}EXx ; 1hUxbƞ9!7f?#o. /ׅmw&⨾03 -(Ĥ:)|Bzxꆢ&bP^f;<;l<˛H(bEw>?Ő%3g C \6(k2W`^2Dp$~b+hdt@Hg]m]blV :: Z^x7۶K95bը˄ zjFjҼ.-X9Xa_yp kCeaۂold0c͸^'+5ٵ"x$ӃÔll\zP&RAFY-0#McA"6.TC Zf/fSk/¨p t.5W{xXJUˋ!y:ǯu*r1ie 쨨xlV,~5%4Y^*GO˺qpŬJȮeiLygK+z]x|Dvlۚ+r(:m<~BOUKTENTR9Eͣb9)}ȕ?wu3W=(YsOa:>X^_|:Oh3dq<m<;Ǟė0ΪKrt<-|PU wgE?p3=xtW(F(FA8{7Qb**]"VvE<;Aw){D#yge"t]FqvI'-Εq&xI::, 'K!(jUs=>M;unYX8 !C{恻 endstream endobj 15002 0 obj << /Annots 15004 0 R /BleedBox [0 0 612 792] /Contents [15011 0 R 15007 0 R 15008 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33693 15009 0 R >> >> /Type /Page >> endobj 15003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15004 0 obj [15003 0 R 15005 0 R 15006 0 R 15010 0 R] endobj 15005 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 271.225 122.692 282.225] /Subtype /Link /Type /Annot >> endobj 15006 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 271.225 160.653 282.225] /Subtype /Link /Type /Annot >> endobj 15007 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15008 0 obj << /Length 19 >> stream q /Iabc33693 Do Q endstream endobj 15009 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33694 20830 0 R /Gabc33695 20835 0 R >> /Font << /Fabc33696 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9FGKHL_%ee8>϶g5ykEŐ̷|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwoQ endstream endobj 15010 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1351) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15011 0 obj << /Filter /FlateDecode /Length 4820 >> stream x]K Wmmrrd7\/lW11[m)'Jwoaݷ.L|7$i7;kַ:>Ipt\>,<+9v0wbvB?uo_w!vmg.h_N=5O4%kgGkh?lL<}aδsЅtHjsN^jp ;h \#҆=;=n =Ekqѩ:? A}ߢ~!wF~ ~C 8Tb@%O0\#ȏݯ/@vG~o767:H={V#?iJqD:C;m6m{crtY+z?4k/#ZT䁂Nc-粐j&d娤R&^#+ֆ|vm!_2ܑC @ρ?ݹhڱo*\j |˯bT8)ފZ^YN0}AE6g[ۯ {_cڏ㾏Ek+Kǟ+rL '6P [GoD`X4rt6{"ƾ ~' oy~cc}qd}Jȃ%>:|c ľg  8Ƹa'^#ωׇ[P 84Ujb8ֈ0O 1W|oѧg<{T[5AWLsBUu:^5JW&ת z`q^}nj Яv9M 4@#~s8Mk8MW;M-$;SAQ)&(So Svi=(7|_?p842kCtۘ8Y\l!ЬDž[\x^K­eو&bZFXSՈ ~æ)D-k-хʄ8&QpS|eeϽ(ށzmꡑ0(.rTEqZLK2#)6 3oE6\%oLbXZQh.𬦧JshnEN Qi\JI'Ek̰iyN]9_/ ϫеKvVcTL^ݖyWҷ+gBZixA5+x㜟9XW<)Eg!Ϊe|ـ,|#' sw os };/;׽wмIgCC01Mh/|@U|.H/s` | "Z7E=m[Y&&2DFpw4DCI~:mD\?:rg A5VGNuIĪʋEܸ@*K=.z}gZ{az`զY Ze ">[謦J.t\RWUSZYi.>Rf+*׺cז]KTp1QJ{dηđa1rPsԶ 3N/\.%BL޳$(us>0}H1 sJ3OK3Wo·=]b *co җqBT:4uܜ2>1IӖteLRTm5όM(R2M3:klwc375$eu1@W%)Coe DiE(vBP'+m/1>fSc0fnX~CS'+n'CUpװl] EnP]â" 6\LVV]RXj6kXZn8f7Uf VX5Eu@g+"CùWV,>NS%85TI^5I]xx-шbAeF'i9~5mCE#3leZ|Gó2v%MpR;+p؜=v'5["mSՔ;Z#^ _TlSe&:Te8eq%8mJ@,:Z1R'u9'> +uO<}OW)'ŗ6AJ-w%wlW~HŮă 7:w3sRݽRsHu!u[`An *mPS[}@o:n??*u9PρJݸ~TYP[&Anaw TP'} PJ͟>T *R5ԃVT *U>>hEUYQ.E{6EŴ6 cH/@d:c3 ِI[0m`P'"o_񋽧/#IOƝ{hEETV|݇vSq]D|ܮ[>/'_^:;|JѓPsE%߹m~[Ras?~a.=ۏnOR>yOg>qJI zn)p6)x1"ۃW`k>!'/̽qz`Y#]@2f(L&=+i g) ;O) Iq-sy_aaT.]•* .7#qxj,RKu^IƽH@9'4M)-# cjQJh5QOfXLɘl/7dCcS#2$LnRt}c;1Ѓ%0Y4hvLavC=lx ;La.})IḬu@HTŵꌲ؋Ҝ\xD&Q]b'9kΊ@cS>c/犘 /%%I.6G+Ub'bp$.%Xu;%i HS\ CoÕp>H n)[%!RR){GRD1"R,sSVRTr]b,͙ڿƹ&#U.[ҐQN]e&_|;*!Tz`l®͉/3D_Ϲ)b9̧fFqTmecfxb ^WªJ fkT%3νswt|-%sEΜk7ՍM20+जgrp|PBH)`NN wǷ*=% |sIlICyd\w3 tfT /V4ႬӊRa_,J)|$Nɻ1fOUB"36iާ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33712 15016 0 R >> >> /Type /Page >> endobj 15013 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15014 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15015 0 obj << /Length 19 >> stream q /Iabc33712 Do Q endstream endobj 15016 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33713 20830 0 R /Gabc33714 20835 0 R >> /Font << /Fabc33715 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQЎYzrv"i,䪍A ?wG?{`%( Zn\fsK  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYu_//d"Xl˜5_@KiܟBj2gԜo.9cDA ^zv,Q9 )evP(y ?`E ۾{w endstream endobj 15017 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1352) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15018 0 obj << /Filter /FlateDecode /Length 4367 >> stream xˎίsuff999 qzƆ,,VME-+D|gjqv>/,J-?סƯRS:/ߏRjDvo$ၔK顽wpO'k'A۟=Ey`iGeIfzZ%Π 2ccy ZuۂDɄTOBc|-m IoW] EC7LAq:J'~ZI|#_z BlQ%q4"d|!A%~3װE;ؖ^I$zSSZj G =;!3 ̌RUF*:J偦}ViyO]MdY"P!$j3z7Ǚ5(06 1y#lv A>$j7LߓVү=YN#: kFƋ怾 nYb;+{H&* BfER`)q#Iq+DX;dV*d@, ZN 0vF@Iidzv(hvp1tb0tVo&*~0$-lFC5 4.Iڰ*A} *]jxЅe sX), *TVc]|]Sm~ZS|_Z>\= D!{G:xp'ۡig^~m\~…Z|5v<ٜ3,lZq>'&\`ӻ,{=AŠ*5V!SÞe+p58Yv!7](4DӍo3G kw82ܛJWM{0ZA\( GL]a$γ7kn&x_5I%CII$9^sD LX{хzpؘ#eٕSlbqHr2u4x.9*vfw*[\)lͶj5C L.6^9x}"SgÓMަUԝ[+.\$*z]k]x125N&̊S҅4\#iC @ f>[M|@p !;DbHPfBcRRϮ<( Ch={<0f$AXD1l86Bl mqG=XyT]Ls8[|r>b>n $,0HBRхҬ+;;$ve)j;|-R0EF&KqSYSYi&(o#XwwRX]06gTүɩu@zqǝi]SIbYvt e}TBX|2%[ZhEGKXj0R4[TVQnj\ gS9myÕ^F8 Dxn [f"̼YU ܬSd e!(v[miY](\Rn>svzI2 0{v ҈KTG?DhX؎uaڔn U]څB })hROط [)q龎-G<cӯ1(ވqE+@W#L o>VWD4e(Vei4m1Nb_2vij= sxI26z' IWlapxp6XBh͓QeLВ mk1:wY+6E@&vJuE7%.`url_}kD#QƸQb}Ā`ukxyE}cV37t[m3;D12^T&AKZŵ)G+)$>v$vL½gѮ.x ZޜVr,8 [J 72 d"'vRh4S;'$ 7&ƾ()|k&%ep zI;]]PkN&dŎvM X+,^7BI{ګ@qd;+ژ$Ҵla`ڝܶp TTǴB>ۇcB-Y;n<0qC澼&sO$9gKxh.oY1=0ʼnn쵍v/幮p&$Fl$mzt0}FV{Xw٫efb9s-crԖ =`oC \Ek$ZkiF&ptr~}=cqbl׎}0O[c|cX!mM[=f] %1Wx;#Y:V(?7N8i*@T ̚~e%m|F|qRA/Ams X֪N:msKgeYцMdNg|\=-&۱]:*$!)ϜMNgH֭19BDܵC58}|Q~L gm3?O;gkW侑I򥩶#-y!{lTX},K? 1r;?d,CVmPU;,͡ᅣcU=ȋK7= SӂUԫ4[)*mMO!6j3YX?/1v5bt&uL(mVb$"SryuW8dmJ\5W߮Y]#vxL7{" 2Ivkm6>l#.[sxi64(P#ϤA̼F64"`z[wX\90厧CkfEOKj4#'}a5j<`U ]wzD3֌&&k#KaP6LT NjW\1q1]#4JjFW >83 ;G@Nd,gq&yKQ-˖'5Ľy¶5Ş=ȗ;&}Omr|#+?P~UʮA;?-_Q;ظ̀UmFmd(1FѪhwd,1lx;~Y, u cׯ|,]X~^>!bnT Ў.nfعu].b./wc#w ϽduEX Gt9LZ4!x_DDί3LSaJB !AMl0;?3!E3$p!\l4P2{A<WXpA)(F`#r!:"Y];֚LH!!Õi1#6\=VIl-V|pHi8WY !\[`SiAIFŭbB5l2Lo+Cd$DO+LHNm{X'ڟ˓㣎u46xX'VŬ4T:= FϺeBm2Y}HM,ɧw>3ǹQ-G D%E$Qfl{~ kysOMbO46Rr#ͷx)YroRˑw~-xIw=:j=pxZp > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33731 15025 0 R >> >> /Type /Page >> endobj 15020 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15021 0 obj [15020 0 R 15022 0 R 15026 0 R] endobj 15022 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 202.7501 149.6802 213.7501] /Subtype /Link /Type /Annot >> endobj 15023 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15024 0 obj << /Length 19 >> stream q /Iabc33731 Do Q endstream endobj 15025 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33732 20830 0 R /Gabc33733 20835 0 R >> /Font << /Fabc33734 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$\ endstream endobj 15026 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1353) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15027 0 obj << /Filter /FlateDecode /Length 3753 >> stream x[IW<@$= rrr Iy 6dfuUu-_Uo=Wfu.ݜ4^Rۯ{z=kâZGCRfz)m^V:Y򅯟06ӿ!,>;t?>lN3M 21/؜i7XΫl4<ϧI+pT9*nr{}>sKpZF2@Dkᣁj̓"o|8rG/)g .B[#µ B_Q֗kzj@Ƒ!BBAI/B̋"qhXw34YP{M/P~f)ZF>Sf>̣ܳ/A-td5Ns>z@5 `,90j;%(QTxdֆݸ)h%}Uv`*ҳK֦s]1R E{-2}Q# 9 ӂPuP M"jYWv6[YsZ?oԤQL\)6PCKQbs1=DU9M7OTk.ıNcmIR M^tXjwML6.r }r^I Dcdʺ=$ 862V/a1o*~cNóUZ4SB-[i;{iܧ1בQQ}՚&qTVT4.zdg ̫(V !7HÒk^apxp|0&tǷH'-1rkN$%h˰-Q3%0[ e`$tjQ6[uXkL-’tqD B:,}xF ч4>WJtj,&XBk<|;v `AL;R]ϙv= |jt/^CA jfCFXH&>@1E]WnY̩NqM8IC|XHs(x@0/duJ""W*jBӁj:~CС}7 k`{궻bVuٛTJ"q`n7ҀBoY|l\Z0^ħ񲖎W@dvd@ֳL "6D]W=Tb1`*Ϥ^ؘ ѹV1xlRnS+fP#KmHJ^ ªE%b "8jJҀI8$6Ǵis&tcZ79FTg@tR2Dɶa-g\x}d٦|:{sp݂A#-iȰOCJ]Az@X+,o:a~D=X^iWI\qp)wYyy^{N{z؛.V%(g~v77e9͞ .vGQfږAC%n~*6F ."_n]/rM/}zm}?95Lxpj16Lb3ƃ8Ńvx=;hET,$C=K V--z޷4J<+Jg=9 <e딷/%'k[u P-a滍{ 5@h}:&7ƯblD5o4K?quC W'ٿ<7bߏr6~o"DP`ÒʞRY̙:/!pO!oxoFY-lr!gb2 Q.D ]ЏpC$-F6 "66mA4GQc;=q-5hNZu:OLXq뢽x plx-푼NKnsFsF 0ױtW;;M^J W QmE:n+Lt;'9t"#:7q9ZE}ߴt޿zF|:nھ׎n ']tN o &^ء3 q{ OTD<٨.֍*'~5 v!,ݰ"O{"",LpL!` &8,0b k>_3gǭndquvvGcհ&*JP%s7JFdjG +Yv4ϣIexwoleݼNZP4ZՊeu[*I[TRP/4|OVR#[Հ& g>Uo͢vV (I@3Dv_P7w :,:cO Y7f AJփZ|V0Jp>YwLu01@ li bB]į[0Smf<渘n6K*fBxJz"Ҟ^Y(>qSr>m9'>0?y:ާZgn; `P8ܧbLOn2lŗ֓{Ph* 릃tZLű׷_(WDnW8 ri Pv0l)S20 r#H{D3`NvSMm9%+ݮby=]irxI+Jsy2#z7RzdS_ٳiGX[Z4uE\8&O'Ke25O&KBHGJ ̊͒GDϕD,rQAn%#5Bתw f8@m{s|->3&-',J"k.۲>m|:/} gDmXHkXUB,~\ P2SG)Z@5`yScR?]Dy{nT.Ds:7uPȲ, oc1 J,=ت0#d. +uK[0zT#쏢𗺱ݚGK堣Zc endstream endobj 15028 0 obj << /Annots 15030 0 R /BleedBox [0 0 612 792] /Contents [15037 0 R 15033 0 R 15034 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33750 15035 0 R >> >> /Type /Page >> endobj 15029 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15030 0 obj [15029 0 R 15031 0 R 15032 0 R 15036 0 R] endobj 15031 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 372.425 122.692 383.425] /Subtype /Link /Type /Annot >> endobj 15032 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [128.005 372.425 160.114 383.425] /Subtype /Link /Type /Annot >> endobj 15033 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15034 0 obj << /Length 19 >> stream q /Iabc33750 Do Q endstream endobj 15035 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33751 20830 0 R /Gabc33752 20835 0 R >> /Font << /Fabc33753 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7dethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 15036 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1354) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15037 0 obj << /Filter /FlateDecode /Length 4549 >> stream xnί9@kI$0ට$rrd73ԋdQRmŮERI`2mv8mW})iϯowΥtoQQQG$sJS{ع6Wwl!\l^~#:_2)7яdo wY`~eٍӰ,2ٴ KE1xtn &{tp'y@CHA$"T8ZC@ {e~nkW ד+0, ؀P. e0x_|!qS9{>2g< 9@ɨleQy|Dsb|OO$ ̤8E|~?E|b$Sy#򎠦#3}*tck,ηH*#~k+ TlTjj"Xk.Z̺VEoG-cn*foPRw>+u;v932x4YoǛ;߈|xσnp^N؃#iG_bm+Pᇰ8ug; '}'ٽ# O#A!qi+Tj6? NDCca4x:p&8@=4d~"GA@ 4gKPD5x&z%hGJXkiajxniw{Izrj.)3v˺~T G!eě>6HLq9-&Oƒ EAd@=kgE$PǍ[6zSJF7)U\О),9i@oi ƶ:,n2!;3/ݰޤi]Szh&aB&a.1t= q d&$ReT585;܋sS:nWfCb H"*#Ҏ/]:VZ;͗'YjE˄9CXýj9kœ]JP5w(Cӥ)L*hgSUov 8[{1\`8[]zfMY0\EkiKAI) f75jFIZRHCE_sn8EJ]h +w2"`oE@w'nBi;]T,)Vڹy{d?Nw'd;Or'w2w>ɝjydv}#dZv$w2JN?ѡ̙n2gGOp(O8Cuáp'K?zߦɼj<(fySAq7ޏu~ 0g( ۻ&sɉΏh1Q+fS=yOիA uR4 c.dtj+}CߌOHm(G+QPuq3YV삪0B'n~t-~%" _*G,U?x~7]t"- 9Igczy ,JP{og^~dq߻0!wZ$^5+iqQ E4v {1*{35Cm =3ve=-q|)#Hy-Ԁ:Ώ&$ht@񀏚x'X RB:8.5>t4g+G96Fd/e<;ۡ(StFX;E94=ڋ%mmMajGۢ2{TDreasK;Glqs9t$5^GwΎHsUḾQSc<2:VN ˜{F XdP4qsqJTmOK6P:[T"~+us(fzjt'Ҭd|tm>MaHD\ӀTȂo&U9 ;,_/y{>4zu^,}sVkF)R!SDZB`ffѯ-Q ~TCe w Ɠ= eg\d^BO>nRj_k~-;uqAr. Ӕ)%ʈq?G <Xq8>5}懖$2b%"9R_ߔoJJd>\4]j%f!nƵhj79ڸh\@JD\n~^S^적]~([DKSb;<*Vs!,$?hVXx_AAJs5J/|CdW, 4隖ֿyOۭ (bZ!psP63v$ՀQ f֙x(:T &(_n(1b;"%Lo$vƥz D ۨ Ϫ?hD2o[)+^5!d4QFYVTA&z4Nmh*v^X L,78^ބ9R\2U6BF;b*{?=Z7֧W}5_)bQ7Sօ!uw\8J:i>ŐǺyZT} Z}{^w8j}5SR1էVǝplW+`سWL킍mXE9<=~W~k&|,߫P)*IO+ĽÓ;**+rTg-p騾LQ>wsںB!8g}D#֯muH{3/X}hbO|./yOEx&C#=d [t'=+s%A/+u endstream endobj 15038 0 obj << /Annots 15040 0 R /BleedBox [0 0 612 792] /Contents [15046 0 R 15042 0 R 15043 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33769 15044 0 R >> >> /Type /Page >> endobj 15039 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063033-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15040 0 obj [15039 0 R 15041 0 R 15045 0 R] endobj 15041 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 373.15 195.2587 384.15] /Subtype /Link /Type /Annot >> endobj 15042 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15043 0 obj << /Length 19 >> stream q /Iabc33769 Do Q endstream endobj 15044 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33770 20830 0 R /Gabc33771 20835 0 R >> /Font << /Fabc33772 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{w1` endstream endobj 15045 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1355) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15046 0 obj << /Filter /FlateDecode /Length 2620 >> stream xڭZI$W<a AKՀom ||*ǘ,Ceߦ%"L!m?L]笎A/ y]_-|{lDr06-<:c{k =<]"͛'x9X PpƆ>p.*Q;  ;`L&QC?u889kl#G ^Y@".6p.&*0N'BEД?ɺpTh[- t-o3=2^|'}" \3VHj?kcI6- ?!PĦ_2@6ĠfM& ~HlL HԟF R -筭:~޴ZV0tgt>UxTˡtllv@e $ǃu~.*wPc%]܅'@a/F6y =DܡZys/Qz=bIՂG- l[L +N㐼ßu~Ne'CX(RTݲ S]ޯ("\FIrhZ\ 5 W NMB>٣*wI< vҍ6Y3E$ H8?9ה=zjcA^]kY䥃ކlxz9})Z}-*W(VrSCGLlҮz3rYBGBi95٬܃S*Zhm7њI(y-5?lc2Ō0zRcm]2NuU}6.z9qݥ{x"9uO $hLnH9Hfv>|:#DxLM p>m6ܼ*e[aasDUՎDN{Hj`򊕻 /1.Js]tMj`T%ePgJt]x3x4+| $*JZ医N d ~@+!Z|wv|l4A/ Y_[ɐ_V{vf9୿^_QpssqHfݽbu@4EĚ=<0CT\0>`((#-MHw"Z6:w_ L&ogpU 3p$*#[ :{33x~(ژZo}u.ΏF*66k_9rnU@l G :YϸjWk;cqte *=Q+@Eelyo|_1`Ww֙27i؝*4C0=| |Cupb'Lq -k#9rC lCh°e1mdz^oj-Q4DnW""9]%gMnzʊi%bzEXl- ElD+&kʜd3 `G }7g1nF{]`RڲigHRՈԭl53X\guC\8ڒ'ߊ^^V /SvTUi r@`t,3^m P߉"#ϬW`O:RijDBƸǣamS%9Ϥ)ݾF%㍨Il ьLmσܚწu{L?Xv!Dsf0E7;) Ǒϫ]gFЄMp1l0qܚD\2\*d;A +f #EB!ċ9R7ReW~ rZ2RʔH_ˀKl.@/O"P,zN+ ܱz?i{?/D6TlUst q^)|.}3D1>:Oڋt c!ݴ*40 t[F UȒ3О>?^@̟ G5N/ ?5Mx0rQ H, endstream endobj 15047 0 obj << /Annots [15048 0 R 15052 0 R] /BleedBox [0 0 612 792] /Contents [15053 0 R 15049 0 R 15050 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33788 15051 0 R >> >> /Type /Page >> endobj 15048 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15049 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15050 0 obj << /Length 19 >> stream q /Iabc33788 Do Q endstream endobj 15051 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33789 20830 0 R /Gabc33790 20835 0 R >> /Font << /Fabc33791 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQ ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15053 0 obj << /Filter /FlateDecode /Length 5381 >> stream x]K8rW@|4 `onçF}G(%)) J%?ä$wvjoVm>~RNG*Pw*TTwIG$TecgIij6?ط'Iwn2?PW.~脖z_%^#Ќ4ꥭ,N۩"W9@~-] |ξ[8z! k xx^i"划F| n2VOowBvDz=w_ohbd%JL 3Y`LuffQ.޿VХ3}1}5|}B?w\@g[87]ܱT|ݵaU?hK:zpubF@WN8%:/ 6HpԞ5=`yTЈ10 MHRXٱAu-Ts}CZ{b@Y"[ *e<$ZW&zWƁ$߂ ~z fnK^S{{NƽUtItpAs?O'ߠB{6o><;Teq&谂:E{ppmL^I6A풔;ؾnA +Z u4Iҩ9gĭ̴9|׾e26h3fM@rȹJWuzl#< #cÊSkBء[^hy: HCL% 8&̙ܳEְܰ%N Աr𒕃$>؉dQʗuqk}߾m 1vσ}ϼ{Xz4"ZuWzv]b΀IZ~sgAv~pc0+C*fIyFp%QMܱ~ Ψ6s@|"e_ɓbB G }1c 1oBb2< h!yFq`͉AI#}2 V+3y jp4nsi" C0@Ρ*{;dڈiGD3> i1A{M}H[lYqZH)c\.4&srJł"LS8{ѬW(ղR_90]`Z+ψ٥lI[TCYSP0J/+-/OeǕB*A ,zR יrR}yx)jVfSr@|MzqqlxX;x3X;ıcۈn"C8qq|ı=sHIp˘wE$)T&'N\X,Qs'$z%ؕ67Dqٚuq=:$55L/%߸X *$QnRڪ9ykS1& DQTc؟/~ƓH]W'4N7!ө k8tƟM0 :DgYdž,0zff7@nȂVn8 Draɚڗ!*t,box9V_Sh~{oB>u<3hc&7kqӴS-6]L{^SjT )4Jupb:4@,̫g-/жQ%2<JY=@t)݃G;tl7`cHm{vStc/j>,4RR/)PXF@3*@uk{]x2 :xƃ]rKC`ny660{h:S(897]Me"poM:_~ LI!tV5[gdwr\iԏU^B~sQDTlvjv#wfO*f.8FG<Q D䉕)ltQA3q.MLuZHǾ[rf`R]=miMI͜BjGU?[][YsXmI||VXr MJoeEqIq|Ȏc;>͞WLhX#.+P~s߭qq p- y$7Y.¯\mђԄ`* bo*X% aa&nx㇫0hd$IA1c+-|)Uy "-^C бǹB\J;:1*jqEdΒتg*<Gr% %n ^W01R\χ| F٤tIaׂx]YJw-C 4X}}f,1do)+GA:c#P2%42a.%H soVsֳ*M%Vhs\?0d}b2gbJ3K`[3j¶$#)*팃KQUL0XЛ2;j0 nc鼌7cQ1ibD؜aJ3bTR$*6s#LQ@1Q,b4X T/Q8md,+LIEK * c9YzrN49(_Y8) oC,Mkb%2}39,@K En`Xwj RYelL. sX춨-Dgd~,\PLfʈd3VȕąO}֮ZhS!< XoE@x[Q"6.V5Zxi^8Na8N81'~GA8fSĪ{N,{Ĉ>S[;V݀b+@:Plԭiz fOO<=O^ (q4ZTCZ|)NS@3DiTh$:SIԙEȬdQ"M4YVPTp(d- >]KPD)gn*YՌP7_bk$]>m$Ql3b$>%Ql3wVNV|(VK)'o)֖7)֒7)֘7ok͛7C![ oC˛߆ۗ7/s=ao ؾ}l>vOط (vOwPްo}쎰o}lط(+^I|>vk;v[^}goGPCgS3A9AÉtvnjF"3JgTDC)ꌊ=8(Q(̨3zqFukcvܜHS1*<;`N5T9USTi֣si~9USTuOS^`jS{|W,V={|wAKۉGs%ߜrz`أgeHwrp@6?Yu7 U*Qڠ)ވ0^ GzcG |-A_]t n $~F< ]hU'S?P~sP%i뢁HەX k! /-9I|y}XEWi[첅0xs(k0}:=aAg(M!0`v 5c&6͜'ZJu[;+Œ4:fsG|#64K"S;v%iPt.ZNN;1"HH78<{[Z섻τc戍4oIߤ/©Myĝ W[%];?2@ZVz" 3˟Ng~Ή~==odKq?L_^sCDa{S֓B:=_˭{g9bC endstream endobj 15054 0 obj << /Annots 15056 0 R /BleedBox [0 0 612 792] /Contents [15063 0 R 15059 0 R 15060 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33807 15061 0 R >> >> /Type /Page >> endobj 15055 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15056 0 obj [15055 0 R 15057 0 R 15058 0 R 15062 0 R] endobj 15057 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 339.1249 122.692 350.1249] /Subtype /Link /Type /Annot >> endobj 15058 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 339.1249 160.653 350.1249] /Subtype /Link /Type /Annot >> endobj 15059 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15060 0 obj << /Length 19 >> stream q /Iabc33807 Do Q endstream endobj 15061 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33808 20830 0 R /Gabc33809 20835 0 R >> /Font << /Fabc33810 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`ЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?-" endstream endobj 15062 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1357) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15063 0 obj << /Filter /FlateDecode /Length 5339 >> stream x]M|ct#H?$ O]966;_m%3\J% /lW=3I%+ҫ_VR[䈯AI``H[B6|%S)!Y)/@o86yM%KLO03!l7:ʑP^_ 2]UI\c ɋ%7|sz ^u}wc*2lPUesK~)4Pcn{9Oا!;:߅FV dʸf2{S2S.%0+1ODi*j#0wrg6On_P_H1xd ~'ZΥ|9 ݑT˷vb wJNrwT*+LUG5CRs ת*dy:4gdLI&W(QBpkWuExh̆ǻLWXQx8"dlme!IY>ۙ:B-w(@wij'bSwdIUUU,g4Tv"1`:&w ʖw5T̗Rnt5g[n˸~zZmv4m]VnU ْNmn*M5)W ]̑EI߆_Kvwokg$&Q&)N/T^YM|J57V<U;:8 H5/4ˑP{y!a((p\>'o}Yd[syiNM60L:N[h hK EU&ċyKe&n.>7}OWwF Vv<;).P9vdSߑ[f-d#%I՘9`fR3|zz@S)^_-c-un[`9%({/T4v華 ]:m8y)/Iu# V:-l,b[^hKF=P #PÓ*(FZguF+s0ɧ՞C9ȑ9O&wߢlۧ媻Sg!KV!5^n"$aݹhZ-Qjߨ> 87| ?:G~o4P'4_?q A L || WmviEԅ**`/8PO;1|Db`o (LIbӯuIDTe`ERQC]6Ҙ҈KF;[EXУ ڴJt ^BUĢ@|m,X8bav<ɃjvYM ur6k)7I5F-MRj-!\qrT 83*g'VWX\B|TTnPL7r6b\uUk:"RwRl.hϕ)-,ÄPGki_dyJ4p٫X,32Gk.%V!{U ұ'`$OcKڎk Xm*t$fu\bt"58EW*6m*V]>Jw K'JJiUQ„Bl0V^Q]b}G1U* e!+pHEфMmDBd 8kX U P2\ 4,.sm5E+a )Xj28Vzn(f3d VU)]E_]Ѯ^v9,<4+8 0w*v+񲦂)n*$ZPm$ZJ<8/P<<ڻ@UPg̦ {gSw^ϛQZDDtqPU0Wҫ' D [IgM8/J`2n4/ [{n An5GV}a ?sTOX040xχV&;Vz0W}?o2n 6k75^X}~:@{b]1L[im&|,J @_n&;}IsOvm! ;o@Z$bi 0lgtm#rpCVF 5B .qpQ .^1Qc gAzt '9#僿Яd7.^;Sc6][ z3f+E~]U_ڏ=օW _x E&O(̗wYr+ъH)IY>62Da7 W ΅sP!7,4\t۷$8C,uST,PjqoU)$Y 'g_B"LQ bFV6 'd1Q_+\+d$B7]sTO&Jпv5v H@qi1K+^, 2V+>g=⛋Rptzviz!>^$0vDh᥸$^2SMۡzT$Pc^cN‹3g{QWʂ\+я.YiUGή;X7jg[_,4M$hȜ\W $fq+1=@b_<!0yL$r&Xl!vc .yؘ&KZejRDNsV߈b4yRgҲ %=[tPs=&֏}+Qr$g`\Hޥg @=SP޼~mJ&Q9SMz  =oIq-Fis#7n(H^ @> cK DdiS ˢ 0:EVtro^jHCvvIe'Ml;)E+LsNH @HH E'N|TA+@ԯ: ƗrrKak[PMyFv΄h2pA+nU2g':̶r73[gO> ¤vVDn &;m@cdJ.1 ̧5Ӥq=RQp ֳ·f~:Ey%JG|K *_av+PǒVKZuޟf8شWi+ܨ||k;tsaUS<ʀ[(ADS| $aU .ܸi[u)8>RJbf&} {nj0| ~ԞK\P6HE$mdv*mW~Ls|yMa^,<ԉ[ΛvO>MBDi* Qx:T)=nԤFNO6dt">id\Ҧ@assIuB41 $#Hn1A fV8@snO7 e8( Nb:C 8H+Ԅu4}بTqY]S8-i]çw[{ wO52(.}ffR梸::6%SS|%8S}+BGGp8ġQ H,3bOtI.PT8@: EcYlsSEBRK4PIJs,*g͗yu"37xh/?Y\ֺ%#&(1_]q,V+R,sW+NKQyNl$Myĩƃ L.NL,{5&=z[sfˉ$j?b)Cu !Pa4VWV~'xf&in5PmeS_?RǟZn˗ M~ކ^: pФ b֠ ZjSDH#qO^ؤF3W|>O ׫9FqA@OVcFepb$0~ׇb<}L^gxT-}6s`Kه&is^`O=/iv[\jX}U-~34_mLi/It*n)-=Sӭ endstream endobj 15064 0 obj << /Annots [15065 0 R 15069 0 R] /BleedBox [0 0 612 792] /Contents [15070 0 R 15066 0 R 15067 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33826 15068 0 R >> >> /Type /Page >> endobj 15065 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15066 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15067 0 obj << /Length 19 >> stream q /Iabc33826 Do Q endstream endobj 15068 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33827 20830 0 R /Gabc33828 20835 0 R >> /Font << /Fabc33829 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VEA ^|v,Os(R.*x P@|۾{wD endstream endobj 15069 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1358) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15070 0 obj << /Filter /FlateDecode /Length 4236 >> stream x\Ɋ$WY1/PTVVthAҌ݂?|\{ ٙܣH_!ץSKTxJ*ΟfQjK{UJ8+W')z]"|R.[>a&\>E7Gun,#WÂu+s |JبW^ |%T1`#ߣf!x֯ƚ<z.d4){܎$r!ר\Jy7,0, <.r5ϙiHU怢 )rbTC<9ӗOx>2^sD֐tj.̈" v\6hMs1QU H(Ɏ@(](E(ycBq?&iY{sa=egh\=*7_]j/?3U  bKqhHd`A4w_C>8 Nc Y91*yFr@RߝLskI3˺EC'm !03C( +Ɨx1/mڽeoSBԞN ɗ{y)F 2_&ۜv}|^:]";gaķ# %<9^ x^#;yb3Avw )5H"kq?L?Jk͊K6yQ!a]LU]U`"pGѪYm~fOI>5Pc C>/żo\;܁j 6]F=yk:ig 1TjUCc}i"=qdXAw1>!]а yѻ#s38N@Oҳ8>cۜ[+:r4Mn;ZX/m- H ĔJpGޘbJGʦy{욜;o)Ei1 ]>:[WR/e?^)H'@ȀqKx#]!6Rgu> D_7UNM9 ApS>tp)e\ߑSv.G6ҬnTt*H3UP]to}X(y1l6~*$NzզI |zg>ΧwhR,+ci7e1 `.T(zɡΥ}Lrc5 `G޲b_78߈0rǦR2|^aqjRRpv՜^P*b|H X-_laM>>UUג_m.S,ax:K׹U8:Gxz7)t{tkkamvH5v*%_;դUZsg:m;#1ٮZ^S7Ԅ! PkwMKV%s[]A@`s?(9C,^Ϥ(kunSx牵~m\avlY$Y oF%R&|Z<|a gg,xju?b.8ql" ך1nq(4p v! F?<'$ 5nD&ĺ&>#]3#8(HscjPmv}P}b ҶHta%dQ`|A! + *ou (@O0?DWd-ymy)FW?B"H=B @"rft+2c'@q[U[Y&7!p ?S?:h"<% ϝsc`$䚠xߕ8ҼJy:t]&,RV`P]oXzao ׌PWڒ_ ymZP%ؾ(U·h{.2 eqOZ|q*T;lG{ Ʀ^d m}to־)UPN-7 JCo7l0'ݳe柮qD LWA9RL2ʢ=$ @Wִvڗ R*;4 :B,Bف"$^u U 6bt~_G^tvwjT 7_BsMMYרLo+:~oO-w{U@G,,D1< ;q/@TP fŪ6mAPwˮiz~۾ܰn'x~ftz>ϲ+.ImMѣn=hS2=$M[+; qD'7}c#NH|-S}t-4]^+3n! wϋF qUҚ>H{OMR,~2S!s~zӻ r\ߔwT.e'z;[30&n۳Ê{: $+'p"DڽUMB.6w[>- hO˿oYCHNGk8舝lmN#tVjo]4]V>Ƙ[&,XD@*/ Wb5 ,Z_U>X,aT4Y~Y~ާ}m@vI8CŖa{bf~]]ܔz6?pOӺU(= )_ H |hȔVIՕ_k i͇`P6/IjMgiY,/?Dg:I䦉xQia "'ܔa=88e bQXl:C: H;tgWmψNc0Fuz 5w3c#7<]`whzrijצTb;jm1 '[/Ei5ݚ98suٟ  ]P I8ul2s "@ eH=C_Yg=ScuW3'f#3G_p.h>ti{W#gT"]}ppy;IcGZ24_LK{Fv`t:5aJ fzG&CQky* %s{sx>RƓ#9ѦM~NMvZ? ~0Gc.%#eA\i[?|cH&-׎,H ag@xw&:N}dqcgr"ͫLq2h8=P־qkhoOǐ>wpt̊]%GYywNI]s烘?_mg7$Γ 9?^!~XZ4@TFAҥpo|Hwf!%(:GlU)q9g/" ՑgXho4R=|_*c{l*"%d:PLGomdG~G1LIV Jfw-=?u endstream endobj 15071 0 obj << /Annots [15072 0 R 15076 0 R] /BleedBox [0 0 612 792] /Contents [15077 0 R 15073 0 R 15074 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33845 15075 0 R >> >> /Type /Page >> endobj 15072 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15073 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15074 0 obj << /Length 19 >> stream q /Iabc33845 Do Q endstream endobj 15075 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33846 20830 0 R /Gabc33847 20835 0 R >> /Font << /Fabc33848 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ R4çCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15077 0 obj << /Filter /FlateDecode /Length 3719 >> stream x\IWeӳr3^b?6R[`wS뫢Y4sR%e3xI?.bpUAӗ嗳6n9%Yks[LƁ? 7yS&'m\A .Y{U ,lR[,͂Ǧx1Vp\[ܙҼI ׍S\>}9i[N֬ncQg|ԁ.?N*f^+G^~w=!X[d -̶j-g2*w & ƭs`y4l & ñK7!V9ovMEA.?@F J(A a>TY,HXGS=ڥU_^n!Nz]k >oٜX0ݡ2ѕcd*bO6 T%$UNM$ {zی%AWi4ܫ[tDEB e-wlDiEc0 'N@PȁMFH\{I$:-F4/Q%[^+^D14zh kYĽȸ5448hLKf+jw@nEU@$n%-s;54$V2_AQ8]lٶӝ70ji[LsՃ}.ke5` HUr B ۚӦr{(Z7v2X4Qii{_C*H Kaso@QUJ+<I0n^wW:SU~cIT$&!Ҍ@JPm.dCw1$jRh~nM+ Cb[:]>(}ZfmV)B5 5`DnQO4ɮq8O}G.`'W$)M/섢ܢuqTcL -S9  :KsȶF sjnKOAc\w@hN]S 0kA`?MH#Ouƺ[Xh)X$Z0WCqa|9lBLQ,eįAUz=p}Nr*mMu B^Q+u3!g?C:@ i ΁8~8nՖ E1^KáY\MR(Ug7[0j&Hg֣9bd=}1) 2 3b)ڃm4*Ѥ!NU,h0,V!i);o}@Qę4 0sv$Ú}mn,3>42ՐIztrC]N[[[ig\ՑIчtp"O:~Le( [3Y%fpKb>L}Xl*~+Ԣ~?lY=KW5D6oqj#b]mp24[UͶ ];%iC ;Eޞ7eg~i!صTz{Yοw\ .$eAI{kQ}f24UL#[ҊDwV ה̴@0"+"ۺSɝTp鎈gѨ"$ M>Kz1Eր(W3[J>jyGE[鋌G ဃ`#xMl  t:ynYQLskڎ?P&[ UƇtִfwI3(֗YBwɌ,CZfӝg|[DŽJ attkpv6w]@Q*q uw[I$/K-ƘV^q'e/ѹMFռ ՌL.0df\ 5Ȝ Ʉ E]IJP}jݺAݵ*Fd&4K9a] 6uI0G>>ӟ4 &&nqB=AUV^/dy~o{C hx+`^:xJgq*og uvwšM#/@T{ cT0ɯi(/'G{s=Y:cOWSE oC6t?P[6dcsP3P:)rS t 'ϵ_LrLhGC -BF>}RR\ux|vG] ^ŭ)`75 N>z+5D#ҫ>l%U'saaMK5 jNo4W &(WÃeIMx|2 <^A.!e04uiEK5$et}qV SR/7S/>fϳtGzULm^յ;= a_ q3aCG\Ǒ_5k(Z2)h" 旅tϺu6sX/Ar#ʺ8ViENP%%6)$f7SIҮ5_WT1#gz_\sEJ8qgn"Mg5 S5Rg4*BG$/"Mb2隦?SfIMK(b$Z Wd|WV`ޛGꀷInm~SY2'!#J -^dČ*n1)4Ljwt@N_LM !#D2v\KFV2\/6/T7ja|G.Š-dgh4O/Uo).*l[BF:5fU|W41݋ǃ? w~V 26B?l 1= vH:= 'Oq,_d~j oOx\Ygji 5>{eV@?{" ~2>H"e34]hgfB9ߨ@_> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33864 15082 0 R >> >> /Type /Page >> endobj 15079 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15080 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15081 0 obj << /Length 19 >> stream q /Iabc33864 Do Q endstream endobj 15082 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33865 20830 0 R /Gabc33866 20835 0 R >> /Font << /Fabc33867 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7hЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔf.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4~m~oC2kh}'2g("_@9 ?ɜ Fp|s(dWLj$x2UPcY@wP&]@p94C-ZݛQ endstream endobj 15083 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1360) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15084 0 obj << /Filter /FlateDecode /Length 5822 >> stream xڽ<Ɏ+7w~EPJ|S͸FK~G 3SR=穀dr XH-/j_!RVI,J-~]_Z:oߗ/)xREYxPD$~k(=4@R 9ØKGKeJ/2BFM+n/B)lme3Q/K:SO,}de2> Tzަc]-s:I*6v1z>9.r@o˷!% YN?h\`E u\P99A:Ћ0l`/]bP2&rw*ي2[j{gwr^$A# l22j'y!gnhͨJmAvg*h૯<̙'ʾA.:Ыu0h/ET~dF(<@u֫FW=t.f$G@š,;%/d"n:0 GumZ (. E"r ]p}7Aa61, 1ѱr뱀q<@礂 8$(q @Vi=hF\o[zhbsL72* )ځ{YyYFyOȦYM l*3sy(\@k3H#+&Hq'mj\-Kۦd8vXqިWgMǭ5m Й!ڭތrJ _*t4J5l0ʟ"߃T>7Zb 3qz;=OG ,ct5+D+5d8vyjJZܑ}ɈTNlƚD>Wxo(e mP0e~0 b5 2${28Z2eio%yHZ0 ͹ZmzVeYu3g]jѳúJra%juϛP+bc}sbFXV3{f7iРnD,u7Y)ons\`P&'[՞:TSeL3q{h%WoՎtu!nQH}L[|*a6]] .tU:kVh,1~3Zׅ!WEٸ %>K_scm0F;skBnIj@l9J8f Z^ӑ#180^ʾ\HO(OƇէ C ,66{wcD߸n!o2JMY,9bOrTs,_*$*&6x,$Wy`Xݑ o3n Z_7(:ip9N՚?[4E<+ 6jEY4F+*CDeo|%;J$T7@'m]X:w}ʁ:s{ zd=>/ )!}}X;7jXzg 1u0,{%֐36|:cb2J.'.h7<~8NV-FL sh/&{O!X®,=0!WMco Xc5ُBV^U:뛬>dbqjꪬW'(U TVTj:#@Yp&?"mf6imjeuۘI@M+6ˣӃu0{OA1$X'V# ƾaT_]9QhJ]0!6_i^x:-וwIb nsE6Mk>i5I ZA,4q'(vkUeyx/ϛQpXH}U7iP܅]0NzF̜S:1EvMR3, #CDa:ݐa)=o}0G+7rz5P,3َN;1qߎPu?m#AqEI 熟f|Ѽ\+;\䏸H4ՉQVhWKW]Vⵞ:j~ Nj'f°׌㦵7ɏlUˣH[u©ahoM ~_֫$.mcf9PfqN&Ŧށ%&ǽ(z`T[aʵOqNS`vn@}o1&yLt0O1bg~:O,a ΦXg谵7ݵG}HRaT0̃[\{Bp Kp~aj~sTw] ]K~;bvC=8ʒC f:9n=m힚3a5!IX)Yt ,p'!DIX߽#kwxS3k5QUbsmZTבktDGF&>uaҫPCCb4rkJNgt#y V݉;q!j(Z21[ *A=lTAu-옝#t0c@#%g~2(0-qR9@rG r"McVlcU7JՔGj@*c;W\ P.A}'VJ#'GVj6^ၥEnR {WC `dz2^%^[@EC5"}J;*VCzVl` VēT3P D(l7މY67T~?Am#͜NC G,e(@Z`~Xy/d֢M ۺ6?Iz@>U< Kjd-* p4ȤJ@ kTa+AI )]uԅ? q:dq0nry^qe]+n+`Ul7-F q)Xꄄhgؤ" J /_cȚwpCEZ,Hlm݋]j'>|*R/\Lm-y {~F|'H~`qW!&|0<7Mִ.nzdm>|+vS~O}Di_ZV5B9x91=~/K(|-W9ꕯ 9!mI[Kd4&pAn:[,9aKfSv.0eMK#qR!ZdGښc_kc(^47Loā$Tj*ZZ>Ω)dM9qp|w"*CGvm'S &l=g:b RyKI%/7: /߯ YE Bd2Υ|x XT]Eʚrm?V^RXF<"~d`;=pk*#BLVJ3,R,OSAM%CU+3>3#I\Z{Co+`9(fw endstream endobj 15085 0 obj << /Annots [15086 0 R 15090 0 R] /BleedBox [0 0 612 792] /Contents [15091 0 R 15087 0 R 15088 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33883 15089 0 R >> >> /Type /Page >> endobj 15086 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15088 0 obj << /Length 19 >> stream q /Iabc33883 Do Q endstream endobj 15089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33884 20830 0 R /Gabc33885 20835 0 R >> /Font << /Fabc33886 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7&thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jl?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMXZ endstream endobj 15090 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1361) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15091 0 obj << /Filter /FlateDecode /Length 5684 >> stream x\I,7rWyJq_B]M`ԶZ$EpMfVu^&`="{uQĿ]B/KSKT%o/ߖfQjSj*%z[YJmًD*yKW\3!ܓLkKӃbi߀(S p6X+<î>y~1Ϙ2&K$.O)m*ʴ;+_^^y3d8ʣv<Uܗ]> >jMDpuKPy;y4z}e3<}nDaQ\uZצOsD"ϸ+aѮᇫ(F|`R^_/@M2+ڠL"C fվR) Yߪ֠L#Rd!UВr_ކ;%zp|v4+nlFs~b{FH0C'be /[n1E;i\$V`##,N^iHeKKX}eaa FS*v`ŜW10b8!c&8"cO3V e0hD_rv rT7 vl(0`|4<)6( ?#m2BJ ,2d5HF緙&ua䧶_YCn̛}"nt R+D} W=]=!'f! !RnrV9=9s5JЅK<[C3cef4PZ.J} L(;vi!һOީK:ejlh{tNJfHoc=!7Tefl2s'OճL_Təd<.䦸)7&lȯ_QalcGN@<`W>%jeA ly}1Y IûDL)ou$biȨ˝g!K[25_fXZ}Dvf:=zP-R7W3rcwi5SxEFs``38݄H~уy4W٘T2ICnOд,gu{Qh3cZ3PkȶnBQlVwT"|3jyW>LwB"m$rP4hj)B!UezpKYV57]K nV#7viI \S)1jl\!4bn՘.5O#3m3֗cCPF m9&G:? ;kTQI~IAmvRռ5D AwaMtMĪt/2UܑFZvV+nNB}ˤl\5u2Q u6fj0gRm l qdk,wl;=JF~ rEAnVnq -YYwXQmL!&խBzwLCluqCLjAIQb+FcZn fsJng$_{X톞'QgaXh2ʬ@Ǻ=&wm:(³]A.R&ɼ(`7{o>A8O~ oIR~Yiwnڙ.Vf΁mMPU|Sxl`3 .n@ $jvDͱEv)B\oe t˘)t#`Q&k'1׽ntoD0~16љ_.nl:r@^s vI8EyΎ?eH)eݔL Wh#W.\kÑQކ$0/' s  %ay8*ر DކއA.Q8ՉGjٻ!E\͡0ZON 5fc`JyutP@-G,ƑZ0:pDJfog )z°q"CO->A +ii?IfAAH}+⿲bi8 ÛG*Y$P#dj;}*\)S׿?_{JBd2mȒ\[ؼZ[HJꅅ֏b䤞/N8=;6JLI2tTHwJªA 5^Tч6٤RPT?E#U68mtq=u^b|JAl&w hl;e+)x/ћA{9[RbCԽ\yx\"o}0/^-j?د%(t#Ky4+kYO BX\WxϥPT?P'sHMrDJ0̲cKB,=,'Mx#Z>P `u87e^Do'ovM\fuF-O:?$ 1z3M[ƀS0lj/Bit`5mSշPcśubJ3Rc2սu׃zLcF=x+gd]1w6|β<<ÑC$Їݲ|wq#mwG p3s­z_ΖtzMdG2w )䬥Z*rƝXu3! Ghɽ+9x/K3YdB\Y@EU9D-.Q)ſ) Uk\0ʕEqdH6^: boK%B -mH=vPJڻ0|i+~* vE12 W@k)HR1Y}>1=de@nhXH6%I`N=@c#M8Я=ma0(.ԧ=Gc\т?d]aNp/#JYBs))l44˷p|rB̀^s|%+׼W f]y ۱dP\J{QY﷔^ְ=I_m-C s[vxkm0r (wd[+T >2Q)s\0xޡ, n!D5 r+ J9Yҁ8L&-U'J7ɮNs5) W<>pؘN[yR )}VO,Ǚ(}8 /esg(uZ/R}u%=q.M"N 5(fϲ?& tSN,6 t|k? Efі/d8FY7rr͔J5E;wUy6oA-9]IN\\:>ߵPŭ⮰u `4^nHDaQu x?i$pM6,[QCKxPWx%r+I`(X dGCr[/  Gn_r WUPUrł6 IbE5H ^iQESJi˻[uRӦFAj[U]I\Zu^x C'Nea2z ڭYeصu $h;1ȋ\=c *fX#tOSl tYOI{5D:b5 5u6ܧ=OP` k IecWIF~!rWl&9i wW[ 82F,W MgFƖ*}Uq 'FuP.kX}1vnj-a#bA g"wrᒪWoVAut{"P^ͧoNO_ C++Բ#G]]sq3؞y}k0TE]0O"5J44l.AEjlNT$Nz5/e2z K[~|1M\xᑁɸú1k6LŬ{˄MSᵣ,EW4YdNçϟ~s+sR3v,DɎ]VXg!jq7l7o`v*19O8Grj-z ^868W%*~m!~Kb{* ߠoYt \2,ƭi]1q<_y߽8us&lík t+~na^9"|^{c_Tp}^ ^EO tK r\*)z`QY{p5ۏzzmWQ%ub.l?G^M>JV3' yl~/'zʃTdZ?d`egy I\67|WoȔC#< endstream endobj 15092 0 obj << /Annots [15093 0 R 15097 0 R] /BleedBox [0 0 612 792] /Contents [15098 0 R 15094 0 R 15095 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33902 15096 0 R >> >> /Type /Page >> endobj 15093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15094 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15095 0 obj << /Length 19 >> stream q /Iabc33902 Do Q endstream endobj 15096 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33903 20830 0 R /Gabc33904 20835 0 R >> /Font << /Fabc33905 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$^ endstream endobj 15097 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1362) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15098 0 obj << /Filter /FlateDecode /Length 5876 >> stream x3>[UӝYgdzU1Zݚ4v)ߗ_5<_X6_~{P:$R ̟O3/Ai /)tm -Ȅc ?Wz/{y[^=Fh_"*g$0/Eo?֯?ur qje ? ;@KR:}c3CL9aq.밆a +\;e^Es,ړ1vKA+6ee`QĘV/P =VJT`Kzx!$C[kxB `qj)L]P"&C KϏ)`fG_'?d9X&6Ҳ2&>uOwWtLJ &+!QOL3biA=#D@0|Et"ȎEeR4ncLUb3σsѳϪy`6eλ<[6EmJ H u?{\{>.u})Jed7h:W)_*ܭق8JÎ4}e9 ϚSDF/-($'3& }9S&L'6q`ƴyfj{S f:aEMJɤa4=#Bs{˳_6^0s՛=V:0-sܫdF8rf7QĺX2.0*6YF6Q):O7ӓeuRr7^6&) c- vBl6໙ I[|7/u WJͪXWZpMO;J1F\lj=lU=S=\=e ծNpo _dax$&@}To5ff\߄dTqǁ1mI)!~YLXm wTrE3\)z9RyUa&,ANUT 9+00`*3,G1?̮hɺ8s|h7`7Wۅ[a* [똶 h>VHݻq?'w#މZе`Y$D9\ \ ~Mm}?&?pˑzI,f fY:("%E~> C6Zgz/¢w͸)+Ӥk[΃e-YamcXIrW2*͡LcOϹeuhb6H@7 [iËa)y==;X*nr ٢o$q7~<TyFK)D4\C#xq*;y*cJ@^]Lf|3<3^]s֢1Uڝw \ڒba9=Fb:s mδ C̴}ҪXȪۤ ݕ#.ex9lXlЙ~ڬ٫(8k֓ +Q$,f\dS2o!r\ H#)0`7m!j/‡ÏmyinO>j -JDS|WoVQ{W0!X|,s!ԒivpMjHȁDǜY~hIp_`Q"S,[4[9~3: MDs <T 'y<0uq665@G[ZWE7S}j-^3[F}[-^! ZlJaZG7 `BY ܞkS`:k E׺@|5r*4#MxߣTu=ڬ`&A#lS!D7 /]lى9 ??AC0' NFL˜Nߐ3KXM!Udwa-Twe\Sf@*]XhN*`)cV41, 4Z8 p ȤJD >p0֢$BdH!XACF47j(5A@2:͞]N!{F.ష3p5"i@SǾg!op.`V@l^w3M:A MTDQ܋̂|g58wA x" ^W Ec?E ֦^WqN<#=I"}hδBz,=ЦnX"M˚C `d>)OtV>E%Mv{oR߸ PP#7Nc8~/k;歲=ѡLJgm E5@czɮO`I~_J8Zܥ9 ӊ]?yWx(H{5>gV|skAX=ޢT̵,# 1QI#~y%£AsmÌrHN`eaG 6ҭ#$0?ZiB9(9U~E¡R ZZRKS0!oPe_A' 4p1p1\ZfR( <Yu2a|6TI nr#J6 AK TQSWCur{)^nP,%=Y/8;(Wp6}}LF7 #=?VݼsMsL}2Il4y3#47|^֐('D[oa  N~'7ڋ܂͠[xt4˷ _{EaZ@E|jLZx)*DB$HQU L|<(mJ  &R ]&$rG1%kҽsF0+10nUv%kfvZ>V|jG c[Jh [KWMao@~QCTsv3HS$t(H34r-A27i< (@3^{F^H튉I%hǎ(@!* u!u:(G4m̛w8>cp[!QɏB2Vs9c.. Uԫ[3F ΜS2H̖Ә| L)}r!yd-&QQs gyVa6iDi<֕jm">Ox!fLHG 1z $<1Y4L-(-Yq#V3'\rB4|w柺?,72덼 fq׹_P1kXAw )NrEfC1D ujj!L_ (7xM:OPab:>ut姥&zOV#eLmh%9M1Tt|#"ZyeB ڥO]0ɅC؋\+=A}ƥRF>L!2QzO)շBWXv$WqڭN@YK&Ut@@q.W~~m6L >N!!A̼Tv|CUneމ*x;2w#` N$ Yc")^oJm{-,m[]_|( /^.Uγ xTZ Yc^ ??ă~1X6AS=suhˏ!TϿ%hge;x5D3q$c=gxsLB V̀\ef3鑌@Sk?ƾ"a(s> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33921 15103 0 R >> >> /Type /Page >> endobj 15100 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15101 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15102 0 obj << /Length 19 >> stream q /Iabc33921 Do Q endstream endobj 15103 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33922 20830 0 R /Gabc33923 20835 0 R >> /Font << /Fabc33924 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15105 0 obj << /Filter /FlateDecode /Length 5773 >> stream x=ˎ$qw~E (4U=-`o+ SkA5|3U=+z$`0^ 6 Vf$V ._}Nn_i}BM nݾz!^_!V }M%22?I^+yURTeľ縉gh 3>6jrȽ2jwӊMI /OL ᡇ;X9U O_?uϝ1T8PP+( BL<B'Q1 wz/O04~2.un[mf'8 l ;~HeRruWE Щ " ,5?_A<$aRIW06؊ +hpNXѰ=`&¹ȕmD$@8p iS;}EN3"62*nI"vD/B̅ Dt1%vSs \nq"^L!@#S5N:ـ:k"bW8 u&0mEE&JaLܸcjz62R>F00yS)?-&WV4v\=Pf6SmyJq ->n/W@R,$^p{҃4oE\Rk7gz Һl ukBY= $^Ra4fLiBI)-3Jl+YԚ!}l&xNsc} @u&bLArZSש=HRQ̵_ D'G+7~JzW) } 2:We4Ҳ AilUo:.[H,!b@Lڊ`b't4Zݎ Iүfz5Q % `aAq@w5RGDaC= ?XûSA +&&"i5FY]lh]C$˨e,7nVAKWf 5(Hx,(w>* !4nl#dQQ1i:ځ6FP~bŃyEK%XY/7XV$f/(қF{#;Hgx!JX@hc5{2 Sŀ;MQ0hg~ؕ;b+pܧeSDF dy[)Pz~6rL'e#"-B=4$<45v+iD^3K4Ғء$,^Tek*ZļѪºo!Vu ~@H]ď?TBi=U&!꺊H&Z("JB(P2RiIRIұ}vO8lmo e1Hjާ5ꆤմ0p^noה V|*ZhzY;e۔3&բީ6OE~=9~'I%g̰PD煐r{$z]銮uw m^tB5iZm]HEtA8`a~͏i&k uYչIf;:}^j-2{~s8_s uKOr/iL-1惷b8YQ_ |*7Y5SjCzA] }3)SЂDu $e)Nr!eE;%"`܉~q\qW4!klI%hޛ]tvIiY|$oNIٱKs ҬmPz?$wSoɱ,_3S.)ז! Nw)`w7u̺T}C\9 t{`Ǹ|rB`^f˼xܯ`[h$#@7r` x63}6޻?{jA^Gs.AJi*!̘tu"jQ;XDޑÉ;b=/>TkÑQT>pb# ɊSm;ɉ}h><ŌVq[aw-ef=nkw}G6i>  hy/㣲;׸u&Nq%xY-.X$Ns"tXv=4&Эkm@ȵ1SxM\;3av7.m'꥿{[Gy1_ @ރYv6E1T 5j >+E]r+lP7 5^eKH2 4%R,'.A=*!$1`$jܥ99R2&#dAE n֚olw)u T; w, wp[ !\  @Hä##0vHGwn$}8e!17o$Qe]MѓtQtPLx,|@cF}VhS3$x#,לkS&Ͳ6|XrX_,a?|vt;J p>⣞vٳa>ޢ;<S) _bUS1CdNkƼr$7I4$F-*ԑreA(kVu&>d 6c1_?긇|jkS tդooz,̌;~0'ZPw ~E[ ^ URX&jC.i"j.>6nzHox|T7-o)f>&tA`&1|h̢GK)ms|{<>|j%:M'l#= 0bzB',;E=ePvOEM\ˎSRēZcLU.C%$!tat}5%Q5։%~".:(m3c}IqSpæ Q>g,&}ugDZ][;-o5[1IaV욀[f}MڱVO3Xr,|7Sz!mw},eδK!l8?oiiZTd bnfe"w 8f~$E'?ߌFpS iT8W!YT Xۄ)ar&5˯t[J﹔&ʨi;[GQv$Q+dHf6=rIL\Vj%@RA[)-[ν[Q6U˺RìP*WTgl_ҾYSQMYB7x*u˓M5lH"2ە,fz۽sBI|m+gַ9tSa> 8꘾2ڂk%*2XR"f-;p0Ă3"Y-z"8r0b͕u|xE<8ԏualI<[`SWVs̳B4s@F= _+"> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33940 15110 0 R >> >> /Type /Page >> endobj 15107 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15108 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15109 0 obj << /Length 19 >> stream q /Iabc33940 Do Q endstream endobj 15110 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33941 20830 0 R /Gabc33942 20835 0 R >> /Font << /Fabc33943 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1b endstream endobj 15111 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1364) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15112 0 obj << /Filter /FlateDecode /Length 4203 >> stream xˊ$_QgAa`{Z̀B%cVEgUt ,ʊ3{}QO^>{jqv o_mf_ګƯR]:閷ߖ)$ ~,;K `yA[ퟰ()k+]뫴C5X^^y 2/^9xV#V8cJ<-ϯ9BwwQkTA#ⲋr4+{"M蹿epy Oy-Mz(;5kp63꣔7xJ@ 3\W}!?Aۼ*KE+xAA=bn߈VUhu۰z+YhK዆F?3 (kfCEyABS1 Rx;-6V,s( MURHyH퓆L|ng7|:Тo Y=uYCnMҁ7jI-%RY9 d2 ^FH€{we٨̜% lVڔm(d1z l"QIt2k BKD˼3\;#h/փՊju5{Eͦ5R/Te+ɼM%dl/;spK^~u.̖ga$&ijv]h86׋\90VW,] 6&mNf6)B~|2.ɛ{e)DIճHHU ʪ *&IG=KՙUE}9AmHwe >NϺ$kP&{G=8ǰnaMSl_Ly $5:34ZAm?P4hNެ`PgJHLkfؖٶc\: Y붣=uu  Sّڗ{vվNһ$:k>;b p!*HEQ؃EQ}զ-ɟgEwz!_ g8FDuIC״+EVv*K' >Gzm][ڎRCk Lz/d?=F@VIM B VLZbV> d?Q6_9l)pV|C+@q|$vK{%!%/MKdԬ뵄2ϬQw-w|qwkJ= >uАFrH{F};I%@#߹f*cD*LΓ=p PBc$9}vt(cUQwc AW،LbBЍbdvu~>UA~<5mCΖNamN\!編qw`KЫȕ; a ^7}׎{Y7b2NHZ9C|έ~⧧NFYnOsx2YGNpiy%x.x*wL<~:4%v |H?)Uclu`Uad y]i&L7ߏ.]XpĕڢT;\<*N$Wb]}Ή Vȝ,byW>@Q,((+D1XƩV&̶ qu2QLVt9 h~^2ډS ?{6 ti*k'4+qė5_BȌD-35k0˿jNfp!.^tP1/4@Nza>2oF1):21c'#5Af${$\npYax%C{ZGճxq[>P>5WD䀊EeO.ogY$OT)~?:_!pd}̬XLZe~wD&䣉J-ď"ɬx*q)aqb4"&㢫|E|;+\ŧ̩D xU9$J|+=y2Z$m4\ T;moK^67Uì^֭4Ȍd+kx2]Y{Τ&X^tʍ˂sJ*fѾNLv="/tu'[ɉ~ 91GlJݜiDIf?љ"[j-n|@]*˸bJy1X[뵔"4qQ8-Z`mwuxN,~d.Yx΀ 3$5m,$/o{ȟxKyk"oxdV%133@<LdTOr/B̻pܙ*< :ebO !8cQ+ϻ䝈z%.Ϣ#D/1l*,RP w:li (=xjLrO 4,4- endstream endobj 15113 0 obj << /Annots 15115 0 R /BleedBox [0 0 612 792] /Contents [15129 0 R 15125 0 R 15126 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33959 15127 0 R >> >> /Type /Page >> endobj 15114 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15115 0 obj [15114 0 R 15116 0 R 15117 0 R 15118 0 R 15119 0 R 15120 0 R 15121 0 R 15122 0 R 15123 0 R 15124 0 R 15128 0 R] endobj 15116 0 obj << /A << /D (unique_702) /S /GoTo >> /Border [0 0 0] /Contents (config_design_analysis) /M (D:20211013063105-08'00') /Rect [104.1732 366.0077 212.3637 377.0077] /Subtype /Link /Type /Annot >> endobj 15117 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 349.8077 145.4837 360.8077] /Subtype /Link /Type /Annot >> endobj 15118 0 obj << /A << /D (unique_493) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20211013063105-08'00') /Rect [104.1732 333.6077 186.4147 344.6077] /Subtype /Link /Type /Annot >> endobj 15119 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 317.4077 165.6412 328.4077] /Subtype /Link /Type /Annot >> endobj 15120 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 301.2077 169.4802 312.2077] /Subtype /Link /Type /Annot >> endobj 15121 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 285.0077 218.6612 296.0077] /Subtype /Link /Type /Annot >> endobj 15122 0 obj << /A << /D (unique_705) /S /GoTo >> /Border [0 0 0] /Contents (set_delay_model) /M (D:20211013063105-08'00') /Rect [104.1732 268.8077 184.2972 279.8077] /Subtype /Link /Type /Annot >> endobj 15123 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 252.6076 172.9177 263.6076] /Subtype /Link /Type /Annot >> endobj 15124 0 obj << /A << /D (unique_652) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20211013063105-08'00') /Rect [104.1732 236.4076 199.4387 247.4076] /Subtype /Link /Type /Annot >> endobj 15125 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15126 0 obj << /Length 19 >> stream q /Iabc33959 Do Q endstream endobj 15127 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33960 20830 0 R /Gabc33961 20835 0 R >> /Font << /Fabc33962 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( C͠h#bwo7 endstream endobj 15128 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1365) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15129 0 obj << /Filter /FlateDecode /Length 2798 >> stream xZK$W輐Z+|[سf.)Ϊz씔R(PDH*UTE6#`~g1sZ :/OZAw:x_Dh37ijsc[' #C ]M}3E)־ A..܀D\i{翀L2 0ij=oΞz9Z~XlOԦ)`31l9{T/< 301ʚ cRHII`Ԅ}|XAPLSYK 6>=ףmH|9o ɸ+DGkG.cp :bCt↳w% ,<){\l ֙%ۊEJޕg`}d2TeilfŚ!#H6fLy\zb憡uHRΘ j'0ͲR8719`%X9 Ł?7XƎc,㾁;XsE08{`yn$g}+gt%3.E1jQ#U,6o)^Z>9XX@ySV&/,:S -/~ )4Ɠ)f̱̭S ;^ԛ,Ův0cӦo%䌂r=f}вA\\ye^~y]ԙ[ޤv`wjAY;mk^ vy*8 fNfL\udK=B F,bmEVڰ5q8i^Ne˴YǸDA]$V' Ŋ\Da>Bm`KCͲbkNN#VL@c{ s2*kKBƺm u6^DorIKeWɌJe4dm~lٳTT#,UE=KGZIWPdԕ fx$j4t@lY¸(" zX5ԷK x½2OV:QĹmM f85@m{\{iVaTg'BJ._B^$OTC4Sri@^֮iiءi"^'{ɨ9{~.S?ҪjpۙdhMXbx^GĹ譊K[j/d;Ar+aQGۮVbx![JjLpO^R2RG?!k_z/lOZ(D9W+wG]|\<gxi<}'ղe!e!P k0T:`#`\ OcU}M`_yT endstream endobj 15130 0 obj << /Annots 15132 0 R /BleedBox [0 0 612 792] /Contents [15139 0 R 15135 0 R 15136 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33978 15137 0 R >> >> /Type /Page >> endobj 15131 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063034-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15132 0 obj [15131 0 R 15133 0 R 15134 0 R 15138 0 R] endobj 15133 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 332.825 122.692 343.825] /Subtype /Link /Type /Annot >> endobj 15134 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 332.825 160.653 343.825] /Subtype /Link /Type /Annot >> endobj 15135 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15136 0 obj << /Length 19 >> stream q /Iabc33978 Do Q endstream endobj 15137 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33979 20830 0 R /Gabc33980 20835 0 R >> /Font << /Fabc33981 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ>f endstream endobj 15138 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1366) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15139 0 obj << /Filter /FlateDecode /Length 4693 >> stream x\K8W<5|K ֳa0^,2x =3ݷBwEF "[gfk9c~noiSfŹ!8JSʱ]v\Rwnթ=QZ]jWY5kzy[y~A:Ae}٥~7o e\q?1)4+K iQbhA#}M\>8 z;)Й: y)ԞC;r_(_ _P? }ևqŶ%)|] WRi(wA,We{,e'&9P/7: yNe")')+͍tFʐo2pAAf+%:<+4dElZ2PD|XEϳvxAݩq>iW.NM}H D tbCJ$M8qKEl'=TaW(o19Woj͔+әrL*-ե3?m"ǀ,w"*}ams]ܛf]4U8s{%qnvb{D-(uw Kb؉K/ŝ`6q~d Za\J8{U]n4?;oy!wԸ8_%8LN~=|e~;! -'e.TF!Ej>fϏ<2mٳ( Rjk/; o[b` BkڄO~H~9:KZ$.39 !~+ɣLٱ1~w,sjdXLϫG uHnC3qο*!{p qѾZ`/eBhӿmQ' h1O^{8Jk8@H07Z"B*['"dCc' 2RZr*=.v{=m&7 '?~ x~%[*^?NTƽ:ۙh^ȕ,Mh!Fl\YN$UoD-h`˅,L/-|H\p^PwZZcom,QLzxF_Ě&4cZVN ѓ*yUTs%-4ef r-aJmuLEҍ&.ʕVk^~^mӈdeg.6\TNln2<R2q(5& re|^aA&iBWTf-Ւٰ EjY^MsNM>6(!S%ņ4 -h5YrdUP߭dn!,`9[mYѮ(pijh8,JEPeHFYn% 5 6exkJb U+ܒYa5,Z].b[aEq^Plnjf;VT^XQަ[7mu%2,(+8Q0bJ|lQ!4NXTZQ]\a(Np2K8G(8ɼ-h>NcAp2Wb3p2}tzctwɨQR+] 8 6XNa'Y-\ƚJN'EB&'JR^pRD4DRV\${~/[Teϖ+pj^?N?tO<x x{AiAʬ)? HK>z>),R2R^ٝhӓ_j076[[m@:S)+ I`r`2\* Ղԕ]$+$Ag&>C@h3Cą?}~Js?>~dڡ{60űLu"휌;'$pOan5i5_ܵc=HtOdq!Uк'd!܉ Ȁ#-%} A+W7"i`T%NQZiAi$ɩIdD"V4!*̪~(>+{ݗV t/]4A;ʇjm3(n* [u (Oɹ^:Ge{ (_R$W8}dg)UF{?܊,(3 \h{,wԎL<;0"yܺoՐbG_bFceIoݾYi'"H(j1G f\Q|`/iT~uhӫ[%F*+! 2_;{sR5l}iG&NTK9p)jP7y atXKQ:+$0;UCsqKII WM?h׌Wosk!(R{kn.aZl(TӖ+~x;6Cchqsբn< w0.^N sC()O'4`ZE[0ҩbq݌89HÜÈRO>:8MFd;!E3>z δYie,Knۛ%›s+d7j"-r*>͔"r2fdo\0 [r;f+ E'4: p&5gy is/"w!3;"ꈻ@ Js>;Fv,("Π <]k-%韎:FT붃ljmQ36UNqY%yY2{z]/n%>)W|K5{lM R!Dąwt/dkjVZI\BJHhy_ p]\"6;8HE%rkbiJ1Ȫe)" Gz/^W)qGymR.jI8S;iq=Qxs Ezx#H)n)嘑 ӽ+3H٨R q]c/Ƭߗ*& &|7+ n92\6첝 ~R{?T;jǬwf_ˉ~5.+7шdy}Tg 4JvWpsUDJNz1ovI5Jg9ݶ7YmkS݉]N: AMg4QPc):,Zm^)0G:3U=a³;9> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33997 15144 0 R >> >> /Type /Page >> endobj 15141 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15142 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15143 0 obj << /Length 19 >> stream q /Iabc33997 Do Q endstream endobj 15144 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33998 20830 0 R /Gabc33999 20835 0 R >> /Font << /Fabc34000 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gyMU !xkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMK endstream endobj 15145 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1367) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15146 0 obj << /Filter /FlateDecode /Length 3930 >> stream xɊ$@H *3|Sc ӗ}EkDdVfwL7YEE/ Y>}Y3x$8-yMV>Ԇ%K嗷/?Jh7ns_b((3yQiRiy/eOo M:aG(Ng/O\t83ëž`#?׊8T.Yq@2 0\Ąҧ 41Gxo!jƅO"QK{z_GVT Amh6DkС.z|E"kܸJ98Wt:1L_BѢ/O䱊 V8d~nM,0;p\voLrJ@!bȗ.@#ӃJTs2F2 cˮ Rh|x o:05Qf9$Vfrx6z·&;a !;z5J<(u<~RZ`f$ 4Ø3~/GkTAW3"ANf1xXj~1Y zX I3R[G^ 7OY ⋨Ը"GRWZcGä3`L ag7ψI',kK$Ǎp@.Gf`Dž}:MgD(""֬좒hA4_73j3l' LZ6iO0k6 [=]5Fr ;xꕤhG F=Zu`)'gR1qnx#{sNw vQXFaX>E@Hꋤ=QRЮ< \kVMKJp+A!X)vU[W_d_ z~{"5P[:^1\靭UU_$!܎&l+ƒN,U4L e= U#QG Zk4=vu[MbKs`5{10գ8e]42'ۊYcVMSPoSQUmUy3QVk sr 2XV(e򐝴Fg{%`c*h -t_zA/%T"<p!~8RHzv=6R~dqel;o#\ |=NXvl_+hOE%iu[L1N jAx{c nV3PZUOE*J|nۼ#;2hj Zdh 4]PdvbEYoǽbNm&ӪŶiA J0!R5'h)5}3콕d3<\&{G?ʨjeUV*#[^e%:-*pxՓq٥d|: =YA ǴGEs|cZΜU~Zi-졾~@܎>do7>[2/:/3.ƩbsӬذޟusϕlg"ݏU"v#):ysup yɡe` /o706yb~e R^><1D| ,XN~ xjo_y1WxbߏH|GMUH0v!;/= ~#A? $55`JփfG0Xl#ؘ;,_RuyMƣ R+<2 A.bBb/2wpRscy_43t2X(@`Ic @S7Ђ@{vm]#Xo}bŋoXW‹->dTTa?1rӒLvpFz&ƽ,!RB?n2Mt}_#f"p-_ Abov7YRp_g ӈi8ar z@]fy&:!SE'Wa`Wnj;4S6Cħ&l? dsTG}PaL?b1wLq>{SAUѸ a m~x'Hu:_yWQsI@Qi ב4da hXȠݮ1ŘguVZ^{ş^Қz_>/{HZHf@8 * mO0 Cе\ =;טW.ճ;7@ȅ U(=L}oSi,I<"O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34016 15154 0 R >> >> /Type /Page >> endobj 15148 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15149 0 obj [15148 0 R 15150 0 R 15151 0 R 15155 0 R] endobj 15150 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 596.1 169.4802 607.1] /Subtype /Link /Type /Annot >> endobj 15151 0 obj << /A << /D (unique_641) /S /GoTo >> /Border [0 0 0] /Contents (set_disable_timing) /M (D:20211013063105-08'00') /Rect [104.1732 579.9 192.6627 590.9] /Subtype /Link /Type /Annot >> endobj 15152 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15153 0 obj << /Length 19 >> stream q /Iabc34016 Do Q endstream endobj 15154 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34017 20830 0 R /Gabc34018 20835 0 R >> /Font << /Fabc34019 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ endstream endobj 15155 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1368) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15156 0 obj << /Filter /FlateDecode /Length 1664 >> stream xڭXn#7W; YM '' /yUE6ٲY˫W ge^XZQlIO~>9e:?..ZU/Au~VimN };e"Inht;E"ʼ ,#ڥG $z0v J㒜d;]ʃ\wgc<}4nN;GKl61dC]۩Eە4QIΈ MbUӕgVKSui~A*5G73#S\5kki@2,;ńg⃡W_>!YKT$ڨ^RO?ABߚ@os=/$d/`BeU_` l`}:&$V(3^e]YŤb=l- ;㽠aɾIby{c+VVpE3p9]lZ BsgA ںs*bDcbIlE'ﰷ~vqM_ZP|-3|aMP3 VCHk}zZ@{3!]lN1lxmP kԹDu&&n賉p3IV񪟰۶5cߚev2uM NM6PQ'/[R*rmlF-=0"Ѣى07c;$s 6NL/9k1"Bv'ԵȨ_%buA4+aDn|z\}3|C~&~] ۲7G;΍mLPFжiC\Hj$^eg02n6N]Xzpa[l1lj_/ikQ w"Em$0z6p.>ILwӲ!w67p^Ǧ9FGwuv )F3Ӵ;e+Fmd&NJKnX|5n\*]Zv@.+a ܮVռ{ )c o>nFF>do~= iWϩݓ騎ӳ4C 0VXg\$pVG>џxu} 쉂d-{$j endstream endobj 15157 0 obj << /Annots 15159 0 R /BleedBox [0 0 612 792] /Contents [15167 0 R 15163 0 R 15164 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34035 15165 0 R >> >> /Type /Page >> endobj 15158 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15159 0 obj [15158 0 R 15160 0 R 15161 0 R 15162 0 R 15166 0 R] endobj 15160 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 262.025 112.528 273.025] /Subtype /Link /Type /Annot >> endobj 15161 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [117.841 262.025 150.533 273.025] /Subtype /Link /Type /Annot >> endobj 15162 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [155.846 262.025 188.494 273.025] /Subtype /Link /Type /Annot >> endobj 15163 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15164 0 obj << /Length 19 >> stream q /Iabc34035 Do Q endstream endobj 15165 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34036 20830 0 R /Gabc34037 20835 0 R >> /Font << /Fabc34038 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nDӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15167 0 obj << /Filter /FlateDecode /Length 5038 >> stream x]K#7W輀k h4v6I{Xi"^`撿DaWٞN&nT*"_ˏNrkxX%op% 8>pdB>rdy;r]s_g}\"{߹H6-bpcSbZjJN_~bE43_4je?j`g/l 8N*>c:^gGL4q ߠk >%>>?ih/g|pťX·?#ЁsQ~LaG?K &pXBg>1|~BS*g |ZL;1X8nt*S=5H,>a @糯 dzJ!͠S] ѳX(tv4zc?8r;I!lǷ?'N$u<~g8Nuu D`_ui/6b`*,,؀ 39Gd+;lcurNeS_S-t#ilh  :m Ie/ӷxG^K .5"NS˪c˪;B>'FE ќ^:/o:D™u& FoI<_a6ƨ(#9PQ-w)mU^QixHI#.pQx J֝I؜bW/icaS':I2рkS i!uw~jhvZu/.QَȶFu:rHiUTVM`Q'}$]zf`T&xљ`T#{~K+}m4}:|sKmd +1x+cf D&mn#6;nmJ}/+CG?ϒ%4!c Q:hdŘ 6)X:=n&+U˺ݰ#hoE D|nvGdPۅM(zhfNKׯ72dd5?@g c0 sGg/vD|sqCpK0ȯ2mg,w{Uœfdz|jct9`=m\_<{fw2+މl,[mu=C>lta#Ӆg7I5/іj0o~nX %Y\={$w|i `"AxE4U4G)2ѩfݡNy_S ?Y0|#ϱFƿɔ+=XM_|G|waID>~傾j]JHXFwX% vƊ`L0J3nmJіXmqȤjU}'H=4jdxF:VBNSXXP ڵJFt ^S^剪>"5 "JzL X0 cMq(VzԳEKIaiԂܮ/i:!,EcJґ6s1 զ ^Fcq Pd@}sh2ݘ$Pu̫za0*sA{nLibɶ5"t~MT dyJ7gK[,\d24E^\9X#ɥO"e #|Qrw,؄blӢ3׽R':[l,W,ct-(TnbAU|:Z, 6/V&6U/D* c)W,'*UpPf8"kеɕ PUy0!22-,rna0+,㳧SX443,8Eah 9X̫Z2KXiz(3ed+V*ڢ53].++NbJ } &٪&T;8+w5©F1T/Dkpb=s,92f29"&ʨ(6 鰏feBQ*vԐY =j'6Y"mS5[ ȯNjRt*X8PpN@,[O@1u^@LU@1cK>~M'|Aˀew#6L, _MXL֧~G? RlRMbEbEԃ Ŗ$[ۓRRl)~/Rb-=*vqgfPm}{@v&nՅ@ŶnmnvmS{@6ũbԎǵح} n)vf%H7@2)v*حSːb7LA]?k {ݻӛQ=o{=h-ufԽb]KUTs3Akk>f-ռI}y?6'~lNٜ- fP::) BtHJ*،(>7;HKO!ؽ[tcq3XW4`ՠg ܋ :ACMFb)Fah]zU}T;=M఻o4y'5]/Ow)q*.P=q;hcOk|>1wo`ΟnT{h ɞun.9;XG2@-He 4b8^`>BXs0JRC<^Г\}Ik*w?ת$FJ~UzU[+T2Z'C60cEqtMø;?58#A187k81^ׯUw. Xvj%%L+ǘDπw`_$dvD2Y-ʠuS̠J T|N.S"N9Ɗ5/"3D[+&x:'iIm:H)c"^bex=-2 &ېղBM?B-SQ0Yv-J3IF]e$fNTF>Afͦ|x1&96i2[stXFifqoLTw4w=R0:H*KHaWfSZRiE$7XJ3,A9tqڙP7#Tg(%*MǔW2!ZsO[.w`F%dsO*ΨEy3#!InլKYuTm%irٺsnIF'ǞE)$ 5C|RR_֣}"b1/Ϳh9 endstream endobj 15168 0 obj << /Annots [15169 0 R 15173 0 R] /BleedBox [0 0 612 792] /Contents [15174 0 R 15170 0 R 15171 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34054 15172 0 R >> >> /Type /Page >> endobj 15169 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15170 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15171 0 obj << /Length 19 >> stream q /Iabc34054 Do Q endstream endobj 15172 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34055 20830 0 R /Gabc34056 20835 0 R >> /Font << /Fabc34057 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15174 0 obj << /Filter /FlateDecode /Length 5607 >> stream x\K丑W@|?BU 6; cè60sٿSRf*džLu$#A'_ ;OF~|NKdXU+l. E~fj˕e_b9"??Dqz/?zv^Zr6:$Cf>%#+5^gAOR3 ^z1A@>?-KuiIPg`P2HB+`^j>FEi, vq>־`x$HaR1F42,?=PT=H. F2<P,:8i]+H[5!CŒ-0WҢqdPݵ:(yJ<IS8;EX%#K G*`UUK)MtK-~H{6ZYŢ@TiPPXUC2Q\CXȋIjȅO_O׶FAnij+p:c_XSY>i:"#eM'љWXM?!r@,ɢ 1%Hp,LfREOhIM@}>$% v]T@%D\qPڇBZp4TD-Vq"%v h Pсnߓ WX]f4ǵ## $r`{`ҸXwB% ӡ `8S;$K,'m8?ohydP[J5pKEYd^H~Ѩ8,ZW1\(#ah)A`*׉z#.+CcG-; ~,k_[O5 ~4,: ~ӲU0JF/ӧJO/m?A.䔱j(a@Wq&K7LyӓRƁh{{IiT>?oֹɠKz~Rg3/",*f}~eQa C*cG_|atO`%L( ~ҼTIWVD0`shFp/d@62?~'>!&ӱG)'^_l4 *س<w犰5,I 1~/ t'8yz5.sd50bAO \* \L3O]y5hSqoZ4v2fW48&#uQ^kܓQƆB#B*6A 7-Eg }q mc7ϣ*{Tv;88/ap`na ͛bC5Z3xͤT$Q j7E ";VuZ,sPVc=0Ez"lLfޫ\&ʼC@rNh5r94ΖAǨ*au)HK4u90S>Gc2^cXy6s6#Xǵkc1D•$b 3Bp5n}) ԧtdz K7հmZʉٙ|y4CԜ uMjyxe0ZYbn^Zf_6+p+/*eY*J㫱(ة0OP1B A ę7W/C=là}HuDs~cX&eXUyg0Ï1$K"yUז?^C Q[}Lޡe\WuR vTVل`NhnPZ:`nn,k}bfR]p/ o" Zx][K RLikf)ܺyUJST9UӾ ` ,R- cQm%$'Qc^SܜXɕC穗x+:3WsIc毜86[');Aᤊ'k>jlKvx鼍3܂i -CHN'öNv]~ЦN2Y.-x%wV]S~6v7$W 6g 5vHt;R?ONC)@ Y;4:bKQzfC7{sQ3^3G@6|NqaeS`E8n~"r#Bkx]S ¨ z4]ܲf,SMriqz2q3iyOiF@]_ lRK=SLǼَnǛ ɺ-#14r1H526H\Zkf8 PjoaJMLTŔʇ1.T>)G01L8)bJ1L|S*aJ#RqS*”ØRyS*bJaL8)aJ]L|S*`JØRqS*ĔRyS*aJØRqS*”R(TÔ/`JL|S*ncJW1T~S*cJ0T1߆ AFt|Qdlqo]?ltMn9:mgnI>?N"nn͔% {4})C֪5{jε!&Q;Ab{@i;pPdaF~ B`1 8!D z'P7^2@"k B38\<8248ZO&zF-<3Z`=H&G `G *0 *v%wNByL,@ܖ[* hPsVAAr^H<W8(:ᆬYql]bwN`z§D'}9hkK >lSax XC f=a Wlf̊@M&y;&o"ܤ)WHεhv WnBnu8V9_:(vD.hńCZL`HxpP5MĎ/zP#Wy"%b#K`FaƧWc^ Q}> yyXGW)u%3)jyqo๦'O |ի$BώjQuL1qO pd_O6fe6MuES%i8Dz0alN ]?$wVY1:hU\eiᆧy܍y_$y;0KEܛZ{Hu8doQ+ZAy)ڳ=7M,!? fwfjc]ZJ-x4{Sk ?"[\ mdwETB5UpM #hKq8r/^G[3hdR0{z6%5<9?bbff/x]j<+;1{Pcwwkԑ0g٩_jU" ,{詩0AUT̹T$ '[:\Ă3!~C+<[tP1u[2"( ?e\ >W2>4`rA7҂H{Wڵt \lG.|$> ^/0x~J c7ΣKJ (<0S>M :ӉRKhd;d"-r+~6 )EP(MU`a m-6 B[fk-DXŌ%Y\.MqaQC̈̐?n@z]NP1H q[ oULܓ5kKbo<]#4ۨw[/(|Q*@$lj5=RSӥ OA* ȅ>kyڹr-Xjw\]rTn%HT)b_]ũ 6wg)D0+JT N;ʷ&ƣB Cy)% K٘0E)gozǥu/; P#k֕)(S9?lE:TL&, "8΅&29} ~i1ۍ@\ zG? 郜0 MQV1!  6L;9,6x0{a4%n,}SiL'p #CR۽R#Z4:N#s2GN!:3Ь-a \Y3 +r~?_}X OAf0A_XnBDfM| ´^/ǁ14=ׄG¯_udM.rφX*^'}`rF#č \,,i K"b{v+(=?m* !F#kW] endstream endobj 15175 0 obj << /Annots [15176 0 R 15180 0 R] /BleedBox [0 0 612 792] /Contents [15181 0 R 15177 0 R 15178 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34073 15179 0 R >> >> /Type /Page >> endobj 15176 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15177 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15178 0 obj << /Length 19 >> stream q /Iabc34073 Do Q endstream endobj 15179 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34074 20830 0 R /Gabc34075 20835 0 R >> /Font << /Fabc34076 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15181 0 obj << /Filter /FlateDecode /Length 4099 >> stream x\K# W9@nr[`& ROJ.&V(>>R^~]" |ToK&k H~],K'/?gBE n]|; W+o%A ڗ o QH?Ҽ˒:1r/U7ҊEIxD^^XoMw ܄:˗˗ΪFG*,F#-X %w{m h{$K[&ʓA0v@!`v6X5 U]ki]~lx0p5`ʙj^jfNsP#E) ـ w-&G0Zs{=eA#л o"jh8? 1^unjpN iDH6LY!ZC#ԄjvjRoLNU5P-Hd}+;ܢJxb]jDi?Dq‚?o%8Av(3=J=F=lzܫn/\q3:Ɏ')0C@`PhPo@GIJF ,G^][(\ Mȳ@# {4,jR&g̽AE;Lb3g&v=+ݗpHDvέIice6mI|]7BA[P4,z<5 nIpEy1#"ēr{XJX+xL |4 ڰUWvwz}q#čư|eOF5]B9rO=aPט@ZŤJq쾭azK~I[2FhV aM5dd S=qdH5+hdEъи'2I+7aRV h 'cg~:lQYU}ZhAeY$7.mϑHu4$`rd(/L1ۏɖ1U T8c+06-{|D2.FylΫ΃+u78)OO:TX\cT5D.zOAH/*@#jM3k$NmzmqnPr:|3˓KLML vg :ƚ,1^;Ge89U&2M @EFTH|7 [D[ϙ.>{~+V`qC y+43C([1(MNgg* &xoP@VÁ-u@89lGU~s*x3C& %LYp %+AD!R'ޮe]*Ҧ+4 ۬ V(pZU=:n _ہW4! ^i#'V{)5uf e.L]ۋְPΌ)6Ҙ3=]\sgؿVЌ `?4If橫 IQ;$ȮXtF6bNɄ|ehUc~V#}ba"@ݘ=ay@G!X+!h}=H<,QLt: TJ媎%woȩL*n]%̝;<K4X&hˢEjQE%hǵԖ~$ph )}M@9y{nwq>H_m_[:uZ=522ۜz#>Y/CfIOݓX` 5D4cHT9}3\=W#?\XUbq>Q ,꧸ASV|;udUrk*wi-ա`8V h߾Jɚ_$oy*M/@[?iuhSD.cq 4NwLؠ󻥥Zo>ʣr3t‡_Ro:w0I\@4qkޗ攁qxǁx!tfMg^vm̗3ćɳ|%Ct:r䞘pN hzo'Xv8;Ma :rk;P/vV i'[,NԄ{ 2qPxQҁ,KM/0c0F~^qmfJc_fR ܭ g/x=,qrEi_Q\d:uͻ58k< +?U@W<\̱cbm1.yDܔ, ڧ:|5q*B3JT)XnũL#O.TfkAIFÕ[凌R3e;[TFNSCB0$Il*- K[aSڮ.O& 23k6*Tg,miߛ :M,kf{۷qf?5&e,uޒ{nZt&'F{ \R밵uLC0g `Q (j6M.T LUcd]LbVIH|]@\S=hy[si/؀ʜek kcZ3bo¼ zD\W_}O~/U^Vy dV[-t.Cۍ 8@@Tv "t Lk<&MZgͯUX(IJy5yX2k)G /ӑg)?TXgbeafSCbe,®#<<:sakX ב #Kjg endstream endobj 15182 0 obj << /Annots 15184 0 R /BleedBox [0 0 612 792] /Contents [15205 0 R 15201 0 R 15202 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34092 15203 0 R >> >> /Type /Page >> endobj 15183 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15184 0 obj [15183 0 R 15185 0 R 15186 0 R 15187 0 R 15188 0 R 15189 0 R 15190 0 R 15191 0 R 15192 0 R 15193 0 R 15194 0 R 15195 0 R 15196 0 R 15197 0 R 15198 0 R 15199 0 R 15200 0 R 15204 0 R] endobj 15185 0 obj << /A << /D (unique_136) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 423.4308 187.5312 434.4308] /Subtype /Link /Type /Annot >> endobj 15186 0 obj << /A << /D (unique_137) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_ruledeck) /M (D:20211013063105-08'00') /Rect [104.1732 407.2308 200.8412 418.2308] /Subtype /Link /Type /Annot >> endobj 15187 0 obj << /A << /D (unique_138) /S /GoTo >> /Border [0 0 0] /Contents (create_drc_violation) /M (D:20211013063105-08'00') /Rect [104.1732 391.0308 201.2592 402.0308] /Subtype /Link /Type /Annot >> endobj 15188 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [104.1732 374.8308 171.2677 385.8308] /Subtype /Link /Type /Annot >> endobj 15189 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 358.6307 145.4837 369.6307] /Subtype /Link /Type /Annot >> endobj 15190 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 342.4307 177.6092 353.4307] /Subtype /Link /Type /Annot >> endobj 15191 0 obj << /A << /D (unique_142) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_ruledecks) /M (D:20211013063105-08'00') /Rect [104.1732 326.2307 190.9192 337.2307] /Subtype /Link /Type /Annot >> endobj 15192 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 310.0307 191.3372 321.0307] /Subtype /Link /Type /Annot >> endobj 15193 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 293.8307 145.1812 304.8307] /Subtype /Link /Type /Annot >> endobj 15194 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 277.6307 144.2737 288.6307] /Subtype /Link /Type /Annot >> endobj 15195 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 261.4307 149.6802 272.4307] /Subtype /Link /Type /Annot >> endobj 15196 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 245.2306 146.4572 256.2307] /Subtype /Link /Type /Annot >> endobj 15197 0 obj << /A << /D (unique_589) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20211013063105-08'00') /Rect [104.1732 229.0306 163.5072 240.0306] /Subtype /Link /Type /Annot >> endobj 15198 0 obj << /A << /D (unique_146) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc) /M (D:20211013063105-08'00') /Rect [104.1732 212.8306 148.4757 223.8306] /Subtype /Link /Type /Annot >> endobj 15199 0 obj << /A << /D (unique_147) /S /GoTo >> /Border [0 0 0] /Contents (reset_drc_check) /M (D:20211013063105-08'00') /Rect [104.1732 196.6306 181.5637 207.6306] /Subtype /Link /Type /Annot >> endobj 15200 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20211013063105-08'00') /Rect [104.1732 180.4307 153.7612 191.4307] /Subtype /Link /Type /Annot >> endobj 15201 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15202 0 obj << /Length 19 >> stream q /Iabc34092 Do Q endstream endobj 15203 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34093 20830 0 R /Gabc34094 20835 0 R >> /Font << /Fabc34095 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 15204 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1372) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15205 0 obj << /Filter /FlateDecode /Length 2595 >> stream xZK W輀@r9f6L_/rHf%KG(mru]>cb1hkyO1^[3/c\1& .G?m˿WL 87S/p~ s<1O[mt 9[]TxA &=ɄV&1pQA䒖}>0#wB䗗"G9}ɭԉOF{VR^g^ M<U# [;ZG`[Bh@!1$mM`kW;4mgnsXTHury)߽F<=jkS?s0\??Xkٶnϣ8DjQ 4^ގQ43!gSq>Bcs=}1˸#?#أ˭}-$/,>f(I3:^Սa ds799Ie btueCPϾ"=! vfy7) *`pl=%/M\h74u«Nh\\C $WE  :-~\ɩ6K.@a Fj}l yN;3/)licOA+iL o›4zx]t y(8Sf(l`7erzV2^n¢N YH]6m}iЕZnkkb\ \h_d'LL+]vsiY[gUlnKgL9ifSTܟ:'[g\ֶktڼK{٪P\Rur d\!po[F;]"sШE#6:#3ʷ5ȘRų>38fռgPk`L.+`zX~ytM)B7ªȠi'ҊHGHn[2qG8^FΑ뻑)x X~#evjn mOC!pnc,gDU|">g "|$Zhגd*h)`AZ#1YMSхJzӭ#75rxZ\eUɌ0RYZZ KUv#VJG[$J7 ex凡Y]&U5@:42v +6K:G;3+QUqh&84kzۜh ZJ 6=nIk蚥h- gjLV3~6S1C4#S+|ܜ[2Ⱥzڣ9ff,&ǫ#ľ5#5'VNޓ oh6`3\{esmZ7nj5 RXnBo"ċ9vҼH d'6o+)շ>,r*/~O?@R2Kϝ~L?uR*RsZF</~[y6&!QiTDRL6?:HMe18C?GcrIK4:XTя0%0ng 7g?⡢}WXHX5 ^=Blewj,x\$Jq7]vxq߅ijM_B`ixY%#N,=r)27uX=$Q~a jX!*s`0zT#7-,A40tYQ endstream endobj 15206 0 obj << /Annots [15207 0 R 15211 0 R] /BleedBox [0 0 612 792] /Contents [15212 0 R 15208 0 R 15209 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34111 15210 0 R >> >> /Type /Page >> endobj 15207 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15208 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15209 0 obj << /Length 19 >> stream q /Iabc34111 Do Q endstream endobj 15210 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34112 20830 0 R /Gabc34113 20835 0 R >> /Font << /Fabc34114 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15212 0 obj << /Filter /FlateDecode /Length 3937 >> stream xڽ[Ko$W`:|whf$# u /_FZ^fW#,69;U:cЋ*C~U_vV?ҿ44{qgL ܅E_\Jf4]RwuyGmӽ~Q?>oMh7V/P6S <2pu6'A9,4vv|q9阧Ģ"x-J:Gc^Xho۳eW6C{a @ׯ\d~ '!j>1aHWHmEŽ8ڻt"HBJ"*Q{"6ąUAOc( mIEݖ ^w@:N@/yK s+LhYc&ۿ/_ C1c,S1 }Coyw zy#1p #/X= @O~@˒2M9g| +C~ sy E%?")#ɺO{,HTWy,p85fGkq­x8'o'p鸈,8kq=X |АY7ű⴩ Tk*kCgӺWl(v(]uP4TUf sָ9_x ܛ=㞐Ɍ=vKp).Oĉ HG9KK\ײd6Vi`8<s>!<h{_QvpGWGwaѠxf<ٛ#?ͱjY/W 1,GRu jk+?8Ь;nsEw J4#:%20Y\gjf]һ7o-Zs [F{~bmtf-zf.(j0}gzD 7U"hO"hKnB^,)4X[s(8Fq ^)4hny ]!eŢ]eAzE*\a/Pi9$l+BO0}#սB LJӗTkq*ZU[?NT:R^CuPH4|q~vゃʁURV•jLRJ"^;\\R!tuţ%jK@y^}`4;XXd׺N /b[Փ9Jf ,) 4| Fh])1vW2ddߚovm}m4ipNOBu{Q4GufXˁ߇ e=[׾n# %va|}Ab?H`mV<yw ϼugad;ru&I(SV, .+y$RQZ y4U2Y%UR`5tVy\ӽA"(M~#mj«oNɕ 0ò#n w}?I3[ZgyI(MsX<7$9Z$ץ'o˽ҦK _9!+4ƅ/f4/r[&:]%%Z:2t=[9)rl:$_Le \3r$qq57 O`3)x`ӫqB%-iR_`yهg5HΩ1-:ϫIlr2"S)Cl ׂ|?*汰25g(3{5Μ/r/$5vwkvP(rGRus=\i /5/fʦ.#,:%LRLsj}.m]Q(c({;Q?' 3B#]+K9# Cd~+/S3Ž>V:݋c0QLw=#mgusG*2!rX40.9)@\(E̠ TZJ,f09754q*pW&i4k1%~J|t=Rp>:_R-h)g:'<!blMM_Tꬼi{*4\yQy-u;z/Ƚ"bK}b&Z`\8ɣm<ȫ{RD $<`_8i[J/R**.]S,ZTJjOL( -?.l|ERvneX%寵xiXnE$^QE+5jrR!)x#%?s+bhpR&#Eպ.Ґn}g|N9v~`eb/x) _flN;g 2gYȾ%1\LEY~a!,M0nO|ٯg] uIl9O}g rD()R#/poU} B S〛qs`pzT#DdX\u{"R endstream endobj 15213 0 obj << /Annots [15214 0 R 15218 0 R] /BleedBox [0 0 612 792] /Contents [15219 0 R 15215 0 R 15216 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34130 15217 0 R >> >> /Type /Page >> endobj 15214 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15215 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15216 0 obj << /Length 19 >> stream q /Iabc34130 Do Q endstream endobj 15217 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34131 20830 0 R /Gabc34132 20835 0 R >> /Font << /Fabc34133 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoG endstream endobj 15218 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1374) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15219 0 obj << /Filter /FlateDecode /Length 2070 >> stream x[K6W\@,`a0CӶIQl d/%R^;fMU4C2%^T}'2#`o$<:iy(\"+F+"qlA i569k l]be\r÷OS(pT y `Fql,6ֳǍ8V.jKN+g[H.美Kq1[K7(Kg㙐X6YUrN*h"2Rd򩇠R3.g4\ۙ4롸F ۡ0m(c!'41*T$lujpD.~Fat D RQ7etH ;LopVS;߿[tYeJ , ?kORw!7J:K_TLFқJZy+?mB1V8xCR Z&SV .`݀zZ{޲Ӹԓ@_jc=Ns9UJsb@-[d02 ;:.LC *c?0ey5; 0cf0()CnGg2*?i0SgNoI)q}Iog /0=ᨘbќhob3c ۯؠKz7O223g9Ggk]=ca<#5/] n_̼uX筚cN\x&:.):-\yw^郲wJ`lCh;ĢT<T@flm žtM`-;u&Sٲo1wOdA@(t^`x*8 炝à PϐϭieRPǠJҕ% qKI\ #u=ywScO~"c4 j`_sbj15r0j mN_] h 5z @C7JׂF+-,p, *s#2`pcLKwU@ ;2 Ta6p ;x 1CjOUr]ek47d,ȢʷsɤqltkZ7OQY՟RX &,ZiVjpqAP9eCeKuo=s ŐBW/ uln3܅5kk@_sio].YY8T\wa,#XXvXzXJЖf[b^|F:Σϣ.>Ltj Iۇz䋰M8}Q{UtW~<#Kp@{N`p 0}#0vaew=;Byt‘ 0 $k0T?zo VO89A¢尝A_uS'*:?w^}?5m/Cp7Nikk[jVre=.O|bJ/԰`ڂݶ=GSJocqqw8 ҃3G?+] endstream endobj 15220 0 obj << /Annots [15221 0 R 15225 0 R] /BleedBox [0 0 612 792] /Contents [15226 0 R 15222 0 R 15223 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34149 15224 0 R >> >> /Type /Page >> endobj 15221 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15222 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15223 0 obj << /Length 19 >> stream q /Iabc34149 Do Q endstream endobj 15224 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34150 20830 0 R /Gabc34151 20835 0 R >> /Font << /Fabc34152 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 15225 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1375) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15226 0 obj << /Filter /FlateDecode /Length 2829 >> stream x[KW𼀸| $萛rX4H=cFu65vnz|U*{i6xIʧϲ wi}0x>Ic&'K~E~Z~$דbZ>~\II(?o>EAy`W6Z?x蛴XzfXϼۉ`Ogܑ0 p?Y"-cHR>5~")hJp V >2]E68ʲDFX_dkP\ռ+\ͻU+\\4;Hp`QRt\o;M(%UwkG"`l#O[󏝷]eg!dCg$}jLY?Zdi*=lPdk &MJa'ٖƙe"ԇc!!cuKׯk %7suaXqVM, {4L [\7dLҽeՋ"H(> {`s'.D?!!uV4_AFi<^3A%|H.ʼn3D rf5^wYh ;.EjY%yL-s`O0!nF&䓊 d!+\XhiMA<:LveW%Ʌ'~{ 0% 4]E*K,PYbfT\Z\2+ˈ ^B=2keVJ-#bQr. ꃳp,#³2Y"q)Zy̡<=T\d,vRٍqٲ mq7Atdyvh DŽ]Vs6Zܾf6aMâ-,OwOí5\!>4tMX­X+YONRh[5(ʆ|S *l*y^}un7^"ð eFAmвqmFV=뫛<)jT׺1p-v'K.fKҼ}V .:K_=ڙxϱCLD'R<ۥKg;9e,E)yjh8^\Ptk#t8ap=U4d%4фr-azQrv/S=NDTasN;j % \n@xcm":"`)p cqä/.EfIVɽby=X^Uu[mob,Jf 4rH-Uܦ궘l]̸p1M#TEʧkãnp4кCK_ ~IA.=ـE:RvQ@l39@H+D} Э!.XP 6{vh\D& , ,PY&G2eJMH " ( Qiu&Ab%WK>_/7ϣ :K nQCM2M%kʖz>-zS4fyQV1aei~5Ĉs٭(G . Rn0ݰb^,^n#~eo#%HЮ xCȚ0vp“ uT-+,+A`(t7$@`7L4g}͔rHnF¾PFAF0G|3^$> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34168 15234 0 R >> >> /Type /Page >> endobj 15228 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15229 0 obj [15228 0 R 15230 0 R 15231 0 R 15235 0 R] endobj 15230 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20211013063105-08'00') /Rect [104.1732 582.6038 172.3292 593.6038] /Subtype /Link /Type /Annot >> endobj 15231 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 566.4038 159.3712 577.4038] /Subtype /Link /Type /Annot >> endobj 15232 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15233 0 obj << /Length 19 >> stream q /Iabc34168 Do Q endstream endobj 15234 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34169 20830 0 R /Gabc34170 20835 0 R >> /Font << /Fabc34171 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ Z endstream endobj 15235 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1376) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15236 0 obj << /Filter /FlateDecode /Length 1602 >> stream xڭXn7+x64Ec 7$GQ+VȈHhz]h(=NQWGS~V~9}y^DC6//jm:X#F'N_TP M %}' cLO;2& `'DS_ 1r'CY7!ha\%Y[dx.3wcM)1Yaf1$BE,C_~A.dL &   x>B>"z{Si~_=i *&Mj8+S7݅&=^mMѐcY(>.ON5?eUmP.F+f Ϟ~PW?2}L(a=4 _]k4ڈ7Rܺ79uԭa9VVSir33 ȑar5)8LABOLSTHO|THJ.>JtpD Mjjm OX7?1u䎡}f;H'>2ԾZwwu:'`+I#h'`SJKv "6B X**TE53oxÒ)ao ViȶT1hZk/n&U @W|DP2nV:H A `yr?[n?al~ը(Evs9&lʜZLά em;fԏZf?Ryrוe2..ӸWj[T[Ɨǽn%Ѯ$^ _1XC50]w'/1WW{BQCg$KՋE 4I׼:6$fqRǧ|MSWYŔDI4wGJ+vǸAwZA:fbC(a~eY+vF]($OĴ,}_/5ʆC/ƨ^hb&4Oq&sݞ]ԄU⺶CK%as&| T77|YU> z9"}kiZqAGW\BMXM=s]p F7sk[z[у73C(j;c u}yï9J@ Ny=1~o=Ӧg{> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34187 15243 0 R >> >> /Type /Page >> endobj 15238 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15239 0 obj [15238 0 R 15240 0 R 15244 0 R] endobj 15240 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 363.225 122.692 374.225] /Subtype /Link /Type /Annot >> endobj 15241 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15242 0 obj << /Length 19 >> stream q /Iabc34187 Do Q endstream endobj 15243 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34188 20830 0 R /Gabc34189 20835 0 R >> /Font << /Fabc34190 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ: endstream endobj 15244 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1377) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15245 0 obj << /Filter /FlateDecode /Length 4788 >> stream x&7N|:`5\wޅg%wIN5nOO3?Mo{o7F_MzKGzθWkh,01}a.Ɍ\ta^??eN:VZ YqG:wYyk :IHd=GSDSƎħ W,o\_q/81Z:/Tryp=>ϋ/ѧvi²~bLj`@>; A}d( l8 F|ц3׿½Sg>42=FyI zhbe,vjE|o@f<=ȴhMvZ/'О-q )V Whk8=*֌E CYD+e˒Thq4̷AՖ{$_n_@:tf6A[fh9R=Ց_y|ă 44i;9`}oPK4!Bq<{נT ^h'WVu!." ®]G V&bAbVƊæs-ש֪UֆWlm RT״g`^rj@yrgt{d=Q7ك]¤$K dy3uzOGX`-tyMbQalQa&-Q+J뚖;J-x<k!2C _=6L2[5v0r SԱٵ@C,T&z=`T _U`%*7H-¡+%QT e iI3a閄[ᲄUj'Yhζ6>$BKivK7}L\n1#wiC*Oh^_C@0OZUZQ&ex^E<ي'ƟH2B aь* k@qo4~#}N6D j1!d2i/P@NM8aG u4@peĭ nqL"';lAC TgKPD5x&$haV>&0q늗U[' UG*ڤ(Zڍk˜P/N2QYJgcefH%*G)@-ђB,ڳUGURzty-/MhRܩJC0_ot=d1*lɜe,}NjMS[js$.%KJs,>I,g,crdiU"UjMՈYmԦRjfmc6+Vۀj *m_V j]:V0 t:߭dvM.X* Ե Sj\ cV+{s3RT9 mJebj4xY 8f/yQ')CU7fU7"a,j] [=t_(b[Ճٳ8lk*;TCJ t%l4 ;sR`NM+P!TŜ XZAI\zРTX(EY`P[6{;8-*sg^ J fgNwjֳcP n J&IwTJ]ګ|ؠ: PC|ՠ:)ŧBu0U+dP_ˠ~u}u92_9XM{licG?6iR=f?zm?zc?c\ٔ Jء,hG>; PȏK}qI]3"Dhܵz؀>)rU3O-s&vpm-,;e&i}ϴ*vt ] ֖٥vvl/ޡ (ϱ?+x_9n>uM0vډ$E~cmr*rm)PϊyŽ[ﰋb-@'eR!Ů -|`၏䐲ZDӎ4zuee]9{|;W$"+PqbDr_>H!ZY7<<2B5uB^/0oպY3ڃrJUe_轟MڮmNle{,.?;ʩ2˂ZR >h;&e5bfvI 1x}ϊ \T6e,s2<HaO@md+kA7=u;SwmF5u ̀cI S>xA42xm xSA֟Q )A_VS[G'CPW07|5XSkǮb5LpGFhUsq]+vٓ0@l ]b_oϣģB/-{ͭJ7 ƹ9{a@kv\qw)B!R*VƾI]Xw~R*ZspKtЇ0CU\tOw5<v*^׳SB•p~lf|Nl4X}:/k/~!9(%gn`/q}iϖ#_aD}& l;2$/dH@HN:noIk.7Z6odcJP-I=2y=X[:PMi5} eLѼt n-(̦(mb쑆T Ad|ns;?X4<`t#rqWAZaavieHJtles+@n|Ml7׀„K6;h+AfKߔ ; FgA0}L0I|wz~V:+.)-ݔ՚V]]:SEXGr}`@ B|1 J\tʵaP{90Lm: 6Y3_`&]_~y17Zfn5}.:(͘!W ;04eN?Mb ˲(IiuMv8]:*PWț5ƒh?`WTPG x-hD(M|Eu1RM,|s(uFz?:Z:PEΘͬ{Er}a+0J.Qf"2!#J  -()@s7 3j / @n}|Kn)[;ҌH%寵h}tC,[dTJZTN-K*\b `Y?9΅*7 .6TV\Fu|{39(jRWͶa/'.)bI hnñvBj1ۅX M]%"i7O5'+lȃ|){)vNߝPmq )œiH0L(c+G H-,gL'G) a?+7-~+2*.unGL'˴ ?l!?~BW6TGQDžv cv]auzhbðe_zp>q}#b`/ g!sKx`qjN$?e endstream endobj 15246 0 obj << /Annots [15247 0 R 15251 0 R] /BleedBox [0 0 612 792] /Contents [15252 0 R 15248 0 R 15249 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34206 15250 0 R >> >> /Type /Page >> endobj 15247 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15248 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15249 0 obj << /Length 19 >> stream q /Iabc34206 Do Q endstream endobj 15250 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34207 20830 0 R /Gabc34208 20835 0 R >> /Font << /Fabc34209 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15252 0 obj << /Filter /FlateDecode /Length 2764 >> stream xڵɎ^_b/ %urԉ'~ƥJDZQ"߾* =ON|QmͪTdߗ˓wV=g}xe&/GcS1^y|쌹^yx<@t:IIۘߝaoO ?@!({nat(,D`[ejPRQh :ն 6RGkX's8 `nAǚlo$Rdʿ8x8Fd$( B01"ZccV@)dxa /i:N&ٹpR(I mm;# mӠ-ֿfAHW4βDkݼ&[Ҝ /JHev o"fr#0kBlv8.Aqau .9:IO5-A[9{JNkD6POkc9sNT{nT9D2Mћ8bHNE|PǾ9||gĮ14L=XTŌ~닳ߵwbb(Czi4B!6 8T.sW('J"A\=#'"Cam7I m!ߎ#㭥x&+pHth(= *]NnEbE~;V )q&u F'EgӢ牁?!ox_OKV;R~S2krp[a9oB8HY$rs`V Q}QaսvEȔdPkʚijUI& ^CjӲFN=..umw#-ݭ ;+<`\~WLMq=]l*5B !cL&@ruAr%<@chTEhJHcQtJsgbvCe]_-ڽhշgKw͕m\dwJK ~Z2wMP6p|8\- v$>;9ꊝT/6:v^cjZ߾cl.^Wxz5?nސ|ҼUαִ;$\\q$:b3 @ [Vp=m*v.>Q=&{ uա) A1"p3\!+|1P7qWJəvym,ʺZFW5D?)wTF%ԷϿOC'7FHhcJN7Y=Yۯʩoq#Hu.# knz}Yp¢PjP@s B^AtKk8F*xo:[=0UztiҞ Y+/PC3QEu5%YO&`)r!COV>y@ųdͫ3}U!h5)6n[ؼBOv & = 3RSA/:hm6k[ޤ|\}eKyh_+u&,tF>̀ft򀟍rk@yɔ&$ endstream endobj 15253 0 obj << /Annots [15254 0 R 15258 0 R] /BleedBox [0 0 612 792] /Contents [15259 0 R 15255 0 R 15256 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34225 15257 0 R >> >> /Type /Page >> endobj 15254 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15255 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15256 0 obj << /Length 19 >> stream q /Iabc34225 Do Q endstream endobj 15257 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34226 20830 0 R /Gabc34227 20835 0 R >> /Font << /Fabc34228 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 15258 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1379) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15259 0 obj << /Filter /FlateDecode /Length 5024 >> stream x]KWle%{ fg6`&?U|KZd?]DgIQbU}E&)я~!S1NodzӷWzezI>>1=iM?y'. cHOOr7߀|mF}O g:3uLrçןx_/NvQEc N :ɏg Ol_(hh}wVd/ndžǢO-3De #sOԄ:Ϯ>œ<3h!ZAڔS6TӍ ނw*w=to~dcb9hM>':5(_}E?3Ws3vҮ |?'b'W돈+NtE$34jUnD&2);{<9^-h<}y#s8bHD`c@1b/]e *?)0яX`dXˬ^xio7 iY' \ n0V4tϫB×oڟJ $5;66CI[9~4sI-J[6N^NQ\/`Xe5^|&zP[bLgM/Q g(mѫNX_0qXQeD4nCϿ%".t^jZF' RnaOp! z؛eYI[v8__r.`PekN[תS#ÙNu֍ Fz)a]g0 (u܉6/uQCrO.`,t4{OL4S Fn  Izv3j7 d w?bd:=8,r8̱#TʌJë=gƎFà#BpG !dƉuK߅"|XXk»-:?RhH=)>jRJGl}l.+{+ؤp/-'s2 n'!hM,V3[kF?i43UY/?{bƪӦ !o@AБ𠵂by^NI1Q'~J=:T&kY.y B%Aaӆ:~2n⽮VY5i~F mz2  `[wQ;QkӲ-i߆i8]v$x3S*W&m91^i/]ݶQJoa;>RfOrQi Xo@ DlA4b@ 5KFMnٌS&k꣦UZ ƦA)8) 'Ӕ&i!qSP_1M9]VSˈkKe#ݤn7ig k[zzQX18^:hiBGxv/OԇbECqX3+9Aj0] OqYP=$Y,t^W.*v7y%[GW^]ҹmRɌ5EWh3g͔;ק\`hLuvP ZJoxXX|ә6BA ~]w:U1bzD3/.iqc{5lSڪd/".!L{#gw}2+2jZqN3bLΐNbs̱#3Qf9 r (" Qv'# |&GnB+;L⋙NYFҋ'3 c9? u ,Ig˜!ofL̨vU0')rX | t Bd@,D1/XfdVjPfJ2&d%'S@Ǖ N wN'1pZWpID6~ls-Nf8)=D28;|LhN$aNp")DNd;N$}T{F#Jt5Jt HwȼwP[DjT6~6iӎ9YrI2d ]);;2% a84n$#՝Èb̈cwGJk1mOGYr.]e&Yaiڈ]+;׬!!92k["mȉDS3qP8F.>8^S!/Nu1rBĊ{̳PI֛9cg)21c6Z[xcfᏅn1>Z6>rEϱDR-pS ]iOv }յ-}9<Q?@tv!Gwaf;fS;ĆukrĄ%t<¯g1g9ο`[x+}55 %_M|JO1 n>l>|J^>-ĔseYJwXؿHÏ=O>%I,=MM@<N(qxz󍳊$5ՙl"h]F*35dQ z u̔=6 0&_4ao<UL. G3HC_8ރY5txRJ.^ubz:/Wx>UveJ}tQp~tH<e%qH>Uk`o91ruN#|/|>w^OoO]cgF 0 +\{`D$,zoq֞pg=Kw1X廙 =X"fw鶈JRp"'bO%/:6_aR xsK߅vG1L'/gxZ 0g9Qz2 endstream endobj 15260 0 obj << /Annots 15262 0 R /BleedBox [0 0 612 792] /Contents [15269 0 R 15265 0 R 15266 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34244 15267 0 R >> >> /Type /Page >> endobj 15261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15262 0 obj [15261 0 R 15263 0 R 15264 0 R 15268 0 R] endobj 15263 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 675.15 122.692 686.15] /Subtype /Link /Type /Annot >> endobj 15264 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 675.15 160.653 686.15] /Subtype /Link /Type /Annot >> endobj 15265 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15266 0 obj << /Length 19 >> stream q /Iabc34244 Do Q endstream endobj 15267 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34245 20830 0 R /Gabc34246 20835 0 R >> /Font << /Fabc34247 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gHkCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15269 0 obj << /Filter /FlateDecode /Length 3822 >> stream xKo3_b~˶ z(zr] "dI6HIÙ8d'+W7MN1Lb~AL_dyO?YKO_qɘ |සOߏӽ l.><}n )ӗMp 10Xw?+E ;lNQ'hFȎh)9;mfz^< ,Q$Ʉ$*bW$ CѵZ>o[ %pH<@Ozx?%.#4ѥ˩VVfBQ  E['i'Ear$`mn<<~Z~0w8ja)s!3'"^V#lE,&`U b,b^,.{aʄyؚz7n*G2y0/żoހXA}g" YIRmw^9sA!f :[_M̧ "<툰̃w0Z*D:Er$:&OԢfuzQr2NHn(D~?д7^GܰMBC Kr놨lndr5ެEV}VUnF=mFF0 {qGsG]3>q?3ne_(M}4 $h`$H.ԬK:fyӈitR(Zvb[#2· k2ʂYd-kdlm/SؒٺLs[<о|["z#7 HL%<»bb푉џ=:uwWcw3 Pp4gn `g$Ə h^ |pOcZӬ-s+QӒ9WHBt$Fvv'N(E"@-^ Q m-70Mfg,%Fs`f:1q$m|2I| 0zhl1(sqMKDtE6Z`Q'9Q[gш#9cu3pȃԦ`쟶>[ǾVmq6R dn]^yݵmcMĒF$p@l: b-f^uDD?+q]{_@%-#(krEdRd/ "< ›6: +b{^!~ء>I(9&jijϳm-'@jJnMihZSXҡx_O=R3ӡOԡR/uhEuG误K_T˕4y@u%/o=n86d9.N*7wNFٔuHFߦ?aG`΁{PJV,=U bIg1ՠ1c2'i[$j|`sa tQE A`<}`tb9GL]<0PGNZX8-Q~fRk`4DGW?q*B)/5|~l^wԄc ):2}aUs z ȶPx@W4J=f@v#607|>a!$Tʁ.( yX-/$Z&VP-aaɪ,H ^JbgCUȅ(X,zӘ8#ƴly'"5X`4݅<#5Ruc}p-].?b[X3zݍaJη>Sl0Š@_FOV eb(|o"RַtgfQE[ձ~,5e)R&1dШEcFU#,蘬kEqB,^*P}bP`יՀ_kڜO\+0XbnX'RlYb gc+0\QV:j.2:f*\m1ލ|Z#?}yeE3U׳0)x>L΍@U ucXH2x#4ڭt6Nx#`y>d5]VLHL8-! \l Pfe @N8(eɟFZ.ꮱ$.fAd>z԰cFAsxrǸl=pe= q(z@ tEԭ7l*C%_J75 ]ge&2Rh0tnpL 8<k:Dū0/oEJW)Kr  ZS h0reQ^ń*jdej'&)`))W;;=4,|vK۹%, Y|TI3/,&oQGjh͘f_I1 ǖsSBkhfk7!6.T )̲y䷢1YonΥ5sYScsmswq17.:.?N׾xaõ(k|w2˘=wT:$yȋ(_D "9;i[YE5gBxsG#||vLw23Q>cû*I*IASc|ģ0m>z?@!@PūU Tq nDsy\{1yIºP ހ"`{W#=x}wXຽo@ z endstream endobj 15270 0 obj << /Annots [15271 0 R 15275 0 R] /BleedBox [0 0 612 792] /Contents [15276 0 R 15272 0 R 15273 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34263 15274 0 R >> >> /Type /Page >> endobj 15271 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15272 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15273 0 obj << /Length 19 >> stream q /Iabc34263 Do Q endstream endobj 15274 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34264 20830 0 R /Gabc34265 20835 0 R >> /Font << /Fabc34266 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15276 0 obj << /Filter /FlateDecode /Length 4135 >> stream x\M3 W̹U}k /C&E)CI?vI E"|ybͲJ$Nߖ?_%ܓ˷q!".~> V!VE |ތWm, 4Kk(PBWF +{-AxYF^0w! Eo|Y| LB i }Bzs̓^V:b> ]JKm~@k#k\KcDN5 "ۡpRp-?#(þ0%hDwI$5OD^DE=fK *`R s=iʭsB4']JrZ0 'd'S#p[|%QQ&k/ e~T24I|/,+ϒ+]Q4 g-+9Tg(mF';k'#Q.TO oXT XxN]m|}tyϽc du7cU&GNhɂP4MQ,B Ok!QNT,N ,emP6 8dfK\U2)5hAR݈}@؈omTccIjfjtFLM]͖/E㮸Wl 19mV6`P#)Zm9V(s+S ыR3ni(DΪ܋u}c|nk0:&.yυPj]1l^/ K.TQ} +1YӨ)\l*V9l.Ƀ+= w cd`Mr"_'+{2{oo=,xw! 2CnX,!9Us;mC[`]iʚZsc)W#R%+'+~H5ϕ;Dhڜp?,;%ڴ=ޜlB^!.k}1_ wj>;u\~vɦʅqk\ VD$W+^$3:3%53:8)"$18Vmy6|u٭JK*ac59b=T=*/H`D;(C`C[rw7 h48ƤmRN#t⊶a/c-y o\X+TlOݙ8؄(܂A,1>{r ۢ@lA]ˢ[~UcR!~OmN]LwZ1t8ެk(D2r|um[;Z.! f(C`;$%}}zwuk ǥ5s5me!lL);ݷGq)J-;:4fM9w:p=+ h-2Wv׆i|Z!M*5h8R$fHaQܲ \סaTS "eF;[Qg/- hWVf1A3_*sܑ3ɦʾs$GX?taX2̐t-אf/>B#sSY;94霛KGUTUGΉ|ȩ:]R H@1kvQnFM6lNõ'L'瑠 _6=Aj(s턷Lm79"3)/䬓4wq)tb| LuVyX'V1IhkF*v"n-^b[TKFۊlX=G rq_٦iPSs'F'3RBu>;IrD?65MWwʽ4خ| o'|N 3 150`[SY, ,}zma\ǝﶪһ[` ˬ_{2/cyr#/kmkCS]EeJcZ7od)7Bp_߾Jb鿤 _ Sv_hƀ$FC`mrq'wNS]ʘ>' Q@de|Oϋ{Й25s/?.?)ݣ9 &\AS,i>qrζ/sg#OD;)maؑ{CpN n'-;b0OMMx|;4ӊ`PvD"2v4a4jx"4 +MLb;C %{Aq9t;,EO@Qg(<1RUaU0DFc0F1HK`ެR9o V frسW}U%2Xdd+"ru운=8k2 3]A<=FOE8uao<>Ri8Э5%x_p.hRZi,6ff)ȱ=J<^cBwOf'qS[H ɨ\=3LO&σ ƲU9+2 QP۶MVi** +K$=I<5ދhrQqթ&˨?u&Smo;Zo{nNk&9sIƈ84!Gƫ:Ŀ#$Q@c[@^HL4aQUh#Jj Ҽt|:HLQe|H+4x8}τ[txD'Q,*4s`F Ȉz[dtu|+|=dg쐪M1[Hi6I٬GJQVz6K {ƞC$:)' Ih<՘G6i3=duGkTlR$H+a endstream endobj 15277 0 obj << /Annots 15279 0 R /BleedBox [0 0 612 792] /Contents [15294 0 R 15290 0 R 15291 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34282 15292 0 R >> >> /Type /Page >> endobj 15278 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15279 0 obj [15278 0 R 15280 0 R 15281 0 R 15282 0 R 15283 0 R 15284 0 R 15285 0 R 15286 0 R 15287 0 R 15288 0 R 15289 0 R 15293 0 R] endobj 15280 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 347.7423 164.1782 358.7423] /Subtype /Link /Type /Annot >> endobj 15281 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 331.5423 217.8802 342.5423] /Subtype /Link /Type /Annot >> endobj 15282 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 315.3422 169.4802 326.3422] /Subtype /Link /Type /Annot >> endobj 15283 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 299.1422 218.6612 310.1422] /Subtype /Link /Type /Annot >> endobj 15284 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 282.9422 172.9177 293.9422] /Subtype /Link /Type /Annot >> endobj 15285 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [104.1732 266.7422 179.4682 277.7422] /Subtype /Link /Type /Annot >> endobj 15286 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [104.1732 250.5422 174.4412 261.5422] /Subtype /Link /Type /Annot >> endobj 15287 0 obj << /A << /D (unique_651) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20211013063105-08'00') /Rect [104.1732 234.3422 172.2742 245.3422] /Subtype /Link /Type /Annot >> endobj 15288 0 obj << /A << /D (unique_652) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20211013063105-08'00') /Rect [104.1732 218.1422 199.4387 229.1422] /Subtype /Link /Type /Annot >> endobj 15289 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [104.1732 201.9422 187.0032 212.9422] /Subtype /Link /Type /Annot >> endobj 15290 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15291 0 obj << /Length 19 >> stream q /Iabc34282 Do Q endstream endobj 15292 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34283 20830 0 R /Gabc34284 20835 0 R >> /Font << /Fabc34285 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzI0H~acX`~߇]&`jJ8.y=xzw;rQ|hǬ|x=;u SrYH7\Hj;J=ɨAJn\&# c̙h;IHNQx5sT@<ٸs@61 Ej92;0G g{ֈ.{b(}3!nEMdME4 <~U$߄|j.P3YuG\YZ)㻶-f_KimfSۧt&Mw>@dQHLn2Wp23ϋ-Yu]nC25>s~3ȚCC30y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15294 0 obj << /Filter /FlateDecode /Length 2754 >> stream xZY#~_ *}h-mL}{֘n}ǕGIC=mKM)""#82wm7xϺY. ux9[xfO1^[DT9Gup[<ϝa|8㿁/.aI%[W+ӿhvYxv=+ikj}0&yɄ`_'!/)N9vIExxNȘt;e?D>_3[Ȏʑ Ktu6B;巼>󺜈n)MNx"DP[ wd,/@' B4pH͒\~%dS'Lk#3F&N.ovF+.lm֐k3r`x۸v_R3:yW< w%7JmMwJf{i /S4ٰ8Vo#QV `1r9$4ukގvVS =P0C)\`[Yץ)Аy%:dcg ^ɒD@'8<+38ZSe;I& ӯlobS]Ǐܑy#Aw ]+8L=5 ' \F`̼geJЫ|B$ 4i=XD۝Tyv6PDl[_/i&ԣ6g_B+P*3`8_*d jRmf1 Bx7? e 0וfeOUv,8p<ܽejI(R[y|_K@{-G)AAN#qhptKXNb-̏qn6\~SEGHńْ2BdL_-aZ$Qµ`|N87%Ntv )]x!qkޟ9kZĤ8^Efv͏R+ZҺ$R~5NY#R.@ .5|Uoh[F9BefֺECbhش^ϥp9Z\1 ~[!^~1N jsEd'%| _p3iN>Q 8Vez+~+,ΧYN<]FQY@ASOEBn)>謔Z/&.%kq*Iqqă\ 7{.՛K+vd_'h9*Q5A4](ã\CxK!?Op MpYMts?_,  nj1UK(y~N?J' 0oݞ!3x9ԍxV7zTXD@:AԩQ?:SF'ڎ\Eeܑir5-u&/`&5sw L80:8B k"xnG, M8vU #Z+d8Zd9`Vm/NH':h+|!kb#t7~EKr]+7e1R\,fZK1F~F}4+f:Nu:ۄY :Q3~vϗ껂G= я܄75_uC Pw,梇e jo!9 h)?IʫQص$ $IoG+с~ Nt뗜ktVMS|ܗ|c4B,-*vKu[5-\PY?b'@?鼸}' RM ]o}F`h;iE@vLf8Ɨ%WגwK>_ .WIvYںini87m=xS;8fzt%K8>RaN5$,aZ26odz^zG;Rh]3Ԋ\|-!3RqY ZlJ Z حx وVlA)sj7,f z #'5f>b ͭ 'awRze0BZ#URՌ˽`q--yWi-e@|6gMeRU3웆F^  fIsM8~"#ϬǀW`#MD#I-G% ad5%Z4JVĶmLٽhFV!9euzXC$ޘDhH]bvVN q( .F}0RSl GO M'3۲C!ַsa&d'2䍒j >ls*W/{"PE%W_|Bk{4#v@'jWp˵wYsa;ΰ ܄42=djLl:Q!ᇜ!#~o]K&XR?g0T;a@]o#\$o+p,o;n7Ay PAefp:Re}[3r(Ɖ~sD5r:wU(T1`۞8Kw_=xDu6.X!:d90#:ƭ(|OeFsrIF:a endstream endobj 15295 0 obj << /Annots [15296 0 R 15300 0 R] /BleedBox [0 0 612 792] /Contents [15301 0 R 15297 0 R 15298 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34301 15299 0 R >> >> /Type /Page >> endobj 15296 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15297 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15298 0 obj << /Length 19 >> stream q /Iabc34301 Do Q endstream endobj 15299 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34302 20830 0 R /Gabc34303 20835 0 R >> /Font << /Fabc34304 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoI endstream endobj 15300 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1383) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15301 0 obj << /Filter /FlateDecode /Length 4597 >> stream xڭ\>̷EnPѴMeʋKf5@Y' `B0(p o`}ZPC5-6q_Y\1A Ȳm ]}U܎._V,?~gۓ6(.Wn>]y-+X^~G.?uYR^q5L ڊ]M\kŏS} @>A;-([ eX/0d`l|YMiř&ds@<x ( t )*Y6 u@j}79a B/!B?U,uaFhh[q}m -qw9SL|fRu2)MJ@SSWK F4ڮY7寯wlphfV\Eϓ+ߝ.hf6D2Q"KG"Ei ŅgAnӰ˳} x%kdDt~Lߏ edړYq#w֭^Y/Ha-(]]PɈn9F\<'y^5>PċY؋ْ|^xS}ک_RBD>"@DVy>Y+!MU58~6]/-AU=v[y"+Iw~݄&fz^Ųi'np@1(O h )bd+PBh: է5}e`ݸ5N{+SLr84N=TqzW '$q*|+ƭ3ໃ N#S =BuVF8I+A bSRrcF{~@lhKKi zq =Wqs'0P.´2sѯ&j< KX[4ϼWMXX^WKJϾkP[}L{fA6F@6̿zRɪVՒ:Zf˃NՁfzLw(Xg6I4gn ޭ bbDyfMhҘQ;kߋj}sQ3Ǻ&QzF4C%M SMzpGnpyȝA;CGuX{u;fwmNgeAqsoo;FSϢ6la 45U hOqA!FjuȽ:#PǝJ:{U#8xb1ULA NN\%kW>R ӱ)M1t6Z3!gV0~02:,6I+Cު٭ٽ탧rD6 nH"i JD2tp"YrQ:R0EYJvo$K8(%z`R/ 85H<52&@$[r8K˙󞴮 kɘUla X) 46]?OzZRp0bi<dɿbp.7Jn}7K$Ώ'g(wXO |HDv-qYv ECLSOLcP׏VrU}@C6Iq"es(Q Q:ā3\6p%n_"dt<ژUt:IH?8hDj+7V@O*X&])9 nxtblOvcO+znr W@JTM@kQ,6_% YݫG1p9cU.G  1&X 's8ΖjM}mй,EfYmӎ:4JL8n E|XLSx/$TElOٲ*:m;lGUـ4+OnmPRtSTR^7 Bx:~Puqu`p>q$&Fn2*\SU >G^ӈS"QV<=l7гWf,ܨ2z{+b6j>QIu$5,wuO]fFɖoGL!WEl&l=ʺ#4o.դj*ofWUnn<LE$cjRQPе&߉k51\rMlSjcdblC( / Ol YD>Y Kts~sR+wk=$Qe1.k,X"f5yz ^ s-X5## *:_*%{Śe.d Hx8 qLI 0L۠.ޯc:%Rre #KFJ2fO _I`JFi6iFJUVjF 4&]lwL.5 ~տ=N4a}^1ԑ-Ł ^xC.).e9)13Y$h2ҦCRe gjH'p- Ó#p &cIn#r>t m u(>`ugJ ' % eJvবْ"TIRv*m$_)W&܉h!z Iب'm xάpԶhL#qorlujx &Oà+=*lzPAV59g)=Wo$P2.F/n33UJr9JfԊMX]Ck|TerMi4.T-a۔-YQkTV̮ "S}jb=B>:QҋV`ԛȦYfCc qjJ  &CĬ^7Ƭ:%Gf ªmcQ{JY(]|+fNSwycr(;{^p=b-AZu(bฎڙ"悕Aۏ 9|;d3R=jH#za芫m6'mlmK 9 xPfj>T43"omV˖c]TVpMM\C+ƸE% <71OkBgԚg}f G}8 ?ݜV~-Pjw;g#OVm"O_bOOĕ-Wz&9jpВb5fKO %6āEQ4cX1g}ԃq6b֤HNҐ Fftn"wώ/ u!KQ֔JFMť|B4@Bv jCJaM[H @S_1'`,WA9ALw^"aa9p(<>\1_>|B^m_~y՛Tgl!:r?bx Ӈ(g7 BY9a'[9!$Rj>0m+ḾlE}AMwJͫpLΗy!M3&pte 0O Pʜ4H-D!hDz__!&c0GA ĕURxcRG JGmGу. ·#|חTmeZQ:V3o(.F4o.țX!p @ W "߮~{^^įOɍ<̳BĹE`Sw"-^fCLoDD XyaH)TßnEZ. m KDZO}by7x:|PCc֚m*+RMRo6Y.KM,~csMG /E$o&eެgMt'|/\tq*w㜊+C^5}-N×yj`uCk?H,l*AF}d%xTUXd UL._zlCNB^9 cUxa]z}_{ˋtV )-0G гND9+}VÞ~B[,<$m_BvjchBW/_7r O3/ |$`̞g#Εp' Kj<3y endstream endobj 15302 0 obj << /Annots 15304 0 R /BleedBox [0 0 612 792] /Contents [15311 0 R 15307 0 R 15308 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34320 15309 0 R >> >> /Type /Page >> endobj 15303 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063035-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15304 0 obj [15303 0 R 15305 0 R 15306 0 R 15310 0 R] endobj 15305 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20211013063105-08'00') /Rect [104.1732 90.1039 172.3292 101.1039] /Subtype /Link /Type /Annot >> endobj 15306 0 obj << /A << /D (unique_662) /S /GoTo >> /Border [0 0 0] /Contents (current_frame) /M (D:20211013063105-08'00') /Rect [104.1732 73.904 172.2962 84.904] /Subtype /Link /Type /Annot >> endobj 15307 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15308 0 obj << /Length 19 >> stream q /Iabc34320 Do Q endstream endobj 15309 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34321 20830 0 R /Gabc34322 20835 0 R >> /Font << /Fabc34323 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١)Ρ\j|=B/} endstream endobj 15310 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1384) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15311 0 obj << /Filter /FlateDecode /Length 3162 >> stream xˎ_M@@9,4o/٤3#؈dYUdOe|lU ^M4ǰT? |3:QkV8i #?szo<'\stVoa-â c2N(+AYAex':0퓇aM=ɹBD@ ;pp@ ҇Ӭu8m <؉ dgX~KpSQ̫} Ua0׭[ii NEsu0\Zh"0ճk58P"}Eg%K6Sq}nUL/:WrU2uzY 2 d/9B 0L4j Z;N.(00-UAEVvFqS1`}Z_ )TR֔@)A> hRulw`\ ;_CVI3eaFvCBHP~΋~iG8 %a@01Q:S_9D+G`OA4'QU| 4ib#c$q !CvO1E(0/h0lׄ?``VOYW=_~v s}/h1 9XzzW:Z y1+Wƛ͘)¶۽h|'5iXY,Ov6Thyy } qCPn~'] Ld-S J 5*= $! Q\CO$fgqN]LK \>7pڸ&/ ?NʦMWctT{ќk؋+iHoЫ7nQL5r{ؖyԱhژ?nG:KnJ,f ,yD_zY㧱7ٕ:Zi{SA8+2 X(b.axd?|yG`yŪjVWWpIzG׬ ρu6I!oܖ]JVuͽTpwF#Fu(ݵwэxnWW^݅o;z6^]qMK)Kg)?E8KޛayC\x=&lˡb ep0||8hwϋqT2CZw*4uG."Tޔ2t9#\(u"vRyL9dP*T G_%xrqFG=@Ȧ"EC) ? &RLܔL-ֲ?fp C ,h[gkdM +._S6rRNse:7`L4^(y^AuZ Gzmb b_^U0VT| KqY'[Gl'c . >@P(T0bx`xhy¶IvW'--J@&d|%΍7aW[dWVBUk[Xe/| <5@^H>u#GJ]$BĤ 1O);>rrsb -|ij6$A9gIsO\ւa</\ϲ&NMtRZfҰ=`q{9bNMq@x\὇w$mIg dU g/OۮQAf[ی;\]N®>H~Ex3+'+Er$Ps[Bu07bVQsX&"p=$E#aXكV̞1xb:V#Ųm[."^ endstream endobj 15312 0 obj << /Annots [15313 0 R 15317 0 R] /BleedBox [0 0 612 792] /Contents [15318 0 R 15314 0 R 15315 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34339 15316 0 R >> >> /Type /Page >> endobj 15313 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15314 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15315 0 obj << /Length 19 >> stream q /Iabc34339 Do Q endstream endobj 15316 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34340 20830 0 R /Gabc34341 20835 0 R >> /Font << /Fabc34342 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo \ endstream endobj 15317 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1385) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15318 0 obj << /Filter /FlateDecode /Length 1076 >> stream xڥVK9WJj`!C˞.'0Kj5!YL[JR}&J jۜIJ&6 ˛|6WBp1Rj k5T,1;py!0DV+mp,BP 0CYX&W3gJF}w :B!W͘(Gk[J<\>>s$C<ϙ a$_3U|S=pw-GB.Bp4qP. x^-A%(y=%",0ݣzg wئRNѹuR~ˌGW1KT#֘VSefor% UEcR-䙯Y%9_{QlDc>M^jWN]ckoO%c=$7<=QKysﲪtI&SyIpRc[ o tVsStR3٢$|+-.-A=Z HQ/&uCcOJ 6{Ί. 6YR N)WPK0ST9 ,l !K.C> t-1uu cA#Z=vSA9QARQ,0aupxp%H)νn.skٕK25B%咇y-RsB4吾/O*^>!4~zXO{ӗV_J_053@rħ|Cڠiq/OeccI"g,v> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34358 15323 0 R >> >> /Type /Page >> endobj 15320 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15321 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15322 0 obj << /Length 19 >> stream q /Iabc34358 Do Q endstream endobj 15323 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34359 20830 0 R /Gabc34360 20835 0 R >> /Font << /Fabc34361 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 15324 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1386) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15325 0 obj << /Filter /FlateDecode /Length 4934 >> stream x]K丑Wl |KTVu adžQ@eFgf l]bH ##!?]Mrk $ WުMk!}% |}a񨏴7Ϛk>z#Gp$|VwdβQ-w|^o_^O Sd5+Og.:7pJtBKï/0<_5޵Уvza5ߛ(;}H/ Q@s{Ax z½\sNiap}C}&{}au׍Jq/Zƥ]ϱl?_Ps=qG ^n݈r +_~G?@ __M(ޅDh= _+#7[+/Io&.);#7@[JzJS(Z0[g|ݙsC]Eh!dmYhTTY?K%J}PN-U,]5U6 y{웊? daI5ր[³[Z+w]`"khSCۇF] :TsƮMu.B|_QdaFyj ܨՅ/ TbH/ԇIuc]5Ͽ}\' i͇>j80ejS^[}ܙE Սzu:QW(^=1ݿ,lG ucǚlw)zwT Hg>vY=CL gwȶс?96z0$oM}@u'ʰ Q{m-ɞ-^oOv-%Xx`;L{!0C 1-!kcb)h@ 0)gѽs)Z WB\:]mͫ06M UVt+ǹT_gkeFɪ@cB SڥE{Ҕ#! G}g[D)X MTzҝP|d6]B &'r ޠCۥ1fthPDT"8|/WroRj.%'}S-:EUT-WLptEyhjzy(P (~X Pn@6i@@b ڀwO-[? (ɪ/J43Q?b 3 8~aT9z Ӌ+!x:0M1hۅ`J<+ PTGfi!$*aULZ NzQ!̂aI\EJP#fU98[TSe1b*[*{Q8/'k׺d4MQǛT80Ƕض11ioLpQAݩyH"XE~("כ'z<7L\}ynɉq':jeŇߡh\}n;}mtڶ Mmx?;}^&y&7 &.&^=z5r.{ /8T~. Ӡ_V' {ճ|,M_GϜ*mՋX .VЦo"nMrTR7m5I-˼I֚'.PlwSc]_1O!VO3ާe|,GzQfA3@/D dfV|h {99_~$Ӝ~E6Q_A(dOFHw%ݜ!ְ#Vjlb/v~lbh%Cⅾh@sGw?/J_Ӹ#Z'}y Mׂ.Yb0č-'͑]6]܍ kZ`7d֟M1FFMX:OZd\dlqel(-)n_I041Q(ّq${

v؞6q5qu_ijn͖i8MJV/",|>,w[eb5w.LLO G-G4nk_H<ڸ"v+%m&^qeftMp '3HZ)=2۩WY2[HK"v] 2IB??yz̀A|vMFH'>@6K:?:D:v,l+GQĵD3GF%lqG/utFRTWgҔwt'_%F<]Z/ڙ慌+xFcbt9a})[j7#mBVr75΃oU\ڸ>zi&;5Nl]%ĺx!q/MjLLvҟGR<)3\¶ցl_~Sq58 6C-wᾹPtyŒACi0z)Mp]1v<Z\#zum%LzICXvX TVؕxԐ>OϘ |ӾoB:B@.`tN#ǝdf7{;+PTXwVeA>\w 'UKD5p8}KPv4ͬb]Vbq\M<Ė{󥩰Tb}+{^ Fj\ ǪpTօ6|L`AG. endstream endobj 15977 0 obj << /Annots 15979 0 R /BleedBox [0 0 612 792] /Contents [15985 0 R 15981 0 R 15982 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24480 15983 0 R >> >> /Type /Page >> endobj 15978 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15979 0 obj [15978 0 R 15980 0 R 15984 0 R] endobj 15980 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 83.625 122.692 94.625] /Subtype /Link /Type /Annot >> endobj 15981 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15982 0 obj << /Length 19 >> stream q /Iabc24480 Do Q endstream endobj 15983 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24481 20830 0 R /Gabc24482 20835 0 R >> /Font << /Fabc24483 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 15984 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1455) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15985 0 obj << /Filter /FlateDecode /Length 5184 >> stream x]͎8)| =e@!+֬/g@7x9yzAc43x])׀Ӂ6U}ntOp)Beyy{zf Ո&T P>%n [>zy[C:pnmRv붓gGбou}^x5V}O ~[`ԩ>pr?}|K&# N |5 NE1l0Pl V‚-b@ "(=mhFiK u1ysT0d!p!X Sb8"Sg?.aT#V]M8(ji*\کzD##3jűG\JȈ3ʌe&GDf*7!þJ%Tw{,uýGY+a6ƘnL4nvunxovDsQX8>#Q|OvGRRzQBx}!\JN24rhnnn^FWalӤIhК51%)TQYZ z La;IQarUJ@V_GoH)tJ*G ky0`= P/PvcxLDC 52|]wFy)fTL 'I=1i%zjl77.v'^#*dCL٘]`RNŬy=K(b. sCVv91^lWsuAr̴-GIIb5Ɵ:LI]i.k$)'N3kތezgC"*UlLYh b3!k;SwD](dG3+\D:AbutR3Cmaf ssJcI3渾1fc B=I)8_UDŰfǹP,م.j,=o~ *bSf*':oBi,d l') |b4U TQ3 Cf7}&RR.\DMP/Fy :2;J?Q瞨SV֍JsZ ǃ<ʑ,0ܺTĢ >Yߏf( -M3]35i! ߮psح;Va pjfz3# uhsZ.,Od3w[h7|9Xؼ [Oՙ^5K*']=zΥIvI#Y؝:^vcۆU3c7W^P4N<'^ DeosNpd:IU4i( Cb0X8{m̰e.[c,׷㸽[Ǽ+7^QO|䓮E:neeN _ʷJ:jlI J=>sZnc(zT:e|XچJ05^2hSo a[v444woxYi}`}U߱xũe0qfZp^-O]-_{{2( Įn K,.(.1ȧX{!w x04QÑ¿y_`|2'3n|~́El=f͆}x0 Wm6x<܄*+-2DaD틫G;xlPȃ jƈDqH l pҚ[5BvI=.eFM$A%R(QZ >kRQ¯D ZbQ\ PL0Vځ*`;H9$CMRjI/7JadG59ckaUp)I!KT&!sZr6`=kR:"›[RN.̕Ova5iw07|{dyi^̸EaևL32G(ʍ$?NiL"e у 3iıQ*JU7yflB1L7i2c#3_fnD4eoy1AW\+˒N/C|u.ĘbCkrQ?C''LP08p$"9U`LZX)a1i42\ 1noa]9 ,ȴ2mq5H^HŚZ8f7L+f!BƋS]u),2T)87b>/dOtT%yUõPG$j8 wIp'4<N8I4KIt1vD'8ݠ|#Chŋ IlNpt'h^ЦIPÜ' t'hcG5E!^GN ) ŊUz^E̞9WNO8}N7Ɨ[t-Cx :]xbLZ<MΔ,')ct$05\F813vXw5Vńf1&GYvɎytѰ݆c{X['>K˥ ˥|(▇"vбTz5=xh!@@$[XgH:{lԂI^X &[?h> ޗÇe.=ߊ(wUS]х5 x+Dk5! -4yǍG۾`Ma$hw_s^}c P=ƃ.Z~V[jX68aw(Ôz?3x3z8=ZU+.: Dala r.{Z 6.zMIɨ&gTܾ,=X^eZHE`­;/ӓj}Zay%h> |%֣0l?n$':4}L pӇ[qYb=hǢ >qӗ]: !2WÊ"w\},xa'?w쎉z}S=µV~ ݄>z`ǩJholFTBWYMF75&}mъ¬ -5 |;2wa{R\SJ|p#Kj?TQ{OS۾Aaeэ )Ap K9A[<8$WU%;.3K>CQjMǁL%QP V,ԬI8b[lсĈIU/P8RLP45323㺀ʡ?1) <]6㙆gKƆ‹S[K)x, եSc`/$NFN4̗UQuFG#1b2~[x,eQwCmp0 +.03YCbcb$DAZ^HkUhi¯>/*GgU'nbꆾƾцaPa}^,9^pd`[s}u-Tm$ 8{MA@LezRf|Q)~1TccxR} /O3|e&tHᇇ!2_d~-EEsz;Onl^N^yʛ&L鼼iaь^~IO"^9/JekP,K~K eG_ endstream endobj 15986 0 obj << /Annots [15987 0 R 15991 0 R] /BleedBox [0 0 612 792] /Contents [15992 0 R 15988 0 R 15989 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24499 15990 0 R >> >> /Type /Page >> endobj 15987 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15988 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15989 0 obj << /Length 19 >> stream q /Iabc24499 Do Q endstream endobj 15990 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24500 20830 0 R /Gabc24501 20835 0 R >> /Font << /Fabc24502 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15992 0 obj << /Filter /FlateDecode /Length 4265 >> stream xڵ\Ko#GׯԻeY`f7Y?,jYgh~X$HVksQO'D|c)<%~oO7(|>j*Y\7YqR)&>kw $dM" $^7|Xkib.Rx/ @$q=t'=hx'.R/&Ep22FG4 δԴEca!3փD ŦQ'$ybuB2_ hTFt¬=ᅩf{>]-r&ꋫ4+]}er] kAzóT܀ la^*KE$B2;"!9#(Ir!Y {2zx4B1^4Cj9ջfb2ڡQf/llω+|b_.lsE).&_QBåwJ-s,Arb0xՙT hRV?ƀ}M覫}O [$ڼwbTL['#}'g\ϸB1ۂK^ kT* ;PL@#(1EsG~/?gdcz6a9J%* J5=kafE]*2+ g(+7Q0_Iki^ TA| VGpyp 6a%竭3(NRegѭv We쐨4zɩAnm, (R呔M? d{߶|($6SM<x6 fuUR\fm}  x׮toW'Z'oh!o c.~_ Dׇg)tYECb2)O;TKE\t`u^ZFmk,к)_,@({31|TXlyXޡOAܝz }WP":^ '+__BoE{MhՋYp&鈶_D#A[MS@{۞:eBKZU(e 䐶)KB ̟_Gt]Gzƙ`1z2*8( 2 rx MhA]XI:{Pp\.] TeμY%̬wY5՗|.6g} [a lk*[ˈ}׶y),mmb_C1˓Oȥa5L^AbTu]G Z-0|NA@ Z+kZ|`8 jcEv%덟 "=vL^MTގ7 ~'lkWQP"X:HQۋM{N&U 'F߁ M,d:᷑"ts82AWm*{O&śm$P+P~hxJsV1Vqt? 7jΝ*&%3fქ}fzL]'[DKYeݪ.hnXLp&95`zj`5n 1FGm@UgdU4t9jVr(6݉/cbDW>>XR4GJٶzw|Ssn0ysH pĚg ƭWE2U:yS7|m~` 7 Sykݚ41lw=ѾKٵCY VomewDM#Zoo,ykwL ]R*PEܵB5+j'$`V{VBXs<e߷K-Yaܹ,>sGc lhj5xA-2JD ,xSr]_^;*VSHowKIQd^)$[^AΔB]VP)y>yˠUw\>@˦T&VǷDQ 77cC4)t1ԫcݵ*5;I}, x׃7C@k0 q4BZ!/;3:x!GmLʺrJwq$ъIiL+C-651$maU bb*[7/H<~EygR\,U'P|[oş ?!ӦdIcvX&ͳDgaXox"ee:I䆉*u1pDNz͡LbQXl:C#\nJxF41")0W&țg*Gb6~@>&ǍoyGN)xwT 0@bttMֿS>ғ/)!ӕ !cGm Z%=gwAĶrEBiI9!.m)Sı) -#íwYJid:NW+$D.m#ӱ=-Aքr&Vut=Dy0:ah*g NkL V&,-,q>x+zK#9Q&[ ˨_M&[mnt5{Z?7D?m1El / Qǚ=D-Dӏ_^4rdźN&7c?ao2R*ӏg-Eu|,"2%ӏsx>%L-Y:H<"`@Ez-& gg ^J_n >3|ByF_ ,2A\ҧ=.  .*5`A>$!f%(:GlQ)? Tl]a5~X@{z2?DgcaJ4~#[ňI(+)r+0\ endstream endobj 15993 0 obj << /Annots [15994 0 R 15998 0 R] /BleedBox [0 0 612 792] /Contents [15999 0 R 15995 0 R 15996 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24518 15997 0 R >> >> /Type /Page >> endobj 15994 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15995 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15996 0 obj << /Length 19 >> stream q /Iabc24518 Do Q endstream endobj 15997 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24519 20830 0 R /Gabc24520 20835 0 R >> /Font << /Fabc24521 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛM endstream endobj 15998 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1457) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15999 0 obj << /Filter /FlateDecode /Length 3694 >> stream xڽn#ί9j,:6w?m\jtCVE> )~7]A Wu~?ݼVҧ4f&oOƆg}f~2܌8g< p^:B&_0ɟ>|?'nشcnrgs};yLd,n?k|% nvluyvs؏Hed h:׫NH"}]kx=M @?9oZV.n"A["`O Wr0 *W#+ "U:#R[0cإvy5 O[&xDlX; (qp^eʒNX%|^0& ȭ W2d:?QK,UT돓IXۈCvV Tw mS@€PKQ„CYn>z*Va&o;Gs D0n^Pd.duOKS-˞xMk&Bb`2M "oH]D6C' 6`pP5{3jHα+:E4y͕jqbI3G*>gʞGlu e#2!W-Ư3Fd!uYڌswV&}ncE TJ(lg|i0ZfRc䞱Hpe}aKFFndșNVLcx!01WNuFeѳu}jnJSE~T>9=ZH7Fē .PZ%K9}$(dB8Q7 c/bty*T) tR>P*YQ)3/  ~q!}r2Sn.tqKĮ\>Ծ2+xPԹc WQN I }o.(ZZj:˺M Y\&A]K9 q^b4`bͣ(Amm jd@-dS/1`8rlYۚ̅^bҜ'AbUeQ0"ͺ MfeuPS\6,Lr`IO}`oQx0%v4tBNea޳4K=l2\8 %&s4f2WNC̕yv`_0jm!!S1h/".c7* PWSj Z=>5?yTGLk&'X֭#E*yf>2O˶&N|GTP i}%),LKL"IWftբÕ$z8|cuz?`>oAi|_e3]_XLJ d/zH3Vvp\V5c `J"L<>.V+/n230EUgQ64i?/N)f5-PuQec; Su=4a+4yُ37|WOՕÀPy*W KlZqƁEɞJ2΅ N/ʖvic}z!if<9o54;nx$M#I=o+kF({w6H[w _E쯆8KZe[KƇ6a nVNx9t-N|S ķkΏFh;w45^rQߵ@)iԢ1;SLV.ɥgϗؾ8e?Wn3Y6s@|ǯ4SՇn }_!) KwM@+ADkx{xjsGͫwlGa.yroTw3DHF4&yթ7 27=rk'-ZYKg;i@1lE668 AM~f, ^'y53F .N Բ}A\PpqCZj2\ygsM#EQedG?)tn`h`qx?wcc.kf{X{H o>Y##!Q3gpQAWZ^@D<,9I|i܇g!be>z v5n71}$E0X%YVbqY"yIBP* %`dx ]V &8JBA@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24537 16006 0 R >> >> /Type /Page >> endobj 16001 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16002 0 obj [16001 0 R 16003 0 R 16007 0 R] endobj 16003 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 319.1423 166.3232 330.1423] /Subtype /Link /Type /Annot >> endobj 16004 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16005 0 obj << /Length 19 >> stream q /Iabc24537 Do Q endstream endobj 16006 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24538 20830 0 R /Gabc24539 20835 0 R >> /Font << /Fabc24540 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ- endstream endobj 16007 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1458) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16008 0 obj << /Filter /FlateDecode /Length 2966 >> stream xɊ_ Ǿ@U=LÜdkikVVu-D*+"#߾7ib篲48ȿ<xf˗Jk'VAMZ֧V#lŋ3 E>Kp{ @9d|ȯ;1[ar*4ج2OCf=p9gyX[HD9|G<HJ~t複tpdSxC*$qCltNF$` %`_$7ŀ@|-5ZRF5Z8 FRJ ¬=XЅ:مLmĪ-$B'rYP]t+1Tt~閨9*N<Τ Rk_D:fp{b9U,u{6U$gFE_>θd:DEbK@Yly7QJ'irh2b%VS3+ŨL)f(v05DGX$-4dfWvDܢ,.&=q7mWYi4lBh|fem>eq!0*mJ +D {|o2d%C7Lt _.xp.ДYYӖnNr56ˈ5CuxY:Ǧ;Cw~{mPr6B;6#z,?CA"G1ߤGvqy3D2شsu^= a68DN }7zh{g}13K ٵٓ8 ry(֩RBj "te5@=,E:Ѹ2®" Ov+Șbicꮹ2*N_`LzkK6}υm'Y{Mg= =떵Zh~SD|RmgtTBttX_$\Iz%i}=7&)vHywjuue7Dž!iEw[ٮyR+ܣ}wSMoyOhm ؚFױjse;=k#^~ߜ{*Xϓ:͠һ9jE0VLp2W\u4s\u2fE8G:\i7h*Ųu0t2`RА8!1Z )=MU٥Tmu~*he8D;nT={lMw;h`#mh{3~ѩFM(uj<˃p˫č9x`E" ޢO"됒-/O8TTc 1gy6\Q|]ۯʗc?ڧ(>yAE{"bp+1!az BH1EU"qφ1O@۸TRF *Hw|_ vY|s>jwk{m {9!Ls 9iS8P~6%lfeL7яy$:F_yf6K}&[,Sζ571Cn z7FԠ<",dj!@jˀ^h2~"?ЊBE 7Z9lx+dˈM1VQFmDt`N L;{ {plNtjAkw)R*~spFYw :KanD4KP K_Dc"J+hcn84 ۇC?UN.7uoL (N6_'R*f:,&`]/\"BA'ʪw1Yt(8ŋC}mh<4?mNtd0qDmck]en+zMT)U̴LV}Y5S.2\$7kWXQ%֟;uX"6|W2 Ҳ9 Vx>+mA CdQɦX xz굙Ha%tոIM~a )LPR#O~ r9+\¶1D9Wip9iA =z"OW?•oL:L C(`pN*̠(_;\:/Ǿ*3+'ʫaM8ۮR!*VlG,Fg'~Η&Yk2uc8 B%l9Nj endstream endobj 16009 0 obj << /Annots [16010 0 R 16014 0 R] /BleedBox [0 0 612 792] /Contents [16015 0 R 16011 0 R 16012 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24556 16013 0 R >> >> /Type /Page >> endobj 16010 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16011 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16012 0 obj << /Length 19 >> stream q /Iabc24556 Do Q endstream endobj 16013 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24557 20830 0 R /Gabc24558 20835 0 R >> /Font << /Fabc24559 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pT-ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}D endstream endobj 16014 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1459) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16015 0 obj << /Filter /FlateDecode /Length 4209 >> stream xڭˎ69@9z tUOmibѽ%|IlW?fd%,;WYe}nJqZvYOs~~ɻ=5Ĺ\2ڔB{)y*wqmnP8Uxnqӧ'րğ<%ߖd.6Pۻ5闟ƯgIȓu]2u.6UƸO\~*ؾXk _g7W'+vpDhDr?pP3f*2L(^c7M.(/s v]`YO\vc8pN$q;x^O.  îJikfj+TGӕWP@#c @< 7OK"U:º%{4R=F'ü9uه`~G !v2p=xh+ҿ9us_?LQQAE嶣3,X*OLWX>`"Oh_a {wVaP 쿚2j& eOkR}%4mm>X[EK@Y`eamxlCgS8[c:k0>-PTuG &6$t. OeV+s5C(sQV [Nřj W!Q+ef1وw1݅B{$s 197 lWUj cqo,guW~'{y`ZwKGp׮u>Bn<˫8QU&65:❝3 >s;N>G6";uDq?M|')=D#)5 V wjw7`μ{ ;MaM] {q~4vEN,>2#QqX<' CPT*r~-"Ӆu$U^pxL\VzRu.Bڛ/qR1ƜX|pv>8;OGI3: /0 i:8p^xBsx06;|"4n" XP,o(h4/H2B=b3t:koJ̰%ֽi` H$L+ AKvZ2}ĩ]q8\׉`œ Nر=7<$j,"*QsbU;N²F^vNmO [Ƙj,qқbU.nORa6T ZSa8sQӊk@TH[;sܜ(u&6˅ vj8a*y7/Yfn{.6n̰;& '?0<nr)7+"jK%?Q(DR`г{XqPue4@yZֻ z/R>|g>|}I;E Tz@l!T'$kRd&& TaRZ@[(E8czfK<7vI^-,3 T!".8 LQؿZ8eX[j.j9U. fj|!N76)!p g4R_oBvKeD'LpK#DudTS֚ I[[i{YdeaGsMGK/U$Sx*CSI]>n|yʣ<"vsi=WVRְYҗ01 Q'a[h~ w.N^ wƌW]EjR?:Qҫ.*c/pe4hUba`ƒ'=)Q&,1^>P+|SXHMgaH!]g'7AJߣw-zUCDǛOJ~4s:Ș/Fik#Iv9 ԃ5-(ZT endstream endobj 16016 0 obj << /Annots 16018 0 R /BleedBox [0 0 612 792] /Contents [16025 0 R 16021 0 R 16022 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24575 16023 0 R >> >> /Type /Page >> endobj 16017 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16018 0 obj [16017 0 R 16019 0 R 16020 0 R 16024 0 R] endobj 16019 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20211013063105-08'00') /Rect [104.1732 339.5384 172.3292 350.5384] /Subtype /Link /Type /Annot >> endobj 16020 0 obj << /A << /D (unique_671) /S /GoTo >> /Border [0 0 0] /Contents (get_scopes) /M (D:20211013063105-08'00') /Rect [104.1732 323.3384 157.4572 334.3384] /Subtype /Link /Type /Annot >> endobj 16021 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16022 0 obj << /Length 19 >> stream q /Iabc24575 Do Q endstream endobj 16023 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24576 20830 0 R /Gabc24577 20835 0 R >> /Font << /Fabc24578 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMKN endstream endobj 16024 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1460) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16025 0 obj << /Filter /FlateDecode /Length 2350 >> stream xYI$W<\*|kSSyzeRR6"x OoӓBN[mV/9,/g~<Ɔl Ylϯ[rGk3\bm;/3J,{Z뭋{K,)>R/N{a[ړ l,zE? XLna{)3+i3yblG3СVy@SzPN98ʠvJe }S4B& PriLi@>TˢȡѤ]z`61k U04nc`FGxy(\há]3QpcnD>ncWZk;N'xAtٷipz5"6ufK L ]/OM0t6NTZ)er`U_qR\ Z"$I Lpk1-Vt*LV$Q .OVwyG=;;iΛlv9boǶDi&Ugoꅝ&=7@Hђ|$J #b̠f/?A.*g@'z[[$1HbՄaf(C`z"6"؞G`P@+F+d\a0KHA՞'9GH3d?%wӳi19XoPh d+_',v9JȮ+ Q F&q_?\aJ}:K}4WL={Ji8<ӥ2{&,ƺfד2R(d1Z%ބy83hCS2,$'6}2K MBEjʴXDT[}]6ھa~:7џoģG ?Uowss.۱<7Ue_}'OGDPG\kiчr_ p?P+A]k{?UGnTsiO%|" X>x.r_ `ǑX9ltwq1l9&^b&gfnEڞ$QJe 9P>]YwȒFi-mE,Q4p o4 endstream endobj 16026 0 obj << /Annots 16028 0 R /BleedBox [0 0 612 792] /Contents [16034 0 R 16030 0 R 16031 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24594 16032 0 R >> >> /Type /Page >> endobj 16027 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16028 0 obj [16027 0 R 16029 0 R 16033 0 R] endobj 16029 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 329.125 122.692 340.125] /Subtype /Link /Type /Annot >> endobj 16030 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16031 0 obj << /Length 19 >> stream q /Iabc24594 Do Q endstream endobj 16032 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24595 20830 0 R /Gabc24596 20835 0 R >> /Font << /Fabc24597 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶQ endstream endobj 16033 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1461) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16034 0 obj << /Filter /FlateDecode /Length 4704 >> stream x\Io$W@/@C-çy0t߱qRZӛ)U ###Z-f_R Vi7u/,O:&[^|:d5\\kW]%WIMVv⳦̽J??,7+d%h/|{Z5 q ),EЬ4|aٹ̲sa-ŗ&Y wuZGp#߇O`}m2( 1PI8ӟ1P6Y@^'.k{H~ud9z#|(d]"½6X> xF; d*h8@Ƌ4H>Q 0>*_<}G;_~0;||\~|?s35`T|`Tzήd0"Dil]Ae?a:6Q/ZɮXMt|/2Cg)RK)݋,"N+_uQЖQXG}EGkԞ!|90\m`Mf'?7VgMFKsY88&ܐ3L\Eurf*d\u< 22dRm<c,Loy٤.0s|H}Tq8,שުW"mX?0U(Uf0$hpjh:TKXQ%B])Zk\+up+.  e)3S\qqZǥ{-H#>!ѓи].l#"rdkȦe{r@Ӹ)*OZ %.( s|nSNHf/ޥ=-賺PUDca32p2جgepP)>auA2Hz\9KJ=(iAZyp!E^;2z1 Q(Lv ޢ"rɹNXp>Ja3Jd }A QIz+U*z⑪T¡3N1r,XdZ6?WT@4Mķ>uB9f'Y dEЏbZ~L׎xFm- t.1ӭg!C;q=xcP@;x-3 %+ꆾ.b['dg4W% ]F{/;db~PƸ+XRũU}אs"ҙC7ہh:^a :3DjǂӂVRΞ+3uꅨCF \XО6RUH% N=OԛԻMKIQNj"}!'5N'5ctk7IY~N8शpK@7vjH?3bHd@39 $}F y/:4PW_{m `RoFPV܇>wU;qI߇!tSj6$B\s.JΆ Ali/$a:Vұq:+˲TISg9I^!I55 d}bkK"7)Ywh[9Ss;da/p=Da 4a~kV`#J4,iAbi=q:8Y<&9%Wr%&JVբ*)YvackIJQU5Y9 Ә,u(' &, '|B&^;Eu`D˨a_WSeFd'!xNsۼqn &+PĂ: ;@vIQtyO#Av&nDF9D' \20э`8?JMWc%FAd3dڵ%K~gp&PF+4Arco'UоibxƉ`5WҎlwȑh>Yw9Pb7J%hpx|+j9)Dwz]!`i=6X %N{<^ 6QM+j %i<Ś tq8O>o(Th!8y:$n:  )| 22 Qk uhސ_) ٍ4|Tz/][ edCT]| n{"  d ܢ^D-;\m,ox0n#jdpГE< l:?iO $wL30Uq"AdpA4m`5wi?\쓑$P@Msx.0P ,&$2'3:EԑႜI}ۥ^˄0ѦMiu:cQ6-ϔFae!:׼CNT6vY SS0V/67׵ 1^s6cg ?$W$s'~0)98"î6뛗d$*ClDiV6w(rZQf0'L1 31D8zS.S*GCxӢ>^67a&)ԏ? &sc>vpIM!6=5xҙ4sGpUg1՘#:CiΘB:D4߆#Xj+̓]˽.咴VZ[іœDBD{mlKq5L%x ɾ z@U_C!ن Fxw4֭%Y /Ψzc֔˖lYܲA,mShP;ܩJ(l6UV$\0۾}w8͆axBMT躃5Qe^R_CS?1o5Mե1ӂ|p^fV:Ӌn04ᅕԷŁVj*gm!(ѦCN`2<(si(y_HCfDn3}.*7'6z".oz9bx}%_0F > 6U`LG/Ʒ\0>VCs]eVx()!|&]SSW8:#O?U@:UZ%x{\| iϟ mJm=s97[tu>pdR9Gi{p g\ ^pv#t"3VC<{x#OOk9?c;Ν|r3ߖA+ n!m _ ?uޖ/BWu"l/=c])5ozSbO~!o!&=޳Q SJ$Ga# | /. endstream endobj 16035 0 obj << /Annots 16037 0 R /BleedBox [0 0 612 792] /Contents [16045 0 R 16041 0 R 16042 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24613 16043 0 R >> >> /Type /Page >> endobj 16036 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16037 0 obj [16036 0 R 16038 0 R 16039 0 R 16040 0 R 16044 0 R] endobj 16038 0 obj << /A << /D (unique_32) /S /GoTo >> /Border [0 0 0] /Contents (report_sim_version) /M (D:20211013063105-08'00') /Rect [104.1732 314.2846 195.7372 325.2846] /Subtype /Link /Type /Annot >> endobj 16039 0 obj << /A << /D (unique_486) /S /GoTo >> /Border [0 0 0] /Contents (get_primitives) /M (D:20211013063105-08'00') /Rect [104.1732 294.0846 171.9332 305.0846] /Subtype /Link /Type /Annot >> endobj 16040 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20211013063105-08'00') /Rect [104.1732 273.8846 148.9102 284.8846] /Subtype /Link /Type /Annot >> endobj 16041 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16042 0 obj << /Length 19 >> stream q /Iabc24613 Do Q endstream endobj 16043 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24614 20830 0 R /Gabc24615 20835 0 R >> /Font << /Fabc24616 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16045 0 obj << /Filter /FlateDecode /Length 3011 >> stream xڭn#^_b$ 0IO/yRm;,[}WV{G.N=:gU j8e?ˣ˃oV=~{}xe&Ǘi5\swgP<YBɮGc36)˒UtvV|4',l /v)ޘ&8ݙXNV7x4/ g8C󸾾b=ۓ*G?vs (kna4*uQHBNރqŠk?KF&c+p`@6TOzdG:ricԆQ;0K /{@Tk'jc^1Q{?( 1 |ZTnD ѱ"Cxdvcd%Ie`b '$_ -N3"r?^_c:xka#+yӪyv&^Uj7 ӬL7 TTJ 1b{b : nd\A!eoٱT7;HcF <1UC`7KcE PkcA՞[C7E%zgLhM~pNt c$@' ۾=JژϽҮ^:\!Ka=nSbHz5J:x;1txYT5H/%bC#C/٭AeDϤmo—6M6{,>uf'|5Ҧy ܛܧxuŻ#4##+* TUio߿vaO^kGHC pLw(:Jv]w%M.o&hd#ޑ=[KqiD ȳHą{f檊W5W0=V;yf8âTw﫨"/|(R1д2m"!rn=N|Ӭ(L@klC_h@b^6/샛ӮǨB&SLrb1®~׌ ҒbmR"~M:f?Ę 󻆿 [K7ަXi^?V_Z>б6U)>;F ACF;N UMsZz5F>Do7C:oh5rp1VGTfDH"Wg\sMs s1.uΉ:2*Q~g!R5ӽ)XwߏChjeqVXCBf8?jhj ޺}N 5*g{zUwUv5yCkLV2IޑZ$-pUd|^ٸ m˝5@~~s2>8kLǡžOSu*86).CrWhb ~+_B^]'s_iiL=5+}ۚA([;j;<>8!<2ݞ\({lL nѴd۞PPvg`THMHz8Bjf-^2$1ݴVPmqDlMnj'LX1:rZ\o^Fn6bO}֥Fa?.->Rx*> qt?yscKx^BZ`dV/޿z3NyMD? Mi^?ZΣp_p֟* sx3g'@Tx(PT/'[ 92>_AK@^/|ܦZܚ7wRI!LPZ$cor~cE (c-\w*[4{nc7 ɔnlFL~̸] &#GR ߨ~ N]g/9Hf JTfHZ8z xZ:ͮ.4bkAC+ !u;RnmyU.c񬾁م NS .g F9 ^EX*-ݘ'-*3ྼuƶ*,Уt}oXG౼nO~F_CO: 2I,(0E>_Ku7^ա4"հe`39ECv)R/goSߖ \Bb>tȬ)^O{]Y&'pbEkIFD2t`fU3T2On3Hy37=JfnFx)0))m43{̚BSQS`qŞ a (K.eeԀB<ˡYމL0tihdE @Vtx," !xUF(oIA>N0"վV#:aj+-x,0\눶-%9O)վ%塌BG`FCpne vfy{L?Xv!Dc|'F98yudR4ALxbM%sUJl¨Bko "+Hr'mZ4R‡vY?miI)ފBT_3?ÚvJЉY$%FFWBeܐQ!]#XT\N;5x(8fG:Z{iLzICN@e`#vCg!S@y<\wO_v&a](`rV'_A鑍xK< ÑY|@ߖi9h? endstream endobj 16046 0 obj << /Annots 16048 0 R /BleedBox [0 0 612 792] /Contents [16054 0 R 16050 0 R 16051 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24632 16052 0 R >> >> /Type /Page >> endobj 16047 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16048 0 obj [16047 0 R 16049 0 R 16053 0 R] endobj 16049 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 313.925 122.692 324.925] /Subtype /Link /Type /Annot >> endobj 16050 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16051 0 obj << /Length 19 >> stream q /Iabc24632 Do Q endstream endobj 16052 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24633 20830 0 R /Gabc24634 20835 0 R >> /Font << /Fabc24635 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w$V endstream endobj 16053 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1463) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16054 0 obj << /Filter /FlateDecode /Length 3316 >> stream x[Ko8W4|Svzͬ=,b^ oRv9*UŪj W?uY^, :}E(>Hq/Ӈr)'wm(v/CYxOGm%O:'Ҽ,+Ds-o'2 :c0LBKì:FXVʊo;,ss]q.v z#3qa%Ѝ(ɝIk%2.>ݼRE]=YŨ,qhV܋X0Ӭ7Ǒ1]p,tyjZ*i˛ao%vZ0\Hc..RTWcw8 {Lxqs~9' Xeָ٭k@6Lgb4ێPdU].,}4qpeNuk3 VxPVk[c#[̌Șj4zS-8N.j*ݮƨqc PD^;nr6⃸Mw YW#$IL2/]ɔ! oO^g:ڤ}$_V@ﺐ}7+foƕO.C$2JQMxf`@P Mϛ5;h^yegroY/GQAQ# \HD\A(mW𴻺xӾ>}} k4su'_o7,Λt(4=մk7RgIan⠌[HHއF./.v_g;~Ԡ\n҂eKN*ϭig;o,(.iVp}-ڞ%iw^U@,X*hh2J i1 =s~PhV_wgX/ijG=d>j%"5ĥ4n5ӱ GK9lp C a*siPF5y&aIZԸ?kG%Z֑U۳5GZphr#Uk]:S"2Tn'$,T0!٦\Rbz<@Kh 2"tk-ad%kLUM-@҂v sJL}ˆgg"o[ 3ivgiKOV\0UMSlo+2H,]J.)ϩI  YOVU^f&TGg=3=2k}lV%lQc@&'+  -9g&teO;FbX}!uqD$up1͛2I:T5 շ@ , X=X:,\ :7(%s+E <۰ҕF= =]W68XEq݃ z*mpRl('j8N-:87:2Na6Kkआ-ऺx n}WB8+I]^'7:vtz~;@=Pz S :~??-g~>܆uGa9Os oB@WjngB@ZIG4;^W:O:_967y<,g (i45|[ZhIoYu9̺~x0 rB5onW*5`i|0KvZbʂ+]3FQ1}f3X&=R n%.Lmi͋9vR R1K2HvoJP _XȀ?|-VڼD՗d4x0 WWY_ sփiÔt7;CwƗ IwCW/ SLݑˍ#.=V@wh.䖃15~ p=\^!(r*7e Q"C_?Cw|{gTg]p1ù*>9*ʶc尯zI>q%q}z unjY>~,04H endstream endobj 16055 0 obj << /Annots [16056 0 R 16060 0 R] /BleedBox [0 0 612 792] /Contents [16061 0 R 16057 0 R 16058 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24651 16059 0 R >> >> /Type /Page >> endobj 16056 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16057 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16058 0 obj << /Length 19 >> stream q /Iabc24651 Do Q endstream endobj 16059 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24652 20830 0 R /Gabc24653 20835 0 R >> /Font << /Fabc24654 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo* endstream endobj 16060 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1464) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16061 0 obj << /Filter /FlateDecode /Length 4585 >> stream x\Ko8W<@jVS,U/3b3vHQ`D|A)$S[moY-vERRMǃ11>3pr}=r(>*9wlTNԾ3luq㖞/^OZ5.&+~ fJ d~]M9,4Mg)zmG)8-˼D)XDiΘt'gϏj1ϏD'AH쐵ds /a9 A >'rͲ|c8?:X2]N¤t9}!W*/A1mX2g𚎢}aBuA:kV'@HxCɤAs` l&[g|l=(zh1O CǎEqܧڨYOOV'bY8 [3EZv^6(~՚{9n]LQ*qd/ohV2=q2el}{17Q W+Nx5/W$Z<00.A: > .`[HRDmJ3#&> x\̢ 1a38\x91YNԄcӠgI,,D>$&peQj%mP\}8JC"U/FiP$)R}}-ym<ǛblIN10 ٦ΔSF/^I".L HϑͲ81);po\ڱsOjO<fxkGs& X(cS GӇ94ҴB%퇂K la'~𰁆 xJQcߺ/Dvhm7چq 6p⩷bY\ȂfVr: G ۀ3vi 4O"|o{Nݍƭy#|OիBuvR'Ow}ʠ<]W-̌.]릘my e@"^h}ź ::1Ko?%. fl@rCBmLn,aY}2e M9X]AڹHu.*R"?aE/}vU$H9@{,m1 PX}=iL~ۭ;ܥ}ӣ'cɓi+[z/G ҩ /6c3Z Je@$…|+mdŵ[Ⱥ\3;Qp2>`b}b[U>E3l`L! 0=/\ L//#{#F1Lq5hC}OܪRqww"K>H[Mu{yY-G? Xv$.#9$jPt}Eo^i3NvD{}E-nC?17D# ٸ7,7ϛ4m*6z[?7.-Hͮ vTmkwB/*ȱIaƷK\й@"A<<WK,+MR#SiO!["flO28dW 'M!ΞIC>9/,L[0۩/|si:eMp~yr_OnP0׵Ņ"ґj ')00ϣLǻzW- 4{ ng:,8!>z7x&dʵNyr&ָmw >&&ݵꗐ6³8_B*2!r40C^Dn a8K rΟfqQ'OQFZ_GLi XpPse⑼Y8ql 6>݊}4w7>}ԽזT|MeOJa-J7隆Ys2Ph\B(9-NNҋx\ϘL|Im8ȩu<8Ww8qjٿZ^ UԜeX$3SI1^^8h!H᪓~S峈[΍ OdEIG)#^5M,W&/QGk5jNCj\޷|nMU'ke) 2k՛,U[*l#H.NMv 9vv`mD-0|rJR\A4◮(uH"a=w,Vm<ta1Oûyz,_Wʻҩ7gq[DKO|6t;Yƞ6ޤ-CJ΀aҽh`[ :4ʁdNƚ߈ > "AO:U L(}OxAF{޾@F<,.TKؽ\uTTI|^@T#lW/׈!>Q!_05F6ؓǞ}c?S鏧63_|eD(,= ̃-g2} endstream endobj 16062 0 obj << /Annots 16064 0 R /BleedBox [0 0 612 792] /Contents [16070 0 R 16066 0 R 16067 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24670 16068 0 R >> >> /Type /Page >> endobj 16063 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16064 0 obj [16063 0 R 16065 0 R 16069 0 R] endobj 16065 0 obj << /A << /D (unique_35) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20211013063105-08'00') /Rect [104.1732 529.0308 174.7162 540.0308] /Subtype /Link /Type /Annot >> endobj 16066 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16067 0 obj << /Length 19 >> stream q /Iabc24670 Do Q endstream endobj 16068 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24671 20830 0 R /Gabc24672 20835 0 R >> /Font << /Fabc24673 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[aVK2 ufg[XLۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}1Z endstream endobj 16069 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1465) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16070 0 obj << /Filter /FlateDecode /Length 1862 >> stream xڵXK$yz+MAUuׂoc|0>=,Ն}PJY]xj)P(ÿ5n79Ӑ_]ίj3X0by}7rηrEǡS/ډy 8gs>9s.XUNYa*x"\~>U]p&]Y9)J(jZb-D„6ǺiqhDEcYkxUz_1^c9}*d.LX+ȷ~zRt:߹wWݱyw$V199HU39!$)lLQ̿rXyՎ(G1hT:k)8QIJ-ޭ\Zpbu%wlP^s33!Z=VBofKtޝ6s$0%D|Dnk3Mؗ?EM^pc)f=_YiwuX],Zr9e:f>AH!ʈ4-yF-D5ʎ=ȼk(fg>/;vn`SHY~@5X)[ G@ՁzSǖdFVqd*b[gN}e =riPq94#"uG_D2Gnf:I w(sR 77T6Uz3t@16.K6R3U)wbb!8`xI6Aa8F%+Am{Bݔ:L)޶T2ol)͸ ws(>V@G.KU/w|B@ tERUd(]hYmY H59CSrZJk.MTr-k[c~_ǁ3eXeuެ++i{Ol0h0q3_oOUQ2Q"cm fsLoHTMk|{k鹳}˧G8Ew̥y\\aSM!ڈ{XvbI=IYuo2=$tu\&2ӐDAOfMclI NƢJUOхȡPW endstream endobj 16071 0 obj << /Annots 16073 0 R /BleedBox [0 0 612 792] /Contents [16079 0 R 16075 0 R 16076 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24689 16077 0 R >> >> /Type /Page >> endobj 16072 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16073 0 obj [16072 0 R 16074 0 R 16078 0 R] endobj 16074 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 280.325 122.692 291.325] /Subtype /Link /Type /Annot >> endobj 16075 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16076 0 obj << /Length 19 >> stream q /Iabc24689 Do Q endstream endobj 16077 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24690 20830 0 R /Gabc24691 20835 0 R >> /Font << /Fabc24692 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RݑBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16079 0 obj << /Filter /FlateDecode /Length 4751 >> stream x\o6yqmd&IbO.dK%EӚ׉-٦(?L˯RKxW#|TwrX?~7ݫF˷_Gff+>?Q33ogQu']~o?ȗ75r|^r߿Mj Ԥ3^?}/i&?VO뺬4+n jt nvpQ̌:}ИO{(#6f?hT?(z > as(B,1d 2uDlĢG a)cA =#U yאּiu^!M ,l_׿Q@setZeJw ~陋o+݋(@ȹ< 2 D+4oP&Iħ4HDHꉝܜ>WXTVR]d\ dڛi6Uc|cIw7k9nxP)A|F r)%ȱJc%O(TDmcHq(XJuLw9pWz뷏b\FP= уj_mnY'/Z33{,t<r&֢Zyip܂7^fa[ FVo?읷B[&͙1X7ְ#Y$tc9FQf+&5$Oٽá<扜95˚D'56~h#Dט>'.9nS}qYXvh)2-GA'&x:-dvz}*cbIqKuVWnׄ)GXBZ'0:TܘnqM:%Z\wi.:FD'qh)J âwߺqWgbwCW Ý7jrr֡i7_I tU_5xyGCCQ&tΝhV`F#Ru ~n>eAgԵl-ɱ@/jL8FPa:.Kiznv gt5eg-P~ a PQ:/UtCrn DZ:6HPBG2RClR;TW%Ym,mƊ ."0^l3uqJUDHs,Җ/Hæ ~S'&'g`&jsW e(JLz'ԼM{%$*ƪ⹽AQl#jR%굒tLV8U#LC$Xt<:6S2ܤ\⽢rɀږdd%˥lZ.fsA{Ld_ f?rƶTa2ܗq9*^Ȝ|~٥xrIObe| iFiLT"Wm xOT.r"tجc37"T<enbFWC>Js K6*+IUE  qjvRyp1nEx4+8ʊ١ NSe;X,< SiV0X$dJ .b[U9rC1$[Rȴ2# .jCC`}-6p#8Nѓt^N$A '5ba58N5Np5p2a5|R9A(>MNU%8!Lpb\w N`gNU fNUSqT9bTy3*"?2:fPZl|\I'Ӻ /,(рJ>(طa@])q5䓷|j' ąO!?Os!7C %.|O 'B>1 vm:ǔeSb4L=Ө[0%vNvcJFƔ?ڏ)q4jmӨMcJ6#(D*-&Po6уJ|&F]J|zmb*񩵉1kcP&;TbdXPRv* 4hg Vp]t#&uL"ɵ[S H&V j{iE|ĵpp˶]GSݱ771~֨@/o"`Z۾No uHzOi)+SXGvOȯmқO5zQCl/[R̒3`R ̑6Ր_vNg9/̉# d kT%)XĄ˜Hy'CyfY9(,'+)3xA9QSU68n(Lѽi:4/ZāȎIjwl`&٢\ۘJmP+^C#U+j. %Ra)̕)(N߽ ^M.Hts-fRe-Km,7_&05'i.hn/pz`8K0aJ ^G=EkH,?76d`Uyv$(NKdˉPG:{50 ɥfY֍, #SP6dY0% 28H)Gz9Q pA4&!8yɉQ|uTQ)*aYZDQV8,[_:톎A u_x(ۙ:t?S oyIMSg X wgF@JO$@+I7װG䊼[r4A&BF:bK!Wt s)ȝ!0 Ōu`IUdv[TTM =tqPs a Vs584/rH.a<7ҕ{gdxFk2_^|?^xƽ&=1--E ;/&^Qa~xj,V8/R,sS+x+NuΥ(rc:w$6YĩƝtL.JMvDϾƅ/Ͳc4~?{JPZM[HW 2vvR-;Ie3J?o(ҬzC\rxǙל k^WNVG]호o|AlB>JqoP"B?(tRZ_1a~t| sz Bg:GL ̬<ʻ}0Mbrs7|(>zk%;9o!/8"UOc/x*?Tv}r)(n'zWYo i&@|G1L] G(6ߐ/Ңh endstream endobj 16080 0 obj << /Annots [16081 0 R 16085 0 R] /BleedBox [0 0 612 792] /Contents [16086 0 R 16082 0 R 16083 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24708 16084 0 R >> >> /Type /Page >> endobj 16081 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16082 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16083 0 obj << /Length 19 >> stream q /Iabc24708 Do Q endstream endobj 16084 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24709 20830 0 R /Gabc24710 20835 0 R >> /Font << /Fabc24711 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lR2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 16085 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1467) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16086 0 obj << /Filter /FlateDecode /Length 5796 >> stream x>ig03};.Af֢"$GP^;Wf>z&]vz[ՆM)UW~}ϳR=;<{QU`[0(7xqUi~XDNZ&VO NpyoX>jBC$ڜ&]yӪϥJhu*Ӣ-4 wċC5*n!Ӛ*%::]wX. ~2s.ql6XJOG_2'ݖLA og]mج;̮70̔$l\947͉Ts -i/O1pV9|oIo.xsh{n*MB&CfQx.@>D>㸿Io7 ]\Jm>E7fLħ*qQnI-$@O͆ӞP/D-8Re O4aٙ>obԱ008ω)8~ծ,$A* UIhA|IHP#W'.0h@8h5X=-P&U) KĈ:XDs:Pku@mx&PwޒEWE4,XpNlTIVDNSMiAv[pQ eX8Ҷ9pe]JO!{&Ad[ZP0QZu`e+!lty.μ\$h\ n,ڠ*BxY4cvB0Be(??!'H;"؅!ɲq|<2ۡ}gLڀArlb>@!یtuQq{20D*,KUbtl; $H2ŲSx )I&irlx;b{EtYN3y /!ù3r!ˀ60$(txU֥yX7gi3:*W[Tw_+-m'EMXŒFGtmf5 fc}2 vcT_4؋d28i-wCMo)tNMK RJ{0Xd%7!&P.Z*v}=/p'\TTq2*J[hp1 F~V7#_-.mYi Vi%*Pj챹:kaV톃pli(׀p|xЮk]m8$]\3yclE0gJ5~Cjeqc۷-l[jWqW l\~[$쏿/7(Zo`@Gi=@8L,K_\ I5Y++)AZO_IA @YzH삁cu84~# $3Mhx0.Z&w<9\z|eJˤf8 7AꇈXE s @P^QVq¨V"4>]?F_N%,w}c:%02j=ewE;Eǻó\F,v7[F}_X ałvD<8R;0 g)MX)L!l^ hE׻ЖLE S0#Wty&X@WcmU$2<Ϯ`K෱7T^p |>0SxP.2\_VWw-Y]8u\̖PLu 5lP3۟= :>$̒`I bNvSà; Mkx#L`>^!"ve??p:$ vJH7{w9!y4aڇ 蝡\@&˞(.G'Gn`OL*zڀ^p&_v;;i){d [D%d%Ydf$si$T{>WLHW,%%l4{]{7T-lD%Xz\-p DN'ߌ+係e48 KiY*X%P P#aTTi֋в'V)h%m ;W6@*,H4`>o6L.oÁЍsa/`>>]i4DFِQ&PLWc6.6dW%LpA8)ՕLxUB垳=J7eDLfn>oHOLno*2ϫ%p; `{Xd[DaIgaC~F5v@Q|D,i4m&T1l^!]u3]crri[UŘV>HH'  I洵~2%,$AÀ9$U>]Cl9&jN~41RT8vx[-"- T|i:Tj-O'S%VŽwYm?Y:T Vz4 \55F0 in{^z@0 .[L?v#?t'eXbu~n9}'iXnVѐiʃ!}H=o5cL &P8WcMɐsLrjft6qKOpSsk:sW("CCMQ ‰e>io[!ע"8T0꩐EF-V5Q OY{*Z8ΐlap\M?4T9[D®8ynEg+vxJ2LaKd.j fFI=ψ|W7}E &,(LStsjZ.6%)_($qxa?.v%Ϲd92X])[zؤjXӘW D}KR}3K%4#d{Qzէ\"☯F 17xy~*S-vע>D0lk!j,X:%AJ6D/ jމN*oA].=P1|T,[;B t1s?sf4){hϧHQUPR =Z[Kűפq%jɓX }79'3q\POX{Zmz)k Rmѱ!8dˏ9d=wO;1^q$mZscgdjXqV҄c7)ϷX GEW®f!$ft%~J898REl^I?݉ȝ6D<f`b!e} ~|azK]Zچ;aM^_6=r\궬\T",\"tZAӖ^ ^V|tnm[x[kYRzx!Z޽eZJ֔xp1P{u8۠o]?4b=(`5Bޣɠc; ep+/*L>)3f, W@ZU#C0%Q:s q͍0k P7$% 0|ڙpEi w6)6y~&AaE!i Ҿ>U@_v9ۖtUi4g:`;4b{;oНfsM9,Rku-dT/rv떯$NDNr1[^hB"Z/?sRzk˗rv!Z=w_N.D/5^ cI;܈9 9#p$cY?vuruun]>$;ծ{ӜC>|\BԖ&6Nk\.0^Xގ<`]D]vif ~*ɋJ3t2__^rt3:Ms6aRkJ,#˂;WQl$ތٹF ^&C2l)i T}:_@~ |ilˏV/_xx3RIN܊4ԑBcaҚ߹CMGQ7F Y=E0k6 SIcŝ-&&ߺi o`t/ PЭϩ^@PthK)eֽu8Xt]ʗׇW._ů?xڗXՂr (|acSaxJoK7**yi[;ltEo> B}۔&&Yha'91DkRv`u7MQWbC@ /_r2+exLO/1c;VgwbYHgB"=81z+$dO_ck9TjHoZķ!'.@hq $]i(8>]&{NYZхIl;235>S畮i?+1~hҲa)Hh/z{Ә>u8V_YkL Dyٲ76Y^b2*}lV6G痾U&DFi1N ^h^< ǍzᯗD.rIK+VjW\bh| :a9_* >8G($0U&b*/v\^쨼],Z[]eߣ8{9(Yxo43=]mQ;OTzyq /MZÛk#6   3>,3,*gn\!hg~ ܬ[#!1V egwq=Ku.WxTk'`egv<86sA8" a*8I endstream endobj 16087 0 obj << /Annots 16089 0 R /BleedBox [0 0 612 792] /Contents [16101 0 R 16097 0 R 16098 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24727 16099 0 R >> >> /Type /Page >> endobj 16088 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16089 0 obj [16088 0 R 16090 0 R 16091 0 R 16092 0 R 16093 0 R 16094 0 R 16095 0 R 16096 0 R 16100 0 R] endobj 16090 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 287.4693 164.1782 298.4693] /Subtype /Link /Type /Annot >> endobj 16091 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 271.2693 217.8802 282.2693] /Subtype /Link /Type /Annot >> endobj 16092 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20211013063105-08'00') /Rect [104.1732 255.0692 148.9102 266.0692] /Subtype /Link /Type /Annot >> endobj 16093 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 238.8692 166.3397 249.8692] /Subtype /Link /Type /Annot >> endobj 16094 0 obj << /A << /D (unique_621) /S /GoTo >> /Border [0 0 0] /Contents (reset_ssn) /M (D:20211013063105-08'00') /Rect [104.1732 222.6692 148.9487 233.6692] /Subtype /Link /Type /Annot >> endobj 16095 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 206.4692 180.9312 217.4692] /Subtype /Link /Type /Annot >> endobj 16096 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 190.2692 225.2172 201.2692] /Subtype /Link /Type /Annot >> endobj 16097 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16098 0 obj << /Length 19 >> stream q /Iabc24727 Do Q endstream endobj 16099 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24728 20830 0 R /Gabc24729 20835 0 R >> /Font << /Fabc24730 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!Ey / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM3 endstream endobj 16100 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1468) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16101 0 obj << /Filter /FlateDecode /Length 3098 >> stream xڽn#ίyuf X@n!i \S/Zmy&A[M6Y*VU6?8U9cЫ)COU[>[ppc&a(ʙ%c܃~7'Sm<@=0(`x=hg/ Gԩ/J'Ț51,$}&p3 јx>([1#_$ф`d 00xFk(# u4oAˈWp.k:egDH Z`D U;Q;_U9hMњsUcEO$lPǸ2|L/fW˃[;M,@ $j|x9\w_\/HV$ʒ]h~c]6eؑnڎfm Z\(u]щ6QuE6ng!4(F"Lp>VmJ|9чMUgi=;edi@PnL.UO%ĒlRovս[zfRJ45+ajԋEͦU* r7;`3$˺\Fezx?\%8սzemOK/`(s2~\O@#>{PHװ<;$h FISL""&@LOsa;ł%e95@*b,:lfBϠ\QUQx2-OEG6le~s1>4#P=GFގaӖ 7[5{r}" e3 v`qmB=4~ OLfsXʚ/QgeУśd xq8ssTm }zV.!ZI!S›eMlìxI/s=e^!Nd#f޿!1cϙdCh׉Çѿ"o-w wl2wP0@#A@{d 4J V' p֢-A2!Á_{|`ųQ.%LA'bRZyOСVIGmj>>sn1WSZ]K?nuwY 2$ JZ?G~#/hTn(ܭh~|\R}j;۔9%{vJaq_G| !d1/?%uQl >BPc`cY2PmL_i,ŕ'FdU'b[,$1cBnx2x<,Pvx2Z$D]G 9IM\D?A<2M3e)7a9Jx?LRxfs#^qǻ_0'l{碡'ndS,t۩>6^/^a3;f!pW9үr$_"_.!3sKl)jX&_|'5=+ -#ZMS]-dۙAS` DM8:e *'IPN8|nwE&dacKӛKkj T5ѶC؋9RWR%W+)o >jKPZ>ۦЏ O5_tX^l> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24746 16106 0 R >> >> /Type /Page >> endobj 16103 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16104 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16105 0 obj << /Length 19 >> stream q /Iabc24746 Do Q endstream endobj 16106 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24747 20830 0 R /Gabc24748 20835 0 R >> /Font << /Fabc24749 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p,Qӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר}'2g(W2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}KP endstream endobj 16107 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1469) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16108 0 obj << /Filter /FlateDecode /Length 3843 >> stream xڽ[KW`| ,j &gֱ`7tOYHv vU,VW|GVwW^~y5teߗYpYԇ%~~|0& |Ro_}Jl/M+7z}Qůc_OLc@NhB6쟴YZ,[욬GB22qY׸f%Xc9^qk8le~ib&A~?QlɹvP>hip:B. |$Q)$91uIy̯ P039Đ5Dr]{K֘Io!tRpkvluK( >ӱ?j |# c,-۬0b̓<?2?7,dT2:0g5YWyvpuKȵY;hif"4<Nq2Fzx̤u]k(QDv~(KpC]u c$ʃ>v 69Κ/;=&鼺8-.Cԝ9j9d(10#* :W5K 9 % 8pz*I3A0Rħ Ş\-Ws#͆MHR5U<9"fD- F DЩݎɆTvM/U8o3 ~7e:dtaPW}_Pb`^ny&'LP9ˁKsXfԮNU0't#,Pv   7B_`4XXTdA fL2k$s+b3S5Hce9r{rzW>RR@ȉjp| F#h]¼KK!S"cf?έXy\jx]^d=QqCm{_0t!63L3`INdc?97HpA\ 6q<9h(9ǀmoIOѾj)Xc]z_(d(EU='W;q %IxVnێ6LU55[RМ%lnS˳=<[4nbҝvT'# (R@gA QFIa[;SsoW$E&{j%NaZ!*w1集fŻ r=n aƽbhan;8iұ M0ȹO+"F3 W; F#mgFlc6`2.|OFѼuŐ/iPgڂLV?b\`6m[mmI>Ka D< 6^ t[oL9U5A+K|# f_g^^~Ͼ0&ƋSm@/>T&MC۹kw61u]vú`ĉL6 k_B, 7.• . yDwk]ʱBxaͼ;4R䣛r;wYOMIꦪ4뾚ڟ٩טX]]z;\lP~a/fMߧ#} qײa#?Ѳ_p*ROM7Nd{-w]77I$(&ΉWJ<_#82c7|gkbs԰.eM|8Z+TkJ"a]L; F\6K!'/PT3Ex{Y݊gwM&JxUeFt;Ap*iE#V5u`T:s}3#ye^\ w¶*-t>F|$N$Êyb(sғ)tZ2^bl#N{!  Bͣ,WoHCf4Dn3s.&*̰("0}O6(fqQ\muH뺃cm4}+j+ƜqsJ Gku)vaM/Ƨb|^C>bZ2M.4+٤kZCͳ2G4tt4)x{Kb: .VDx쉛wɉHP)b_C)sq69Բpȧ^%U,ðSR)B%&&3 AJa>|/I,▴s3-Yi%闖Sk6w,{(#D5:g-ٺr!<#ǔ=Zg\*, /,qN6HqQv2m7ۥAQŘP/g[}Rao {ؼ!K^:~=a$\i\oޅ>׎m2C3_)uׯ+^gMCL➘.mg~AzE,uO1U8zZoWu.nq'<ѯ" Gs2'x>3۬>Sߏdvr I.93=U"`ﶦ = ]7ģ #{Bj9p?H}Ѱ(eeΣ&s 'nKeS=, @z8 g.W`l9'҈ endstream endobj 16109 0 obj << /Annots 16111 0 R /BleedBox [0 0 612 792] /Contents [16117 0 R 16113 0 R 16114 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24765 16115 0 R >> >> /Type /Page >> endobj 16110 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16111 0 obj [16110 0 R 16112 0 R 16116 0 R] endobj 16112 0 obj << /A << /D (unique_673) /S /GoTo >> /Border [0 0 0] /Contents (get_stacks) /M (D:20211013063105-08'00') /Rect [104.1732 227.7077 154.3442 238.7077] /Subtype /Link /Type /Annot >> endobj 16113 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16114 0 obj << /Length 19 >> stream q /Iabc24765 Do Q endstream endobj 16115 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24766 20830 0 R /Gabc24767 20835 0 R >> /Font << /Fabc24768 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nYӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16117 0 obj << /Filter /FlateDecode /Length 2625 >> stream xZIW<50u:( `N+@e~FeJ&E>{ )/( +b뛪}F.M_v/דWƨT{219^Z۬ui=5\~Q/RcR@>z03oA:YPrЯ-NC;ฃ6l:||( ޢO 6G/g@t=/rB#p=j<pN4y:Ip k:n/mfJH90Uc=E?r#9g69WY>fWُ{@y)zaeR .0`㉃͵:ڠɏ8XXtDa4GlzI2ӽҽ.jtC!&I`ě&%^&v`6[?Ӛ G/tQ}x>&0z:YF?#9DŽ>&h@-\/pխ\60x|iPWͫJTj~-}hI|F(I„$vܙgÏZ>H,`jԪ?ꖴgKT}F. Β4E!oS|lTU^~JoٵP-lp,'M+ipihpgy{W!oP?J}wPP!OALP3}.^>*EWd҄Y;ec0- @BUg& @`'E9F( S.\2=1PM&hp)a8nE&]6Ltbu{ݯCsARg"'.lXloJK52,9CDp<ɠ>:[+CW" }׆s?YSӉi*.G\D$[͓6+HŔ*1`imOa8’G}7R Wu5=SjN})e骇>nlE <;dޙ\/dqo*ߚv Yd-8IeiycF"ɝChpA*xOok;eT"O@/?j\iԤ[AY Dh 1t 'cGƠbG萩Չjc| @:`Y}V)CZz~I 9HwD:^:J70*%n[9 ynxnj-}J p`wU9\ComKFBuo8UnpC; k.Hղ>Qc#սܲ>> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24784 16124 0 R >> >> /Type /Page >> endobj 16119 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16120 0 obj [16119 0 R 16121 0 R 16125 0 R] endobj 16121 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 178.825 122.692 189.825] /Subtype /Link /Type /Annot >> endobj 16122 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16123 0 obj << /Length 19 >> stream q /Iabc24784 Do Q endstream endobj 16124 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24785 20830 0 R /Gabc24786 20835 0 R >> /Font << /Fabc24787 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p,ӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4~m~oC2kh}'2g(W2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}XT endstream endobj 16125 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1471) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16126 0 obj << /Filter /FlateDecode /Length 5051 >> stream x]K8W<@x Ȭl`n=[{ŢrK%)evDTaYa2Id%]j_e;9iRߏF/՗Z7.V~yI)(8rS:6|\ِ3\7:j\Ku{^p()릝v)C~"Pi'#I\9Wѿ7P0OpJ j;¯s#`ƿ_-ԭ-lR,<'O29_oPj x 3FCb]xWl[`a9+]8ϟI|JRgu eo򠦉/:B98qřa&PÂW!"(O:S =!k#Sh}=ƟwJIJ ǿoAc0 VUgЄGЀd 2H#@o3}Nv4-(mR/3+n~ kvUT>Z,5ԑItdzJ8\!˴'}Jx]Bin?jjW>hUk@ ڣ}EQ(zmHAZR $ rM&sy/)T=˯ y[ Mۼ0L8*}>x|5.npn7KC*][ٹr}p~WTGO`U/2]ETb'-_ T^kC%Nix(Iwe??pbda80ȍa{r %Ra43#To+NfDiU*sCòԫt0(V!PjEW9B,UӪj+|Vbg>+|V㳢> ]PgE>+z>+oY-PTT%3깜Vf00hY/<NHZf Ɲ.~pV1YⱡXH.erԣpSa0#XMM8LGDkQ͆eԉ/JMJU *)٘1G'<lvی2sJǍsp&|ܻhs䐎\2@д5MQ_]ި#T~_k&wݒ5h?uZʄaidqF#ux7w|X`?W_ܹ(t8s{PWz.8hhiPes (n3g/ΑL'A`b~"\HL:u5.LeJ4o0s5M%{xl" 5-P~q}MjE/p a#&~e-Җ!mt 7 Foa54ˍ-#T&q! ַfP^fe{S\Wc@])!%D,AE*󖣿oϕoTu*[ U[ 'ƾ,Emǽ7_)DrrUOmb'':U̅ rBׄq)hIqѴzXwĤG|̃ knGsRJ'+I0 UEGM5G_ āDdN/ <=q#/MDKIB MAd ?􊺊|A&a{z}D;UK;Lf&ׯTuIDT?UR:ӞhR1&4AƂdM Lg-P*L\NԼ4^F 2Tp`UqQ( 6#lRnjD쵒7kKr*&LC$XIJlT7k+Q$VQ@-54a[j)=uDf7-K1;?Wd_; ?/RWŐ@2Ae R3.;CS%퇑qiLbe aA&ӘbDRqM3cԦFg&r謱Y#3_ff"5FPŌ rv ,KR;|+kTVFV.L+Ć`K8} 'ߜe+8=mVpۤʊ歪Tx㝦X/`a8`a*XmX H"!;UjPu!,U5 $ 즢lJղidz2+].ǕEr' f.Vl{p2K8FOӶ3Nf 'qE[$Z8ɻ$Z8GITpxoh>N`Ap)$N;=Ncpw$6NINXޝ>N^\>uP^.= (ㆹTSLVTEd (8@0Pr :K@UŌk PX\*LPUw]LUTE+ ŊP=%7WTJ>x.H)1)R||bOS|w|b+ws'.n1){b>Ky|RH|?ľH=Y#;!%fwCJϚ85)q,k~RX:ıcya8=a8=a8a%(qKwPְO^ aaaoDǓݏ'Ov< bOhz"t;4·HdNO,tUUy)=k TX\*ݗ@Uq;=Q bJO*"R'X\(V,ޯ(aeOu Ez鉏Gz@z1鉏Gz@zIT=iꓕ"?iH&{lLL.k}x4yD EB󂊵sS8OW>m+VmvܦԵnq*m :'XcpeMGngj޺?h|!3%N""=ҳƣqfVMB!$4BP4o; 9?xȍE0p[a6`/UAwf]wyW Qա<*=է Ҟ$کMb3~+/XK.wK^oK V]\zMZGး$apVD~ƋG \9ae DI!;EjEmU$]T 7TZJ MwHFWDnA }qCӹi[T3y)%OM۹'ӺFT36*U஑Ѭ){ߖD]$Bw p;O^q>qJE1-H9Ҳ$r4p|Ew\M_)is#h1U|B@FǸI<AE9>Dlg`S$"X8)ڬ&xJ lbDHRKp7.Ŏ *NUOZbTJ*gϗ(<< R.M?q%%;lLKKEF]\kRoUե3p^T"Y.fV+8_dRY9]1IL@{}onܹ+PjtBrh+3YzHvЏ:͒VD_ċkQݱc/@xg;WislYXUҎqB:ESRSyj~͊&gΗU vVLʑMH!rzZ(clPskYR7\sb gKϴa> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24803 16131 0 R >> >> /Type /Page >> endobj 16128 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16129 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16130 0 obj << /Length 19 >> stream q /Iabc24803 Do Q endstream endobj 16131 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24804 20830 0 R /Gabc24805 20835 0 R >> /Font << /Fabc24806 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16133 0 obj << /Filter /FlateDecode /Length 3796 >> stream x\n$+lh Ptm> |=cj3p#RFnL+=6ILo?zOOMI-U8N}/ R)W~z1q)oώ n(~2nD^&}0tV.:c|De?׿ Q (}g! ǷY\m aaV 40џyeq{F+emYimm '_CkC3^>3|iZm_&ޯ,4(dc:B"?"DW>_zaCd٧k~Ӏo7z}mE:_ua^Y5efwCX9Ѱ]1g1'.x/dA%^%i4NA=MP6m&&Y8*Fu\—jQ MAFh@|=ԉ-K vW#4w`+L?s#n!,q!bL1zp4 f, Ā_Z| G-ntC!i"=Uh\{\;ל`22Cðo˹ BmLvxf,R>i pxͰm.Z{!»(UHS G>ן6]°x7"P\c;u!櫝wf Ph4S+R:&13Ìڔv2/ߢ5)RV5h\(Ɲ5.S1Sy#lAmJJ_JFǥa 4=fV5c ,bu*=}6+Rdb|/lX:t<ޜkNޚWז D|фIj 8Twx&O>D9R@| N 8[://W 9Iv PC}ng)ƭnVԠN2P[X?ڞ0/UA%^.YVQꔿFIL#xq}z#LJ%͕jJu`6@L'*՟.S/];s2d"z r|G*6U  F furշ4+bWVd mJ#+8z`ZspHmdGؕmҏ>I8( q׾=;[衵0keLukvfw50gr@7GׇĎS!+ n퓿§aN0E:3bz@)O ͭk͡hhwXкvaKZ˻6y+TNfCHH ?2o݊_^r~}Ӈ}*]|cWӱos9nqQQ-ǎϫ)fRzraYl΢Vql̟?н>(+vi)-d}ez.2'moYܽӎ1E Voj49>KgR1ΙnzfkuBY[zDY]*Z<tzS0-qX t.ttqv`-bB?!.LVC,N-i@✂Cn3;]45F,*,PlcTbUKgMqQlZD^njQ׷f#3v ؠpypP R 1 <'= qcǣ7é1KtDgf죧֥SM Kwm9ΠxDcHy+c?}n/UtBwLwTE0쟆UOvM;n}A'cM_d!ɾ8j۹,U`w74Qp>Y<{e,X? }AԘާ(MP}ei{dM<%Xɐ -4Ouں<8 ^HXS>L8x$~zxzK \'jA.8 wn4faZ.(| Ej2';ZG 7P4vU!lBdbش K|M i W.a dglpARi3az)3?>Ȅ{]vRR\tgL 4/l)~[ 6Qpw zo|^%U.ZULU-!\4..bWi 1`XUܡXX˗,KR~E,'JZMI?J$q];&+j/D2.js*J3 rg.©DpIJ(X֓˗}=5Oe#R4C8Ev>1MNVn8/V5ToFeco6YK1L,EވxoryїJ/ &ױx(/gaƺSp1Ob|ƘKڷ%Z]1BOB, t/!W*rBc&=z<(|Q$p }mYtť+_\;Si9=seB[y1R2W=q19,$^F4%qRfʁsPx*rB S'EZC(a9nBD AnTZDTDICLҡX˽5`z٦?A!1VXPf ~܋{Du.WrQ~[̡h<6'f820+!5:+ endstream endobj 16134 0 obj << /Annots [16135 0 R 16139 0 R] /BleedBox [0 0 612 792] /Contents [16140 0 R 16136 0 R 16137 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24822 16138 0 R >> >> /Type /Page >> endobj 16135 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16136 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16137 0 obj << /Length 19 >> stream q /Iabc24822 Do Q endstream endobj 16138 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24823 20830 0 R /Gabc24824 20835 0 R >> /Font << /Fabc24825 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 16139 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1473) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16140 0 obj << /Filter /FlateDecode /Length 3675 >> stream xn#_QgԾ @@OJ \y[-lnI][o( +޿̨U6H_oOK_⬵SFAeMZ֧'/Xds־}g~hZieN,7Ó*쓊{]ʋ>'q>ylSէ9SJ;kXD~;.ɳZ^{pdP Qv1ͶNr,HG erSV,*ƈmk gȪpZ`]nt%WKq-9Nu><-\%e[ܞA [̬(;(|՝ nv  PuúJqM KG6z҄ 0hHvΙNpj|.'{cC=˄bf P_a|Za%-qVգ:ݲUUT Ӝ`Unt`0 YN iq>upl} Y1  EH5"&t+1E<LYDžEW*BO@8faԠ?ޑ?7Țh;dRK_U)^P#6CpbvcG~l鑄襶 igݫ<1W?Aސ<獘fU&7Ф]'7x:°C(ۚA :UC\ˋ>^/X0a & nPn_?$t9椱>{KG;bc\)1 *ė&kS$.a-{퀬 d04 ufO#wex,`Cu[ЍtcrZR`:_CCk03!p~ $f>A3u𢃺 Ls};t\&s[HI)BfZZɇ+xWW@6{y8*w=>lv.0Vy]5ײr %) 2=nw>X>rOm6n߯{r7yXP\9\$Ŝu}no)&J3I#nǞH,Zv8*_I~.nֳٗ7J ]ڛKUBr>(|d']>!ـbߠ-lYlAA =yr!;f 6y1#| yW)ps>@ ԇJMPnB`tfnT4sNTutIˆ˘O瘫1/ȫO ϳt-@*0=V"gôSuX-FT㜰B}XW[B1lJ4!ë}:ɰ2l1;??fA!\ P1Gaz>:,~/O 2oF_$g]v~{4H (8?3VțO:!,GL}QVʧn(u78D e-yGu1dMSYcWY ڄ* *\- (Z O$ZDr{!~SKڀN^epW!^[b'h0ra&чЉy ;%ٙĩF0LWDOkKF. el02ۓ:㣯}cY݇nF|QǬ VVaԇS۬RlE,K;sMnB .LTV\F1ۍL~O410ۃw͟zȉ~@s.3El:7 9AyCsH7|4l WIck-Ϙ<ъ[ Pe𶌕S>/B`*s"=Ҹ犕*W1:Oc/nZ>Z):Z1Юk[}g!SYBmg$mHg$  q=A ҮQ3=Qy kMQwdMxR2I#cvUBq05,ƪVQv{z%ZԽċuTJCFxSy#(=x\ {a82뤱 `A L endstream endobj 16141 0 obj << /Annots 16143 0 R /BleedBox [0 0 612 792] /Contents [16152 0 R 16148 0 R 16149 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24841 16150 0 R >> >> /Type /Page >> endobj 16142 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16143 0 obj [16142 0 R 16144 0 R 16145 0 R 16146 0 R 16147 0 R 16151 0 R] endobj 16144 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 555.8 192.4702 566.8] /Subtype /Link /Type /Annot >> endobj 16145 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 539.6 169.8542 550.6] /Subtype /Link /Type /Annot >> endobj 16146 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 523.4 219.6072 534.4] /Subtype /Link /Type /Annot >> endobj 16147 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 507.2 210.0207 518.1999] /Subtype /Link /Type /Annot >> endobj 16148 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16149 0 obj << /Length 19 >> stream q /Iabc24841 Do Q endstream endobj 16150 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24842 20830 0 R /Gabc24843 20835 0 R >> /Font << /Fabc24844 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3W%^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16152 0 obj << /Filter /FlateDecode /Length 1852 >> stream xXn$ Wh}R~Fn@AVNA;xs?գvf"3(C6ߌ7gj 79o*u\gc|;:000ťajൎwL*gUx04=AҚ&aCN:!2ԧ3+d@da :?VIѐlnwoblC'MCacp+'rщe_ԫZ;L?,VVؤ9ZU:LJeW Fu&2^}}<=Oߌ=eOCIu~Cl1DbnbPڂu ڑG (IN0x) }VRHSf{lxBAu^?7oB2>9 V X~_-]S"ău+l" BEB\d.<yXuUoF$vXhi?D)8dO9ڽQtI9vRƵ`b#2ji#]wHv-'>|_ҷ-8D&+񟫭5 s+w[?~TmgAtOӖs/S}FNLm f[u(\IwJ/lN* "/yrI,X_X_שּׁ)EYBE*F|E4+ Ht%¹M}ORlbIxH[`tp4Բ:hk2ĺ[Br*V*|cF[jEh3ac*wTP})oXwda3q)Ld)B\uSwy:RvUm%/K7˔Ž\[YiR_ Αn|-醿2^9}Hz=v\8x(G%<q,gKoZlaY.x#8ҪG$ޓu#'Х>B]7l\WI,F4ƞ40j7م̡B7À_ endstream endobj 16153 0 obj << /Annots 16155 0 R /BleedBox [0 0 612 792] /Contents [16162 0 R 16158 0 R 16159 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24860 16160 0 R >> >> /Type /Page >> endobj 16154 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16155 0 obj [16154 0 R 16156 0 R 16157 0 R 16161 0 R] endobj 16156 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 279.525 122.692 290.525] /Subtype /Link /Type /Annot >> endobj 16157 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 279.525 160.653 290.525] /Subtype /Link /Type /Annot >> endobj 16158 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16159 0 obj << /Length 19 >> stream q /Iabc24860 Do Q endstream endobj 16160 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24861 20830 0 R /Gabc24862 20835 0 R >> /Font << /Fabc24863 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ZçCfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16162 0 obj << /Filter /FlateDecode /Length 4737 >> stream x$4Mpn3bh)Q,Uue|xvGj$&/b/rAYXj\G4hL?1Zo4"6_ N`G-` 67209au>.oLGBxJP \ɂEާ {i^_44$vZaAGVkj5!ʦmB Ji! g_ ?0 v.%zXuu^8Yd'8س.)a ̀2s;rjZgݜ}Nz- ~w^@r !vo5fAW@w5 ] W1~.O71^fƫ?xuyZ45ue>g1<\x\_<>YɛIA2~u:`Y$Ad1sᵥuZa³qQ#a؂kv^D1<$*8j˛ ̖EmJ{eF\!`.6 f中\[qIX|2lë*7D48~x1"2ya!--2W`Yț)jBd/, z؍dDii5ߧ7SWJ7[uȋ܎Ď.ݡ#,QA  %[pžmJ?Z?$7^9Xy|E$Z{}0{c) k bD=||c&r<"׌LK',+k|k.޶wiu9{䡋$ed&ytc&Zg94 w>6ܾR}Wwz[º.^/_F.z#_EB-wK;< 2^I˯:24El߄<#xCIOi_}YCxhAby}Ku.Иj#[q3;d4lYg RFH8[ʬTpg&%7&ng;ĺ?HtёvJ)=qc>eN7P/"G?;3E2,`:(/)842"F-jkĢ=ۮQuTE. YU]P;UZirF?ݾ[Lyo6̞̙i.:Uo,e΁zq)ԡlsId6>P!"Y|@lZc5N,MYg{ROu]'vmM z@TZT ;}|TѦ`U\ s%vh<:\t+7P60S;0fisRұf0Տ)ѷYܲY 6mx@k7ۍYtȍ0kȂ,j_ [=t(bՃٍcmbUSqJ7 !m*r}36ڍ(]+Μ0b@w;C䩊9(`Rju0'ηiz9ќ0'ՙA椞bNZ->ΜԮǜTNdNǘiPJ|zA+=ZǧOgP5|ԧA}ҧ=}ӧ=aOm~ԧA}=M&Ʒ9mL7 &&7&d@69ƕ٪,3Ǹ6%) WLɏQq47{v56ƽ`G mHջdž> o:mg Rtcn$^7†yvK)2\MHՙ͵FJ1J$f[eLCPo8K (ZeH%ylVH5`K|km$;WVgIu(Eo͵dTX χVZx^dj&bgRkPƔX9d4g\HNLy8cMkZs&pf,R 2OYBG  KPXЂ@A'rfT bRk탪NìoPȱx*ˢ1qu/EMeOeUԠkC!98p𧱄%WP,ԣŠ8bzǏ{]&VGk.r(~+)`0`E͗j5: |`^mϽ95,+USOv@<0H``Qq(XQH \  Vc؟d#̈́uuSgu;@l5!]wA7w8+DߝfR',#16%.o4WnȜ򢣪֞eVkzlܳ_0 $Q Z#9l5 s%/I.%+ ԮHXe Fi4"(s]٬Η@!Nb=Ґ{IRHG>" G03(irVfxinm^-l}6kA󖤫hj Z9vڶ\K,/ȸ>;z CScZ+!gTlw,N^]gI;?dPKEkp?ORll˶-,~.L̛f }ؔXnU69e8umhCntwYѵm2,@r {?n1ͯҷjWVdSQl0hž#Ǫ7#$vIsjV3GItm_ nm;#e%c/)x:tsPu(p57L]c̩˭nleIfa +BrllNUٍR9x\A>î JV/P-8 Jm7tbӼJ-!qB|QBVͰyul lX\|-1UYRvn>$$-{"UµvYr%ut,ϫST+F*Qҝ;ߩ@uxkzIqtLnNi 6Өѿ̅EXJvHzɀzч 4T<"8/vXPg4D2&"$?/HpczlRNow [ V:^j]ZׁT\m1nƼ> Oo@Gw"e^=w{(Ä5=P. A4ކ7rm9ae V5.&Ϩ:JFUf=.#l1;2!2&p+B4c@⪓a˶'eNFqV@$ JHk3&yۣ: j] <7{!-Gh?SuzBURzM(WID X&":GU|Gu1M,|q(}F= \-PE/g$ͬ9/׊zG."  Yf=Che{R2A#L wKIZLfȎpIfwTC}pH,QfKni[;<O&[ɨյfY!hyoԚN ) LqniJ81n:9*,rB;Ɔ/d}R?Ӆ<.#d ,DzDSGt5nWX @'6V endstream endobj 16163 0 obj << /Annots [16164 0 R 16168 0 R] /BleedBox [0 0 612 792] /Contents [16169 0 R 16165 0 R 16166 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24879 16167 0 R >> >> /Type /Page >> endobj 16164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16165 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16166 0 obj << /Length 19 >> stream q /Iabc24879 Do Q endstream endobj 16167 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24880 20830 0 R /Gabc24881 20835 0 R >> /Font << /Fabc24882 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pT%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM>` endstream endobj 16168 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1476) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16169 0 obj << /Filter /FlateDecode /Length 5241 >> stream xڽB۷ԩ%*ofQjyu5~j[^-=CY=- [ KO9s9H)G3>%o0!X)#2qY~ .DDŽf @hGJwu^/ ֭>ن5=-ÉM㪸x\D< -Is1SϹNaI}v 9*VU}g S6D:#뱓̞ nMyNʮQy<366cc\':$ 1>-vc 7"1L5ԓ$73Eș ˮ֨|/YBP3|mٸUہU5f=`S՛AP5hc)uX6z.bBDcªzBZVP .elQ*GHBiBI֯H`m`cCO6PPBP< ({[ФbTfr{ ިEMb}G"CoH8J0[36uU./T@o"urHRl}b (C5HU?9`8Rq%r n5,`\)}W`> ^$zJl9 ڂ$.B{Z(wk5XB a&:~^~2 inV%JjqSIƿCˠV"l"͒NPSֲ0X o*5}es7 jPAu1+m. }*K j-*+p4(J@ >Ơ&pD]0B]H-.$⚀ =Bt &'BhFcCwԝ%")k[Fĥ`V'd\I, @j7PAlPqh: S`&H D(HYP! E(ŦNBD׈Xky C0.BAj/, Z6x_L-q {~Gz䷓̆g{CL5 axnli%` R\)}⥔Jt)/կhDi]ڮD[ B-.@8!+ Kr& RUd%Q3>9ۡ4a hD 409@Ĺ b>':,̡xJq9^ 'rל`I&vIoe7U+"X Ă;\lZV`GNÒǀKl#ȟ΁+jIRna SzƢ+nGpDơR6-A\qdIYKl0U앾K5-lbfBfT1(ouogUٹ(=䕨kjHtcF**{?w/J7(d)rDb$O,;f͔d #'C,3NKT&= iΉ27ءWx#Mj9-'s2QjDRNm/=JNkϔ]Y &R唈߇i")-@d $mнTF|FtS!~ڗl\:\|L֯HmMѼe*Y-YDЏ>RoNnS(ͫ~7lHmݮqAP]zeQSU𗶇As J;pD1_V_|n%e9YQs\@UYӾȮfH&iAj@>:=nن{H|ɤO<ʵ_D;]/PGV %Uȧmx`G(+dyuT'A=:oPpt߰ |Sp/^)k0Qu+/Ӭ6g(@ÎK% qڐe  >X AN]="w4f˄UF/se%O&UER#mMYSrBp|eC'2.0b 2R<_#EQtYG^ ]t>t6''UIq3vQq|oM?~[->_d(!(nr\jyk~l ͦc͔SG~s"Y[pP ?(fVC꼋6uru(3ΐ";J*gقȎ]Y?b~#C8ɒRxiStY^2$ՖȷnvS%+*1d69-Wa;;lRǁeZ79"K 3 3,pGMIq?/m{}@t N7 JٜPu9|x_bcj19TmݓE i*n|j#a1i= pίI Li[D]u>-wt< Љ})! Q,l<9V9^_ Ri5:⍷ep0X*7<W{Nb8\m65W(Jw[n.x$9P۴hhku^rcH{[ jmwi |G'9X-"> ]kkt[UzC/-" H/v|\1pV8tb|_|n%;CglӚa@T0܇io@ b9qJ8{@B.Z+]+R+ {'"TM$Nr]sPatȞLr¿hsMpAi ˯W$A)c(&o3[* Җ9UvEkD1B9Z⚁;f}Mع$&ҟg+utk }// &xw=va hѿ] j55\/R'NС܁H˯@t{z.X_jBB2N)fO"}X w)ɾ\mRzRb]L7T"]"0@O|W5s%\z%@(ZSZ> ̽[Q6UX'RìP+P+BJ&<]͒o^s'GsM5lH&Mv=wA ]p7_(W/='ѷ9Rn57oAp5 o-,xa qaZ(9KLO3X*`w Yq-¼D^xQx ۀmT,­ir?Q΅BepgBJ@=Й5o 1M,~/A~ :O< N1 r xTG!|p/lC<0 7[ۘv"..!1;l2XyFʳQ"R<#OΦBB䨠6|G2~'uj^mx%5n endstream endobj 16170 0 obj << /Annots [16171 0 R 16175 0 R] /BleedBox [0 0 612 792] /Contents [16176 0 R 16172 0 R 16173 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24898 16174 0 R >> >> /Type /Page >> endobj 16171 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16172 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16173 0 obj << /Length 19 >> stream q /Iabc24898 Do Q endstream endobj 16174 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24899 20830 0 R /Gabc24900 20835 0 R >> /Font << /Fabc24901 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ d endstream endobj 16175 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1477) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16176 0 obj << /Filter /FlateDecode /Length 4249 >> stream xɎίsp-@er@A;.HjA,~̤ bӗS3SS28駛>uˬ590}zz>&/u͒`L?kmd]^d^uLL*փe1ֿL\{Cpڸi,)4=se8 <Deeއ1Lch\\͜?a_Hf!03) t&}E$ܙ<\{1fd֖6؂ٙ)!fu)z#EY,|UT1Z[aEP1`qm]XR3&fɋ^DU)q$+Ѡ ($«d[&3%eL,CUf6An5NMJљ*kX93[9Yggs e}SԩS9YR n9Ҝ9 ̛h֞ վj5&VDp wdRz{ \UQZvW+g|aCb.<`-^ј2R]7vLoې:*ÎӛhU^$umaZ>^6亀6U9*4Z,㛌eN$Jܒ諓fC1*]Wkv|zlNsFP6ːo;sqYU LP||j0G2 ,Mx*}G_l ۶>:'+v<9pEO#?/ SN sP7%~ue2,f>cD8P'~`tWi<APߣc?0 <׽GSϞ>!SŠ:&BG87Nxv'pr1jNmd0 r`}D&u, ZĹ(xM fi(?92^M%{yJ[߱>7x+ qi0bڹP8%?Gg0蝆ʽ"xZ#imߓI꽵'<6%qm ކ \ǣ͝e%jǦ>N=T<(PnnC$& QycD ~S򑍺,y>ouesQ8:6 2*f?;k p zL4˴ԽJhkpIՎ0Rۏq·PAS>O<89vdlE@~_ӿ>F-3V6Y9=A=zuP6#x{?͢C$9),=̘ݗpL@Ix٠N|Sx|Hg(N̡v-P Ob' 6Db "}C!IV#JH 82@LtCLԻz>|SUNRgt*:{k@% )$kR?Nu̔'ScBǢsƢH73}Xjw"xH%Tn%xq-q849԰AZPq{bB]&A*t8/+@' %\X]ai^6Rggt_pxPpWL+s!%^g75v]2,d@ϫ@_noD_n X~[&Y&XA`(p.#QA[Ӌ遌@Э}mCKab1Hπ!16AEL RV{Oѳ<>Cu>W*U"`eW(=x f82몱lO.PA⥻ endstream endobj 16177 0 obj << /Annots 16179 0 R /BleedBox [0 0 612 792] /Contents [16190 0 R 16186 0 R 16187 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24917 16188 0 R >> >> /Type /Page >> endobj 16178 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063040-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16179 0 obj [16178 0 R 16180 0 R 16181 0 R 16182 0 R 16183 0 R 16184 0 R 16185 0 R 16189 0 R] endobj 16180 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 387.7461 145.1812 398.7461] /Subtype /Link /Type /Annot >> endobj 16181 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [104.1732 371.5461 155.7467 382.5461] /Subtype /Link /Type /Annot >> endobj 16182 0 obj << /A << /D (unique_48) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_interaction) /M (D:20211013063105-08'00') /Rect [104.1732 355.3461 221.3452 366.3461] /Subtype /Link /Type /Annot >> endobj 16183 0 obj << /A << /D (unique_593) /S /GoTo >> /Border [0 0 0] /Contents (report_clock_networks) /M (D:20211013063105-08'00') /Rect [104.1732 339.1461 214.1402 350.1461] /Subtype /Link /Type /Annot >> endobj 16184 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (report_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 322.9461 225.4812 333.9461] /Subtype /Link /Type /Annot >> endobj 16185 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 306.7461 210.0207 317.7461] /Subtype /Link /Type /Annot >> endobj 16186 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16187 0 obj << /Length 19 >> stream q /Iabc24917 Do Q endstream endobj 16188 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24918 20830 0 R /Gabc24919 20835 0 R >> /Font << /Fabc24920 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM:" endstream endobj 16189 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1478) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16190 0 obj << /Filter /FlateDecode /Length 2739 >> stream xڭZKW:|?AHY a'' `_^|t5mCnM*eE[m:U9c┡_Tg' ~<5K4Q?MŘ՘`l|29ç^yxO Li61ϓI%;_+ӿhNYb'e |ɰ'M, qW2 dOuK VX#Idn\=Z 0/'aϼ :^GȂ5nƅF7516%'I%3)sFMꥧEiwɐKN}ya9"+8?tswɋuݚGGMuEײX8L';FIcA ~[ssC_S#nڝV>.їqNz9?q9(_GGDKXr $i\X9TSp4 Y,pisJj:ߕu1 aDY>DDN%sM}ۄ6ۂw|{jkO}9Y]t7S(,bOp(xmG@Y">>/5Dx~S]LKpd8֟ѿmגO\UXazCruj 3Nu|\yE- ,Ƹ8W. _&_AeBh h %r v<O[s iWUSQ巡ppv8Sq[f BW 3p6/9 !F]5D/L 6-n4b8u7aF1喢|x_IE,"Wq)hX\>zZ;Trۏqpǥe;3no)c=t[v&J Pώ? 6#!B!%'l> AK5BV!@ />BKdW)٦2P}j,.~AY,vG2qPs@%8/Ee_gA_uU VD:. ,h}6/ޗ\J-L|% Cx^e&՛VgiR{hӄL(&W"QCO%>RKmSc(! I2L!^~)i:ECv5үre"?|[Bf{Q+W*X)`q VA)sj7,f z #5f1nF{@2B` RڲigH?jFVV,!)Am)_E_?!L h)99;_TΠ7 l  fh8о"#lǀW CD#I-g%ЅyG/2:LFM3 >tc:xԌw2&}*>D32' ù5-ÌƟ3e1C$ޘDhH7>4쬜'C9>UJ6pXKT1[v]nrJ1_GvU|v!Q[-*rYdCEX-r(2fGdVGI`#^h&d@/`O<'*> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24936 16195 0 R >> >> /Type /Page >> endobj 16192 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16193 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16194 0 obj << /Length 19 >> stream q /Iabc24936 Do Q endstream endobj 16195 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24937 20830 0 R /Gabc24938 20835 0 R >> /Font << /Fabc24939 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶQ endstream endobj 16196 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1479) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16197 0 obj << /Filter /FlateDecode /Length 5087 >> stream x]K8W<4|K t}St/ T]oߤ(;l!*/$~27ϴZљ#?~#7׳ӷ_K'e&I>|aLt G"Mv#44i/_nST:W/ϔfr_~"yFq::z\381#@T)@c  ΂g׳f3|/lY^htOּd\kl|%/g)xGKnlN47*AzljbsC jZ0fʼFYeA Ũf_o?6w <+tU8v~|:_(NV >NyxJƍZ"*FXbWAQE3N" @dlHt6@Ж$Y3@Lڤm"_-EXM(ȀN `*#:l5pA4tvr"'okr}v_ۅqw*ťA5.p4~X:bH7)GN}P` cma*O#[>ѢΒV.TujmC83VQ}LOGMԢ\0m2w&ٸj^:ܚn|Z$E}9G?}*tF5:.j$d9klfӛ=ַ8, {3Mmуq|a/S :YQdp,HTgoӨ#[Bȟ{Fb=! k7|Ќk-چE ό|3ZӚv9|FZ&sZK%w:Et[ Ol#'Er9tc0W=&AIƢov;<`} Ϥ-Dз &J.O=> s-.I+Pz;na_ a4z3 ;Gk32P|']a@8H&a!f;;"oYanp+$DEk !&Mmʵ1 թcƃ0;pq!]nw΅vb>.t6"^Y3a*Mė[ ܨq2 DAgg2 6ƭȪVeʽ4T0.:Az\%T+cEFSӆQ-09fX葭83xNjF*/Tsm9g(<UUVM*Wt oW!5yh Z!Ф [ 9=Ӧ QMx4z}CWV]2ZT*d.hRNϫTY׸toodh.Nn~=x=x#{F{Fه7zodu}xWFv e 5d@)5`Wx's o} -Qd:Bukt°7f5Ǜ, {+TVkY:TQZHhBX)Y$p+*$I7g$VQjR4[oK-m=s|j ^5ܪp։niUιk\Th}%x|?op;ؐ nj cu:'0C]V_VC4ٹȟrsW05}XTOLi<.e,\ oVz3r}#'ε0gP﬩@6ye@)*sca lKBeGռ'ɎOd>Kb?Ȓ Oj= `ޖ=;wt;b.h@oQ';hSg)tp0wkx+U@xfxE;K9y'`#74eNH}*z[Uz?[',ńsPώF3K#MW6gt,,U!!+uEvCPKMzqUSbmgsUC!0{}c׆;*?A$Wx,3H_R>O|bi2s. h_*CT78pEp½8Rk~Y_Z,6Cz;0w=Oh+ G#fu/=#bHs>`tOf|9%#1skg,yh@ȧUzѯH˙vᅪy_2˛6ŶKќCLv m:X&l&,rr%_oE /U |gV]@>QĒVvHͮL0&G; pZ&XRqӸbK)+yzuV}G=$yNs½y=}3>9L-D: ,#c^w;zi~30f/oj}Evs)1VYR1_ NP>lLClӔ W1Ǵ#)֟Os1 )8ban7 ;WfFۣ"ƝBfv/6(Ps`[a#<{06i/lⶉ؄{EFc79aw r_rjKJdwK7 18ӸIb;fpY,/Tc)mbp^%7k<-z\zWwBPn o bd5kBN/Ia-,]BT&XVړ=i GhX%P57+KrF<"!LxkR0ʨ-UI,&n){-i/^zk &xϚT͵} $}bt̕*OֹxFӨj}ό:*(,}_beH64Y=]9jKz|H.$M 1#,X29&"JEjʆsPurt;4Йc3Gfa5 r (" q~# <8hʑ+xEYr>Y#C|t] oO aT%۩sA M%'cm4)Ib)ܘ-Ury;9]?xѶ󑛝/x5iAn<{HY^o.ܓmUgo`4Čh_/CmhGlulg(%wI,&]afg8 ?g g%.`_\J:JlqκmtВoYM3LK O6{?G2~|IN^ݾ,Dɋp`N|[W"2Y$nY)I) >{f$T$twE1Y6 bѮ;g IsІt(33Q| v tvδ <6Sȉ/2 TŇClGlsN`<-rߗLڍduagkjqS@n? ^~o65ziհo0;ܔ4*q3u2`bPN$X`@)VI xaGi~/~D!Æ~Tu[>6RJ'ȨEvmr/؏>L^&k<LU1;a+ٞŧ_~dG4 M4rl۹ށǯ8f/eu*墿]g1X<J endstream endobj 16198 0 obj << /Annots 16200 0 R /BleedBox [0 0 612 792] /Contents [16207 0 R 16203 0 R 16204 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24955 16205 0 R >> >> /Type /Page >> endobj 16199 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16200 0 obj [16199 0 R 16201 0 R 16202 0 R 16206 0 R] endobj 16201 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 272.325 122.692 283.325] /Subtype /Link /Type /Annot >> endobj 16202 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 272.325 160.653 283.325] /Subtype /Link /Type /Annot >> endobj 16203 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16204 0 obj << /Length 19 >> stream q /Iabc24955 Do Q endstream endobj 16205 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24956 20830 0 R /Gabc24957 20835 0 R >> /Font << /Fabc24958 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#جRwm-־0ZOt⩔L(u$R&o2VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoXV endstream endobj 16206 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1480) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16207 0 obj << /Filter /FlateDecode /Length 6240 >> stream x]K#;nW:U/ࠁO;n@: d1?$EIJeWmT%HVYL~Lbb#ZRk/vj]UV_yհQơ׫YTMW ]ޯ*i1ZytՊz3Nl>Yk:R7%ek֚A(ƶh~e62z˵ȴ |aE}RE|$}Sk;"vֈ 4K}0fz#h?0njrj;KS ?\j $ftO(쭏ذ4I|]8{ ݵ*:s{f+5\]xᶓ9K%`0;V"yJhr6$Ǘ? Cg R"$x5;2 ЛWhg?*Є#)sЃ.$_ad>5fLL'L3|I|-,?&4:ZlNyC38#s ksJ*7|5U $7-gN}3sd92~ʶE;&jY )T%k\'VѹxjvNlV? ~G,nwIIB= W~^3]w[F @CK'*}Z'<5D}R o%SҁU A.i%ےSwr2Ӄ#vMXS`Ax:jFN4wGx '_9Ҏ!w;+aneק@ +/'\w缀Wmvfyi*ln\-ΡVg.iz]4FxLMvXY^8œx&[pyzNrTqd%3iq>>)xgW۳FS9NQ-'-:s3<2F8V ej uɀj{+­F#xLh۴mIA*^MƜh["" LsnOGn#@8Q!~@#ЗE9p~!-&-$c \/sL"[+g'#7S"[&B/"UvG^XڧUvF".~׋Wɭ#$XnS{pE8r ?ڹ,7/W\3F^@؋^{Nb&,KNF-,Ae$9<'4uBK ?%莿&tcN5Ix?./Hx9*7w(j<~pAeQmп/?~W.@H„Lu~a:~:͟fe*bZ,#U^p;"騃6apAEZPU 2y2b&4bg߮ԲrS$sE_`F u4@sq=d*}gT-Hh2W jCg5we(tbY{L;&4,c`sAu$_MRJMb ]Rk=9⼸~Y&34cI(}or )P[ T&#s\ r-a5ƨ=RuTbEv7I]P*Uu{ Tj;]~_STGs; R R R{  nBJ=J݅z4 )@(uRp(uRx(ug ݏOw< ܏G#Eq EW;@J fbOhBA PyN,G D$w,iFPaU{.I!ȴ@/"I!%(F4+&)s!K1kzR,D,O% U[$G#Iq s~ŋb"M񊘪p bS/z.jYTGbGc/Ueԣ/Ql)xN_XSE/QNS{8xM7)q4I4PG[RSmP=hJ=OOS{J"j')vS6zy4I!z61QHK+o!Ac@:8V@I5``b>L^n@vTf6;XC@na)4enY}D]go*c~04z$/G)ǣ)w%s'Oh/dm5tUEN2(QX܇ #l+Bo$ w;mcSkP5X|p8-׌TG?{Nw4 x4KqȀtC+_<,P=œӶ*Up? ~^foqa?I~6T1\(, 28a~KqD=Yԗ qn2?'0~? .1r2_QU0c=ޞD/w@6 n2EPb տ]HT6)z4 xΐESe4d6ƀ=a;i=w`j sZ V|YشxEm/ԙeJo ^a?#pTf!ddu;p##7\$ORm!$c4w.)Xkq Kdi4AbtI $FtA|1J < B'))i!aqv{?.I㌂wx 5U9/,#n0g7;s4-UQdL͸i0v8 *5.ڊh?b;=XiJc2-<1aQjevPPý#iE>X&n)Ys͹$^0j]ݜ-،MZGvO6M?uA4ip`Z5/c"+]2\{ʑ~@$x"&s6Ð=i|LĽ&Aa.L@2M䪁 XT2l ԡ^痄4 Lfk.i}&'f$e_R5JH E:WC"RMxʒj ?uUwz"Q>uT򐢍7"TܸIbdMMԿ/XgN|=yJ2 =Eo%xd} }=>iF}+"6+ScOT]‰/Af)RJ_ \qj}gKa8Y➩f*_Cs=0ABGxA*Kn沵%-:BO(.K5|ݹcgT%JTL]Y7 4.KnE:y(6 Ȣ39uh6=ɍGTjPLLFꄘ|4rPpqml16s.~/{s=G~q5'p1xGg;nvژ{tpEV>mnia\.\^ppkq0S5V}0b?_"Ns_ 3fxHV疆toE,x^oIG1ɀcC~?CgqZ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24974 16212 0 R >> >> /Type /Page >> endobj 16209 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16210 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16211 0 obj << /Length 19 >> stream q /Iabc24974 Do Q endstream endobj 16212 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24975 20830 0 R /Gabc24976 20835 0 R >> /Font << /Fabc24977 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ^ endstream endobj 16213 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1481) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16214 0 obj << /Filter /FlateDecode /Length 5155 >> stream x<َ$|^1@Wմi5>~jl= H/gUcX^ ROd0wDfryыNx,_ڧvn E~zNٸe9Y?2EG]I/=c/I#R2gP2i2N)嗿Ҕ?k .I%g?-Z j8eNЗd>ۄ1f QJX-z0ы2g, V:Zz|0 vpMjHxED5A )]H v @AY# ] z)Iu@/׺*RKIR;Eb-F_ G.`0Eu$C n Y`8 bRνCii'cAhFb AI&Q&OmV =ss@ KH 3^t?-?,?7&8Ϯ9:c+T^{)`I,K:~Eάe|.!: Ud(uCD l8. x5B J74u|L$ʒ`E Pd (JD>p0֒@W)JzDB:d{ uJ(7Ig4 8u2tԝ%"ʁM:O=$q9KHB}âCo T0x)3 uqAtR BtKnȋ̊bF(Aj VCGK 2[) ^'(QV,hDž,Z03.dnk;Xwز?~3Pnaщh-,AJ@aap?5J+= c{jW+(SƮme/?p#f/_.zR8 ?-Iit~Z|#e6p]/e֧%տ(e =-(Q!~.T1֥a 83}_J#HWz)Whė~! >V5z趁!`%y kSm3nFbH*rѨt(@ I=#8\Fmc] 5x/^e^JXňH?-BGN8@ATn*RN'mO h};`?@2I%T( ^#;T/\ATPaŨÍ=A"&b3]v ] 0bcߪKυB':Elŕ=D^bEIyD@:e00RWE;g]Σ6E#sFMv>}0T hsujDgI ZU:qW<۠ 32AlVnp VA)w@RY[<'Η|3{uG4߬)u41fě(A,,\,}h)Ti *lJXkjzL#,;X(H!4=J(QӁD>zHlJ*mrE'!&Iss/XVk Vytƀ=H|lhҩڃH,:BrW-+&j'XC;< >FA-1Vl~b '#Rsi5ŷ^ E7ʅ/|dW~/.G!}6C 6c]Kّ1q3u1.&aTisˆ9bnpDjR \hSZTeKُVv!s{X0M:$՗N)x2VSݬH_:yKu|xU,=a ه|rj|&+sɘ_ց xdϤgfvϻCȴf~L1mpXt@1Vfu*yGeݜ ȤJk]4#1 ;raAfJSeԜ'0X,1&,<>c)z$'J [ʈM3`{nVԃG*` q(f:03bet/[!2rLPbsͳѶl~@Ɣ};=kի^+pMͅ:”#ppcrz9k=^$UvyG~%1dPbl+25!ŭv‹Wnq&ɿlJ{^JUxƘ(>}xsv볕뇌:3[;Omj&z9:|!9 ~Ͱ~74Ho^Es[kâvз-;Yf&{}A Z@~hʁRn4&R'`_6I|r^xqHA\}s{37q,Mޥcsu ߦTe6;z4(>5<߿ ZfRuIbY*/ rvh_++s}׽w~,o>fO&dBs&a|Vin꺶Z͐z5Xu>!_50{q:bۄWKEA؋5N)%8 #lݤIAѢ]=j435 ::ab<5X,&w}{|׵nTgD'Ad$$k~e#or{Fo1#)jX?d>!fv?x72 W•yp?~rP-exLI0Tvl 7 K[<|\uXޖq|S3PZ 5Kx[{W<GHӧ ϑ'A?H'Ia,<.CMx4*Y8*6@O Oc;b^rOIT1CxԙXޖ( ƇAJ瀏!茈TA e0)[5$c./wc>ko@Gwqm>LpKt[J3a2.ƧiDC!s/ CmJaS Ba80at Lηy{xZv#.6(]{6 JQx>] X}wxe&[cxZ] ܓ5{:-~{ܭз|ܥ[>-UY+!KMWq}̄EG4/F΋1kͶ)D(S9?lMVLE4^)S<,xn5[edv`hoA}ýߨb#anJYc c@S jxʂOS< mIM?..XALt,{ʔn`l: N (c uj>spx:_p<+h$c\L!:Ь 9!&tj%!1 Vk2\WoÛ7A2a9}z›%g@D,ݞx(.DLG\c1IM.raX*՞i%}*h.G'ҹ\IXX֣/XY<|G26Bp$ֵ0y{LKj?') endstream endobj 16215 0 obj << /Annots [16216 0 R 16220 0 R] /BleedBox [0 0 612 792] /Contents [16221 0 R 16217 0 R 16218 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24993 16219 0 R >> >> /Type /Page >> endobj 16216 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16217 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16218 0 obj << /Length 19 >> stream q /Iabc24993 Do Q endstream endobj 16219 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24994 20830 0 R /Gabc24995 20835 0 R >> /Font << /Fabc24996 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7&o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛeZ endstream endobj 16220 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1482) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16221 0 obj << /Filter /FlateDecode /Length 4861 >> stream x\K$ W9@W~7' 4K~>R" uoTEIQ.?/jsGHzy:8DEU7u7|5~,JN뷳T>J,eOW)__|xJ%=tSG$/˟hzz̓{XF`?=˟?߾ͺUiR|XP/YEJT etڰzEPjxx$&^%yB+t\&::hEʤϕ0zʏ (L(3#w <-Hy,іIGZѤuNڮ/GLIgSS<.LE-",JH)mқTDeH7*eV.N2'ʺu>cţ2ߏ**ǁ?6][,9 KE-5/52"ݤ7YQnMLӸ֏5в@oȃueA7$L)tЬGQLlV8iǹ/:[Uբb vqk0v0I[d9 &-*Dz\>,:2?0Ȧ(捃s8>!4ߓ .UfI/ϥ,$)fœ43b_rhH\4,Я q!~#, E dG?'~id7T}n0dJ}*؀ί*x6k\Xt[g̕$m_7? 2PPt5e ٸs {5%M"'"/cpe7&#J$Uȵ, KB.\-*uDI?$ 0Ž-6A17*j@3^*S\a2xU exbY Z4P@b@{I0J0*pVa6k k1 UQJbS43`@?`* rS23ağRD{Gj1([ @5_MRJ @`O,7`詍3ĚJ#=5?h%1&, $:UFÃ~KTY!%Ȭc_Z:nˈBu1/\~ jW-:IhPyGJOB T0TQEW'R$BABli?qAp$ r$耛\W@]+F )Qң;%W$Ua,q)رՉi gAڂ Q'sOҿLa9a)?yy$5ta/R^H$nU$ؗSyI5a%"M TJ׶a%sQ{Q٧0dHb"1,N2+w&V =Ćd ă4YJ` )4FFS1`eOW8MFZ-Q%B8h ^H}aҪ"g$E5@m ޡCΛ f^= (1wPWegܖ[xmTg @xe*AR2)h\8 \(D[T(s ĸ2q!9>Ao?}&y|`FQ@2@'ho w;6i+,pHL #C!tLܺ'MeY+֛T1PNPEYV+ _oT2w&¾叆-wBoKPP܏=#m`a^BcF惓<-鵴%x˱zosWˇ[rqʉ|%TN8\FK/WSPb _:ҳQ~v!$sYє [TK`op7D8[&:fmzGr@\huhor^Ghaod\Zn; Ⱥ<" z<Ǭ{òh8HHyoO֪,S; ^ƽIrg~GWVw-@eYe繍>Ƹm^:8R4J3oPlsFQ|'mǚb?a:Xg]e3m7_ O&`[9_LOob;nS}szv|m02ŃxZ]WNٜͺH[ cv̇z܋"ȇ2|dϧDaYMNf0ie9HZ*2\V$RumD8kvϡ"zEMɞ,vvEu'\G뵣?|V'CIQҹdt]hOhw/*$ժ]L7MflfAdTl amWѮ5'CsnHf6ɿW 4^X՞ Oȭ#]IU7G|^)GWMHK]Idz(o^v3PA,Qm1vӒtѧt.* ʻM+_.f}E' n>%.[Nrf̳#yKW#׍D ?ɷ୩g;1LdHEFXezvٰc4s3^{hQQP!l}>й4n|ظt0˸򰜂KU mʭxW|%zcSA7ы nÏҕYhQO٩c"{/q,7<WT[*sKEۂ&Ȯ)==>乖˕0}W-rQ}-ۂk t_a|5`uj>d@rj6ȝi^Vw.q˪@TYo&1lư=-EIFJYn 0H1Aǒ5JGxۀ >Dt ;BLV;}~^$`siա1\f`|»w.Kʠw->/.k^Vo?[=tw|=T0réOu뛅ǑKo'_sf{t]ǁ3|&rwEtO$m\&.=;s@Lt*gSR Q͜isϹBQ_drAP~r:r0cG8MESҨsASZΪ8+a[^]Apʅ} |2$9ѵ ȿ VЂA;:X*Z \oZ\\1_n|>!//@>*3iMaA*0{N BEEâ~{gC fKac } ߧdnl29kJme:6Ra3@NpJF>/(c(&JЦPҖgDii[8U::PbԈcP8R$%d\1vn. @ rAA·| |^V5VHF ,H\Tf^srutvI@ WlBcG&my"xW&y]0ؾ[  y\8O1lxikTа37NFR\ôT*#s)տH׺н.>Ey>9χ(% KYQjjGK^Ժ։1k6.L(]Y7LETtE+|dn].71S"f`h`]pC]}(}.%:VI %F-H SwD-t[EUN&+^.`m,wd?JK0_eb"qðtڗ6p^3YŸU<+8܏+sٯp%2[t#Йbs|0G [>>z~_(:O< M8O7@xT$_V|QY/ж +7̯ۘ$lu*RaGMfc+_F{iXv><{)w*D6 2~#<3HܹR^A< 7N endstream endobj 16222 0 obj << /Annots [16223 0 R 16227 0 R] /BleedBox [0 0 612 792] /Contents [16228 0 R 16224 0 R 16225 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25012 16226 0 R >> >> /Type /Page >> endobj 16223 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16224 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16225 0 obj << /Length 19 >> stream q /Iabc25012 Do Q endstream endobj 16226 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25013 20830 0 R /Gabc25014 20835 0 R >> /Font << /Fabc25015 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0[R,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVl?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/} endstream endobj 16227 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1483) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16228 0 obj << /Filter /FlateDecode /Length 5729 >> stream x<ˎ$9nw}E dXhۀ ʞ], \&)Jb("_ݽ띙L)BŗH,=G*v>d]/ocn1z :,_YE^jHT/7ɵt\CH'_g ~~Zo5YOo-,X'e4|I0"u)0wExO._~3FoxbS"AN^K VO!7L^Mz Sd*H 3?5X݅qsH3b@A&  q@sGN7Z WÆ{ ~wkgؖD*w Y9X@D"}2~ %E^5LÄ@PN ekwyp2HByL6 z .՛ av~xMTri>jkgfH0~Gnr2P#*w?iPNh)֭)& u1e:mgֱ #y99`RfKaM.*踊Ֆ`貑++f F,,l0UZ WbϓT= jyAzDne[0VS gF@g`%li .IʢS)CPY.q#]$m-*\vU ^8$m# :% >ɚMDxPҒ*Ϩ3d9A]#mF] Y:؇T݋n9hxT=7ЊP=$'gkv{RLMym&H- s}a}(͕93,Fc c6"yh"Du&yM% !Om `-uq <|8q6H/ 'V22 i֫;PJ,~")YhZ{0i6nvsX@ǦnPtM*%Xl"cB^3;嫛asnIQx)2!p[ ݨ4mdQ~,0 t%):nZJɝR΃IuF!8{PŷILXclfGd V& f 6g~}صꄆ @JQ]*g;G';D-jFU@ ta4@+q@URg"c,xRvMasj:ic\^-{|&1-"ۅF& s)dp1gP}R6$7ڲG&nİc=YlXŒ8UClOXvX 1fC&NJB٨`)y0]3)ah*bq;0´$y&v'L8_3<4&5qhޡ`-VJw=̆oO.51o36e;CY+ hv5-SZ'N: !^3uFh oYz_쾫9e(7]snDG1!dVy̔'WѡY,=M1= ˹ylW,וgVYnvn6&47Y$C(_wT=c4Dd/W Pt5킖dWXc^ 3դӷ)l{Rx*lNa<~|,%%C81Q>(5kUhM}L 5x4&6M@;&5S"`n%|}]b!H*R 5.3a+oHc:>tq)S^ b6N})`1IC^]8ZF@פwaXB0EwxPT$ RF=Lun$"q:Q<M74VR^L2Mx?Vm170>R 3H(&xx/i]p :UԿq6 52*%T-Gւ{ʚ.pYsⴄlsfA*bwCJ[_I{~ʫ*KZ-*pv(JB >0Ρ&ՅBCD{jhŵA@2 :-ΫNal", )U<X$&EWoqtBb5OL*z7Pl0 qhB)XRBtK wDQEr& Dkuj=_[(R`@-%,?H+nmcIr"lLwiiQt5_\|Bcz7kFՀ%H |7:OQb=4_:+[AՂyқzIpSճ\' jQ/ bg;5쵶Hy^tz޿Z%)ۜ2z:PΥ)[Ҹϖk##[Z=iRzzJ*(k{3QxD#-fpLCK$`Gw(d?ݓ8rd^fArҤ\Vk-g\CZJYa_{IKk"Wcr#@h%:ƕ.+S ̑僭DsC;x[mkƶbtSJH%SpPQ6T%`}+J7tP[,Jg.9LȜ#di3tҁk:'ܡ^x*ZI&Gc!!7f ccR#kӫdo@3( ZMׂ͟ : ^26'؂m'>DBecX[XE+[NM$`O"y- x<0lL@<dXrYQ֎dCR0OON} Dr-mzvds[G',2od88=eq%[mVL՛ GtqG*Fr|j۸bŭЭx&êZHUbOYJʇF[Z,}Axph&sͿ9FeOT+Cа~;ׁpo+|ވki}sf|q NYe qg'mnb%k >Ԭ\3BO|q3L5oFWOZӀWigC]?6IŽ8]2tsN\C{ ؂#o`Ld͓rj_ҭ"uE焨,cG rl9r;-o=@MJTR+l Ui%9|ܻٺvY* E!2?52W\wpFŘVkCE^;8㰖gV%nfBMUƥgAPǵ:.apSFT!6*Ȏr{m&-1a]ެ܉Y6Mw xl%sdx}x$*nƭ"oh.@Ӊ+ۡHc;8iBqiWDĩ5YV&擊JHݗXvo [Qr%#'FCwœ6.QT3x\^v}js.aN vBݭ\ XsܪcI˲d<" ?zXsMޜאΝe'\ gPosfcI?&E A߾LTdem<(L }T8TV6t9g)p*oT`_NԕjGrj}GN ,DZO8 ϩFѨSƉ3 \ihx.+>&kF[uY,;F%DD>+hH)t2C-ܶv K׉(n1FyhZ;&h zOeeh>xK M,ؒ(^_;xqĸRd2W'lw0Őxz|D*Kh*(])I*,XJ-|vN,uF!ԳAt}=V"տb2<|ׅ=`*M?gwyo,­8ߣwFe0nv& 0P)u#a]9 c_o}7>_/w[l].+v 6@ -<*/ᕉؘ`> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25031 16233 0 R >> >> /Type /Page >> endobj 16230 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16231 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16232 0 obj << /Length 19 >> stream q /Iabc25031 Do Q endstream endobj 16233 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25032 20830 0 R /Gabc25033 20835 0 R >> /Font << /Fabc25034 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶA endstream endobj 16234 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1484) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16235 0 obj << /Filter /FlateDecode /Length 5882 >> stream x<Ɏ,qw~E } tuu  X]{fUW75WIf GowŸ}uznKo5۷_{[RvJzoߟI7Ui/Q: Y|;jKJ¾'(}~CW "4 O*7.4֭ 28 |h0{ul؜ROay*h73li9ʒ5U#SyDgK9.:#S L7ס,ƽbZ=LNR!tX=})`3]O_0pςVda*:sСɼ| r$/׈ï͗{o/okJYp.姲 tz&*ڰ,u6V͏E=S\[Rःٸe9m:`Qj= 8_~w@%oI#R2g{|̧dPx vi=$evh-Z Cq9eN3AiB  $bo0V|$,3v+u,j|0uePI 8K' ;'}n!S@;hdNK ,}eu0hh/N}2ؕ5Pc自S!2U *1)8͂pf=/ߪPa6QU$i9{H&ܚևs0 V(k#-5:a( E׫Gw 0ee)E#U 5CVl` Ij\1ئ0:}i߰ ^gWiyk 4ş/r01@Lec5Z@~"E܅]G`d L8"-  [hI,@c&q_H, &hp(&d JD >p"%DJLMP&. TP8krJo<8,kl#@P9T$A8¸ 7.ᤩ 6 ۠N- yCn!-(EfBB< ;9՚O| Tx䔀C0RuE(.j+,ǁ,r>$eL/q,;?zI1#DwxB+!@ai@nZ ,lz>QZ~?-2e/JUjr<)%w ~th'6ְ':tθ->͊Ui%"r:X~F$"ڷ^*p-sHhJ.Zי: (Zr }4;KR9{gm yy@ _G?|B];d^KJd/e͝up} 嵬{% HYMdh-$F<˛-YPoq @Mb('@+Ɩƫ(0ZC@ޞix+ &Ll+!""]0lUdlvz~Ia ױ;QuJ5 >C m:7n`uU[=;SLl&V({ MeܳHPPݥG(#K-hzuJWXI4'bcJ@֡ CO2;/ðɡdR&&%#̲` B_òᅔ!ѥ ׹o $u*|繡{r߾{.?ؕ^䔸- *8A hRK D, GyA~v Av6M[P U>TBDBX |#P'Wƞgx|i!.VT]KFKXXrfLK;F&wךtXc^@ ͻ8ӱ|dSMJ G&_0G5$"Z]̚"sAtR}'ienu&%\/He.}geGvQӾvH,Nuu`Th :CZZ Kږ2XgD/Alq*Oe6)P܏>$/}e=@1DF2FR/%r.QQA!S_ahbbT&5B0I\]ADqwq[*}iQ2/D+V*1S3O 6HLW,渂=ì#/hݙF(  ioN֡ + A/  I>ԶK@5= kJnn2Nľ['1aՠN@i‚3x1I֧D9 TGGq&܁3qWAT'D !xIM9&)xa fJBEL}h yll U>2(ܶy.߱]eڼx.< =`p@B+XQF>#UF"hC{3:} Qv{?n>Gdkybo@+niӉE$ <@gި܀fG%t]a.&>3OԷ:b0UȁBQ\ K敜;ShR2HȠ VrD#0lŧYxR47Ґ\i:_$4;뚧 ѩS77|fWN.52p#c lYMz?TM;(Lw/HeLPu7*s<Ž '95S@|K/]yi*CH|v}0=nP,*RmEAL^Qd?i^zYp5Oյ`"©nˆ!08TZj:Sˑh*ңA^ѽ0#aш3e ;PW,ajMdvq fZ*ʲs9ǻ0J_*mO-A* UIH _H: )ilj'TۤTb8T#7{Kfj85ozGRC:;si<;0df%AP'N2(9tQrd:ei|b7te05KR*ۤP6q++lLbxH`8vlx_VYz$8kJ~~'7mJ}]x ?6`a`l\\fr݃FO'*B CuoIIbH8Ʉz2IJ @m!=pO4o~4)*5N4/iw&fgl5J>_(0G7/M~3KxaN' ; b`5|ң.3&cLC5y(S(KR`0{U61皧lN;bLȰvn wr"^B"K+(|JԨhe.{:to. c.2:"L0;5QDkS꿲݁fMɬrp3]3'c!ϖ9)f\ 2GzͪVL -{@V[ eAzښJ̞RQs-,]IY"nKGeA!K #ek'<&.D"(5KCJ#٠HH"#M׋Y(a{0?v<3!OyYh:ak+g3l}ugHnuTF!<ڌmW,&"j~\\'P}Cs ]|C?}AC^hVS?]3^C1}MCGs}١ʅX5n7Y =ڔ.k/@2 "_C~hIT̶~Ev[XWhw (>zLcmC㞤'1rhiςKxpD1]sOi ijфɿ7n9bgC#4HC(m) U-u'zl(;HO^.ƒ!ю< : ECQG;H]M`fomަؠĠ%.%q1@r֭_gQ$]q,\s8"8XAr nf'bL8+i0Ϋ\ W7IFƀ)aU6ĘBx+T޻؍Oǖm)'ԘV=YZJ74&<0fso8E ]63,ٌaUcy+ss4(isZd=swB,;=bx(U7s.d"F2Wv[V|M}0'𱤹p+T_?VQ+GiCϣgѬW2e5(ʁ&З9`\ UKstƀT5nُK{n9~Pwۉ~.3vR߸Bq\%~)Jxɂ:vm%U&8l }<};y%c^ cDQ `*~(5}nQrsQgqLk# ]R5/^޹Ycun' Wj]\u$վ*}:ON 38eȡ+"-r+I7Yi<ʯ-^B}rkSP7FEk m-HFǖ^*/Q[&kP֊:9ʝ<Թ΁(Si3^qrn3\G#JS&KRZ#KFr瞸X!~. .jnjoģ7Wx. Y`K|]D>]Sӥ%z5Z:~Ui@"`,HK[%ItJQ;WuP"_}ɅSyxOGkxP \7|M򝎄g)D0*A+(ߚx/|2Lnqt.%,^ *YOl֑ HkjmOeq.e/z=s endstream endobj 16236 0 obj << /Annots 16238 0 R /BleedBox [0 0 612 792] /Contents [16252 0 R 16248 0 R 16249 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25050 16250 0 R >> >> /Type /Page >> endobj 16237 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16238 0 obj [16237 0 R 16239 0 R 16240 0 R 16241 0 R 16242 0 R 16243 0 R 16244 0 R 16245 0 R 16246 0 R 16247 0 R 16251 0 R] endobj 16239 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20211013063105-08'00') /Rect [104.1732 202.7501 184.1267 213.7501] /Subtype /Link /Type /Annot >> endobj 16240 0 obj << /A << /D (unique_493) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20211013063105-08'00') /Rect [104.1732 186.55 186.4147 197.55] /Subtype /Link /Type /Annot >> endobj 16241 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20211013063105-08'00') /Rect [104.1732 170.3501 158.9697 181.3501] /Subtype /Link /Type /Annot >> endobj 16242 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 154.1502 165.6412 165.1502] /Subtype /Link /Type /Annot >> endobj 16243 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 137.9502 218.6612 148.9502] /Subtype /Link /Type /Annot >> endobj 16244 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 121.7502 166.3232 132.7502] /Subtype /Link /Type /Annot >> endobj 16245 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20211013063105-08'00') /Rect [104.1732 105.5503 186.4697 116.5503] /Subtype /Link /Type /Annot >> endobj 16246 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 89.3503 172.9177 100.3503] /Subtype /Link /Type /Annot >> endobj 16247 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 73.1504 178.5717 84.1504] /Subtype /Link /Type /Annot >> endobj 16248 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16249 0 obj << /Length 19 >> stream q /Iabc25050 Do Q endstream endobj 16250 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25051 20830 0 R /Gabc25052 20835 0 R >> /Font << /Fabc25053 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 16251 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1485) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16252 0 obj << /Filter /FlateDecode /Length 3938 >> stream xn#_gԾ @@ANA zbCUwիoU~l/_LI#EmzNo6,JY+?}=+RFWͳJ'T iz7@0g?ΰ(pyibyLv^=F}y?" gR>7sS.|ovq N7WhMЀ |4@¿Z$W~NdL -QZk/c(˳{6 h~&" g慑[4̻udT@h /=b U@8e#;8j,<'$43aS*D!̚%Fnt7i2JnOs<3bkҋn* \ێV|vt+R^p(,N([UFG$0?nLE}#@59`eKrGXqob|*洲ԤL)؄Z( @yd\T|G9-ѧtr.>ἠf”M]ڹ8iS]sMT ("i[J@ ׋+YPDua)heD::IĨ'S'#ù W`| ~TVcJ7T1\L)6O֋vvYڰOE'>בRّ}֚I'aTvTiɾ@W6SV/ o)I K쪯yqC΁)܄:҉!E ìfܚIq:ȳ rTfj`juBcCrb3͛sKҝut@Jw8,^XҘ?.Xj0-Rf+RPLzε As1[LaS_C eZ V3ZMTφ_ $С%.0{1qDub}|.mOzP qK8AܰPNd SʾY2 87Q U*8J+w܆]: V{9|N]J;F56d=aXE^ +n! fnWriA.掕PEowsLK.*Ӝi/fHWU&1 )Vߘ &qI3[z=l ŽWPuU>bNnGOJM^]JvU ̩ D2S+OʀIMQqRi%{6-npLǨ~N(%BV<};>JZ"lS>]4;QM!m CU}J]z%,$9.Vǚp75^M`ST 4F |NMo凱]wHCܫsN5*K "y\I%}PMcSX<27d`8Q{;bāA겛:M?Cg>eO}xU{~1.~0iZH[kRU{3JvABXKt>St2cp7b/Ip9:n n+ߥ4{.:OBFi[ IS{p)lrvg2~Nl[_n(&[Id]ƃUGF1q-a݉@-bɘ%RSXJj0i;Q 8'6͑qCu8luWFNU-CUߣ?;L=ncY bȝ6M j]r[n UX:9 $ i`_FfhVcr`JGOS+U Y\LjjWm\,byy~מ\l~myο4Ս5h 4[+YuXgx ѡ8䱜@ުSȀozb Uu xa|A`6Hhsi!RRDS$TBpmyV46_ Ww~w?𑛬',엱=rd/&>p,JV@&\;׼O6CH"j8.?<:bRG&AoO"xߝ쇝j9PQMM>_Hih'ͥ{5cX~/T;8Cuݺ٥z!뺱'4zm㾀Dp9mTP5ދ*Zuk*wBןw8Z'9rlG6g4Pd,fV.r/ DfeE<9E.f<"|;1 5D0+wRp\UVi`qJ`ةX KWXg;Iz9KT.l({7=WO3pt[U*klB >ͣW\[Ī` %{|T<K+k/*v M$3x:r [b僘x]iD ӎ;dpkTb~q(amļ#{$K1:gJwm#^akA*6M=Ƌ>67gn5;ǨOgS4Ǣ1CKaR{2' ;%~cjv|w~4D?c2ן@b7f A:⃖@O'N6Ġj,d<ƎB¯bBį['<,}]ڀ]ab`+@{T`1pS1c߁|tu*lG ܓ{ړF%`*4'5BҒQO]dOSQSSkJd8cOꊰrfMNT/-I h*+Ti^H*0tidE @Vtx,.x7xsod6Y<8ɫdT ^m1B~G2:uTFl.4BUW=*=3_6v/cFX7sY?6mf~0CU֭'F|?q4d&R4ALL0y^&轨lHnWNLmPU|ݻЄTlR2)SqYINni9]b~֩'bK+k:R޴{a}H 7]+yHV>P)H=cګT?;y'hUJ>\Ru& e<`5=952~g/+|^+w}O~O i !*^\ӏ+'pTAab23}V]s~>3 ,w OVf#ù!8cQ =NL=dmlM Ч3ċu.ʅhVLmg;_A鑍Sk? ÑY7˶L`AC/g endstream endobj 16253 0 obj << /Annots 16255 0 R /BleedBox [0 0 612 792] /Contents [16261 0 R 16257 0 R 16258 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25069 16259 0 R >> >> /Type /Page >> endobj 16254 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16255 0 obj [16254 0 R 16256 0 R 16260 0 R] endobj 16256 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 177.7027 709.9] /Subtype /Link /Type /Annot >> endobj 16257 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16258 0 obj << /Length 19 >> stream q /Iabc25069 Do Q endstream endobj 16259 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25070 20830 0 R /Gabc25071 20835 0 R >> /Font << /Fabc25072 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n` ӡ~1OEʚ 6\H&7]N8jer1""( FnpJs¯"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]h߄}j]֠zfQJxpfE3Rӻ6{ik_KiRGcb>tD'W9F&J[㫤̵R̃sV7#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/} T endstream endobj 16260 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1486) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16261 0 obj << /Filter /FlateDecode /Length 1162 >> stream xڭWIk,7W9z30琛a Rqa葪TjUDCm_<'wssn:ٖ3\^O;0qS雒eFwZpag"͏\9y$wА.g|N;q"7 I,\ qd8H0|f֐'ۼAfؔusl.^l()QY7aVm%dXLCquh+$ 7j W6'g/t: X2$m:ۂ؝WPSG?[>o7/RnkZO̝1S!zi0;HO#9X\ ],6刴`VR:pr6 x^Aŝ(qŸfQv w# "^3?6T]hHAD{O4l¦ٖ3Xw5yv?cqD= 6e-1LYmwC#DiZv500Goy}Su r8H/x;|QaghQ?!9O_'3Ғ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25088 16266 0 R >> >> /Type /Page >> endobj 16263 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16264 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16265 0 obj << /Length 19 >> stream q /Iabc25088 Do Q endstream endobj 16266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25089 20830 0 R /Gabc25090 20835 0 R >> /Font << /Fabc25091 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7 ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16268 0 obj << /Filter /FlateDecode /Length 5389 >> stream x]K8W<@x  Ȍh`n=Ss"sK%d9BGbdH~Ec'9|bfU;{6)oK'm'5|{sc'HȨt$ӑ"se:H٦k5BO_o쇓 $pDῠ/2)>r%R,V @3𫗱D)cee2NDAKp9$zٟ/62@ۿey _`/_oIW|Tr ́е6\7?eR.=Y3pRZFkR(WjϮc/qFS`\Ӏ?=Wt`DT`y)$ !G=HPڿ2OTpz>+U sq ~9_(?s9[Z! J'G 4 $V#G!R 4Z5꤮X Cuu!oC}°V%XŔZFi@ cdrI᱓7f瘜#ͨ-$_C`&5(c/[lo;v_M-E-( :B\ϣ:AfQ8 rTK7|m=;rz2wYHC`n=۬~w#4w•kQThwG%4duZN&(u#Th y0Q(98ﳈ(yVHg M  ɫ;r <̨~^HģJynB"A!j)#΢ viS׼efF ȼs/\$S^2 pŢGp;}@?z Q8wr $7"^;${}=W3?}d',X2#tOZKU;G:4G:]aŏ6΅鶔;sd|T01g4Oz0wDٚh5FhG}w_&}vL4ރ~Ǡ9$k14+z1 09*0ٚ9gPU~YXJX.n=ego4eiU#`V25 tWkUy8ViJI=FլTk3ᲖA.0e^̢)՗LJnlK:eؼQ͵i2Q/-ʨjJUL*Ti^)ՔL/TA U7o+yԕ [|ZjCߨpb]6tp`l}n0`m}:l 0`CX)36P*މXDQq9c{oi|{iVD3oM'$z%7BU F'vLw]@Bq*N|5/-,s!D̠8mcb ?"YX8,78[twI{4LyNxs ж5Kvx jڨp8=="ж _Cm샽Do~ev<^H9č9y͎23BOdVWmb̑g A$4hTƦhhpS\s/^ wN-zHmݽ1|1kUwu|tFhd>B_}Fp77Uw`ܝXqIU{mm:&6S9PYb6؀L,:MtŮtʎh8oK;}$Ծvgp:з+`p'E~;8yR9Afltm]rfwXGg5s6,`};&ǹkw^ 72FbB6mRh{+"IQ7PlMt);=,00$E44$Kh#䨜SV!ž9, h~!V֭%\狆3-iY8tON$x=ggSIvi0M63b{cHwz=eD/En{0/#{i9 `σYl݊]`]r`H8<S1MBzg9>,mTɁ.n`d>-P̕*;4#6t7G'&9W4;aF@bPu-FA]A+&_v)z C`,Zc|cɉ# WprpƛI@JBms</SSXU U9-XhaiX,"S8Y( Ğ Ll iYH-j7ĕ2 XIk8逞m'8NN@ @ mj8(pP @U5QvP(F@OwAݜvBݜ2G)vu:)֞@mQwC]6G )9GkQ]Dlc1=b=qLθS71}=bۘbۘbo-4#SS5S Pcb *vx1;jݿjݿێg/'X,2E{3H=S)Y Z 5LE**tLi:*P2L{ptRӴhv L3AʉIYA)CqUIjyI3KRR*5g3O9OZDF7t  PoGbOw(Q 7?/Ak"Q5U*5U *5UTؚ:ڑ8 *vhMMM~US "QQ7QA3 'w82\CC%FH5P)*,3U; dZ"=NTbO< i"[9bv%^VT}DU&Ѫ*U=Dg3Q#QD5Uo➔:{Кj+QqP3{D 5f5B5v_ Dgbǚ{̟Dgb/_(^E|'*v/i~)*4HZdrsNx?ˊ|Ϟ\ OmOxvi};}O/i#|K_~. ~$Y\n?M2ig¼qZck(2$#Ir[%@!Y~|g.dk~Vmg_d&;}32oVɴ5"*`tںC>v"|8l"[)DOqHg$N^*XdwA'ǞnqWȹT.O/a:ebf//Nt( endstream endobj 16269 0 obj << /Annots 16271 0 R /BleedBox [0 0 612 792] /Contents [16278 0 R 16274 0 R 16275 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25107 16276 0 R >> >> /Type /Page >> endobj 16270 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16271 0 obj [16270 0 R 16272 0 R 16273 0 R 16277 0 R] endobj 16272 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 494.725 122.692 505.725] /Subtype /Link /Type /Annot >> endobj 16273 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 494.725 160.653 505.725] /Subtype /Link /Type /Annot >> endobj 16274 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16275 0 obj << /Length 19 >> stream q /Iabc25107 Do Q endstream endobj 16276 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25108 20830 0 R /Gabc25109 20835 0 R >> /Font << /Fabc25110 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n``ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶ff^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w endstream endobj 16277 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1488) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16278 0 obj << /Filter /FlateDecode /Length 6423 >> stream x]Ko$9Wyis0ؓg{ݗKR3"әk1]R((GR )c}Q^^/N-.QaU0>rYHU F5Mm0v{6qpRxMýʘViS)crV=6ZAM dS+'W׼ԋu$JR2U_Iבu7C(r(?&ߖ_vIpo JU'C]E QRZ+oX^ҧ>5zK:Tt07'9֞_ԣ8ɽμhDUnYTnkq?4G/wΞ|zke%6R[I~i 8e(YHGeI=P7TMY9ׇ"ytOWs.q'2ԩ.tl[;̍ =ZiO&KKkt:>nӻYB$S5@}kq2 7?uj=N:ڣ߸-^o 7)}͝uFY T$tTOu'||&튯ӮMz MeH;:5G׌]H!q]{y'~FJ^/~WPTsWꆢX?N1Vuv;{EmzS?1@7$+BbYrSy쬷$O2ΓgX]9'&+(a>QC~- @GT*} (?m'^4_ϼ|o+M&OK*-?."T.PDXF@%7DP%=%ߩ;XP4AIWʾ$ZC!ժ*sy5 c򨡎(#U(36.*PʨAC LgP535;QsXo\XrK1OQVi iJjpy6ҮV-&FQ%vc޵N E.fM)(,`ر2fXE ⭣r̀ƞdd%PmVzUJ]);=w4Y g?K]ƶo[$ TUy,}rR4bДvsEF5Kq%xCnDiLU"Wbj츧Y EjӣԽZ'3:{lȬwcv"䌂*U۾XQb.V;Nw+L&c kp˜e)@MdM6v1T5g֩{X 5pރ`\`4;XXd׺N /`\JyXTtmXZ#@WyeaIپ8=8NStYNz$Y(p#|I4|4E:8]}NbN_'k$n|r'kP,٭ pq!:Df8%;ItpS9PnU^{NXT>? +ZUN{wé#É̅fY N>5}oNpd^8]H>.I%{_ĜN; '~<'~'ԻoxwNHw>)qecW>) HK |1Hk |HQ\jkIDr܀Hvtz ؤ#> `]3n{R.`R$OL>"VdGOA( ~)0V )6@\9Ըd%A 3A|wE#mNCr/ &^ `]lMt2%ON7' ]&`ei;YɭFjR6|z${Oܘ E!Y,?vhZGLӖ)kW5gC1A<|=4 $СP1?Rg2m$8'<$K)iFp*]Ŕ7dH8>~QlʴZ0#s5=lSZKv̞p.2\33_Qk ۪5X'j @C%\.OJ_Hjי$eo T .fr{HM|W5zp I]Y0,UI-hJO=J!S2|1Pniu8HA)rzFA Xdnv. ~&wh%*&lDQ2QA`Nm! 6X1ߦFH 1a[3hs.@b̴,Ѵ hVH >p+!G["qV[ }} BYЁnr_P;B5wo{*=܎Y02,q_#R}*.⠉uv@Ѓ@N$l \#fT7$QE@B;wB(RBRD#!K O 6C hW²OȠk]C:بJǐ( 5lYV?1 aHx7 P7-7[!t)g qq6m! ;o<. !ʘ~9'I}Vw:\6  vJOŝyg?RSpx?'43MvJ)a+dL^7:PBFOj<(p9'{T'UL.PTl4OxP,݄)!0U!'Tsk@P+{ev5D&[)},ZE AJkwu:pA N|8>^NTɬHԹ k$6S%C`2+8w2d%aȳy1C9g+Z:A8eiDzAN_9ĠOFJ|]h6%ڷ+Vp;߮5Otu_.!}Sn{6jǺ2axOL- d"}]r W]%y[m)ԛg:,q&r=WKu:ctqjV$USy~y2"Ъղ 0~[P$0{yUq-nq9ٞJui%Ǖ &E%x\]"y{viFحqWF[O..&a*kD ~6ז&Op0^U*#}gcw3w8pWAdmC5)4LB6)zϹQťS=w>^},[->R al'4]: ֖+XDT@Bɲ(\q(Fے $*λ"X%׋3*RY1 .R#o:BtI׎)~]$oxhDoq1/Aj&9RL"r< F2jw/\ޢٯYqvB[wU~ͳOv ".>0)ѷ'>[` QⲼ˶v~y 鰩W(_=nϻcBc#Lv UUbbՖ~\^LMa@6"yܱ\J)ڶUbhEi~;={|8oμ. 5*Aآ%ǶLQĺ > A 1kb",vaU'VL2 q޴8}|#Ĥg78 bQ5L#*zܛ̧ n|ONGj56A ǟ=:t9u\ж;>kOIT&86b;j`ׄ[ hʅm Oe|7?\XH "t]JP~cs|_>|n%;Ugna}ؑCp&Lqo#[*H[/MB.2ZJq]l9nEwLǒĖ@ ۻ"% $vEz )$z0Ɠ<p7iF .֚#[|w+j: <w( FXƧu Sӫr-p!%3` u54may$Y/|~9J#'|ׁkʛ=-E*!9__!BFT3LJvؽz䘯W'#t@T]ٺ?u%}{Yڍ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25126 16283 0 R >> >> /Type /Page >> endobj 16280 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16281 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16282 0 obj << /Length 19 >> stream q /Iabc25126 Do Q endstream endobj 16283 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25127 20830 0 R /Gabc25128 20835 0 R >> /Font << /Fabc25129 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`%.ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%eC7 ufg[X̳Oۏ"bH&|%l)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ endstream endobj 16284 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1489) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16285 0 obj << /Filter /FlateDecode /Length 3983 >> stream xɎ+ίs1` `$ A0/RUZ%dX{I/?>ץ5KJпN˟zry Վ o._iގ"EjxP!9 N{';240X)|sX&~V*\R[yQP W< T@XǕ16=nΩwE疪 90ߘRm]ZB R)@/i-Q{X>.7d:&~=c6u P:mV a!`l pnXTXT򨴜]$n5 aپ%L+կ+.s/ҙBqrHd%HF4$.Qyq £ɑe'ي)SM!PAIoJ'5n[k:թ&2޴qeS[DOn+qHPkLbafbApAC?̺)Vh\Cs|ٖgz9_hRH.r8I2Dr*59]T6I+r!Aڒ [y*J29\-ZzNظuP_Vjbz*,| [&#3Z-z;hԩ2)@tTb6FJKzR;~Vl+AR*[ҽ, \[؞ ͘#[2Y,TvM_v)Tз.PsejHɃ`Q}"Kn"mymn6N8TĤyZ+]g.S2Phe9i<aDdeh|D-ĞQ+h@VVL+#)S0Ŵ4+3+x0rH aQ8q"FfZ(Fr٩>Ã}_|r9+ƀ'8w%oDѳyMsȥZ ^e^߭O*~y*lmqF*6/Bq ¥45R{,%ʔsijN% ǵc:W]-홀 ѬK*|2Zz.*b+eڲ{A1TJ>*8Asy i92ZWŕJ7뚫4xڊUћR!VO+ȽѼZ8n&.ֺKĮ]Ԡo2CE~ Mڻgz4l ]Ը*>lwaO Sbʸk sBh~^&`D_ ␩}*r "$.!-yFU:ӎ:mUE#ۀhRugveX"F/FMYwa/YlZ##8m.n;h{7mC}=RG[Rrxn~u&708~]P2 gc%w%c\Xw=\֗qw`;W2H0d}Aj}Ver {>zW=tu:umIp̔Sܞ+(_;^ImLG{7D,U!(y%ILӃaHtB؋H~PԂ'r#{wygkc鴛Ɉc4ض?9;TVwӎa{۪[Q8>i~FޟȆROmML;5q)Q\K6e,O ԋ }M#G.6MESҩIۙVz."UB"=\ZXYG?yhB70,?@#<7.(5LN)lYbN]+vRrq {\θ!Bθef\En]^I{pZuGGutuRkwv뜃r`rHwϺhJ/buot}(ŽoXۢѪ@F*WWؠ`з!fksD/%oRǯRgT\x?]>_!DɁ#fД4#ӱ.}s]v1gk̗1ȳȧ^Ýݤz.,#6LcR=P q~cIkq%島tؕ*7Al!A麃tc5*NЉ?BfZAঅ+O[7<g 8(eߍ"oL(`?ψww+C7b %kֿi[;pV)u2݄>Y[{VڒأD`$/(.2&^S/P<p@WB,DȱEKhp xKOR86ҔqȟBYP \ׄ!#Le/d4\[i[Jyfj|!J/!`=ei=xDvn6ܹKp?GRߔmhѭdXֵfYRJex-[U͢TnΦG[^1β$ ;6&ە,?3hƗ?5F\R*` 7>긼G8:ݻ;86_/$ _C%z-]bmUD*gaS=tǤ=>Z3=}3p+xqi͗QsΞgB9Pc5VG&aZ9 ^ި<]FȟXӍ{ >?H"ғh3S DTTrq8"*ki}LI蛩#kBgKx ̎"V.J3ջtƦ~?g) ʤ30b36I3A葌@S}Gb]u$5?4D endstream endobj 16286 0 obj << /Annots [16287 0 R 16291 0 R] /BleedBox [0 0 612 792] /Contents [16292 0 R 16288 0 R 16289 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25145 16290 0 R >> >> /Type /Page >> endobj 16287 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063041-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16288 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16289 0 obj << /Length 19 >> stream q /Iabc25145 Do Q endstream endobj 16290 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25146 20830 0 R /Gabc25147 20835 0 R >> /Font << /Fabc25148 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$J endstream endobj 16291 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1490) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16292 0 obj << /Filter /FlateDecode /Length 5479 >> stream x<Ɏ,9nw}E dX$ 4зS=A=IZ#"0wwv!NE-=WHz>8D]W(| 5~z[}?Ke?^o,oUYʷw$synX[M./K ҷN6Xxv2,.lomO_.~=jNД>`+RE"@4Fe<4˿qN-ネp~/;eфk^'neo"\6oJO?Ęrj5'B*5f"Tch[]9 -wK@XxQ<2YZ]4_4~ݗ W h PeW"N?5~'X@~P?|O<@8k&91HaXvbr+V䐘(r,@PϝcN0̅M8Ͳm6@|KpsE""Susf6&ģ2#c$`sdU&c+ aeYXTSK?5Lߍ) δ)'?WrU{7P¶(7/[6/b}m>Nƃ;`f8A~?K2!B ^4]rhV-oԋHFYsn\^ ^TtVV:? RX@Dke#V ~d#̳ͨ[oF& %[ DЅRe2a5R-}fEtnC%mI@e[d,;"u'sU )& ",+|1Yf;B{ޞg"]L TlЬ*!8Aod@".y؜[ ygƦQW|jO*Jڄt)(~vI#c6NyIj\賌:u) ,){577IQD4*L^mWoxdEd_䣅n\/mg\ڬDםՍeۘh\H*ELZΉ.A17Gkp"?D*@Zr(JldȠ*5РW"r1 #6ͨŷ V'wJ&S{H fW*{JQb;|Jml:Xbtܫ 3FG]k$\QWNX٩;o?ڒA@ Z6* $w# UW7i^HMUTwr;V!R@ J mٓjiЂ}/t\:~ӜTO5ʼnh#Jdgb@nv{Rg_&i !U@=+t灢sj}ed|8aɩM2eu3LL 4J m Qѻs=}L]}!HNÜkAx/|ZofK,rOJbdۉل')ܚPH0'z㓸.fȻ# d1L %|*-!Ҡ,M☦Ќ q4wlpCPc\g?eSQtf@d/Qі?D۲F"xzc}!83#9ыΊq4ћPL{yRz*Z3 MN}metzUp|yvuIE"~Z!Q3f,89YL&~spRFXVAN}(WkCڎn\tLr r162R@m>7ӪH ꎱ2mcN"=}zuå|KrYktF}1VPE/Tƃ}giBmR5| _SX/x+{-n5䊕*qGq;>xڧB{uEp#eoe}W1{Rsmbh9rE1!qQ̡lt@)[]+|GxzrB2H>m j=$NJqJcW JiL/9G@)Vp$\uBDurX3Ep@b_p*5&j8wa!j@NJƕ:<́,vU b( \ `;kkE׺VȩSaMxߡTu=ڬ `f11#ƀL1>u/,<'fU\j!t4 2Ŀ qZ86s:M/i-bey׀cApV`Ąﺘ6Hz@~+84 I#kRYY%MJ@ >0Ơ$DC! !&`w??!q9dq0ory]qR`B2t}v,IY,$8*=8.ۿuB*}ݢ]/"Sas‹:#bN("eAB>wB(&N |*?EQSVk냰(S2OU߰xb17#:ɬH0 θBc| hӀeYJ^1}bSJtV߿)*u۵5K}wnKwn=jt6/sxNq\LZIɵʋ\͠zL*j9pVT?NgwMil{>傰=0Ik_iV4cpT|8eVX䝵Q\S[ϒ@3UspO'<;Iz]*V< eJ~sxO?+Z>a; >ՌM߭W+7.elVGp#P/|%r+c-ەE<"P~w8XnC1h9Kc7(~aFJ̇}lc:Lj Stu?OHIk\ nnk=%BJC[p7ѭu[:]AVu)(Y׷LhMQky+ %%'v Uƛ#9ѶYMH*4l{ z=r>{>_D>tx!7{UH0Z ^]oHZVQB׸E O_Ns<ԏ׽܆D@d: 3pj;zf+Ox.z hȻd,lyWZ)W<6Ëx FM[xeeW~G4)3ɝሬ <Ԉm#sJd0 endstream endobj 16293 0 obj << /Annots [16294 0 R 16298 0 R] /BleedBox [0 0 612 792] /Contents [16299 0 R 16295 0 R 16296 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25164 16297 0 R >> >> /Type /Page >> endobj 16294 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16295 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16296 0 obj << /Length 19 >> stream q /Iabc25164 Do Q endstream endobj 16297 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25165 20830 0 R /Gabc25166 20835 0 R >> /Font << /Fabc25167 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RJЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( /b6M CZNNj~ۣfiW]zϐ&FG7uc*.BlH,>U]d߄}j]֠zfQJ<͊fhڴ[쥭}-UaoKdOM\w>@d*u$R&o2VK2 ufg[XL׆GFx|1$I d_ ECC 0yW!ӘV;!JϡmтGŶ* endstream endobj 16298 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1491) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16299 0 obj << /Filter /FlateDecode /Length 4353 >> stream x\KoWavr[`& oHEc'nJT,bo\/ץ^5KxI~[|pO.~jDžЋ |IHx qBVߡzzf Tu!5Wi<4/tKc yQ,·GܓMw ܄:˷ΪFG*>/F#-X ka-NڶL/xƇ#v@"DlAbgK왕 KeR_L_myCt@9Ĥ~Y( Yy^Ӱey1K`%,/L w B&BL!yr1BqH6:Xw##Iī\Zǥ51A!}+Wy+Nv0RK`(o˲Dny= o.tu?7Zw*lN׆Gi)+4 Wfˆ-E7eVQ(0tUd2Sc#Sōȋo׌ev]+VV䣆A2踲y>p`ʙGf+inURd pb|* ܘ9 5>xZV 'țzw%u`u;pVs`I#Da Yj&TS)t\QUtN)-J>m?9{.ѥFƈwLf }+u q9!JW^Q]-dŷsDieg~(D٫M`[fD׍PV:# `*K`o=[Ce5`SMZg 5DL0 Lv]_ފ*-}K$WEe ITJc[`ryfl\]j\1= hLZE,UUa1Q[RzIvڢu;%9ESQƣLhTZltgF$ɣVsŬF!{ \w$+ԍ+7a*SDQqyI^k'HmUEz4ܘh;D!$U\Kg\ 빖@`:*\R4u/4 l/@o+H V5΁77CiɣB7ڬUfoLuJ|d_umw!5kK;⋶PHLu3Mmm&鶵YkhJ.*(a]r`YS]'vƩjas,HfY;Y9 YcY|clX==~NNQd塀5x$ϦʶS; -;=,"bSάQj6 mw-81cEvdվ"=>rp#l#5jFAeavfgE8aS ^WڡnZnh(pG1,a=ȍna#"zxBw49Brp<"t!)һ+"ηsԓV`k>cVtܴ#O%kio5/#^4ӠѰvz{җhۙ4,ȧz±Nn25 _U; D;id֒8k,Acjh7schcܾ%,n|.n!P#6rKቈ~u9I_F |1T KWj˩ZJ;z}#˓IT 3̘X/\u4LԦdN(ֿ}Ty+\BT#lf%pk|8ݖnG`cbRrӤċ .a#B4 6YSqW}*T ]E,3@$][3RQCцǬf˗z?1@6C'fwq|\V'D9jMf+5%*mpV& EB5"9Q! Īi|34hW _u,,D\{NRW.=DOۺWP~_{:wHr|g/s9iR`DQ#[<ʥZ~Ct@ҸrvXs{񸘲Fw3 A*6 :*[W+أe J;nVecBkzZ(|;Ead}c=5%O^W^//Hǥ.ۢѦwB .&r8Yp#tZo>Ux˔ I] RR$q5Os8zq`/\AS6,)Ti>q鹀.3gۙ/gNg/?0KCBDK =0Kᜦ(Bqwo KMDY|[c)rV i'[, 5; yVKv^|_4ӊDnCJWf=@䢸YqR)FY&l/k0c0FNk&n5W[lr,0:n5}=Džo@أחTm}ka89X"Ӵҿ(.\N{]\5Y_* +]QH.X1Xo.Hϙ%b\TQF27.V{F ^*Eͳiɥl_p-(hr뽴BYjlg+ȩ=q=Vc~L>}=_}awi+5y ח+{Fӽ`邱Z ʅ(UK[&ke)N4 S|xn}+KgY_jN0Sm2]XRG-E7n~bP%EH; &[O0T.8c#L=Gp-fڜo$bo] ^c&4"pOLOGQJm|D+ͭ2GK~W,$^#`]՚c'v¼j]DޯyKc&+| ||"ʋ!mZ>=D![ly= "ؗyZX7Aki6/;jVmcvmbh/iz%gs|?G)?TXgbeafSCbeO#<<:ڧpd nMx%5m endstream endobj 16300 0 obj << /Annots 16302 0 R /BleedBox [0 0 612 792] /Contents [16319 0 R 16315 0 R 16316 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25183 16317 0 R >> >> /Type /Page >> endobj 16301 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16302 0 obj [16301 0 R 16303 0 R 16304 0 R 16305 0 R 16306 0 R 16307 0 R 16308 0 R 16309 0 R 16310 0 R 16311 0 R 16312 0 R 16313 0 R 16314 0 R 16318 0 R] endobj 16303 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20211013063105-08'00') /Rect [104.1732 512.6 167.5827 523.6] /Subtype /Link /Type /Annot >> endobj 16304 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 496.4 164.1782 507.4] /Subtype /Link /Type /Annot >> endobj 16305 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 480.2 217.8802 491.2] /Subtype /Link /Type /Annot >> endobj 16306 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20211013063105-08'00') /Rect [104.1732 463.9999 206.4347 474.9999] /Subtype /Link /Type /Annot >> endobj 16307 0 obj << /A << /D (unique_482) /S /GoTo >> /Border [0 0 0] /Contents (get_path_groups) /M (D:20211013063105-08'00') /Rect [104.1732 447.7999 184.1267 458.7999] /Subtype /Link /Type /Annot >> endobj 16308 0 obj << /A << /D (unique_493) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_paths) /M (D:20211013063105-08'00') /Rect [104.1732 431.5999 186.4147 442.5999] /Subtype /Link /Type /Annot >> endobj 16309 0 obj << /A << /D (unique_634) /S /GoTo >> /Border [0 0 0] /Contents (group_path) /M (D:20211013063105-08'00') /Rect [104.1732 415.3999 158.9697 426.3999] /Subtype /Link /Type /Annot >> endobj 16310 0 obj << /A << /D (unique_589) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20211013063105-08'00') /Rect [104.1732 399.1999 163.5072 410.1999] /Subtype /Link /Type /Annot >> endobj 16311 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 382.9999 168.8477 393.9999] /Subtype /Link /Type /Annot >> endobj 16312 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 366.7999 169.4802 377.7999] /Subtype /Link /Type /Annot >> endobj 16313 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 350.5998 177.7027 361.5998] /Subtype /Link /Type /Annot >> endobj 16314 0 obj << /A << /D (unique_599) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20211013063105-08'00') /Rect [104.1732 334.3998 186.6182 345.3998] /Subtype /Link /Type /Annot >> endobj 16315 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16316 0 obj << /Length 19 >> stream q /Iabc25183 Do Q endstream endobj 16317 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25184 20830 0 R /Gabc25185 20835 0 R >> /Font << /Fabc25186 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7RQthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1N endstream endobj 16318 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1492) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16319 0 obj << /Filter /FlateDecode /Length 2135 >> stream xYKܸW)g993|DnbCCU_=X,:f[9S_7gdϟץE8}~;8&ɹ:<Ox*a6!Sh}:mƚ>./,O.ޏvB4.t44zrvYט|fN<4shn Ա.qi|݉z;.e4 ɈUŪ!?NwE-ױժ8Ĕ:$b8O4Ʀ %ݐ&il,f/5If\q a݂y}O"Ie!#L/Rl\Cg3{X})*-V)Lއ6(#D)Puxgã N zg4h^O㌂PkOgE^yd~"V$ᤖd-T? twؘysN:URF}e Csc,;*uV~ l~W,Y j}OiZq'Xg_܇|[<#YK1>6'\xf,\eF0IN45B(/9jba _yd@'7" 6 M(sRӴ{Ӂ:-geN˩1:MEp'R 0#)NѾ4/eÜ0Do6sc5m!쫭c&oQorơ2pw _XfK4ލaM=r{2u͇V88*w})!L[@chu0W*Fi$V!#Bp\蕶:TؼnLtnm E(v}4[cv7{/fg? B!JIـ~bݺ$|d>?7ϬMc@dt^yۅS߫Y{V=r4VUeFXAKqH5H?#RM׺ӌ=;F uD Pxy0/2>/+ol+f>VcmW_jlRؕYB :4E2GM4( Hd%±MyOWˌZI%3–ˈ[QGF>3&>3 IX; aˉ nXq`]ļ ㎔{jE0ʡt _= myX4-F|T]vB]rZɋkbǶjrXY@L٤r󦥬I/Y"Tg%.Q/YTW+]x{[l4׆?QS! _ȥ _dNK%U9Y/nT>Ʒ:qřb<{^YJF1`6`#]@gV]bxf'<}S=1kQ0+>=;"Q2\.ݷWf~ >+?,ᐟ1j8'd,-m 5qaVw6VE=$ўLy'sO<"5] L#WfaDAOfMcA Nƺ`0!<$rhA: endstream endobj 16320 0 obj << /Annots 16322 0 R /BleedBox [0 0 612 792] /Contents [16328 0 R 16324 0 R 16325 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25202 16326 0 R >> >> /Type /Page >> endobj 16321 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16322 0 obj [16321 0 R 16323 0 R 16327 0 R] endobj 16323 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 396.825 122.692 407.825] /Subtype /Link /Type /Annot >> endobj 16324 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16325 0 obj << /Length 19 >> stream q /Iabc25202 Do Q endstream endobj 16326 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25203 20830 0 R /Gabc25204 20835 0 R >> /Font << /Fabc25205 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16328 0 obj << /Filter /FlateDecode /Length 4568 >> stream xIÿbooS3SS6إߏ?e'k[M/o=ht|.g3[£$}'Ӯn\k3g^]9ޠXyμqӿM/M_^w12`)hN=5ϿL ݿMzKKzθGkh,0c2se¼I"8 LuNQdg84\> c,C;x~3wX 4fD&>hӣLr0{`9Fr8fH8v̋ѧvm4a?2}E1X (%Z1vGN/?P?3/@6LGj/@3US|{x0h zepɤ0rho&ڪlV5"jP9fȨhMvLfr| fT ̗td'C!.~ s"7ey&;"<8gfge&7#n%OMf'/|X]$)*]Edi"q Zgs`@1dŦUI'vl%4 4,4E9MxrjZga5{~bY0 Ľ ҴSЮ4OZ}2 gqf5*O4u$jV]R@<*ؠ@zt}&ogc `N$Q -e_j Ϻ]e(!֠ǘ5cSzHvUp]|$eQ^#(̌ 22ǟILc֬VҼ? IݯLJ8%'*,"U:R?a!`8WfC"+ձU{XY&\4'@rّ;NQ}G7R,>}j⤁z;GE.EUFqt럪N➓ѝ?9aYtW˱53߷ ؙ-xtQ4,,az9/)_ޤYS&^a{~ڹ۷-B`%sZf˝NՀ훦.e΁zL.)ͱ$7s7'@$ORkƉ{6Icz,}i6{ˬwfD6 Z@Jz7H`6Rƍ^:v2O&,bm Ե M56kp˜UHy7;sǔPpU_3|Nۛج¬p1 g3Y,fgv,e׾ o`\28l9 UJ^p w椄M9=sksb=՜. ]]zIGI49ќ0'ՙIbN'Z->ϜԮ9!:}9NcNӜԅasRϘڋN`NjmNzTgLCXz̀ڀƀ H&Ǹ2*+>H|}4$}! q49fE߸k록NmA [sFixT*T_BapXP#EpgJ BKV)])"d[ojWyK?TɃ74J b92CPP /&`jy"$f' 6IwB_Io]ʸ=i{o+UH_qL{H sXI ), }WÃQ6GD8 -DTg0 s }_X"n!Dmɹ=BQ{-VZ/!gt?ڴhsٵ %D,,XhǴ!i@IKvSj4fFmG2@zE ԛ0%}.ky16gLg2pp,IfRB4zeTv5XP~-h24F@_[QD5_(Zyށ yZ+ʳw\pfon0H ,ţ_HḎ8mJ:*ƙovc7^e굼y补G!0>)]"ĆYY\%VܥCƿWPenu0nqSVD /ոf "ة;]Z`[){G?,ȯD[1?|95BjnIr3yFZ Х҅mJ]O=&GF;fOI:AEX:yAyMd(5ZJE.բ7.=Iۉ8W|tR }nsCL0Aug fmo2B-wyL*UsvL)ߔgdRRtcfa7(c=[e:P>-s8XǺU] nzПkd SZ4jh/p2 T.CvD6~"a^il4 WC9+t;nLWN/w CYV:P)R]Z}f{jypWW.rQCpG]#mP'7/DTp '4`S\mnfa3:7R-3h"D_J3fX u}buRFO~-3?^$&;X?"cݣ:ByaR{3ZGn>4~Q>u578L; wP8҃7T#$kR'gN,#IHЩrQ]) H5\\hXz|<%7r)z2A#L TJUZLfȎpIf7TCp" HpqD7AZ. mKD(i=ekGDe2AuYm֩tHɑKdb `YӯoqnV q$ v:1ەf.rP40]ob2f/' )bsLX?B4iD+9Jk%3XI1zm/v_ [*Bk[i86P[ƮdGy‚m5mg{5jLCJ΀am]10 ^f2倌}Ұl ~_d,p<¯\'|{A׷+> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25221 16333 0 R >> >> /Type /Page >> endobj 16330 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16331 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16332 0 obj << /Length 19 >> stream q /Iabc25221 Do Q endstream endobj 16333 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25222 20830 0 R /Gabc25223 20835 0 R >> /Font << /Fabc25224 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 16334 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1494) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16335 0 obj << /Filter /FlateDecode /Length 2624 >> stream xڭZIod7W P(Ue[ !g:}nZ^Uy뤍Q$E~$ߵ~v*o9,cN?~kC6I6o{r[{l>wzLwJozvA Ƈ=P;|4M})>,+lA{ 񠜅+޶vom3l6A[?c8nĭmM24J:(p+'x2oǰ[,s<##LP#T= y(}(Nwp&G&ricr(z=nED}?>e[7 zlN ;8˳Ŵ= $G7>;%=jMXmbHyxѣ`MtJ67#WK}l31ԥphݑQ?B*׹ S2šX]Naq݀.&:#ZCkGB#1\ &.)$.V@2Z ON/y9R^|4D@#ER'g<4Ӳ *ՇR * @ ڮ #c#c˰ s'_}O\^3lMpb:nju6o[0F ֹ5 HZR=xzZ೼\DgXkZ8^^enϵdoߎKX\NCGi P~Pir:V.]f4JLfGn puƵM$`t,ʣ3)ud[\r>I*6 H$MȬ[wG`*,V!|Bf,@8bH-򦆒QC TEp/n5-) ^l 2= do H=9y/؈W\KPWW˚R#rq䗋Wjq9NdXR{``o׷rD @d;j+2/鐵/x(5vA!_'f;[vno'+tӾzbC)b?^?@?7C8b/ Pˡ8yN HH(D"fWjH\q(w,y D>w<i i{&Q-MLH*~Xֲj6].mr=au 2P1'4k <fl rob7s'cH77-ȸlKEo:'v0Y=c # 2+ 5 T4 t24iLysu͹0+ y,:sL{Qm1Di%, >] >a@<Ln1](}&Ӧ@c5qn zRV 2<3Y"w!}.6*ә3Ƞ>AdM,l q)k,6%Dk\q02A|^`f+Ofk8mK8@ܴW`M~go1y%2RhGlo⤛Κ?Ǯ9Y }Aw.BGGR|#;CUX#Nd4xKndw"2;a#N ʂŭgiu;%ٙO9bX(\nS%yȷg!g!P T0 > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25240 16340 0 R >> >> /Type /Page >> endobj 16337 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16338 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16339 0 obj << /Length 19 >> stream q /Iabc25240 Do Q endstream endobj 16340 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25241 20830 0 R /Gabc25242 20835 0 R >> /Font << /Fabc25243 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ V endstream endobj 16341 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1495) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16342 0 obj << /Filter /FlateDecode /Length 5114 >> stream x]͏8yxQ@%40-`=Ţj./)Qe+zA<[LQ"ErQQ7>HS͠$ߏ6Z5[m{yl'){iZ™3L3"'I>;³KIΉfgy\ziy[.ZcuhDQ/olqKFZj3ЊQe+׎ƍm/]lJ +i-jnI_^:ktno7i{ lgO  z= =1#o΁`zXh1I!s  A.TiIZ 6ƶ1*M u nG:M(U7?_gXP]Yq5Ftk$jݼ@;i~I/P#ޠo6/gE\xt|5ϨP !4ӤoctTEBё`H,?h(+ȹHoQZy7%wEYg[;5-|_PlW3tպ!=:@TncrTEDQir(N -#Z^sոL45T:O:*ToHf]k>o.PZT9#u-`hZ=Ԋ"{]C([ 6Yth^vƥtuշx5zqFjMuƈe7ɥc[x| }]@tF=4 _kڢ5eFe^8x\.7~D;zŰH!m:X[ w_Ա{ZAGխ\h+q;.Ǿr݊x^ҩx) * GI3CK׃-(@1i#TSQ-L 7L:MB L ͱ4̜|d#ӌGӌ LǾw =lt/[33M׺pQx:DΕ)5NՈ0ѕ32 :yɓJ)/׌u2*gӕ 襌 ng-W*{7XG%L!KZjtmT$ ^cvSf Su2}}kjth0Wf#]l@_SC؂> }M}a5u kj['@_A;ok>Bw#xPI݂3cWZQR2=Ȳ0m8/M䇠K?b@P@ϵ$ԩ, %Ȣb )/*mfrI͛(Qut14P3ʑ# \;SpͽvƋg fKxQXx &שe8ʄX905?z*b  g!QǨ[ʯXa$[2} YR!vdFJ=^#\*>uq#W4p]X~,W7AY >37AMZ p~3 awbyiGn-ϯB[aşE[ X N_}G.^' icxoCM=3A}uc@5D,k#wYejPg+W+j嗆 Z_^GL"XvX@@@pqLj:!1c iG^SqE\5}@ɂags@ uOB_poفa$\-cgQIuVcR轝FrqMzV;h1;cx/cli?h>l) LyY}_aesY~eĦHnуcy0syW );>x\qIɥ6]lzHמ,m\ɷ\ %/|>`|g% EXpcBԢKIwuhP8v,-dzU6 e=rDM\S3Աtf])Rw~e?4مׁ.elGKӸb 2DWAQCr[2j]k2'i +_cE},voK]}–c Mnn ,$UeQ fi le\φAabym6;[܎qZaۺYR̃w})4lpr#?I7Aʕ ^6PS_UxBE>[W>A!Uolf`DS"8DV_ 5i-hG5eZM F&t+ Z-rQ@ Te"ҧ-z֐hB" ƒ/y;HDM8|e*5oV] o:fL1mU-CEtQ 294 Z sm=Vs3'#(a_>)홙Ē7bt~_Lʟd9Gvpu)5Ф΂ߜ:OѶKv}47sWA4☉(JUyFlbaLh2c;̅9E D1Ÿa'G(pP#WJi#cN mY/Ę`)Ң\/'L3xi1)aDPe73.i 0Mg`Īpeg 9Ea78XIMu% ֲ+,'BrQ.kWtSWf N87"@D >EMShUĝIpj6Ipj`pjv,x'dDc[$>pZvJ@ 6N{'X(qe|;d @`$nnm>y2V6+ P(r P5j@1&PZ,=Ssl(;JV5: AJuVQ%n|Q˷auo%|Q-1J\uQ-z:ĚirLeӨ5KQk0%NcJ,F-ƔX>Z)fSb4>ĺi}LuӨ/bETnD*Clb *Cl*-6Q WlMTA%6&buPcuPcwݫg{VI6FτjAb~2FPO@ԝ7Sz 53?+K^~џag}E03 U;kAue]xt]0uIMm]ؾ.K;KFd]҃aQ.wZ)ZKv(x.{.{.[o& @%T+A Pč1P#PT,TH)PQ:RmTvX Kܿ5 ݿŠJ,wV*ָJsJs{h)fQ?58QHC-P0n) >\/~^B.PXּP ):A@^NHDv{ݰD_G_o5|%'MT79}A'a%h3|R z?71mߏV ^A1qҴ%G;tC 2yًoIĨG(nU'cz+ݪƃn%z}W8RlZx?\c:sz.K,3/S$]l -@*/n(au1 h6IPPƛrJgvq ze! wkJ|}.ݲvox;yZМj&Wbq`b}0`NLoq-Mup iYwE_|S1bLs ̝8%K\ T MG1_sZU}Y e﷡j: G/"׵-"MѝXCW ~VŁW]g\xfCnIPdHt"GM8A8/ %[1APQvb+Q>ͷT"]zC_QJ6@w0(ezjnJk] ߵD9>=2Lw$2yud)D Yz>TۏGHs[Ya#}Fbxw][?qwApO*<.#}~} Q/rZyɖ{tb.dNСK;܄$ X'/p> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25259 16349 0 R >> >> /Type /Page >> endobj 16344 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16345 0 obj [16344 0 R 16346 0 R 16350 0 R] endobj 16346 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 610.325 122.692 621.325] /Subtype /Link /Type /Annot >> endobj 16347 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16348 0 obj << /Length 19 >> stream q /Iabc25259 Do Q endstream endobj 16349 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25260 20830 0 R /Gabc25261 20835 0 R >> /Font << /Fabc25262 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7r"thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ ԇA90ڢ_Ћm߽? endstream endobj 16350 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1496) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16351 0 obj << /Filter /FlateDecode /Length 5669 >> stream x<Ɏ;rw~E T460 Z]̪|R&d0#~lfT}uf ~jpTk06@Hk{VJ *T}8vw)(joۿ@;卶-q3f7hA5پcMU}^,P2M8  g:%3_Ԃ r$@Ӱ5znڄ-hS-Sh 0}^_ޝG烖=i<_@@B/Z/B5"yn k _n$?cۿؖNl~ 1"F x^xG\`"o A&P}G*\hCU@"wI1$ AM?cI W5prBh {q{( f-쮳N-R #BuTF8Iw6I)q=;,P.)[Sb4H}ڸԚų_kz3 "0TKI& 7kinbp~̬ɐĊzyjf"h>[^UzU訆8\^3<HrF}$?[Ŧe yzRɪVݒ:\b˓JՁM3@5&ILJ`Xmh\ bbDԊ<&ͨ]:9標ǹnA^`Yt$ciTINM.8߹0rgJP"B]A}lYn[(ԡbmbpuyYԆ8vZY1Nu`0y 0PwqB43[2BMn.%%ΙY܉]1bO9;7ojAreil79@#_Ӡ_",6gW'G3g^(QCzMq:\;0ܰ;cgR*]a=L@=p"@ QՆfwnٽV 5`<нX=$ R T H;9U 0/)ǀy ^A_p_Ǥ^#|!eI'o(х/` .Հ̞#&N͂!TĂmCf;iU'p3Be0ACgB $0@ ]jOD1?]H=Z Cq-@PpKqŔŻVކQwZ$EW[]q'M"V7 : n`N= u$S`%( Dj((H(a7(թּ]ʔ;B04 C0)b#Z/,ǒƁZ>zq$|;ȐJVB4#b[lm+,o~-r5K_~ټ+vE@4n+ 6_AWLLeusrD<0CWX}k M.$(Edk#9D09CejS{ &h/'d鯯{t~ f|ʤ"&N,آ$Kxq,D1R( JaP?yf+vCύQ'#}] s`5j#a〙*m_`T,1a鳆fV-W⓭ ":&.3TIv⨏bgz AyjK0b*Wu{Ӱ: vwq7v0ݢnQ. 2U]9>_ 9I]:8RT@9cԮ'|k<Q2H9=/6nN 6MI- =~WTwsE%=n3&}!=j pgp+RGa47tৼ".6ٸW`-]\ ~Q;,F4ٺ?-ܚ祠+g8WmJr]q'MiIWϏO ]>:Jm{3- ʝ27#5QN:}L2Y&t 4-yhɓ6yqһgqݴf#/6B dN#JxwP*K=` &T0۩ ]u[GWnƊK(8܆Np$dr.DnK6+"ijʶhUlf!л] D=n&Py6 ϯhX{7NRV]/THG4[S]խwȇLHU_YxN+"Lgzȝ붺# &VF}]bn)17c,_˶%AP^RA,Q;!Qh93{C a)w'D$b{Y&f1[w+{dh<*d$YMcv2$'tH/ *J hqYS+Ӟ\ud\f> ciռ~Y|H"&ćG@kH;7zݜ׌@o -OЎ m7P u)ᗤǖ9-zir j8(G*!wX4,m6P a899eaH|؍#lG{F:= S~ީ1{ ޶<&O 㙄T/nM1GKÜ8bWַBK[vT_v]=5X4Lw e|E=Hg7ч2~"aCR _4Z^Rm _?ދ7xY?qN7(Zgm|;' {rƩ>zlz+7.^X`uTu3a9e%KXea<3Oۅsy&<MHN6>Czɺ2$U;w?^$]9mA=!)<8oy 'pG"pnm¬ ˹UgYo@Pc|-XVao c× j*G>=7r0Dc[6j!{/lh\w0~$~#8Xa\Å}KM^8+hEsXau&چ똫#ݘ'Պs+=nR-{ (~Ktl/JPrzg#qё(rU0^ t*V P ,^h|155:ze>Sc䬧4 tn  {9>OE(Pʜ~E[+c+DOo'#SPZ}Q>6U <5k:!%u6~ћ -;~Hm ʼn7Y4!wds=.j55eͥ_AԥЩҁQ?ķ; M+ġCr"2lxq)cq4w|Lw)D0*dd*Z|u E@٘a>*H%!aiX(+)}`݋5:f؆R!_},ԋ ֟TlE4Kky(΅71.>ULFu|F{W>(jRB}s~?o<|!ɘTp V㜊++ ?9ޥ@R'1;|z>,6{mo~-_b~>*'x 8ʧ|ܫ}>q+q%^=`͇O- xRgG~z}WB=>^$<$&V`8O XЭ^ZwnCkm/;x6<{__& C>#OIHK%?jygz$#ԹHxhz|ă%50a endstream endobj 16352 0 obj << /Annots [16353 0 R 16357 0 R] /BleedBox [0 0 612 792] /Contents [16358 0 R 16354 0 R 16355 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25278 16356 0 R >> >> /Type /Page >> endobj 16353 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16354 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16355 0 obj << /Length 19 >> stream q /Iabc25278 Do Q endstream endobj 16356 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25279 20830 0 R /Gabc25280 20835 0 R >> /Font << /Fabc25281 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7r ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16358 0 obj << /Filter /FlateDecode /Length 5654 >> stream xs3 }wD0ffUI3E&`0"kuQ'|KSKTX%o/ߖSN-~i}_4n,Rhꥒ5׻7JjItAknߓ7ݔMZw?gF|Zi P2'zy :L @)U`zZ|؆;83)e|~@Q[FX yɍpd@Ydύ6a2PcҸ؞aˑg_x"Fu #*U+%k ^f,OfH. s0d R%|ǘ숌dJtha5H^s ##4H[Leά:Fy|K-ֲ fZmyIYq&4Ӂݬt ֭6q}Zpom\.ۉVYVo^OijHUF#"ETFKQ3&V=>mG+K#&t&7P7 5k 4Q3^ xMeMFvy;ϨI°\ EtưDPAM8l.Z[i{0[ gNWM4aj1uO#FD 31t+Taa[$ã|(B' 0|V c+|&k:U&0 O`s[5H3gOtLG[LQ5/MlG'4bE-54PpcַFR쉇~.w)3ͼ8/L1}ʻҠ]8I$!6r(t8NA4,|ޮYr3IǼ:3IǼNGjs-!ꖉyU>/-z8 3}PZwd Fq;rO_Hs,cW>H#~:Wh(4{umg@J[=̌u[?O]mAx&*gyg/{#k!:8P4 3$sqB} J*c' ; t))=_Jz2sfMKSasլ)TC!_3+yĮT,HG`h' FMǹG:xHh$!.#='h(eTPQl!!MrayQN 7rՠ&/YznPgD.l ((cmEkA;;'$嬕Om6XWqCEU5v5b6t$˛<4*׼U!ڏhՎҦm'7^3V~ѤB@u?lZ$>l!$s;|+QB(aP!nU}b_?šnOJg1eJE}36{VHg+J%ب|@D AIJm OTqSLnwQDmw5E}>3/$uPF^v:58ҎyOwbw>kh:<Ԛڑ}v8F(W.b:0pa78yNj~\._3ky_؏jrgtlvRiˆ1ج1؆>0=P!ri;.T=A4TE$ya=-2!oG5YC0=wegD,"UHaKb!*'NC hJo̮KE &̬^F1Ua?%b3~Kh{FH_<0]-|CBMPd&Ht?,Whͭbh4s< A\`oah+2#b#+iZ,@&1?#(/X@$SNf-Dz!X+vE⊸"ƸMZ:Spc@ڭ) /B1Z]ڱ<6`(;J,Q$+j7zOf]XziUwY~Ed~x)W!^XZ!vޗ|! >(xr6;QGw%ab6.~dF;d?%=(Ĥg8?D$lC?̜wfGy6^%ofmf.{fp힨H Tz*m6u}Z5~lݤN-6Y;b-&J!RS0Fy|A$I8 ?bW) ,}om;)+t#B9?T=iOSڦ!@3P̞|aA R 죚 h~*ctȓ۾..}Qw,֧L9b*=!wTb_AAMnܜfSO!rbV 1"˲H<䘌rlU'T i=-\uml~&TCJhmݞpSu#S&6$w0$<;qhj:/NlܓUD|!]] 9[s kפWaf Eo|oܝ6~ތjU@3^./:Wnk3W m&wkTw[^R ª0;.Za|d@$@Hj\z/ԅoFk8zD8 ZVACxX|/wnqk4,J#썰~PVVQk>CС 6GB LL*@I&Lo}, qpȓJKРGYFjl_ɪ`up7QN`bbUpR'\Wu``MtUUNp w D)6c+ r``*a06IVظ:[5u 0A2 R{_P5\ !*[rx`==`HP&prUWcu:iuHm*eK`xnp{dR3J?a'm`@^~mN~GN(zxZ ~XYAڅ]G`VP ayY 8*b¶.fǫd1aI *=)à+,t"Iy!%QQH]-:ɺT8kBqJ+wm ZM2lA z*bc? 4ibDt:n)3ҹ VlTj>[HÀ h^[_%W{a٧OdPb"nma%cwG w3bi`YJbG)?NS>~O׷(D\ڮ&˜~m-k1v~w+&T:]Zj2"TP{Eƞ%^Uc59BổJÛl9y>>b|qr'`Mc'`A̿FI3HSlW.ɪe͓Đ)4mQœ{Nl" 57ջ}wu#TݡykP.ٿdz|5BoTt'N\HE-lcO:iVǚ,Tu˫SS>n'Y1- /D4'wH:6pTgJ[?n)Y^"Y_‰;GJi..bHv~"}Oc<?qB\LM~,䳞*? o8h_gC3{*;H7)06azȷ)0{>2=NG/#LLAM=_9f6ǚmYX#e$9b( 36co9rA8N9݋_DT킱ajϭ<1`٠{UD۫v@o!8auLM`]IFvX\oXCbޭц!H~L/]#;Pl_~D~H~D& Xʔr_wG:X9v9ڣ#ᥛ; ? {p32@/ PYġΤUOtɲ@_!BH%ta[eoz9Ou]tYW5W( 8ÖC*HBWFh `>zKG{aWm.xhEP1- y4-bXNX7NhbplQPc9w#x«+ T&7aZlV:KA./C>/f/[vqڴñ'=mP vpDMEC\(T;kH3\cД N9IP̎URw$scI-?`(QG[,uqqBp PiiT@2tLZ wAF9C+)sįb޳~Sf(N _5\wreBcl xf*joy򀴞DQjK&08)>z.ךmb݊Zɨ:ѕdX\AfhYM&XGj Omr6?,E8cCGq;j{F,J> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25297 16363 0 R >> >> /Type /Page >> endobj 16360 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16361 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16362 0 obj << /Length 19 >> stream q /Iabc25297 Do Q endstream endobj 16363 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25298 20830 0 R /Gabc25299 20835 0 R >> /Font << /Fabc25300 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16365 0 obj << /Filter /FlateDecode /Length 3195 >> stream xɎ#ίـj/@KjA9>cA;Xd-ƃ Udo_YV\nsVǠ)CNkk}O10kh~Uhlxy҇l cI1ғ19>ixf܅0֘ A9'~>@ag'_ 9[(-5 N&|2Bc*CM9uF<.1W%1Bb,1m&iN{OJp= /i jFs%ܓ>]>'}mQ;3mg)z:6XU`Qk44G xMV+v:gq>-ضV1vؓ\wX H߫%i:gtaew?F<@؝mb#77潽쾜e\˥䧡X&%L9M{ Wdq39"EW= HhLIp> чl Asj6z+NwIvv c Lp03Xn[ֲ?KynxmР}\wA~@Nh0̍C؝>cE=scN@|0)17])(_i9JV $-_O:s8wcJ/y?():V>Dcӯ_`ߏq&nZjeXQf(7:Zyb\G޿/RB (<#f{P0&\< ZqҔLtYƠޡARH< ;W" #7Yl&%'jOMvu=uQ>#EqSrgv?jY݊|47>Gb]@%\hKd_4隖?͡Y ]F  BFGNxGо |p<`<8ȣ:D5eaDX] Qh̼:Z{Np,p$^WtkFCe~z!OW<ͮ{>Õ11 kf0 \x **+'<TTОLA~&>!gޙO,ʓIzAݬ*a56J01^^I=bOIDj 0R2ƣu,2 ̃-=? & endstream endobj 16366 0 obj << /Annots 16368 0 R /BleedBox [0 0 612 792] /Contents [16374 0 R 16370 0 R 16371 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25316 16372 0 R >> >> /Type /Page >> endobj 16367 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16368 0 obj [16367 0 R 16369 0 R 16373 0 R] endobj 16369 0 obj << /A << /D (unique_586) /S /GoTo >> /Border [0 0 0] /Contents (delete_utilization_results) /M (D:20211013063105-08'00') /Rect [104.1732 501.5 223.8477 512.5] /Subtype /Link /Type /Annot >> endobj 16370 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16371 0 obj << /Length 19 >> stream q /Iabc25316 Do Q endstream endobj 16372 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25317 20830 0 R /Gabc25318 20835 0 R >> /Font << /Fabc25319 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F驚~f| fpYw.Z 5J8.y-xvw7p*жYzr" ?YHUC.$G7|;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " g=jֈvr=C10J,: ?VT\ #m(Y}ɾ 5Ժ@Au=JJ9iK[ZNߖj?ɞӦ+<ʡ|72|[㫤̵RLsV7Ӭ#x#$_l˜!E_@9?ɜ F0p|sLۼXɿ_^|v,9)C ( 'C9h#bwo$L endstream endobj 16373 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1499) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16374 0 obj << /Filter /FlateDecode /Length 2202 >> stream xYIk$篈@ľ(E5[fNm#Z*u%R/2)8c[i|~1}̙Mu4dd~y. yvN6k}ܛ{X%[ bqo2Nxm,ɜw26_hieD\O.wmAǧl[{AP=4y?=s/:f6qa.ְPԦ,:$rOFcKe:QȖ8g]g,;b\nsF<9twP(Ǣd]@[4 4pIu fQ":6V#pa y&U Vls7uZa&Tމ1P6ukmڤNgZeׇz7={kPՠF7Յƪ<>M_[Ln9v uΆH͗_'sOvvsk6 iÏ #|vX|З3vp#?(i5gٛF6B޷"ww r|Nh@?.Q4 %"ZsxWʹ#]TCYTi}'vb4ΉRhgNȌG Kq!lҐ UC.Lh"$|(T9f" ۓGOWHfwV-PsapEW*l aG~jÄлjto xA#bwbpТViN1(x1yU;%sV$AUeg|{UU;|1{zl*Ia(I։A!id77ZpB* KեX?ThC%JiQzCB?߭8|$,Ef\}]v|{Y pH$yɓO#|v;]gw#V'tv ;;mצNSJzy>mSɌ=^a HO(}_M]ҚYq[yn$n\= :M۠7xԼ'Ej/&];lEv s3bS)#.C!{nԠݓS싊}; ֜x"[|5>ARD:A"p ,$>5( uZn# o<ȉVi IסH;wr0XkW@J2D_̿P\JKkK v8L]윲/HS JLU4j17""g@0~&ԹLϼ0ϦX<}AɹXpG 4Ns':Ngʌ&[k>2(?]+o>̲ӻ^mBPw53i9ܦZzH3h̃"d^htҘܜxO+-ksc k\scZ,iyQy+fgLv7d,)ܥl~'L7 1E-c_E{7#CT!m<1=OjGJW-KUnnyNH$%<ȭLj:zm[ f܊e-aqď)sTIcNV__UXͤ\,X(/gfVx"f%d;}Uj2u$ky7NXS'[8 zVj7SlR/d&>. pk8غN=O-=3 *PAy]cWz%y1Ԫo\Dy}ώү|Bِ/2'-Fg >y\%nj.n{ʮ V0)*żT.AJL٤yc"CVՂP>z- `>f4 MANUgy+XE5B;qʹeb9>p.s!Ǔv*]}XIu,<{H/^ڴםt4Gprl}uXep1/'\BG\~Uًd9k10)^#ЙXq9Ā%EzX&d.½!Y?2VQ$F43^zCv!=$sh8 endstream endobj 16375 0 obj << /Annots [16376 0 R 16380 0 R] /BleedBox [0 0 612 792] /Contents [16381 0 R 16377 0 R 16378 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25335 16379 0 R >> >> /Type /Page >> endobj 16376 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16377 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16378 0 obj << /Length 19 >> stream q /Iabc25335 Do Q endstream endobj 16379 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25336 20830 0 R /Gabc25337 20835 0 R >> /Font << /Fabc25338 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7dthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?* endstream endobj 16380 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1500) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16381 0 obj << /Filter /FlateDecode /Length 4736 >> stream xڭ84FN0W+qKû/+kFDzb n' bAAPYd'4sѼ HIuϏEuN\1[T,}owT 碌ɃBQsE4/ T=`Dմ Wu8/y$]* >Ǔ^۲LH}')C|8T_ *NssԞKz"^@or6U 9p5EMhoLf~x^9zl+SgSs0qρF$yy<-'?9 M]C,h'01#dUMDuG}xôĒs5:'nx'Er:Ql-gcgEBa=Ѡo}ȋ\wA\&"\'/@Q~g_ ^C ͡"!JfG2?Q2Ljp^>S+N~#pzeuʼL!$BŨZu^:vP*qs')^R̽;I1?x7fS/ J{.I1}<Pq0Ond"hݧ-d#AUHf4(q18x-*wJ,8e`Sq%̰CT0{Ai( x:3/x0Dз:4bCVQFȳ8*=vW;@3,>@;Hr%N8\ kBbBz==BuVF8IAK68ڽsr¬SkMGjkRRk S,~c3GM"0LKS4EfsXYOʒ+]A@{+p;dF1 =ݲ.)6uA}VF}?ۃ;ݾof993-CQMS,sJT^\ U#ٲweP]C안b5NaV"QMsl6sqh}Vm@eUbס vpGnpNJ橪rRa Ag3㞭'YnWA*ZC-!L>RA:};Y@Sfk2aq ;Pȍk-dAqk- 5X48g 655VTOBTu1w醝ݔ+;deNFa%*DMKU9O_4(sŠbP' y2[ȠL_dPfIueg (y2w|ՠ4n@ՃydtQbKmٲ 5@Fa˪_ WylgxtMZMz'9E`B/pL/t++X 'F)*E%3m_MXiB{%+``vGM@@I19ִ)I$@ϲ`ΊIri_{uDewEli[|8 .&!ҭ-߇>K2nR Ƃ5_M P:$a?JT6 Љes5)5T χ2 K<Ů^LڣMDh\ˏousLsu-qT&zѨJ˞ް0Y2B)OS[|un$̎҈$yG&"k '2%|3RX7 iMpl׳g*ڴT뉕*ѶϒX!k g tLFU@XUP;n SL0jzDq愬nV 5|v3eL]X`]9>zڽTJY.ߞ8}y1j99'&O+2.FO#WHR^zIRy#5_G{*eujS{B1{ 3HG#b8K;r *x(Oi/t+ z3e#MSZbvyk0e,;|R#Ơ93`ojV%DdNul]^n\Rny ̔PHu]`„jmZ0ŠgÃ:asSaښA;_^ s&Yj6VZ1i>tndƹU'>@{~kqX92LUʺXK5jm@yeG)3tuYx)'w(whp؞/ꣽzgVu g\Ğ;{4&}tS\8$K|.ɗf2ĕ+;6ĂgDiX`;N/1KY ӄY<{=Ȳ)UĕÃؖ,fĴFu]zwZY\"K+UhU0!wG@ f?ts>|]#7ulu箋r6^}\L%+fY|g2ʍ}ɘѷu0y~my8?M-e˃ilGH//,eB?d F+5hО8C {s.%g(p&pcegxN+[z]@_Xʰ= x1"_e}J{k'kxU!1zqwrrxNXCgYzŻ=B-ۡk]Guv857>틺.=.ѤZ6x羁kc f ;"OKSbj`E /& /9{R0W] ]ְΘ;+OLٕ/r< H ^qNSxW_yWA aRv-]KM81wcț-^NnnrrQ19+tS!dN+Nz6DT੻Rmƫ G)b*&sYu3QcTDf&YfrnC J7 4/9i.\2((Vf> +ߦ( [+OtM8dg nFZ6;|3_UNR{t.f`v17T/$kRZe$&TaR:@!p};8}"O^!`Kk;CZIxq.X F0_N7w)1";% ߈Sť6. Y)wiKJDӑ㽏q}b݋NFs21k6U Ir2\bE&BJhen9qM#%IeeǨ4wnS$Ֆ 6{њw<wB^o KY^Β{ Qz_OK<ҧF܋S~ O v_l.8IsVRsrL}VaI]C]00aF F=w2LxrxRqW7?g2I U(|-+3tp xךxQJޓٛAMo՝!CdS endstream endobj 16382 0 obj << /Annots 16384 0 R /BleedBox [0 0 612 792] /Contents [16393 0 R 16389 0 R 16390 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25354 16391 0 R >> >> /Type /Page >> endobj 16383 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16384 0 obj [16383 0 R 16385 0 R 16386 0 R 16387 0 R 16388 0 R 16392 0 R] endobj 16385 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20211013063105-08'00') /Rect [104.1732 297.4461 165.8337 308.4461] /Subtype /Link /Type /Annot >> endobj 16386 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 281.2461 159.3712 292.2461] /Subtype /Link /Type /Annot >> endobj 16387 0 obj << /A << /D (unique_674) /S /GoTo >> /Border [0 0 0] /Contents (get_value) /M (D:20211013063105-08'00') /Rect [104.1732 265.0461 150.1257 276.0461] /Subtype /Link /Type /Annot >> endobj 16388 0 obj << /A << /D (unique_697) /S /GoTo >> /Border [0 0 0] /Contents (set_value) /M (D:20211013063105-08'00') /Rect [104.1732 248.8461 149.2567 259.8461] /Subtype /Link /Type /Annot >> endobj 16389 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16390 0 obj << /Length 19 >> stream q /Iabc25354 Do Q endstream endobj 16391 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25355 20830 0 R /Gabc25356 20835 0 R >> /Font << /Fabc25357 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM1P endstream endobj 16392 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1501) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16393 0 obj << /Filter /FlateDecode /Length 2953 >> stream xɊ$_gAc_h[LBGtf.}-̪ ƞ!;+"#޾F2\ڜU1bq?/g' Ϭz<MTϯ{cS1VZNјA= x૮/F=m뜱wYdYzԏ:,lUaCڛZIOpل`}X~RSv(4 O0)s0OcRAO|vgV@u@0BJ+P 7ܓ>1e9hRc>"d#{ ?w5k2ug5ø.%эAٯ丒NzTh`46d H,Kwg= vg &Wi63E$ k4E>xאָ}!_&Gu} U~Z\'Gp;wZcj+"EPFذMcB!cu/ma/o*Y!`X#cjJ}KeXdȺKˌWp.k87ah"0ހ#qhp(4xPsMg=$k6?ƹ":1\)x8d3+c- Ė Ӻ 㧄sSD^M} up#usBx#w+3u@k]cv#uaq)Di"?I\jPNړMMmi:eMG&.7.QX(R(SF-=v4+mFE@,LaQi4NX[;{-D4\f.0?ٮiҼ~eέGG^$u>ɜ TOR%yYynPk+^[0'GQl ǃ0UIs[J(q7R9պD !EN+UM@d=Zd{NNb&>c:Ϋ28I~%x"'l=^+ܣKuhdo8:Croh |nY~R6=dݥ*kZ6hϝ/[_Etf-.D]];CHcgB6P eDbk1X"q." PΧMGo`3(甖+KL)Z+;=; yV\l.q@&u)j+3b9\5 $[ yܿ|ᴏ[p :WS?N/o|`a1$_^9fe%-0u*{+0pN|^DnfnWK?R$zxv8'R zjb\aI!iKJoFmi(ުomYo=6nbceȘ2eW rTbr=XrאeSZ9<6^Vֶ=us"{;UH&"| Ķ+Z ?4zqxFB/NݤvojWK횺?שnV#Jլ]p.*<&(o6>$)3˹c@h`s`k paT;9x^Ըz$#Eħ'GDspAM#HkCcGsçqBNHܟyOϿ7[[EժMff;6pﳧ)vC{,ލP1x!"|F Jt-ba͛+9I \`02 u3hfifhijVv8 jQMvM"lNJF"D[՝[x:ϜbT1B^b_O' El $_S+f=TcG(%1YZ x xvM}BB[*ԨWtˋN[ue/3W!gckcsRCA/:BGUFCCg`MʗKՇ)_īo WM3Т݄v w(3D yܖW"L0fz>N;kul}n5D0-z]fv> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25373 16402 0 R >> >> /Type /Page >> endobj 16395 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16396 0 obj [16395 0 R 16397 0 R 16398 0 R 16399 0 R 16403 0 R] endobj 16397 0 obj << /A << /D (unique_77_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20211013063105-08'00') /Rect [90 280.025 124.21 291.025] /Subtype /Link /Type /Annot >> endobj 16398 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [129.523 280.025 162.215 291.025] /Subtype /Link /Type /Annot >> endobj 16399 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [167.528 280.025 200.033 291.025] /Subtype /Link /Type /Annot >> endobj 16400 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16401 0 obj << /Length 19 >> stream q /Iabc25373 Do Q endstream endobj 16402 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25374 20830 0 R /Gabc25375 20835 0 R >> /Font << /Fabc25376 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7JVthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 16403 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1502) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16404 0 obj << /Filter /FlateDecode /Length 4808 >> stream x\KoWC x@AN`?K-u3{Z̴%RTU>I_fguze߷UkgiԯƄ]˝+wQ]O _N£ QS?T77V/6C'xd319ZO߅f73jkg1tdBVzf<1~'O=ug죲_~ 33y&론;W n\6w-鎏*A.g&ED^e Ϗzz` Y\Q/?j2+ 31_X`,:\ba%T~kk4!׿h`cjقMoi ~Yf~I_G}-~y%3s9z 51'-K.8 hltToeq`m))?6/UwGVN~v##]#Fz<5L$E/U>jb@,[bSTd7Raehsd@,6DaPm GR+p!!d obo6i݅W "Mb=8mζ;nU0Te׈B)i~'q" !B+ma0I+>a0?qE_ '燹ǗdkpR=Z"K8#alBB%K85t{G3 K8kpR;+pjȬQH;԰aP䨵̛I,+jl{ KxQ%8_Hv#8mSYPڈO-%ʱv{IdZ|ox&0nif@ar 1@5dp0Vb̀Z˼P P`IT+V/_d[T@5Z >Ԏ0΃PRW|w)RAJ)}Z} H>Aj=},H ?2HTnZ *u@JH})}@JHRj@JH)w J2*u@J_HR@J6Z;]KqJ9ԝ+qJ3)u`*ԽqJ1̓+qJ>]u߅su!}@R- "9L1.@7LfZF_{#:ԥ㥏L+(Ri}U5r|BŮ ]5   Gv7{]##7=եSu 3Gv~kΣ0)NyuHQ ;)9|v#!hY;y#.L qv7жyoys.eP}pnR&d}/kyqzfC%A| _Ī;ãʁ9ibg}Do?q5vH1A T DŽ|LHRT|+t k(r숊|y|c.J?Gt!&xtTm,ZODNp9'rV^Dh\C ';>eH|Pֲ~̸;v1؉E}яBs Ѐ5+gdg=)xQ崀D0aS+\p,+3^q ^ t!0MS IJi D Nkќs1@1&`85Yi}QD& y/:*B+L)Ap0W,)CbĀ5u\*ihu{\ jeـfP(jE]`obt5E| E@I=(|HV<&"`=^q 0jE %ic}OMwwI7!\̹\z[BMHj?p_Ę=vht' e3e!ra V^,JF"J;vPԜUa,ŔZa r?x7 5gCJm8kcvհpZC|)ԖUwGr|r.8=5Sm^'OrܸXÃy^?naXg%j}7!zTK#?8-xaT}FKB]*avwF%4aaP!z`8x4^ }n"ҁV>e9MҐo[ru.-yK^-wϥL#uvMZGqYu)-Q}@aF8wb*&Gr咎C=͏!&$D+ sݑV m|dC1t1P`~HP79'v#.*S38K=DWmOg ,pW&5ks!)V~aB|t=Rw8|VUmմ eXacD8X&[SQ9<+ok2 2]#/Py߂ pU+"6'f[|$%'hb"j#I-/Xͩ7IqE%1d- gJ|!M'&WN8T5 t|ԘDݒvedVRrrDeT$ +'2o65TY}.UqYL@,9V&#U.[ RQoLvѽ )4z`>Oߝf&<4!SǹC{:Qxn0TmZN~Fml-N]|N o)GeO+dKXِP`@ u2x nj$WX1~|9/_>dA_GBŎ 2[Yfa3Ж"qnDV~z-/f3< 1*g9NjDOdTQ qQ endstream endobj 16405 0 obj << /Annots [16406 0 R 16410 0 R] /BleedBox [0 0 612 792] /Contents [16411 0 R 16407 0 R 16408 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25392 16409 0 R >> >> /Type /Page >> endobj 16406 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16407 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16408 0 obj << /Length 19 >> stream q /Iabc25392 Do Q endstream endobj 16409 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25393 20830 0 R /Gabc25394 20835 0 R >> /Font << /Fabc25395 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہC;f٩c8c.@B1BrTQGWr QLF Ur$bE0)DoXc4ECIH_Ew2%ī+O1%PƵa(Rɐى9_>سFw!g37FEo5o6},L>|"j@͠zfQypf%fhzK}-Ua򷥚mӡMˡ|72ɢԑH_%eVK2 ef[X]M` //d"W-jg:gH5T endstream endobj 16410 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1503) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16411 0 obj << /Filter /FlateDecode /Length 3806 >> stream x[Ik$ǯ@ľHUҀoc>ڞ10}Œ[U}0v7ŋ|oL+;}hmdCÏtq'zAWqz p2OLiw  >ҏ㱈ǣ[<580eh!i"}gw2N ty.Օb/EJpMj_tLeɳlr3nUu8{<qDN})8].$/c iw("vj>g4QGZbspRP9*\K*CO.* !b*׉E伝A9] EL3nHr t+}jVT킪p.ƓuH$Hk$ OFNpE!U3'4i *S`Y%N' ާJ_E&`p>7PL-نl? e2'ĕP_&h}HKyų"M0 <iyW9`L5VdRaX@Z@ͨ>r x1n}`'S)A|N솷\)ZP]nbahMIqS% Mlq,I$˄g?I$ /IAy3{0q0|,u;I[Z8&bg(2~M &fN[ bl_WsO69E# %@G15ւ8s|E>%5Z(@Nڇ'%4}FoVɘx0x9$/L*p"f=^q'Vio \oڸ`m/Z%?) tN|n 0Љ؃K*k^˘~(,sDO94:b\17UQg =Ye Bj=C-,L=,ȍgNUCC)$2Hd0V2!6"&`Hy h /y4{T_O&2)|5<}gp*DLc Cr @M=D j^V0MY0 Zk8E'ߥ_Lqs0V#UKŜsZA ?'5BR7ۖucwv^xZ;t Pe@Њ/-62OxoJMcM|us1j(2#-b-B(>k?%<DZX{h56TωeM?p{,uߢcې46M+ˢyWB=Q=GƓ=eB[;a=5A[a&]PCB1*e8MIk$Y64MPc-4ePn6>ۅv ҮVVfECw,fkw]Ka\k"fP*ɫNCr T΅Beyk߃y縸1h4Њ%@ QfpT06\PSCk>[m`mVWT]4hqҗx;1hZkc$<@iYծ?*Z*`p9q,p7ݮhj4hU-uD0D^q}S:z<^9*cu0LI4K^/bix9xޯ{_[J7{Amǥ_ZkQF& u ~["5 7DxlB.`TX3LWaqYnNQFvQGk[pA>C\4̜z2[5$rdf6lxL= ;ik[l.{ۯv\G5u e.JEׂY Ml~c_>Sy-(5!_'?-6shjm,Zfqlƶ: Z71ZN(¤cEZK*jk<\} n4t~AvZ{6Mrբ091=P>SR䡧sGM;ۗjzy톱FDA+mdQ"P.?Ruc&/I9C=vz;VɸO=Q_9ҝϤ3|;hh;Sb:LÎѣ)䛶70;T$OIU KYP$&hvC_sA4[y7IwUwV̫\h=n+[5KGqyȼ(.lw=v=z%dPz|KI}%&[}źwpM}Z;jZU@0nUSf7s}ң DNhWl `.Ni LXF{ =ĝNPsfbP7:(DF=-gLGg]?oxc56l:|6Q 0"RqO˯DH0-~c*z_^MEAg? .[iۗ _az WV9Mzr|Dp@} paʁi@uz9 m\%]3D/3:e^y3"/\!̆ b}ԶK<+uw$fisITutL$@p̹r>H|Z3?}|xWWܖ;`T9ܧlHT/vpTpwBl0dC$] nPhC!.usLX?rEAM p@_Ԃ\| }bKQ:˂iל>8Z0 W@JcA]qM9~FoDm Z6}a5[ R˓VH閥ȞNRh`=k3$'4/# R6OTD4tuP YY572B}SU<8ɩlNT)\luQ Bǣwa#Mdf|"IMn$\eL)24H]<}bx0:gXаMe< =ƑKΌ"C60dy&%ŵ{5N`%4ոAM~mYk ) bWRed'ȝFJcu> CTϩ,&^Z@1#3hJWq埼#5z;X)vc,x,4ny>@BIAxL|'ѵg)VDIiZ3i Юǧ}!O~~=煘~^bg'$my"pA( t3G*̠+^ ;CҵLO|p5zY9<)R$\jpnP(^ Uٞh&^=Β^G{DtTJF y3=d\ "'R* %rQ t! endstream endobj 16412 0 obj << /Annots 16414 0 R /BleedBox [0 0 612 792] /Contents [16426 0 R 16422 0 R 16423 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25411 16424 0 R >> >> /Type /Page >> endobj 16413 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16414 0 obj [16413 0 R 16415 0 R 16416 0 R 16417 0 R 16418 0 R 16419 0 R 16420 0 R 16421 0 R 16425 0 R] endobj 16415 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 171.2677 686.7] /Subtype /Link /Type /Annot >> endobj 16416 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 176.0252 670.5] /Subtype /Link /Type /Annot >> endobj 16417 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 161.3127 654.3] /Subtype /Link /Type /Annot >> endobj 16418 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 155.7467 638.1] /Subtype /Link /Type /Annot >> endobj 16419 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 154.3497 621.9] /Subtype /Link /Type /Annot >> endobj 16420 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 202.8872 605.7] /Subtype /Link /Type /Annot >> endobj 16421 0 obj << /A << /D (unique_501) /S /GoTo >> /Border [0 0 0] /Contents (write_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 578.5 170.9762 589.5] /Subtype /Link /Type /Annot >> endobj 16422 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16423 0 obj << /Length 19 >> stream q /Iabc25411 Do Q endstream endobj 16424 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25412 20830 0 R /Gabc25413 20835 0 R >> /Font << /Fabc25414 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16426 0 obj << /Filter /FlateDecode /Length 1338 >> stream xڭXKk#9Wy5z? l'>-`òdHa!uQ_}Zx 'jruB P,u}vGr`->ic:1v<Rŋɫ 3eC[S 5BN/thi+ k|{ؚ򙘡hghQ'D6kS>d3O3W9y2 U ۪XGSgx3D6V{*i>345f=,'d}GکElظq 3t/Zl1Nj_OZ[ ]^W .169d޻iܯ%ߨFH*z)#@Ϙ0CfSK|vS}UTV];g#3%U.lyN@cuRuT5fm`iƥfD>QziS%!e}VV_U3͢i d[β+WՆBg$ DPq8uD5M45BZ9m:D:5i%^fS0 e/0i|Y*HND ;~\ E͝]>eXХ6$:#:2dJe^þUM?+X q̲yIc=@w5UBɸ{|Ɗn݉މd9k606]{FyA73,pp(efɢP ҢjG F55o9edmԟ endstream endobj 16427 0 obj << /Annots 16429 0 R /BleedBox [0 0 612 792] /Contents [16436 0 R 16432 0 R 16433 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25430 16434 0 R >> >> /Type /Page >> endobj 16428 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16429 0 obj [16428 0 R 16430 0 R 16431 0 R 16435 0 R] endobj 16430 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 437.225 112.528 448.225] /Subtype /Link /Type /Annot >> endobj 16431 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [117.841 437.225 150.533 448.225] /Subtype /Link /Type /Annot >> endobj 16432 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16433 0 obj << /Length 19 >> stream q /Iabc25430 Do Q endstream endobj 16434 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25431 20830 0 R /Gabc25432 20835 0 R >> /Font << /Fabc25433 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O]( mw/g+R\T1Brt~AG3cX-LB.FPQEq¨9 }' LEԕTx5s剢xq-lb@r2dv"`V_g_5kDN 9!M.%O#T\ #Y}ɾ 5Ժ@Au꣔xJ9iK[ZNߖj?ɞӦ+<ʡ|72THLJ\[-48יq|mau3ͪ_ l?7‹C2Xl˜sshf^2 +?ɜ F0p|sLjT:I*d~{UXb^@wP"]@ /%Ρ\_h|=B/} endstream endobj 16435 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1505) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16436 0 obj << /Filter /FlateDecode /Length 3905 >> stream xڵnί9@+|n{@AN ;e?K۞ fKT,(M[m/yu뫮0c"п→ӳSW}XrHW113pJi=Xמcw֏цD~ф~cj YZY>5YO?g7yμ9kq]agpOƤ=iPRwf22pJbuAG3)ήo@CcM*EAbo=9S6'̯Njr@R.F -(AOAhګ.KC4sW$…F1< -5WH5MJHtDB^m8ܴ}[8TTSzĉHgl5A#~+9m^ㆃȁVT璠:*uJ"$T/hR# kW9i {iu\on.3 "8TǨ fm Rl50 Ed,R^,oyeZ26_UG_nDPg1IU U˩-}.h.Rwts{*ikLeݺJ=nj~60ys~MU&d3Du tQ+"[yBJbd2'wJ4֤.GheTb]+4 :K;K)R J,"^kuHNa ` B8 צ~br<,ë(GT;Ed,ʮE9`+n әJ3AeR=RDVEؕvG4])B|)U+$s:*BĶ1y4dr"*O+R[b$zKQ:ƣD2lDںKF0縻q :b3)1cukmEl4$WѬX+ k#Ds:XD =Gtur TƪqhJpN""C2)`!=X/Dr ~2̅ Q5d+Ri&Ǒ0<ޢnpV@:R.uc xSV%bQ|#Bvct~sԩ_qkqKIjf@$NELnɂ4ARMp5 L^ЊJ i*3 ,ʠR\=eڣ*Im8u]~b '36ċ˘^;Pb" OOI֕Q(SuOdgajjV[fMl Ȼ@W'նyZQv0hG3p2c,6LKB\dIF_btmH֮~`IV54%1`8$ej^qqzl}i KNnNǃ8Bq͖?Yk4`vO0SqK+1~u172NrY!ۃPԷ{xZ~Y5EpLXRO)sC輸|~= 8HhZHU#*^\]Ro|D>OϽ@zSL-;J P)܈EXDuXq@,| enz2NKƓTsNXh% 1XiMw=7sY/Hh݆s/6,ݙ!3Hn aaopʒD0 pG鰮;#R.!jbse䑢8`8݆|47>_gx=jL6pӆX-?_\IԕofMfPq\yQO-Ⱥ] ^ҞHJk8ȣ:*FkxT &(_֋QE2bL$ DAHpe'm"-Evnex 렭Aj۽`Q NYkZoso6X.J&xU5 wK/Mo).*lGH!AMv]u9~f/*D_ԗTp1fl.4We"K^:ԙORkތ5X̷a7L_qn:|9vLa\ O||]?^ގzt= n9~c:w:C6q'FRm KFWPO"d΁wo5='Yz_/˽c&wfa59ol7|'dT[qo)BԅIo?ēOPE@X#٭XB°:VX0#~;{Dta">[ȁG~G1L_Q~OJe?^.> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25449 16443 0 R >> >> /Type /Page >> endobj 16438 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16439 0 obj [16438 0 R 16440 0 R 16444 0 R] endobj 16440 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 154.3497 608.2] /Subtype /Link /Type /Annot >> endobj 16441 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16442 0 obj << /Length 19 >> stream q /Iabc25449 Do Q endstream endobj 16443 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25450 20830 0 R /Gabc25451 20835 0 R >> /Font << /Fabc25452 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7F%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ\ endstream endobj 16444 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1506) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16445 0 obj << /Filter /FlateDecode /Length 1504 >> stream xڭXKk$7WЊjg! 9=y aX_*=lM[*I髇Kߴ5z)ѐׯp?vN_jڠ5s.!˃~6?ӗ?@{3|ѿ~6A'zvlc3>NMS~CX>Z[-nȴ)YqMb?Ϡ2 #._^)&nxӎހuvyKPAto‘,2'\A+e8 j Z/9{yD͜.ԝNj19jr,}бItY?O2&㧬!6fSlNAڨQ{}yňa,xι'Ȃ睂ۭHAz%&V=v`]F wlG& uHHq8S*&EO-Ɋ%TrrmV(9~.Wȱg sƏ#.br~'IN: l\ITO_?运RyFXM?!y=kRv僘 jZob~QkTqN$dL/:`)ꪝ7N}xXb{FE.Ռ At bd2<ѱ>+bɻ[_3_oȫ[?Uv]ׅm5],QSgx3&w4ꅴJ;_q-ÎE9٠3Oć4wmذqJ-38/+`f/bN^jkQ w"Ҿo7 e2濠M"Vˆ4\~p!R 1?h15Ȭߎv-_}W5F)H,Qk8FI*<{LULu,av|kÜTI:SsI}aWu @͢m ٖtj3^}DEdS}c4H{-McNJs{"]4ĹK,<2c42åL6KChbYt;Cǎ o1 j|gGZ e#ʼnhQ,'p]-ҵJZBVan6żTj. uRSR}ڬ\D^Yso~ws >`e;q 6ΆJq7]EN\DKAͅtkڶjXȖ5t"ɍi5=49kC2ߔ3 endstream endobj 16446 0 obj << /Annots 16448 0 R /BleedBox [0 0 612 792] /Contents [16455 0 R 16451 0 R 16452 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25468 16453 0 R >> >> /Type /Page >> endobj 16447 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16448 0 obj [16447 0 R 16449 0 R 16450 0 R 16454 0 R] endobj 16449 0 obj << /A << /D (unique_77_Connect_42_drc_commands) /S /GoTo >> /Border [0 0 0] /Contents (DRC) /M (D:20211013063105-08'00') /Rect [90 437.225 112.528 448.225] /Subtype /Link /Type /Annot >> endobj 16450 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [117.841 437.225 150.346 448.225] /Subtype /Link /Type /Annot >> endobj 16451 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16452 0 obj << /Length 19 >> stream q /Iabc25468 Do Q endstream endobj 16453 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25469 20830 0 R /Gabc25470 20835 0 R >> /Font << /Fabc25471 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< +).y-xvw7ӡ~1OEʚ jo swT+_PQL$V-dAQaaԜiJS¯"Tx5s剢xq-lb@r2dv"`V g{ֈ.{4yc-qlP;bLd>R4 <~UxoBDM>5TϬ:JG\Y ][{i4LT>Ѥɻ#LD*)sZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16455 0 obj << /Filter /FlateDecode /Length 4446 >> stream xڵn$ίsilFcu䴉R݋?䣧G#ilF|tX/VEO&7YwG.~:iRVU}qnz49%u)Oo-|oښkk#9 {1=2==o4/O/S8yFxZL_&;/e&;Bweqӯ??!0_/L0N!ͥ䒧Tl˻)xx Gk)B9?Zhv9ZI|of z>< G⎁Qӽ?q_lwmU Nwͤ߬ p2$`  ؀Ąr? `ૄPa\brXbnOaus2}A˒;)v5; >eW9ϓ#?3"ϓuH߇'n{X t~~ fc Tz$er`iWZ'l6ݤBCB?C)mlębF7ukأu0[[rrpKOfhWXD?0B6i8\Ըu.L*kG˶W 0w $v\gDK_W<t)PuY?8]ĥ-c8n-+y6*Rh`؈(%w$DŽG.b JzX5VPaATI|+>%ڰʨTbȚFxQzn9F\9fi_{nK F >DwV@C=YGCi̟,j噩ewq9.thP̼Tw`Nq= QY x X= ;b6#oeYŀ?aIu\?8*xЏ 4xZ5:r4::-=QFQ,ګ-}ev2PVoDWրH/.i 6r/㠠ZU= '$񬡎&h93n.̩\2s\SyC*DHGeT4yEB:ą [*x-3-##ЫhkR5gm'~jT$N70JQ D'M-u8%8Vّ,y頼%@ȗ)@-ْ¢sY5í[}iL{bN6z@goƿ9wvPIUA+ٓ:\b˝JӀUU3D5.A* sQ$3}sDViU"Vf8p&OZbjeužnAjAU hPInb4ц`U\8\in%Ӵh|Ra ƾՁ73^'\´YrPFFN>ZAT5@Էj4vp@3jwj1]W ߩ洞jv`.U=+#MWQ zW6ȝ:!wNnOVhOU dnCQ'TiڪTȼح quY6*5fnȾOzLUqȗCf'z?ۥ쾭? [88\㇔ՠ* 2GtlD_?A~<[c߀u  뜋 KAmoޝQW-/gMьuz'{xme ۗSy^3zyC;|n3M#xѹV؜k\ zsd\:/ȏ%@n)g91PlK#'L6c/Ds^֕'I- vh/+ttzDܛ;!/J.kmýg`lë 4 x媛3n_T9-`dOq%`}PR>ho9D!C QVa~,* ]]мhSL sl.QjTݥ'ފ$.{h@sO׆O7?8hhVdh.m`0p}q)gݵ|Z2HPޕ9w{@RYʝdnd0%<}x4{tʘ%m< C"(tԟ+Zv~@;wv.M՝[mM넵lC&*Ϋ:[JQ3G^{~*.GKٵUӦj}4dCg }P*Sg','5BI>׎>fU'0w7RgC\.֭>22?-yËLzܾLrہrIJ+q3A-hIls3)u&=4j$Nu']نRR4gm\6nl}mAA`Q1[ݹ]NͲI T1Wwe2ߪYNuPcϚdzd|W}[+l$KŻd1t]xN+'+([4nA4Ϋ!%sV,EXyX7 { pe#%[TBbK/;K]WKonK'B6;^ldfm>s6?¡4zYga+^pu0[oJFۣF zsOszSOZ~,ZK@ct֧&0됄5>l|C;a^ /"Q:Է)9>߳c?e+f2b@O v64/ŗy/9cySANgsI0UE\^<))d m>6:Q^u.\똛Ķwc7[O ϽlymUX G=(0crwh$C1b3 Hky3nujsH."FlȖl-.&ݷ6"09_Df&K6WbҔ\d>Y\Xhl$ceA5G:֘c0FN ĕ'f}M8d&3ؕ{۠U7>QkSRGc-`c-+j5ue嗃9s20tVV>%py;:LSw3mOS23;? iZg=BıV h0rcs,-H'jv^RIFWTk~VPi> wc!R~ey lhm׭u0tY-֡TH~Rȭh`]M,tHq.Tqɴ6d2klWڻY`cʩk[ _E7[؞O|3pծbsjX^w \\B2ÎZfMN6& 7Kᆰ)Ʌ3_ a~Ljr #clFwϘ=1^g矽ęxC]0a1) y怌~O7G/ɻ܂ ^RղܢK (\%<* kt9 .&BsL.S=;kv=D aGwoHkoyrRyCL> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25487 16465 0 R >> >> /Type /Page >> endobj 16457 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16458 0 obj [16457 0 R 16459 0 R 16460 0 R 16461 0 R 16462 0 R 16466 0 R] endobj 16459 0 obj << /A << /D (unique_135) /S /GoTo >> /Border [0 0 0] /Contents (add_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 533.6 180.0512 544.6] /Subtype /Link /Type /Annot >> endobj 16460 0 obj << /A << /D (unique_141) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_checks) /M (D:20211013063105-08'00') /Rect [104.1732 517.4 177.6092 528.4] /Subtype /Link /Type /Annot >> endobj 16461 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 501.2 154.3497 512.1999] /Subtype /Link /Type /Annot >> endobj 16462 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 484.9999 165.4707 495.9999] /Subtype /Link /Type /Annot >> endobj 16463 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16464 0 obj << /Length 19 >> stream q /Iabc25487 Do Q endstream endobj 16465 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25488 20830 0 R /Gabc25489 20835 0 R >> /Font << /Fabc25490 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16467 0 obj << /Filter /FlateDecode /Length 2101 >> stream xYIȳҹg4 L|4~@sK#2"jgǿ6El^%1Ж/lӂ_m}`=soC>Zh {NX\6z}N1[8`/"#\MD7;H ϧ ?a7#$\Wt'V5:{w@qpڨ! B4UX뽥3Kt鰷jVz3S(GF* iV1xэl rqnZv{$>$u)Cǃ(BnjqmQEj\ Db5c4D=nwSIfH{vpl& Ar4%`whvxC0 JlKq'.Cjw-lfq+)rl.OqqEdG sHD1AM yqc66fJ΂5a1Xn=Yl6YLYvwIw4-DÒCA>L%Z03l ,sqDž4 h>[H.۲am~@EObgr\88֨qFh:/g$(D!37`o"þs - l2pS2pd22IB=;E6ݼ5|V-=筞aT:E9CDw̮~A'ь*k~0a\`C'z4I=]>qQ0IOXEM54a`^8քWE27ӹH|Α8"&08K-D 7f8ielM}4_ʐz76L{KU{XOշhÔ܈jpeNJ[D7?YZ5HGiOHOCA>/-3hPC.jg Y:DT8n{QKbJ2l jʨP0Vhߨ?wJuwAƻռz,gـiPTE?8 "6dd ΆI651i0EOf-7Skjq6W ]]bR#aUXCsG^|)}kͭ;S~%y"WiE*wާqMgL 8_89pl&YT¬;THVq wڢN^V]_2 OfC2Cm@ $Rs|7170'ԌU#>1 zSзq fv - _}W,zrrW%92^)l4wEF;"լ#V^uRB8ÏO^A9 ē< }/&j̲lFߩ]9%ƨ-Nxo{ *ǒ 6{]V!F3j%[oL˄ tYaE0 q?ƊD3\"}`pf=**\Pj0vc8TLI,.uˎkم _+evMZ)c[5Tbzջ8 !'%I?,NKas:rG߄oPn?DŽ6"UMi130ҿ͸ȄK_BYmLͣ^=,Moj{8}H@# 5M+#g;I (-r26c~zϞtY7ҳ6m'bxknydw^L(Cxhr?^hQLQ XEgz{\T0j,'D.sn9?ChpR9(<TT8R C7D{2*n[bēx$5}-F}X8g|BГaӔSةdt81 !C endstream endobj 16468 0 obj << /Annots 16470 0 R /BleedBox [0 0 612 792] /Contents [16476 0 R 16472 0 R 16473 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25506 16474 0 R >> >> /Type /Page >> endobj 16469 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16470 0 obj [16469 0 R 16471 0 R 16475 0 R] endobj 16471 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 16472 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16473 0 obj << /Length 19 >> stream q /Iabc25506 Do Q endstream endobj 16474 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25507 20830 0 R /Gabc25508 20835 0 R >> /Font << /Fabc25509 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7j(ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}* endstream endobj 16475 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1509) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16476 0 obj << /Filter /FlateDecode /Length 3923 >> stream xڽn%ί9ksgI## I \[w?I3OܪbjN//Y^-Vwu~?=~mÒC^?>9oao1[Eoֵsk5/_'(GON?hB 4o_YRY,[lIVrYaUfNxmgN9%Xlbe{xgcr'T@9h<Vє|6f-:ݴ ga<<PhO%"H۬ơPy*ȷ<F- {)!Z| m]ƲMۿoџ[ <RJVZ떰_N?}CY?G~I"c.{w`=0f`ZXFh@d9o[OC KȵjQLS%a]/ 8m]| cW߮K^V8O.fMqYѺY57G$ 1/ ˾4%]Mp\z^:JۺQe(˺8T~:S?qO'UhqG9AԸ <ؽ9 ̌BŖ=6^z.^<Ӵ#%uKUb#Zm muVCI%J%?fݳuXG{4rʎ[K)AXb$C . 麔nj_^yP&Qa[~ot@-L&Y|ʴ82gXlvyP:c4>YF/ L'ePU|@HR5#a6ۄ"=Vg:G-8͆D6 Qv,6+J5!R…Q:V2O.,a\́y[pz@qd9}jRiU2|N7nnp5 wdn0 },`s0 w`ղ[3b\yT 2  UJ\i 椄]9#sr[srb=͜. ۚۚzccsRݔ֌>fBelǂ\֔6&D4fn\gF#Ѽ9KK oې:ho` (ֿ؏S+ZK t|4*T^״IA~Ak9 ,H}>϶z8gG ]X\OrB(-QN (>~D![)ZNx~MHZIΜ` (Oy*o&+5nU673 >l0,fYCneO9ԇB)-pD[XOx)gɢ4NTk"!>*d SczǠDbIA*Em}r*%2HYPכCg/9-܆?̪yqfՕ `!f)kL+:940]Z-( ÆOQs^Ma@ɜވɘTBPEqэ4B:n,0?oaܨhxc/ɧhl?Y vʭ QЦ>  ntn2~$(1@iRSqIF2->oqJ%,5;́O~x(ˀM3t@ư.E)_" Ϛ{W玤gWzӲ>2ADE2L.BDK*;FA' DֻUTr1@ۮ /yJĵl h-k?tڕbn5-Czd GZ?EVxbxCt)ٱENkQFa-M%} ¿i5rZPN}O#Mjsa?ٴȻ{AkMxgEt#+,BNݭIkX<4Uc+dG>mO R;<~M蕶n͢XzP& a)J2X q%TЊ@GJTصt͋Sc՞r}5#x%^xeի\gj! (Sfs#P!T!f 6EOix5.6CXzbjzLU2p&#Eէ*~Sxo*EcC[:.|Y<_ ?f3qjː8w}OcNNX@~5|.C*3viGӅ>'sRMB']lSG{W)$FG_lq NL%WWJ kΏDğqUy_}ywT_ԯ)@{ B,Aq4|q1|Jlz_0LoIA@=XsЂYeP endstream endobj 16477 0 obj << /Annots 16479 0 R /BleedBox [0 0 612 792] /Contents [16489 0 R 16485 0 R 16486 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25525 16487 0 R >> >> /Type /Page >> endobj 16478 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16479 0 obj [16478 0 R 16480 0 R 16481 0 R 16482 0 R 16483 0 R 16484 0 R 16488 0 R] endobj 16480 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 489.8384 193.2457 500.8384] /Subtype /Link /Type /Annot >> endobj 16481 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 473.6384 193.2732 484.6384] /Subtype /Link /Type /Annot >> endobj 16482 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [104.1732 457.4384 162.7152 468.4384] /Subtype /Link /Type /Annot >> endobj 16483 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20211013063105-08'00') /Rect [104.1732 441.2384 183.3237 452.2384] /Subtype /Link /Type /Annot >> endobj 16484 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 425.0384 176.4817 436.0384] /Subtype /Link /Type /Annot >> endobj 16485 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16486 0 obj << /Length 19 >> stream q /Iabc25525 Do Q endstream endobj 16487 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25526 20830 0 R /Gabc25527 20835 0 R >> /Font << /Fabc25528 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*gthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?1R endstream endobj 16488 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1510) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16489 0 obj << /Filter /FlateDecode /Length 2140 >> stream xڭYn#+:|?A$ۋ0Eo'/rn,;Ʌ.6YSEV~:V{/6'< 9<ۿF9.FG?:LwXTb_jH<B;_NN]Ε'\`RMO$XW$؅^!0SaVɴ#333v"+V&LhM.Vc9x"M'ێBYt.UnLEѤ2{(C([QEĔF!,>LW{~RzkxLKŗ ])>OFCh sB\:X*# F خO*%/n7ۘvcjcQ-7 @':B3yq f( Eg* {M~q?لUWS$ﮙޝΥ--S\s-. @ZZM( Z.g2 F$E$\2C721&I"Yd;WS)j)cN+ ż`MTg[H2<)(?Rd" F1@ ė098.6,f@r)\{b&}D03IsvuN#F"Tuo`Xnt.u-]\#&,*7\m2jsrPDE0!9 |S NT3dkY'`dnaQ|mk=n5p}wVSd u)ozʄgX36{ G0kfVY7Zӽ[#~^9'7߬\s* q3l[U,!,9&!0TM%+w@VR?!J[ki گ/۹υ {sdB!9gΔg:n͜0#< ZM % _OI'c<}QڄVKCzrfPkO{W qݻ Me`ۼSzvrƙ ;xzhe>J#(e?Z:k;I*;>H9L'x1ḑG}G?v: 8!.l2~A͈8CHqWf-ܢxK NKtSx݊ϔf#p3huC'ɯCG +M2uKc_`6Ry#{k4Mӑ/ڸ Ŝ(~}2{cvw-D@_͎]!c~!, RZnÑiWo.M^j|X9s3 uţI c[JssJ"SLz4CS9RMǏq*=^LYu<{Z\ziw=I@sāցaW촹P,2hф#+Arkϸ>121 "gfskW~'*j]'\b=Bz%λJpEޥ5xk;&sͲ5]9t X#a**̒ztm{ d.B^MrWQ~ʽmA% endstream endobj 16490 0 obj << /Annots 16492 0 R /BleedBox [0 0 612 792] /Contents [16498 0 R 16494 0 R 16495 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25544 16496 0 R >> >> /Type /Page >> endobj 16491 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063042-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16492 0 obj [16491 0 R 16493 0 R 16497 0 R] endobj 16493 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 412.025 137.278 423.025] /Subtype /Link /Type /Annot >> endobj 16494 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16495 0 obj << /Length 19 >> stream q /Iabc25544 Do Q endstream endobj 16496 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25545 20830 0 R /Gabc25546 20835 0 R >> /Font << /Fabc25547 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jLЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzsl`yݝCR\vhw%‰ph#bwo7 endstream endobj 16497 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1511) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16498 0 obj << /Filter /FlateDecode /Length 4251 >> stream x\Ko9W<@ *Iz{l` -`_oGUлp2d`jNx/oY. :K){M11>3pJ.?({?=r7?};w^/WY>9 56Kx3\Ch6eIxmגi1֢c]M>hƟ Pd)g(EC٘Rth~p7_{e]TxRz~YEBXKj{` ?>&VFTDKbPB Eq@ GYRʃ҃  hk%%$s`th2p=?Yj/)q;Td_QAJyB;1k(K8`-hE;Z8$>AnOX _P߀:;c҃,m`]-dPiD`!=cA s٠6l$πcĭ~[˽)WmiDD~G/R>ۺc6~6X:&$XOO_vHELe}웟GOy䗷uiWcשjT0Gm|HQ*{xT9V7 C3 u0=PW{W[P4Tmp+d[\- -6` MƊ4GrB'́]-%Moq3Ryzxms½nyQvnea&0PXHY%y'd`]C] >|[H5=EMڞ K!WWj,ܚ*tt d* dK|# b-?w>q e܁W6"a;)0ƺԙ Gפ؍>6C2~7mEl9 U|e䚩?B:KިPX{4AsDV:(F'YÑwP'M1ƶzٲYE,bh+?6"Tѫ KښCZ %i) āwF,ZÍuCW{e]#,#UP/}JKUu1NJDW<2 QHc?(c Ǖf.Hɂs"555>D÷ a10a&`qK .8XH8ꪅT*gL z'z#jQ;EJB abl*^Wn#iRojhvRk/\<,MV &}XWEd,q^'*к=4zy: YϾjm:2wW2&n.hϓ)m,9#g?ۯw}`Vl0_2-,cj;i;2H56.Y\Jd| g]ڽ_20Rħ Q\-WgP63:[ݫu9csFfe(1 QGJv;; RppnE҄ƅY;+YSЋ uZ/sכ +Wpr Ҝ0iSL}+u(cp;`M@,,{$3d\JyE$;2\ȭvzWWV'8) NNn ''p'I}gpRk8韆ZI'ApRo+'5ApR%IbgVGIM8ݺp'TWØbg،f>f 6rp=F6 +0 uTZu)I!٫oӑ?[|x^SI8`~}q:m%4 ]y(m6ǧiژ}}<+J< }j\siBPxWMwnz^iKcv .z?2'ȐHMvI "-9L6y,_vlaV-9CR$'Y: 0lWQ=W'GN,~anav;Y^7,SzT2ڲlSVcb' wÂY U5 hv̋yߙ,~60J vn_t4oBkjʃAXd3 MousG]'WwMMLVͤO4@"رUt3u706: 61*Y v+ X⅝]T@z!RFJu1nqv-nWy} >ou]S#R•Q4'*m 07>qF(>wgt5j1t wYOfL?=Z HS00 4xcM^4<3 Ǯv&!Bюisk? \2Q-u^%Z=ױ̙gj$V^V.=_T3([&!FGAdQ!1COf{q6 :yYػ<2Y;f)42LXBoQ;(yr_,p xp{};xOpcY].U !r/,T& ˃k-bհ}dSIHe?[?1] :gi;b)9@Q4{hð$y6{ٺo0;i~Ą,5_@AQXF+-X6x8N{׆ _H xj`y!@isɭٻ`W& mKZ|z\[,8ʌ>]v&uQzCpEv5OOy,՟ ڻ_T3˫ڰkM^&FzЧlPRm+[]1 ,+^T^5gTX}Ư^>Q}\\{1?K2F9NM뵘ب7^%/_rGa{8zri2G0>G2 U/WId?d[f`͓=d"t'MCfUogy]%6 XZ$YS}2JcЧʾ7;)r&XD,"/9 ZMNKLV-ťRP` ǥ. DU4(9/,^h{|n\ЯyevIE"ґ6wVNs\L|ż2yne&ջRgn!J (qxLD95.Eu!nb| e.z2^Ujv?qshc0lhpé7LzڸD< |tdFC61Wba2` "'氺_Xe?bQ<:CȨjʾFM>*6U`L<7k1KhћGVlwh}zƐb̔m9qW|AsRM/|sjuVĝ?5@:7F^)x{Dv]0ۅWщ,{WzIL(b_S$l0sĩec}VzTQLNIIzxbb0l#H1> ),▲skZ;ϯJ_zMk:1cy{x*BTSip֋+'a(x.CqM@FL|8^dpPdArOj öaqz _c(&UN çЌq{fXX'KN픊Q>P[ ,d:Lgp ?5z e:&s|PYjt퀡|IrAs܄W!6LǕ6 QaG^hkes<?_,<_kG:gtMB,"*XSQ^_ЁTΌ|x!^)xg[O蠔Q> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25563 16508 0 R >> >> /Type /Page >> endobj 16500 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16501 0 obj [16500 0 R 16502 0 R 16503 0 R 16504 0 R 16505 0 R 16509 0 R] endobj 16502 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 160.6541 174.7052 171.6541] /Subtype /Link /Type /Annot >> endobj 16503 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 144.4541 159.8332 155.4541] /Subtype /Link /Type /Annot >> endobj 16504 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 128.2542 155.9997 139.2542] /Subtype /Link /Type /Annot >> endobj 16505 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 112.0542 165.4707 123.0542] /Subtype /Link /Type /Annot >> endobj 16506 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16507 0 obj << /Length 19 >> stream q /Iabc25563 Do Q endstream endobj 16508 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25564 20830 0 R /Gabc25565 20835 0 R >> /Font << /Fabc25566 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7jRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>V endstream endobj 16509 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1512) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16510 0 obj << /Filter /FlateDecode /Length 3495 >> stream x[KWe) `ֱ`6⿟z%gzfKvUbWqo( xK٪/_U3*xۯEpsK{YksA|U8jOrZ2~3\Yk2'J_=&h4\:e`wW_%pRgdaUЭsE%9y)P?<@;m9o @qBwk R2ZIyq)gإ/܀3\(+, =DW`RsL`F|*4@iMZN}򙟝/:7N:ch55ٗ';;)edq2ɜY;sL "2Os Ȼt frǶ΋q}p)r4w{Z$h 殂 ֙0UɦGL*@8 :Gۃ]]q. ^ˆM@hQ"uBrP&:&4ȦL]DLj0< Y;AڤBM<<&y6ZDFWu=~@40R2bL*ao귋L1 ˮgvR$w 3 utK"ޟ;^ؔʺ:/V[]q%IF" }#b9w*825/sEކG0- :_"Gەp(q5:) ֜`C*wK#/!ߩ=ӃPκ9gcj2"EPZaʎ [qASJ\rgNf %=VrPlmhIe .53-{Jp8˞M{V|DMgxm+F jྕ {Y'_*k)Q=& [|K&{h=&/FY%e'zJH&QfNJ8lw~S@5XPG U鄦| %P(ORlPvSq(cKWݢ}۹1]iFc4{8؇oڨy4oͧA{|6oJk3}͞2^Grw= N/Cm>Paţ omk Է9\@r))=fe m),,ܬ{>1筺9몱\yYn;I{j^#B_t؋?YVo1Q*A0c]%FÒdQuaOwߕ{ =~ʂqfzu4 ;p qU/N/*+aT =lW"FJXi-/z6Tz7~p;ԧٱR^?;TnkzӜf)@8PK ZYj{W1‚71ЊW VVOkihxϟZ~5'W~j]²)`r7Qx/ xг]iL2AYtIr{]R< uIF'CqS!r"}ꇠNe [ݿ\TM ۿ/Q?a9Ÿt!R;a1bӂǝwX7^Qѿ+ :??QoQ|\\ ͳԁu Wtu! )6"&kl{с砨 /h kLFtywO N^T0nךK_t50rD:!Ԡu}Vj %ـ9BFG,C4rf-84:x ssh23x00m<8XꜦWel^UmB|UR x{EΠi*H$ ҺO$}Χ-a{Ӛy yxޔ:s .-K0>MxKvqWCg0j:ڎ3bX޶Sk m0VMPҽ0m^cO㊂&r+R_`ȩ_\|>L24>840= %J=/)}S_5gQ۞nWasr[ LmKfHKDŖumby5x:|[3(n*+IPVз\n3) &F'5udqZT$X uk\MmY )tbۤd#9ȝa>@"o$a\yѫ/) %v|:9p ͕!R^fGc/tZ#iɡh sD+_?'@Ϸ \i3p\I~[^:[nsoWz'* ȱO(24IQx"g͓ĹdED5;uPȲƢVQf{xOӻeX'>K":+C9co?=|G1L(MN?-O endstream endobj 16511 0 obj << /Annots 16513 0 R /BleedBox [0 0 612 792] /Contents [16519 0 R 16515 0 R 16516 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25582 16517 0 R >> >> /Type /Page >> endobj 16512 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16513 0 obj [16512 0 R 16514 0 R 16518 0 R] endobj 16514 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 16515 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16516 0 obj << /Length 19 >> stream q /Iabc25582 Do Q endstream endobj 16517 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25583 20830 0 R /Gabc25584 20835 0 R >> /Font << /Fabc25585 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {vJχv͇׳Sp<I e!swTyѕ/((F1UrdbE0EH(ް0&U$c"KS¯";%̕')gƵa(Rɐى/P|}{Y#rڻ3^JTLP1 )ĦGw|oBDM>5TϬ:JG\YI ][{i4LTSb:tD&r;L$u$[dj.\fy4 "bH&}%l9lQΡddON) &sAy)Q8F$!ċ륨e'uݞBr\:;2Fsh8-,v^m]D endstream endobj 16518 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1513) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16519 0 obj << /Filter /FlateDecode /Length 4350 >> stream xڭˎί9@|SLwmr|~E({fwućӗMQV?}~jRU}Ü?M?=ɻs ӧ֦8ԷʣZMux 7'ƀğ2%g?es^h .xzf7ӟy&7$Z.SZbSewS֖Or@wXvY!:`7]6PRL`s$/br([|pn^crȱ?`\n.]{oG8 ȹ(Eq,;re]~ pO"ۧ?g~YW?oO~O %C8d;e0l.^Ao WRzns,j}--q_U6{v> L=>Ƃc ^0T58n Of䴈>,hu{* %zi8j2L+[G#y[w:,;;:R!xREKUP欟=k.KvbH'z#2#{ yt)U$tGS]Nniy؈VרZFǭiZ@<: 13X1 [ 10ͳHj;" >4!C8KniFoOes|4D6i0YʳP?n+*o)*s;L4F.g^yQ7`-毒eYY,g3C~FU2 7[HON!z%/b 'ߖcTON_#L (RE+O =Me E$#Txx__{,3 #1CB{./ijJK8UPxPG4؜F#.ic"&W wょɃTRiΞ:MRJdX4/d(\оBTٌyt6).o,QH| e4kI)Lqv98V.lőJyQP)Јyobye}r-j/=QuL#E[҅*Ŧ.J6g;7;d5*ɜe,t`Ǧi+fs`+ 3W$3,bD̖lG[g{1βujԖپ8͆حh@,C%fRi;8`5Z*s^,y*\Tu;[m3-8a &@q`tZ UP-,ncnc膫Y#,fY Le,e: 5X4R1n+`@~4 d@~g@w+yeaEsrGz9p3'5'5'l{tSf}&d^5n>vk>vg>1!/phF}f 0͌/ϑmC栽$cu^9eS+Z(:~x\ *oڋz#Z1 2m0V+uh#L>.sY}Ȳ'k(Ii5^kzDyRqY& !_6_)#ZҖ6ArC3Wz Ӄ˪M@J'2A~i.pwFabUJ I"VOD-QT|PI'ڣ#"L߫b듶rNBd#8n*'/YvWBp)ui{EBڼ8E o Uwd5zYAW7=ɩqs`Rz > ){"DYkt0#IPq$tb䭑=ҝW+__5I@L {$5F^%kVQ|0\1! v.mip89_RWy]4{p첰 aQ_[M7flfK5Z6q6fA!@l(ZS^7_ɧmO1C&?hi+w=Urm,Jus7ӗ8m!U[`_Qajm]kKn"8zF.+'RuŪg"bmȣ= #2Hk#(ҷACPC:u Hdڄ:hێȯ˜I; |OܼXNvII$ J%nv\1~g5(,mA&9\IcTtU>#NZMSMӒ'9#`i>@⁲yí.rRlyA.>q6K*C׹ИS wf ( W5=x\c7O;%[C9nC$ɮCT2NߋJ(T:;'^'˒e"u; vZ|DnajPIutg5v=V=edgtf{DJ.yNЧAGZm0O9@zbxQ\KIۭ f?=c[B&N: ꤒhvO)/؆+EU- zGuJEmB~7O#&银z,_oc'$ 3 [IFyɦM^T$Ks&[<_S*| \i@}@@=MF1FPjAzͲq'uE_ʧƇB8\3xqMۇRW@TgOM+"b|lk}Jcw>m|64;YԒ/2 mu#0w)}|7"Y'yU8{?Үn n_(^Nx T/0_V]\5wPo7 Ƈ6lJ`n^I~ A/SKY_P;}qlOT';egcZICNMyk#/?#۲F`ӎWm0LeNq'*hCk6p.=)M>oOA.|rx77>BUhWVx)kXՙz)Ux\N.@;Hnnm Ӧǝ3ߊ蝹nރleÙ1ޓuB'ݲR7b[,}/*s!%f0}U76 L89; y Xg} ϸz |_w/|.Y~2*m/L,L| әEvj6pVHVŨO˩GºV+1w cJla ԃ5-.~m endstream endobj 16520 0 obj << /Annots 16522 0 R /BleedBox [0 0 612 792] /Contents [16530 0 R 16526 0 R 16527 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25601 16528 0 R >> >> /Type /Page >> endobj 16521 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16522 0 obj [16521 0 R 16523 0 R 16524 0 R 16525 0 R 16529 0 R] endobj 16523 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20211013063105-08'00') /Rect [104.1732 567.6038 168.9962 578.6038] /Subtype /Link /Type /Annot >> endobj 16524 0 obj << /A << /D (unique_328) /S /GoTo >> /Border [0 0 0] /Contents (report_hw_pcie) /M (D:20211013063105-08'00') /Rect [104.1732 551.4038 178.8247 562.4038] /Subtype /Link /Type /Annot >> endobj 16525 0 obj << /A << /D (unique_312) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_pcie) /M (D:20211013063105-08'00') /Rect [104.1732 535.2038 182.7627 546.2038] /Subtype /Link /Type /Annot >> endobj 16526 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16527 0 obj << /Length 19 >> stream q /Iabc25601 Do Q endstream endobj 16528 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25602 20830 0 R /Gabc25603 20835 0 R >> /Font << /Fabc25604 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16530 0 obj << /Filter /FlateDecode /Length 1645 >> stream xڭXKk\7Wh7zK<{ķoe෰sTw{I̠;rK^ 2'O:e&`'2!-RMB -O*,L }mILPmVagh~qx M}76k ?ZߚlIfxi*;T|jNjb8).gDutS _;"t,7;!|jA\7K`{'د8ݹh{ ;wuE 9ek>:_8;_Yc5C0f[ OEv>GIлR #y,w0 ՔKEG7fGdr}, & $A29M$A jzT"{ї)$Y f(3B#[ARlA_F:D Izl$1oK?#;,|lHskc1űIB\3Mr|5[xL;/>N9plؐ-fWPz!J-0E3cf!Xi5Z[ԉb׫l5ʎjd\} g? \!e~mc)flFۘ VJt>?7g1kʫlڲdG,Eo{ U"ɌiS~P٩v !C endstream endobj 16531 0 obj << /Annots 16533 0 R /BleedBox [0 0 612 792] /Contents [16539 0 R 16535 0 R 16536 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25620 16537 0 R >> >> /Type /Page >> endobj 16532 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16533 0 obj [16532 0 R 16534 0 R 16538 0 R] endobj 16534 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 422.925 137.278 433.925] /Subtype /Link /Type /Annot >> endobj 16535 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16536 0 obj << /Length 19 >> stream q /Iabc25620 Do Q endstream endobj 16537 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25621 20830 0 R /Gabc25622 20835 0 R >> /Font << /Fabc25623 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&fthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ^ endstream endobj 16538 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1515) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16539 0 obj << /Filter /FlateDecode /Length 4441 >> stream xڵ\K8W<|vl{v.7^|IәUjDJ #z>Iÿ^looS3SS2Xߏ?e'k?O7Mw8xk%X4Pg9ff0hs9+`ٜ}0x~iN',Lm1.2*='$ppyI-"Ovz>Q0ljcg][{"5wThWe6Apݱ;v6/[76k#JQc@QE@Jv+ R 857ЪqNJiW"B`1! cV+8496ivp)`ج`aV@7\`a`a;XLaa;X vٵ %Xlz27RZ(V3UdV7@v@vڕ0PDbMNVSt[Nv 'zgD8i AH|>zzI1 dE5.|~ F=h.~L1vW2ddś[۽ƭX.SzUhZ/ NkY`ga~HLFgL /JVdF.-X<]>iIѭݱ,]EBf/n}iA~۬R grRL pTAnC9Z rN]dAJqv -1ZlrPLW}!6RYǴM')KoN?:&!~2sIc{>`7 yh+ORQ.[,FLj,ObK::ujd\=P8[ŃV|σ<4a5>v1>G b:! @( ` <ђF_T6 Q t;rX)t"^Ώ 33us.xy!VL3깙f\ \ >DӠHHUٛaS,!c`"T /1UǜMb,0[5*!U0n^&J2pUwPvp a->-}{!teM'̪q"ܩx~"5*;ŽPn46qYdk8KsKFtn&1huDVR^G _Qc353|*q(Z|a3VHH8}*Fv//dOcuMe^ =:F>;iT-P6Xe vlX`4Na`-\3v/ݜJ 6;7#mwt B/ :dr~HQǸK(6s8N7D߳+WD.v:ڮM2aR췫VLjܽPw1msR?[~oOб9դ r EKak>aƬ]֡KAMSsJSKml 5-AU3܇ʠ;ޖ/4.ߍƼ]1|MIn.uFdxޏX~m5ئ*ЌJ2 b]g^+Xp'ཀྵ_x9-H;Xjx 2g[6m}YgϞ:}aڴkd#Q-`G4%ې䵮^(OJY~u{g;[x3W?nϠ\R'edoWVx‘13v'Nr*wNULCJ΀a۝[ 0 POGD=f>kO3+dWxK刘ݱ0u0r Ȩ`q\wQF#* [Ixm ÉÆumk;1.$ਐ(dQvkSr8'{Dt>v Zϭe-Q Sr8Ir> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25639 16554 0 R >> >> /Type /Page >> endobj 16541 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16542 0 obj [16541 0 R 16543 0 R 16544 0 R 16545 0 R 16546 0 R 16547 0 R 16548 0 R 16549 0 R 16550 0 R 16551 0 R 16555 0 R] endobj 16543 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20211013063105-08'00') /Rect [104.1732 553.3038 179.6442 564.3038] /Subtype /Link /Type /Annot >> endobj 16544 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 537.1038 197.6017 548.1038] /Subtype /Link /Type /Annot >> endobj 16545 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 520.9038 195.1267 531.9038] /Subtype /Link /Type /Annot >> endobj 16546 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 504.7038 177.2792 515.7038] /Subtype /Link /Type /Annot >> endobj 16547 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [104.1732 488.5038 163.6832 499.5038] /Subtype /Link /Type /Annot >> endobj 16548 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 472.3038 205.2137 483.3038] /Subtype /Link /Type /Annot >> endobj 16549 0 obj << /A << /D (unique_318) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20211013063105-08'00') /Rect [104.1732 456.1038 177.4497 467.1038] /Subtype /Link /Type /Annot >> endobj 16550 0 obj << /A << /D (unique_335) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_outputs) /M (D:20211013063105-08'00') /Rect [104.1732 439.9038 210.0042 450.9038] /Subtype /Link /Type /Annot >> endobj 16551 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 423.7037 165.4707 434.7037] /Subtype /Link /Type /Annot >> endobj 16552 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16553 0 obj << /Length 19 >> stream q /Iabc25639 Do Q endstream endobj 16554 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25640 20830 0 R /Gabc25641 20835 0 R >> /Font << /Fabc25642 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16556 0 obj << /Filter /FlateDecode /Length 2019 >> stream xڭXKk$9W*R#y2u,S Q dMm)[;\%='0$4*;f? 4: vcWsio^eCbgq-]ān nyVgsXZ(x]uy4i[y#5[@>S+qisi7gMEN "%X-XuԓjؽgLQlud_UF އ1$U^hKժvApC䊴390:ȭTQc;.RJ/dB?\JX(Cm+zcCK!N^S5w^v7W;<0QLqI` Y I}yt;ffKB L YbqLDݤו<tyz\R ?^zvm{Ҷ.(}nmιҶP'<egf"z,`[|HmS]X ##,c,qr[כM-mZIܞVD|FƐ)jfYzQ++u8G@rZz||9yQ*&+*:[MnGwҖ%X*%4 zIRiXl1U%SmkRF sD>lfpW!ģ| H2 Y4ug eO' 7+DYtCm\EM#5E4TZٕ` s=nU$,> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25658 16563 0 R >> >> /Type /Page >> endobj 16558 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16559 0 obj [16558 0 R 16560 0 R 16564 0 R] endobj 16560 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 16561 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16562 0 obj << /Length 19 >> stream q /Iabc25658 Do Q endstream endobj 16563 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25659 20830 0 R /Gabc25660 20835 0 R >> /Font << /Fabc25661 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16565 0 obj << /Filter /FlateDecode /Length 5367 >> stream xڵ<ˎ8w~`Pj`n1Şj{0ZoUvD `b NxI./oK3KK6X?gX|c|Ln'CxXNVÝ.ăbl{znOnxJkwiu@a޹vw0?_7d%ho\=\5- XKGzθWkJ4)%0+._n13e]XKXQ֤C%5pZׇC9]4<< A H? R `6~Rv0vX2G.>tyPN:k+sKqjv󉮥|& }w(Wu!"@ģڃ1F22׮duN :B0( ], `}.͚,|叿-_0@iP33p8π82yo]~GZLag=G>iAm{cKgxa[?3oH0_Mc͊-&Zau*v5g8ԭ>էPKC#jy:*l2c)W1J&lkP&C?IS@rrfQ smˌdrS-)HHk!j5 5]\z^ۺQ/kA*;Sq'{(jXҨ-`j<._ߐF >b <.hO)4K;\4=yC:b£nqyWӰ˳Z3Sa%CTAiᗯ)1̞L}X{eT\\mov-tfc5ύ}pdA*KV%|#W({H;VmI14QEH6AOOH,嚨?Kd]eٹ3{坂\^EeͼauE"V/mE$ 1BMBĠV9+OO/gσNoX|dInbG  ۼo^q7(oЩ`t8m#nh,CCŶ!hQo2B yW4W_LԴ}#ҽ۳C$4FYǕz,TAtƳ:ǁ`:^a (#`թT*GHux'z#h3XTL\XR k[^UzU訆8\Kgڛ<0 '?8flc ГJV5vؤ2Ru`Ǫ+s5P ~ M͙ء޻5"Yl@안b5X%4(q !BGxqi%aYpR- {0&qHIH"*-IQ-b9,8M<)6/7d3`4'v0wi2cjʌe2c3ژK2fj1À1Ӱf Y3ƜMņԀ0.c=΀ޘJl98r]T5 9}i4>2,HO䦙:cY FpzsHXkl"QID0R@ȩM0h1,0v,lgU +b0-/4^\i :Eh!>4t4ܛϜ1\)oPѾQR AtV &7Rj |ڠ}6H(LN,s P{ziQ W Rz+eU6YN*Aw#ŠT>11YHTY͔͂gtb?ta]N}"?QbZT.ۻji6ǁDxBOŋ_DE]Tb#r`seV}?pc8y)EFkgY V'cB#^wqc׼{&W:ngv _]txF.{կ֮tIǩ*p!qT7q%:D[߱ ](^o ZU XFɪ\_R-YV [,r[S|oi;_ ! LM鄶whœ{V/Ay+qUQ8Uc?H[r1s!~?,v55Wrmr%ftM\],&5ߕ专Yį60.[DąDub 0ꐳŝ:NuiDž3K#EyhZIq .8XwzBI84.]HՄ8=[ Oݲ͞U|L51_KR**a1T*Tx!J':. T4>[ZEJ. l K@tHIK^F>1k6 y_CKR/6X.SM,%@kLT*lH&ߺMvY+5 ) q&{'oK)h ̃IjSqexӠ~7?D :NB$W{8w |ht% ׿оj _/~aL!x7o@Ugi{9p+q%zG\0Ƴ V3h}&ϸ*p=ï^c r/Vpv&Y~cG6ȣO>x9~A~g!sDG8 r"l_Bvl;".<{GxHDzP'$px5}G<4uOBGb*^>?h endstream endobj 16566 0 obj << /Annots 16568 0 R /BleedBox [0 0 612 792] /Contents [16582 0 R 16578 0 R 16579 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25677 16580 0 R >> >> /Type /Page >> endobj 16567 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16568 0 obj [16567 0 R 16569 0 R 16570 0 R 16571 0 R 16572 0 R 16573 0 R 16574 0 R 16575 0 R 16576 0 R 16577 0 R 16581 0 R] endobj 16569 0 obj << /A << /D (unique_240) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_vio) /M (D:20211013063105-08'00') /Rect [104.1732 475.5384 179.6442 486.5384] /Subtype /Link /Type /Annot >> endobj 16570 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 459.3384 197.6017 470.3384] /Subtype /Link /Type /Annot >> endobj 16571 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 443.1384 195.1267 454.1384] /Subtype /Link /Type /Annot >> endobj 16572 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 426.9384 177.2792 437.9384] /Subtype /Link /Type /Annot >> endobj 16573 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [104.1732 410.7384 163.6832 421.7384] /Subtype /Link /Type /Annot >> endobj 16574 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 394.5384 205.2137 405.5384] /Subtype /Link /Type /Annot >> endobj 16575 0 obj << /A << /D (unique_318) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_vio) /M (D:20211013063105-08'00') /Rect [104.1732 378.3384 177.4497 389.3384] /Subtype /Link /Type /Annot >> endobj 16576 0 obj << /A << /D (unique_334) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_vio_activity) /M (D:20211013063105-08'00') /Rect [104.1732 362.1384 208.1122 373.1384] /Subtype /Link /Type /Annot >> endobj 16577 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 345.9384 165.4707 356.9384] /Subtype /Link /Type /Annot >> endobj 16578 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16579 0 obj << /Length 19 >> stream q /Iabc25677 Do Q endstream endobj 16580 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25678 20830 0 R /Gabc25679 20835 0 R >> /Font << /Fabc25680 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nC;fũc8TRQ e!Wm EI&7]NhAb2Y@QʘJ9N2&4'*\)ɜf϶fUf^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|5ʄivP(y Ph'-:A/}T  endstream endobj 16581 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1518) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16582 0 obj << /Filter /FlateDecode /Length 2488 >> stream xڵYI$W<v Iȥr6>9cL2oQ5]=nRHOo"T?6tzu8d_Y_ڐA;kM`]^ڳϩX[Ʊ~P `| =`21ˎilQ{ KG'k +X"vG~+n,b19N7>-@DXIG Ø'v=|& )m(_D9fIߦ&e?u>eA.7eN Ȝ'>hwxQdu;3jAAws}Úzxz]Bips;BMT3:KyHuޒ^Boa:WV]Il S __i֦3[bTŚ/BZ1$'oƒ)tix8YWl-+*'h &J/LR fh gu"dDzb,Lyȹz˹ng՞y,;:s CZv}1gQ:/v0p&!QyjFWhZp&N_i8%KJ_yv62 #obaau-FmސGF4cGa_8ȪߎLVv5F)z$W+pyQD3HiqTE3fek{V%yUd`@SeicW__HU. 7u,  fI +D/w"J +SVnB4[Z7C`ޏƎe" 4gQV^ЋifMBrKK뽗AJ mPuRIӷ<Ԙ줟FSR}sU'ߴ>[1JZGvwG)^8" o3&v<%ytK]+59x{o3&:Ov6]Kz_ICM@W`Ÿ-8TȒ3dз'b|AS OkOy,d, &sgwzGTPⱿf2P:[(Ig5jtPb`e.- >'^$P~Sb4v@jPA~"b F~{Lx0rQV endstream endobj 16583 0 obj << /Annots 16585 0 R /BleedBox [0 0 612 792] /Contents [16592 0 R 16588 0 R 16589 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25696 16590 0 R >> >> /Type /Page >> endobj 16584 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16585 0 obj [16584 0 R 16586 0 R 16587 0 R 16591 0 R] endobj 16586 0 obj << /A << /D (unique_77_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20211013063105-08'00') /Rect [90 437.225 154.724 448.225] /Subtype /Link /Type /Annot >> endobj 16587 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [160.037 437.225 192.729 448.225] /Subtype /Link /Type /Annot >> endobj 16588 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16589 0 obj << /Length 19 >> stream q /Iabc25696 Do Q endstream endobj 16590 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25697 20830 0 R /Gabc25698 20835 0 R >> /Font << /Fabc25699 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&+ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'fFP}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iV`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}k endstream endobj 16591 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1519) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16592 0 obj << /Filter /FlateDecode /Length 3974 >> stream xڭnί9@+|?À/$4nf.ɒZmxvgKT, Nx)/__sKKuox|UZb.aڔpkKV =9?`_=x#س`Cܹ˗,o+2y˒l7NOŮUxdW\(peg3.,n+jKjk л%x '=/.V'[q\ tO ]c3` (؊JB$$fO*@} #Eou~mP.6X=|:7|"ҟ4C50XĄJjԡmĺxb Cay`A<̧f[Ђ[mͩU"l`YXhPd[p603{M.a#`lkanbzhZAK{.D]]ĉ+*IG=!ғ恳muc&1 ǂ1B5%<ꞖFA-8K A z0 }PHRDsHeDB} VăxdQ"g>PoLsiwSJ"w^n/l|g?&AQI`*$=ˋdE"Osb;Nt bo 7G9^"d)1&Lv3%Xϊѻ73%gob'v~~@Su4S4Ɩ\ы}A#OKGP2 Jpc=M xG]p9.~ A3yE+\h_{l81IҕH͕㠌Mk<Z2ǩh@t1hq"s<.3+k2yꦇ$DM|&lIn,R#*T:uip3thit\ۅ߶5޺Dp.Q.Rs\]N!nݢ82ܩ0/ [53sc{ |u6rKt EW2*a.hʔvgߍa۷nv7^WO,Ȏ]v3D`!:=&lBkB48HSX Mꣽ^̀YN3Ǿ91vd"01trCkGy!eS/X as {&fl 笰&(naʁwJSӁ թj:v ۹۹-r[xS?p`uO 抯7%+Ɓց zSWN6;Ɲܑ;;yN<ɼ;Jލ>BUccc/ǁ^j;L ?ߺ͍4fvv/|߇[́oLj&2rW")Ԉ΃X̯.oWV@b6,>ֵ4l?6X#򐪕[ҴscGV tY. * -uVfV{2*87p'My"Y$QIVXBwD*V ̝ S8b.%܍5hGp?Ng .R҈ Z5CI 32Bƨ\xzO8%x8ކYDE$qz%. UIY0 ԙz'*|J8?TKaB 0eF(Ʃ@,Bewaɲi]wpJkN5<6\rmDv!6ũƬ)~*9Y< U5lmEۼo$UfŹ 8Ņ+ƝNRb{V[RDݣ(pC m퓘);)6A 11 Ji[;a}<`%5ù\ʣSuPp*mq3ĿC/HVf.@+0:6zשW=MaJAGyp/>]8/NqF 浖<Η&-\<|+[vGq|~sbUH.[h.G>=K9ԩRra0Nױ3I cva!@}73A6ۣ,(Kv}.yk֤.KƜ~tlYP9y󴞛M!^ Ez:o> :8(l3 t-Uڅyhz#tRm譮0:ti˶Td?u9ZdlF$&d@癵R>3A pݜ؋syu%-CIxߖ+4Fllx Sv]}ހ7}'aM7?|X].&ӁEIVG,eJ 8d:jCl:޺0trsIr3x'~*͛RgjaawQrOc795R>˖`%̩X* dʣׂoh+4K)[>@d&3wU&7sX/# ^l(,ә!)O ~\ΥS$6Eo3?>#!d¦ {H17ٕ"\yh!┶-sX?sphu1jۑ [1>7Y1aZ Ri"▶[\rF_x9=FjMFs  [̦ŠdgY_Л0GKf&;RȘA)Nwk׃$,7oQN|ɊqlkiI+ l\K Mfi;`ݿR_xf6,p)U'㤼*Ix\Ӈ"aIƓ~Էu܅lR} KW N NaR"d΁`OD?>M#~E=34i(2*X5}ʨ`ٳW}UQ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25715 16601 0 R >> >> /Type /Page >> endobj 16594 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16595 0 obj [16594 0 R 16596 0 R 16597 0 R 16598 0 R 16602 0 R] endobj 16596 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 239.8747 608.2] /Subtype /Link /Type /Annot >> endobj 16597 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 581 202.8872 592] /Subtype /Link /Type /Annot >> endobj 16598 0 obj << /A << /D (unique_438) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology_check) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 230.1012 575.8] /Subtype /Link /Type /Annot >> endobj 16599 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16600 0 obj << /Length 19 >> stream q /Iabc25715 Do Q endstream endobj 16601 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25716 20830 0 R /Gabc25717 20835 0 R >> /Font << /Fabc25718 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nतЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo7 endstream endobj 16602 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1520) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16603 0 obj << /Filter /FlateDecode /Length 1630 >> stream xڭXK7WlXca :fދ~Z`ң.IUWmDMN?gu X2Y.s`i6kk撲`+ă1!5xb`QK!))w`k}lx&%D#&Ql:rk2Fts#Cn,YR-Kbɭ7zQnۭ:=e3dۉDO!/mZ&oKY&\4EF:guV}yv{]ؚ…>f "Z8'xm VsݚoWP^f?.ֹ) 8J?5/3ٹОh q{ OVJb3:h'k؇}m4und# k89#_V~.Nd9^zEѰ闶,N?D! R꽯QZ.6JoUx)Z1Z4[ CO ᑷ=Îo{ U2RaH̲iXC"UHUkOK3Eݰ0G[$UD𲭛aSO2>+2ˀ 4O (0>nPܫX^,48<ƹ,# Yn{up$ qGkjhFUR\yC2DQ$' q]-ԵCvm%.05Mb^q5F2S8 \>lfFQ*[Vi#A-pD:KTbn"Q(R\&6jUMFKpH\HåNa:e̡V1-3Ħc>^;KM:āցѣ*0\(C7|tïhxzǓۻ## rb`lrYӋ6* R,x D#q<|!:6%8 ca ^{-Rnmj X#aJm=$*Q-OG$Luv4Fۄz|?V'7§67xr(Qx endstream endobj 16604 0 obj << /Annots 16606 0 R /BleedBox [0 0 612 792] /Contents [16613 0 R 16609 0 R 16610 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25734 16611 0 R >> >> /Type /Page >> endobj 16605 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16606 0 obj [16605 0 R 16607 0 R 16608 0 R 16612 0 R] endobj 16607 0 obj << /A << /D (unique_77_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20211013063105-08'00') /Rect [90 437.225 154.724 448.225] /Subtype /Link /Type /Annot >> endobj 16608 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [160.037 437.225 192.542 448.225] /Subtype /Link /Type /Annot >> endobj 16609 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16610 0 obj << /Length 19 >> stream q /Iabc25734 Do Q endstream endobj 16611 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25735 20830 0 R /Gabc25736 20835 0 R >> /Font << /Fabc25737 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫%Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XLdžGFx?1$bXl˜sshf^2 +?ɜ F0p|sLjT:I*d~{UXb^@wP"]@ p94C-Zݛ>X endstream endobj 16612 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1521) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16613 0 obj << /Filter /FlateDecode /Length 4477 >> stream xڵnί9@+|$`pwmrrd73ԋ/Iw7D,*LOLO`?~Qǯӟ/~fs9%'CxVÛO-,;uYZwەc=|ܩd3Xo&cIue t__?^D'$NoSоyzxj?MLz^rOzθOkb,06}aɌtwˬy y:[<9/u\ P' _^.;RAORм@ n"=}|,FHn}I~g!j{flwW0#B8u}x ~0q//\ a,ց ,(Cl(F_}0X|l'&',e?M_U0N} W|Ls'O@1~=i -3[+~%*vw CB>X4{WX/0qi tv{&oa:5y# upf ID*cQ/lPXE q΀ R9Mɱ\ZVY^uJ紁U~;S#v ;CQSUY2z5N Ǖ'zyPuogHWYIEV{g !b *dʘ0D Mblc炭މu̷e'İ3wD3"yn|OLSKR^ļPԬxD` Yش ‡HL+H JQ |̞P"RHrYqr6q[x=̴eEwefa'RÞ,|nlGZ17Rbb`,>)@&1zۼ++ r8Ɂ#^hz,CC!hQ2By74W_;w5f߉tⱠqAL~R%Z VՂ IGhh2[RgK_zS}ֹj[y.&eP$6M JJaV݄"鵳ԽZY;{5:k-u@ *m_Z jЃ] Ϭ,jqIeCxh}ف~w+a$s"<awXq,p&=!Y=gZ$˹Bmw;+NQ1 6ΙNOEN賷q>q_K4%(C'ArW\mcB4<06\u~V 6y"TI>A0Yh ?WHn=$mfT t?K$uCi;!#9st;+Bi3~V%304_d9db^lbBN)y.@R ΌO[yJh1(xLbm=p ˄ :yE,Xrk *= bT#V/+i!&L+Z#pDچFp?6ARDמ/S8:M=,\9)%͠-;M=ĠNe8EoY^ Yƞ彳"N[3b31d4üf0( b)m`ճȲvELQȰn|f2^Z֠,`J]a}]8M ^5ؠJX!F8 <P@Bóf^XS+pc-6'}$.5kJ E 7}+ѯTڃպ: 0hakt>c|;W9^nh ϮNTu!T3f1 ,1]2 ՚T:6 3j暕;=cT֎q [ݠExwT,1&?w^q,*7ؚ3Kc E{!3i“l0.Ô^8t-!h9/KCeŃA04L5>JS@Tq^Y 4 BUԺ]HKIqM>]\/XرKm6ZZPݥ[^r:(L! a!1q<-E{-n#=uaA2UU"T ɇs5%>6Ǽ1Hnc1-JOb:KHۢ^*acus2h#ͮԏK5In kmР+Mq"3A lA=j'滙 jDV=ߕ},A+[MwS ymQ{ ) юQm+,fRq;v_o0}穔FaَK[yqМ8<I[:eἑ- O+_Riveqo آAHu^h^Ƌ9xu"ܭOU*!Z|ŗ;s]W^nMK6olYl;m>Κ>ϡbz=$cnx[^FU^X+Z]J0V.Pݹ]Ρ@ :e>@&fx !j[Q?'{͏6'ʬ>b` ѧϳNyw.9uTm@qRz[)b&9eWcDmju y6'R53h  ;0(elpEy%?[DZ]㝟Cu8cd&U <5k!%7~y }=R _R[4l`f*&^SS7~9:#=1*"|_gtif6ʋwERg#qZ!pOy<8V8ajؾ\8ޤUTLݴP$#SQ}ѡv+ ~)i>Vl!%Rvy c֔onKVDe0AuY-֡TH}VTu]b Yt \s%pM&G ME$^]&d̅o~f(󉾨/cD+6g=t38rdvD3ג061L7-} ac+f_wx/~Fxo# >+gW"^'%a!4k݊#(ZH3d>i^ wo2<+''rYIfr!/T&0r% ˸Uȣgk%0 /xp"^ڽpo^f2,A fף BvtWvg/9ˍr]I}DBvK.mF< 4u.'! 1|^xG endstream endobj 16614 0 obj << /Annots 16616 0 R /BleedBox [0 0 612 792] /Contents [16624 0 R 16620 0 R 16621 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25753 16622 0 R >> >> /Type /Page >> endobj 16615 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16616 0 obj [16615 0 R 16617 0 R 16618 0 R 16619 0 R 16623 0 R] endobj 16617 0 obj << /A << /D (unique_435) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20211013063105-08'00') /Rect [104.1732 499.3 226.1467 510.3] /Subtype /Link /Type /Annot >> endobj 16618 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 483.1 202.8872 494.1] /Subtype /Link /Type /Annot >> endobj 16619 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 466.9 197.0132 477.9] /Subtype /Link /Type /Annot >> endobj 16620 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16621 0 obj << /Length 19 >> stream q /Iabc25753 Do Q endstream endobj 16622 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25754 20830 0 R /Gabc25755 20835 0 R >> /Font << /Fabc25756 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fUthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛD endstream endobj 16623 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1522) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16624 0 obj << /Filter /FlateDecode /Length 2127 >> stream xYKW)n/rrd6/S/JZ 5U|zU7`S׏O9vY{MM7keiZ&٤oO9kډhm0 wT!xr֎xxOG >u=,;@_ą3ףr\Z\0i6yd<v+N Qh/8]1¼I}8_ NGO}+X5U|59_H_u؟'7c(jt4ژ0|AݮG=BXنh$&CapxbAL?*$7t1$Uchj߈)e{Zw`V` ,X|ybw!'xUjABpf12:BD-됳z%ZD#Adդsl%oGd2v8b d!do*`\4vCޝ9(v4z8Pq-|@ޑ楗lSj9un`ٳUq{Td3ͧ[p02l=|^=^d؀z^3ٯ٧:3w zz$M}19lr,K܋p'&)/eo/O8ds.ҵ뛵+b7m)xk =^y-\'j+iLDgտśܟUu@݉Qgs %/k-ț%tY y9ϴ=h0lqol'Fkp! DN"4a'TD}!;OwEvO Q,&ME 'Q@S^TsMI?gRC1?üflLmщ3&pǎ$T[sxH16~\7%#m7pNA# Vjc4xD?nװ}K S dNidV!sOl'.`!y!&PKBh"c@ѸR@XR9R%D2,$ۯ%bdZ^*}N 22qdK*ELA> XH}5:wo܁lI D:Rj#Z,;PI7+XmByj,B߷L5b;T D5E)w]/$Ww9(3z 2 Zkn:sW)"W/#-xy7hT|x3mQ0=@;8gRV{B8@c7[b,N`ZdݮDIؽ b|XSTvYx'Q++v1Cy!وf Q)}jo4>R~*lV]> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25772 16631 0 R >> >> /Type /Page >> endobj 16626 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16627 0 obj [16626 0 R 16628 0 R 16632 0 R] endobj 16628 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 219.625 122.692 230.625] /Subtype /Link /Type /Annot >> endobj 16629 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16630 0 obj << /Length 19 >> stream q /Iabc25772 Do Q endstream endobj 16631 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25773 20830 0 R /Gabc25774 20835 0 R >> /Font << /Fabc25775 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛK\ endstream endobj 16632 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1523) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16633 0 obj << /Filter /FlateDecode /Length 5001 >> stream x]ݏ不_6hzzm{iMt%Q\eW5e2EQ%s_5Hs¯i!0+$w;h5|5v5IJ瞇pet|6=puV:dblʳ ]g忆? >įص>'mPm[O2-9JZ-^ I7xsԘT1eq2N%ih0RN RK=5|y 7bq.t ݽ=3:F=)6ޔ ;$c914U8*OR/|xjO=`?{Ҙvfנ$3Ev-jPئȺEƏF) R);ޓܙ/~ @w+|=}.8ogQ T = ~B[v~ˑkX8\#ס NBQ\[-l"G!I3)zJU|Gd$+-U夁Q3r&=j{ ,ZZ'OQ—o[ڪZޏnYXiK&-+E͓9ΥaoӓQ{duRg?F3EE?lcPGIh.qhFb@/R9^$!44zgGHعcJ oTŸZy"4$0Q1jͱ3T)tW1p?esՄӊ HV!vY)J4JU87;tZLzDSzBjCWtM<\GzOEiqOpX5R/FeqaG4RB Xc։a9yaܷiM5::\ -^$V**K !| 2ff} @[AYb,VUiZoGt^AZafޣzp sP뻣: &>⽠gv_&HmJc]XMn1,&;Z꼓HS9>%ݓq!TvKA[0c&&LmwgKk`7Zw 贷3}/%Wl}];e~;>^^ B=c%s܌%T'b<fw{У'r_d&gVo) ƍ3 c.FmHú`7Y&}~D:ڄj7T٪G^w ;<|ecϊVl,=4N+M37Wfs3tbE6m>Gpu>7\9qZ tƇ@XlZ g'y=)1K_La@97 |Fj1kPsKGN ̧n#ݰtlg3z:zkxxQ{}1_aKv"t ;7GP׍|SdkG=ԍ5i lۿwi"Š͝qv0\7M f&s&TG-izmB L\7~QBr?>t2>fU2au,(f{oRHU%>%Ù/IS˫ S+]a;`RuĨzrÍ'3|<]m)*:a)/CF`,b_pxAOxIjK&A&P?\+ҔO d yB A!Oj\dT3u}%QjB*'HTZsZ.0DžD;`PУ5XADA|VP_H_Ģ !``uVCUwr7[)WI{-եZ9!^ Iyf*1ގ;cka1,>I!>KT&!/_H\zZ >yϚTȢ>_ѾRɎ; 1?o; +H&sTj7ؗp#¬M B!%bRi[5 L18"J%Z9τM,: )2MFtrlD![p @Jkvx1|" ]9Js,KR }b)#c" J+->`' PX!8o&PUdWo^y@J`a{ 6,,dX$dgS4XIVR즂i`լdk @K"CřIɿ+8l 'Kp6pmd[8g)JljPbP=@ J(Q @f8@.ƧGJ0{>Pُ]WǨWǨ %.QAJ\Qw@JlQ7CJ\n4F)9F)vMƔ82ۏ)owSbϼynLݘ}1%`J]ǔ86ﻎ)qlw썸a1tp0CiG<0LDw_˕ a͏gŅThK`1SI޺ P!0ŚbMx1TvĘp0ҥA22bS,v$NaEgp"O8 rS)62Uż!c҂:+Fg*Z<Hs DLMLĚbMxL ) d N̴mŞċXtg{٫-:g+Ds('T:n1&tncE2T H@@$[Xg^/-U0` Knl>~Q&DswIKn]519n.~`9h&഑*d K 22@iEO|.K9O{JrͅY)Y89fs:Grߙ幛5B/Osx&@FF"%t`=KYe[' i)*g+\4tu;VR=IJW| z|ڄyhE6)BRA˺#`S]/4z-g1tɔ5SbC1gMzvĜN9!.6Is0' b()2%>rPfEa֕lXF[gd^l7i;s\s $ʝT:bC2se]!EL1Z.MN[`uv<Ii-тSsϥbA0Ճ%hq* Sm ]Tr%[1v)3Q) SP\-:Xڼr~t~yD5֓'!`POf`+'T %4y`K[Uynʎ#>Bތx9k֒LvqQQZXm?=% =ˁw2 qLس 0Q?bG22uG_,0.)&'=)Cu]1 <-2 Rhvv@,m,X]#sK؃Y]H88|K)+QL RN4|qń7plFDS$MSWanKexҵ|G[Bl2Ntk6 O#F)N$F.Hb|ĝTRřuۻ%j9M;G O8]"?qç'Hd&R7yؐ v=SR, ӥSc``"Y.4hUdRr,sCl7\0e 2ʥafŷ՘ BE79v e7'Y\o*gÈ\_M 0xm@oõ Rqrck׊{) ߞo"fRO7M3lP|I3)g Fx~s-*=a g|WUH kҀHeٶrSJWr> ̨d[aFe 8,N+̿C#X5+>W@,,w%:j&@oӻ9s✲=#r,I2%ԮScD  F ?Ws endstream endobj 16634 0 obj << /Annots [16635 0 R 16639 0 R] /BleedBox [0 0 612 792] /Contents [16640 0 R 16636 0 R 16637 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25791 16638 0 R >> >> /Type /Page >> endobj 16635 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16636 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16637 0 obj << /Length 19 >> stream q /Iabc25791 Do Q endstream endobj 16638 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25792 20830 0 R /Gabc25793 20835 0 R >> /Font << /Fabc25794 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7p&жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLޚGFxaH&E d_ )2̼d9 \?`Ni)QXI*d~{QUh^@wP".*x Pڢ_Ћm߽?Q endstream endobj 16639 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1524) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16640 0 obj << /Filter /FlateDecode /Length 4171 >> stream xڭ[Ko8W<|? @l'5`9b^|Jc6i[2U,"|_ߊ1뷥ӋwKxKѿovzy?jT *_޿->)|'b?sQ_T+,~[ TC(ET~F)g aBȊBd}'Li73aTF]#/Yo*p`F"T('\.dqtO¨&^ȞL5i^Mr7$RD50L =.oS횒փJW}P/PVմ9DO'˽rz'gAͪ }HyyE$em_K\t܉գAh9R -Jh5v%u9&:IpQlfG Q3F%A@AҽThv,m8͈d,砃(L]1ekUtćR0TIvClWD=ﶛ`m'靆yVceξYtO%v{4DŽnUUim1Dd1SUKj8A=i.w5Ŷ= fXMIN[gEUu:Z=@ qnFVPJ:pj]ұ[t'9 ` CZw1e V柇Wx,UyPGҸP>:PBMVen,48jxg(1@$ b3̰1hd_$Zޥ6NMA6!F^:h>{9.FUҧz@ei6{xepz 0Q%iC֑"OXCڹmZ1#㿆GY!q@]⛤s`|D^-2 "A݂aGI^gs9(׀VԡE'\5(AAquO^PA1م7mZ4U{ x:Ǒϊj*O a(%uw 1Wm!^@E-]kT9Iw={RW@FXܵ[O__߁рu` s\Jbp^(2ֳou@gN5 5tL jR>T]iE70ڊS-J88R56DZ7QAk0n0 noO{7X>;7v= /&a&Z%on'UO,$"CP)䰖ЧǪj3aK=s.f9ʽ~q.@ oipiMMM3R`9a 꽆^˽io?VAf׺L8:G 5X6ՠ,^lGDgꆇkCKg vrj);ƍ-KMi\hlV0 iŔVvǣ6NnxGe[TwS;~ݍZODhcM8\맾iYKRN)fu`F[i!3׃t!/?ŝ|+s vO::sv)6b,{f{lFE S;٦]FӹZ}İk qP J.[~4Xl>]Džn2AQю"n `Y睠5%ŘyNn6괹r]ia] Dg66qXr5#@qFAMmRᎁ=<;c{i,4n5Lwy~wxM !qznp5N:y[Y: w_f>C@6:+@w Z'L>  +e&6F<7lʷtf+dU0X<\8vr jDcP*|VhߎG [3 ~9͛ݠ=^)ݾG}w#\[[b6e*a/whB8Hf6%f}׼j2>oT-)]WTC[<:=^@(Lmly[P+ %ϏL]bV[`GwqS-D쮕cKcF$qy_":p^ Wv٦2 zΏW6K&뿗&xw,E_*l'H!\&{- ㋯7 wqэKDy`(=ft +aUo~v1/^SȺ$r}Ds|S ~^pjW;Lm~sDx|:_xWs)dF\Lxzm4[zK=*"3EV37,72|~_, /^1}s*u wAZ$]kGj4ݣ\S)SfT=l \a5V eWz0?TDgX2y3; FbZS )~ٗW`l93 endstream endobj 16641 0 obj << /Annots 16643 0 R /BleedBox [0 0 612 792] /Contents [16649 0 R 16645 0 R 16646 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25810 16647 0 R >> >> /Type /Page >> endobj 16642 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16643 0 obj [16642 0 R 16644 0 R 16648 0 R] endobj 16644 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 87.4809 178.5717 98.4809] /Subtype /Link /Type /Annot >> endobj 16645 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16646 0 obj << /Length 19 >> stream q /Iabc25810 Do Q endstream endobj 16647 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25811 20830 0 R /Gabc25812 20835 0 R >> /Font << /Fabc25813 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7bthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 16648 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1525) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16649 0 obj << /Filter /FlateDecode /Length 4537 >> stream x\n$+rmr~I%nl^ ҽcÐ.н#Zn=pdgI/&k/Y4薊]^ޖVglJ߯WOO⪵[^ۍ61kT'z\?OONW4|;SC aYqj}Xv)'{.QQ4'veh\m9=E**m\v 9:d \#Dw~ցA*Om[;z@A&eXہ1\v -LU6ݜB¨97ք$]<`LZ5hb-#dkd 1qwѪf;\"=(meձO';ؙdjhnsD7&fZ{Eo-~g_|ާ & ̓,IUY+=8TRJX-nDLZ&az SRQ~(q&+Lͤ\-=FҝIǚgu{ʘ<Փqu/ݤ[k%T[浣nslVwPʴnHp!oЧ-7!] F){Q .߬qq5iI2١]:a/[ .i;XZ2ŋCFpQSG{L|sCJ!\ҩK)MȳSOL95+L:'&K#kD&U%&slfxsgVmPfZxƿ^OR'e٩] J9R>4fXjwn5׫u1'c0#^(aOq{B]K1)oeB(&ـ2;I5%o쾰\h{.ߺ>_jW|ZY݌N۶)N~l)n{2g^a$Kl,TY3fz6@Jb A]zWaga@Bq65LP ao'kۢ_ `ϡ͋rN\|0t>׿/."d.a׈?i>46}._Qc _A_ۯԃWmiEO:Qi䓾X l!FZ>ԫqN|@ÍX>.o̤KBYٙ¡}p;A]2ٲFR jCHgOyolCM,jbeRXCZ\ϧ"DXE^,r{@^y0{v}Pc8 N 8oߕ6H"d֒_,gC!|WvG_67R}r }FODL5$OP--$pl V~@|JVOWr5?-li# Ÿ*Bsc)ե?;<./  fLu9ϟA5vY/GZW(%+bbSGzb: mg)  ۼ<یpV(,.@cmaweͩeKTM{³3%"M,Mm Ɨ tv1^o&#qCXϽ6Eu?p9Ɏ @md6aqD~h-K[ ų]e܅WV-"7S^F]7\F_^޾^51fI VUU~ϲ2{^ Zb6OS/~]}wIqv&z3ZX0<η26{YSjqi>N?-ܕ *!ҙNi:$0i-s]'_"53H/o|^\ RD@Z5 .S^P (kI f'Wd XXqUݼ)[ݡSEz4p2( tǿ[Τ_ѥjY9÷H;l$v yp"]vP# jrUZ~e P}ʫ͕)K-A ݪK3XIa8y芢#DKZĞ #tK"(+hR2;ΒHZd뭜h5W[h2CD+VAZ * FܓoM:e)ؿ`Y= @-PM6ܱDi-JU$yX :WzJpo90OTEZ]^T%9`chJz-q>T>X匛s\?>#4g^npN||Vw>!*)ŒpK:R:_5خz?8}A5Bvڲgb.m^vؽtZæ .}Q}ZFAB?IJ@G%VH%x̓/)t*)nJi-a'*Lێ_f|0'U_5P=TЁ)\ORz*'~NN͇lND|S!_C nt*܍ߝy~W;Ә7ijC_V]ĹR/ %XfsI|ˁ~<mEDQzM)a[FxFӱ*N~T8t)mB}$gv/@?CCu`ibt둙{\~+ɳtN&D B^Pz>ҵÇ80y= '_]|Ӳ}P(u6uv#(Y2>IDG~e Fdn=2u/jixT䐢?{ēR endstream endobj 16650 0 obj << /Annots 16652 0 R /BleedBox [0 0 612 792] /Contents [16658 0 R 16654 0 R 16655 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25829 16656 0 R >> >> /Type /Page >> endobj 16651 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16652 0 obj [16651 0 R 16653 0 R 16657 0 R] endobj 16653 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 177.7027 709.9] /Subtype /Link /Type /Annot >> endobj 16654 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16655 0 obj << /Length 19 >> stream q /Iabc25829 Do Q endstream endobj 16656 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25830 20830 0 R /Gabc25831 20835 0 R >> /Font << /Fabc25832 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7!thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$d endstream endobj 16657 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1526) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16658 0 obj << /Filter /FlateDecode /Length 1162 >> stream xڭWIk,7W9z30琛a Rqa葪TjUDCm_<'wssn:ٖ3\^O;0qS雒eFwZpag"͏\9y$wА.g|N;q"7 I,\ qd8H0|f֐'ۼAfؔusl.^l()QY7aVm%dXLCquh+$ 7j W6'g/t: X2$m:ۂ؝WPSG?[>o7/RnkZO̝1S!zi0;HO#9X\ ],6刴`VR:pr6 x^Aŝ(qŸfQv w# "^3?6T]hHAD{O4l¦ٖ3Xw5yv?cqD= 6e-1LYmwC#DiZv500Goy}Su r8H/x;|QaghQ?!9O_'3Ғ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25848 16665 0 R >> >> /Type /Page >> endobj 16660 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16661 0 obj [16660 0 R 16662 0 R 16666 0 R] endobj 16662 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 375.525 122.692 386.525] /Subtype /Link /Type /Annot >> endobj 16663 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16664 0 obj << /Length 19 >> stream q /Iabc25848 Do Q endstream endobj 16665 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25849 20830 0 R /Gabc25850 20835 0 R >> /Font << /Fabc25851 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7 ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16667 0 obj << /Filter /FlateDecode /Length 4014 >> stream xڵn$ί9@/ @-Mm99c`۸Rk`UEE6\[1bпoyK){3&{}rBiw1{Ew>s0Aq?c.Axg2?O+ ~фqcj? ?iZY>ٚ?f]ǥTu&6a9{6&'h1q<ß{U98 t1t3QoLpsik5md<eR) Z3PPC7GKLy JcZ]N%p5LԀ7hW3T/iR#LMk+V5Vn#iRzsm4_۸u gB"0TX +IfkMRXl>; Ed,Q^&(o53Se{ |u4R}tT'eFW2*n.hϓ)m,9#޳ mVK67_yeZ27_t5M}LDqR"sm"1M NJmZ#av߄&g;gߜ=8͎D> {Jv;7HZ! V v&?dNC/X:ԭ&j4 sכŝ+wr Ҝa:'hWSՠL}+u-Pvv[#p[n&@[h&1ђžksWnjiʁځܑ]+ +ȓ;)ʝ;;9NmmI4;Tɒx].^g}.*T]Z|s z)P}YXf~ˇ yF3\ FL%}H<`<_!׽?!n&?Q<^MH *0 &}*jR#Zo=?l_;gx{K(pdWT^^6 t}e}m@m mOf@~1~'N^ʖ"٥.^qשmc];ym{DFKj`.yढ़79.X5nܶʹ6mn ¤ <a|""V4/4+G1P )-XDi J8ȇMEXA~5[4!qlrmO+U ͐kIf+vU聲({P.f `e78!&c{U+m)$]{̬AHvS }:ݱsDJI)D5RC}H\U,2볭yք%{DCjpYI9R C M&}ؤۏ06P7=EF`'!V'u~o+IhkeG6Cqhn+jAѯZUGzi$"r+ζbH ߦ3)p6 jac/?}RmEa`5XVqvIF}U[gEw.9ێmYKGm ɸT ,RRP1#lˎ MwsE>7EU)q4 ~0=>ϑpEwL P}Tn.Yx_TFw7S͐< $#bku!eZ8ػY: rdL3`YOh0ήO} l54ចy5znEJM:8 7Ayb}e2%BQ66?(*1SY7u8ư1D.bMB_!\+4j՘_F k:edS)9JC0$ j ilo2Frz$,5KV/tޚEe>+> QnV:6BZׁۼ~kzLSo|"^mA<2M3;J (< f|*BDT!>| 27=ro%Q#GʊvĝHMi84r\x3=3a\ pfQ}-!9Wp98eDX)lup7t'Ic#EgP㺥g. 6JƧ1>Q,oqP-P\#~tGbtMCY_-ʌܟ \#(b|dol"bh^{EJ bSzQr$ lxj8 LQj9 /Z/bF5vMJ_HR㉉} DalHT^t~(*_峈[έaFd6e1MސQGjj zr!F-GSud㹰Yt&̑2ƭmwۥA,7o]ף>(V_ԘB_ws@KNgٌ#n[z)# 3\0NoEqɇ;9mG}h a96} tC3,N&>Wo"^ 8;30z0fwxHݚ~ :.+\kW>Vr<;n#(p~ 5j7̓Em۸kK} @W^߉^'9g*ca>>aӏIPI}Ğx.&#%Q Sk7RQ0t![zSrSG endstream endobj 16668 0 obj << /Annots 16670 0 R /BleedBox [0 0 612 792] /Contents [16676 0 R 16672 0 R 16673 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25867 16674 0 R >> >> /Type /Page >> endobj 16669 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16670 0 obj [16669 0 R 16671 0 R 16675 0 R] endobj 16671 0 obj << /A << /D (unique_623) /S /GoTo >> /Border [0 0 0] /Contents (set_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 451.5346 177.7027 462.5346] /Subtype /Link /Type /Annot >> endobj 16672 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16673 0 obj << /Length 19 >> stream q /Iabc25867 Do Q endstream endobj 16674 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25868 20830 0 R /Gabc25869 20835 0 R >> /Font << /Fabc25870 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XLZf^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|5ʄivP(y Ph'-:A/}Z endstream endobj 16675 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1528) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16676 0 obj << /Filter /FlateDecode /Length 2130 >> stream xڽYI$ǯ!ӱ/PemLƧg-n 4ٙ'j -xgƬAC^R5XN?>vغ6C|0+ycg׏^DzKg`(GLABk!D(Әp{]eNI-~ט #$|fs>/۽Mr:"1&#Uc8 \PK± AE%^Pֈ$]qc_veXvևm~vEyMfOěh#awYH "Jac܄=E/GX`1dnj>K3 ˢ N~Γ]jb!&k5٤ZL nxzmzAe_k6Bf'ljٴkQ'aзmC4ZV Q^YޯƩU-^gټm vߵ" VO2&pA#;E|W o@K+~*GARsJ7[SK~퉻%h,/:ԅ/ zhyZ63،E%k[5BLLEc䶘Py3\i0UH1KR g{C;zsnoo ~\euM Z" OP*XO#jn->QHɬSZZK ABOl{5B|SNI rxQ}hB/TW+)q&x/< =Sơ@jP9GSH6c1' }ŋGT?\1)^8Wjt5kqs 5 L#zv?Ģ>1TK'Fp)T8EI]`=!T ʆP1DK^y8y 4z wzS<`t+zE .AἪ!&fK`;!3TJ ^N!ϮBɛCfjRj@XS ޒuX jW?rrӭ&=OV: ,x7m6C\\XFyuytWZk}(}K>Wf-ᦞqKEWu@bf;֌u8wvj֐pi:˴ xUGmw #, $6n{-n &e4k(Fmް`[ G4c񦌩߰:)UVCmf)]񆺷[!O0¢yRZiG!Um {k'nHK؎I_02 aicWTΰo",̒G/gbVBOz~yɓy4$(B[iSZV20G  y砌;r끔?^w #\~+˳MzǛ 95ӝTfZ֮hh,VlbB=s?Nxw;OZ49.8wU8Tq`Dځ$QJyi'-xEbP )VN0$|У׸ȩhpp6 @?44 endstream endobj 16677 0 obj << /Annots 16679 0 R /BleedBox [0 0 612 792] /Contents [16686 0 R 16682 0 R 16683 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25886 16684 0 R >> >> /Type /Page >> endobj 16678 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16679 0 obj [16678 0 R 16680 0 R 16681 0 R 16685 0 R] endobj 16680 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [90 166.025 120.844 177.025] /Subtype /Link /Type /Annot >> endobj 16681 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [126.157 166.025 149.0095 177.025] /Subtype /Link /Type /Annot >> endobj 16682 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16683 0 obj << /Length 19 >> stream q /Iabc25886 Do Q endstream endobj 16684 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25887 20830 0 R /Gabc25888 20835 0 R >> /Font << /Fabc25889 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pRUthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bI*H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16686 0 obj << /Filter /FlateDecode /Length 4756 >> stream x]K W=-h4]ݽ@n4C$A`?HYKUeWdS-SOZ7evG~L3*x5"_]+kwѩOCxT;* Z|t7v+xpLO4#ZUOyifW@RS%-vV}}0W;/$A;팋kǟ_g'┙[F.McQT=k{E^]~ ?;vؾ-q0hq|{/QAOU /8L b"Z)@h B*T)=6~CK{ST ?uCyX9|Ĭ L-чY9 ȵ5wDb ߾Rf;\Q$^s}N3v~z_U:JC];| Xߠ鹣hcU!_OʪW oh(oܧ{z|g4p^=/Gk;Ԏ)bC6v-U1Sİ!]ݳ($ WNcU7BT8, ׶n-:*3NO2Cm傧yM7UtmG]stqD(J v}m"B&O&:'O`!?>E.0RvCCi0r{^wm - TΞAF36Tcjf7zd:-P|>7&38wg턝)\<8X{ϥ;57_a"&fm,LKN4uGmz.U@=r.ퟆն6[.<1fo0n4fl ss2 1c,;Gc#0j=SQcdίD 0'(fF4( q!Kv-"NJ8ea)MF:geBwM1#`*EV,6`hVަ>`E36A.e6݌+4'eo~nm4,8ٽIUK : i#t;&:\\\\\\\up9>|Pm|'I`R'Rٍ'4з:)b/8uwp;b¬gC7aiԁܭR"P|" kѼ$\R f18Xf;z 'y4s.sRmM;lٹPi`m#ȿNDC?E"Fۉqe >L'2HLF %b;NzkUcϺ2IxԜg|[W+JG[԰Ag"еu~+t J_M^Zt!ӋC J˯9Z@eRSL"WI5DGC2DT _Q9fD]eVTVP8Qi@Cr [dDLLJJN:!D>RY¯$-(ƒKN(NLϦ>JQBwrwҔ%Sk|ԡrBd8jx7Urω",}>*.h^!4"ҥ %g9$]&Q↥}})F.ϕ+-<9#E>#@ a^҆'8s]fɌ 64مDIIÐۤe؁ i±I*XJ5/晱IɔBD56DK(*۩3f8hQdηFr:.XpDR%k`aꜨrjA1qI8$̺:O&V܎vUE} %,aA75 kXTĦpkS%,&Zj5:;CRُͦg'7J+UΪ )ENź@'+"Ìs'WV:&Ğt%{Ղ Npfʥp9p9-ԍ37N"N5p;NP6pZF@b@@A`?s :R;xڀsKG-:xlo#l"H eA+  cA!'|A N. ])h-*?*9_*X9*X9*X9_ *X9_ *X9_*9_*9?*9?*9?uE-^G@7xuTTpk_G5AWj z\: *uTX|6r{{ǻoa{X PMT@=PX=PqTT>̼$PQNdTBe8XNg=-rr_-'L<3krr Tdڕ͑5V(Y,[ Ձb@e讵 /2t&P1yՂT+*{bCb'**@ .| DD3H6!Fls0u`#>?# N;@lDi}%WAh+ j7&..;_#rv'坣>=ŭó U>Zgdl>*!*/Ti .dg,':>* rl@yC h*9Lk*/3LqʤY(L@琳<~cf>:* J1 Vl;,f䀯BBwe!f5H< s ^G-'ףe8:|Jro(G1-JFPWKLJblտ.ӌ1Q!rj+oF/*)pF?ѶeG03ߧt_[ՖAva+祿:=i{09s.mVg:<-5nQ }v4tc9'H Ow+}uҎCXr l%AhCԈ:un dTR~TE_'!L+ endstream endobj 16687 0 obj << /Annots [16688 0 R 16692 0 R] /BleedBox [0 0 612 792] /Contents [16693 0 R 16689 0 R 16690 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25905 16691 0 R >> >> /Type /Page >> endobj 16688 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16689 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16690 0 obj << /Length 19 >> stream q /Iabc25905 Do Q endstream endobj 16691 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25906 20830 0 R /Gabc25907 20835 0 R >> /Font << /Fabc25908 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ZЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cIA 0yW!ӘV;!JϡmтGŶ>Z endstream endobj 16692 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1530) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16693 0 obj << /Filter /FlateDecode /Length 3662 >> stream x\K We-@ANAOIQv=zzTWɖ)E'޿M嚞fq|| QRvJz姷oߞg?GS9^ gY}z PL2>% nX#g.c;\2I|-0^I]u#pGQ[dqM|m: p_ʳup 딖e r/gW _O:=uJ^_~ڵOrvZq'OS/q_^ /`*4")S>̚pΐ3:cE"At|2x9,#}G~ ,dY.3YF伖dR>ε$Yh$yΌ#Nq8)xDQEά4 |sh~d9%Åb7SL@ P=f-?.y2C攵P%U:fSzQ2 Lc_Y֊rQKkzuFqkyٱH)q5bOL!Zصy [ bU)B$4#XTWfLJ6<'ނ'FZO4h+l00HŃk L' \q88q WMv{ź.ljmԊ}Vs ;+4O»dnuZU a 0o ~r=r ׅM®ZLB Z !xO-Bۖ l?c[NwXo;}O k.8-a2) / ` OȢ O`%fxmK|s~qVkK`sW*nwfggcN̟ Vkf-CSl.J/-5rpc\ݺ) GH%lj:#Wo ZV|U\;D]K`*xA[!AwR-Hf,n||ilZl7V5Ow/q~iImﰌ(MZ4xWWk[PB36o|ì} u=jţf+vP'n4,!&C "]97BU'l,m9npРg>W1mջpʣR?6N^*SrF\49ɻ2IX/ش{eW~T\.=hV E4Z7Ye欃5.NۈwO~mFLCN4lGsdvgw'~כjH.gJra͂w&F@Vڍ%Gy{6p/L`Y:0ӗ&Xm@AaBPqO@?&_&@pjtHQ-SRd DJP G.3Z:('Z}nQØC%OttOMC]%Y! tG' ꪷJ Ե}Fp}"`=c2i ;c[P Pݗރx4P(w݀Gh5&X]nZlHJϺ&%c>g%#!Z6Z}Cu_7z7QmMҕ@g#,N14\aR⪔v*?9X,fm$ $WFBR]L&LCiGO27P: ԸRy4flx 3`iutݛWsMr]"=fsuNw95gee ӏT3ۤrkZ٣>-Ϛ\ak(6OfT ~VG2ҟvwQr1Ums~n9-%M[9bXǦKEk`]d%8}-P(1OzLnW߲ S>sؿXm=>Qn1r]^G zJh^DyYak_2&5_Aa,Pf?#~1y3SE#vpIzQI4=`[l[co4 |\Aymr0>@gYܘ>(MT6eЌ!ڈ3.}:J]vg.3yO|1y1N~s/]\z-]Zte4!2'JOCxqH85Pk;Ȉhm멤= 7P6JE;(&ݳV&ΫӿBfzE䆅4ecDF .2qP9~ƒ”Yiך4m":aM-C..t\^i3~[ l!wzt|Q%G-'4Mҟw$kꚥ5O_qBȅZ t-= bső#̍ߚzBYxyr4S LV^-;%ĩvGXat!HI|-6fIK0}=tk"2]p^t6ڬC@2Leck:XK1eY*9Un8m vbr ە@ ;OmD?1V3y"w2,0҇hA 0_׾|.$_4FxқϏ/nҼD:M~^)s2Gq\݉u|9Es+ts4 sEH> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25924 16702 0 R >> >> /Type /Page >> endobj 16695 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16696 0 obj [16695 0 R 16697 0 R 16698 0 R 16699 0 R 16703 0 R] endobj 16697 0 obj << /A << /D (unique_605) /S /GoTo >> /Border [0 0 0] /Contents (report_operating_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 375.2346 240.6777 386.2346] /Subtype /Link /Type /Annot >> endobj 16698 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 359.0346 169.8542 370.0346] /Subtype /Link /Type /Annot >> endobj 16699 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 342.8346 225.2172 353.8346] /Subtype /Link /Type /Annot >> endobj 16700 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16701 0 obj << /Length 19 >> stream q /Iabc25924 Do Q endstream endobj 16702 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25925 20830 0 R /Gabc25926 20835 0 R >> /Font << /Fabc25927 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7'thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lMD endstream endobj 16703 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1531) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16704 0 obj << /Filter /FlateDecode /Length 2497 >> stream xj$="MCIHʣ[i`{RUwDFdoj|ӋncVǠ!C_UGKǯcO0:3ֽ>> ||^]?ƢYc<1?a:f 刋)P3Dtv)ea2Aό7 'c +(?,`B;;TFsF7`.H_Gp|/3]IhxH Ž !3kx=a0fE4b)')"#VӳpY o䉅+=47e>ƽ3ԲW֢+0d";}*: pxc#~uK [#fkvǍFlmaGڙZk;s.duk z E E'D%f7 sԠ,:U)"l|lGr)J65W.6=&z9O͞Iܥ/iFXM͔ؖt NZ̈́Dm&jDXlՏ0t.z-uvQvƳ(9iuޯƩU-}no~]ow-|}]瓌 |(gss{Qi k۠I+~TN/Njbf&0A01j 8x#0,x[ϩ;%&4&bff z5 MEs|(ia&Mp"{vCbW3|RՀ2Ζ2ιQwjd䜖Ѷ $ :Ϻ|uCmx+ЫKagRHzBΧIz xv3`V,"ãf +<ޯR!7&Rq&_j- 6X,`YCQ}LJ3EUdc?\^O4GM}'Qdo%Q0gT+{/P|EuDă@T@^%FLiSαeHVm%EuG{}K+XlGrRT-2bc)-+=s(@V \^N&Jn5y델q]ux>`V(Us$Ƽq@x* xrH"8 UNξ`Ĺ*8@DzJ㷲N~YGuC8w QB@!mW9(Г&WP v׫ >~@TA kP%BՑ 20ڃ$SMXcE.t$8{6`)zab+gx*5ԁqPJN{@+NQ>A,SބG p|S|SOs*3P5+ɧn`"`Vz֦ʹ)Pq=Bօ/WVȨӀсc x|#_EZ&dK>X&)Yxv0jXW2q+`b[-Ye}_! lMxafC"qMAo#6sag5Uf]uj7qO4 i PxazHb:Nkƫ/6#v;5@ qme7Y7:mDE-6 #̞Fe4kɟ$6LoUR-d#Za_R`ۑɪ@nyȐ#+$*wE!On줴eaj?jk`q=і" *|B2Ln@K!cicw_IU  7F6 ̊ϒOO/oBVB{ OzE¥aDZ}"l0sĩoccYeKh- eHSϘ^5U$O.ጌICrn0!&zD==fD 8C3'r2Or/y f9 !5sKssفJ" 4Ԙ_yY2Rp*ro~~m0^rL`H؇&fԏ@`$]xG0Tgnm Ё8djwx pG5qڮMi}]IC-@wU0TȒ3`'0 bx+,ߎ@PY](xpǷDI5*suP Iwb#υn> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25943 16711 0 R >> >> /Type /Page >> endobj 16706 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16707 0 obj [16706 0 R 16708 0 R 16712 0 R] endobj 16708 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [90 384.725 201.1385 395.725] /Subtype /Link /Type /Annot >> endobj 16709 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16710 0 obj << /Length 19 >> stream q /Iabc25943 Do Q endstream endobj 16711 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25944 20830 0 R /Gabc25945 20835 0 R >> /Font << /Fabc25946 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ZTthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛK^ endstream endobj 16712 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1532) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16713 0 obj << /Filter /FlateDecode /Length 3955 >> stream xڭ[KoW@+| nx@AN ;e~ŗ3D*"Y6:U:cbPgϿ䐲ϯwxO](.|ѺV[AϿO+񋌞~ф~cj?~_YZY>5Y?fwﵝYu&V!8{6&'B󣁧,9ޫrj]eԨ.)9 A_ޏ%2^=Wd!Z0m]ƲMۿ?8T <YeY׼ P`(_N?CA?'~OIc1>s^{oI;l:^7o$y&dMI˺ʮ[,*25䗐&GRϊ#it 8.f铷))1pc3xbIln)>Th,"-K x hȁ땅]]5 !G 4̕a-K\[4 3l/"V%BֳMG5VV?_^y0%`{]loms2F Ya>2-,c ;2H56W(g>I3z iBW"WjHafDg{Q0:Gllocu#$5tdc@*ՄC>zwK+F ?d]/BX*z;[ sh5ϡ[p˜&@q.2.cZ9P:`[OFXv tn 7ipnuXb_5D$۱2f#Ԯ+;NJ,ݵ8m= Npr[8w0'ա0>בbcA/% '02hd@5%} L2Vߊ7Q_6~:bapOӫBuzTG jĹ Az}=̯ۺw>i+]O'#uMVo|oٺngmgk 'νp^2|ot|s}=ݵL9Sc$* {Tzw!7ǻK?Uh(;205Xt_`9lIVnUiVO&5A#XU`:}w ݤ!TWs2U.CT_[˚;7݊"vqi@/JMr z50.h0 Rgtse1 $n_:*ut.b=:FQ4w0OkdY/i&L9Ib/E䊜81=<7ܰc+"މ V [xCQK<:@KOq<(iJ:pȒ(&]if^0c*a?\Jvm //1ag/`'N5 eeo3"ґ2&v-]'n3xs\H|b^m<2M3`Wi{ qqn$ʇ",_:!&Z >2=r+%*p Nt%;'x &EZsQx!3"B fXE"'0O7fqQ~[.I0⠦kԠ1cF ̕GfcM8ƹ#8G۰pƧ1>QC>bZ2N\LIV S@qɫ_Y~:M3^2^oBY0?`̆) @?wOC[n ~@a‹'ixp* GOS f#aͭC!qO wVWrxI;9o,7z=)̨`ݳo;+>Ψ ~ȇgS"Y:Fٮ Gj|Q߄=$ k5L{#=dDTVy["- endstream endobj 16714 0 obj << /Annots 16716 0 R /BleedBox [0 0 612 792] /Contents [16725 0 R 16721 0 R 16722 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25962 16723 0 R >> >> /Type /Page >> endobj 16715 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16716 0 obj [16715 0 R 16717 0 R 16718 0 R 16719 0 R 16720 0 R 16724 0 R] endobj 16717 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 154.6302 608.2] /Subtype /Link /Type /Annot >> endobj 16718 0 obj << /A << /D (unique_579) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20211013063105-08'00') /Rect [104.1732 581 153.2277 592] /Subtype /Link /Type /Annot >> endobj 16719 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 169.2217 575.8] /Subtype /Link /Type /Annot >> endobj 16720 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 153.7612 559.6] /Subtype /Link /Type /Annot >> endobj 16721 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16722 0 obj << /Length 19 >> stream q /Iabc25962 Do Q endstream endobj 16723 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25963 20830 0 R /Gabc25964 20835 0 R >> /Font << /Fabc25965 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16725 0 obj << /Filter /FlateDecode /Length 1560 >> stream xڭXIkd7W< ^&4rr _*-3FJR}JOeE;m;QW׏z9,|V!jia X>?Y뫵`m-ZNTPM %}CFqџveLKNWՆ16NSAb.-^hI;ݝLvĄyǭZ`P#@" W1]d7Ά}I;EC`wft6P;cqkٴJj"/` r?/ښ.Dz/:4.?bdU\9ĜV5UHT2BkG3^P{\z֎N '#:ِH6=[E1I=.DGb-4f:gDi@G%aŵDBŏ$WI;=da.bV:IP $ ~޳7 GGB]ˏ)]"_O~w"ȦqN~'W \_dڹ4 D3RZwmb/$bMʮ\9PEd :L-R:*uٖJ:`)ꢝ7N}xXb1z}qX2z\f : O A ݚ7ʫk(/JwMʹ7G;ԝ1c2ޯAGzS<5|AYE1=٠3i,`^-dF~Zp&#_VT/bN^_jkQ w"/`86pɛX$RjmvF~ʪu! L_ZZhBbTS994 ֝Q\huS 7.բ1s" )]X=c/h|oSױxקּ%w9&āځ)mP,2~o񶷇#=+@5kSC@## rl`8E*,~ŀ%h>'s#=3N:ZWʣb$s?X#8*wi{$֓yR"ē0u6\!\~EAOnOCh;|χ*{\JIJ~ endstream endobj 16726 0 obj << /Annots 16728 0 R /BleedBox [0 0 612 792] /Contents [16734 0 R 16730 0 R 16731 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc25981 16732 0 R >> >> /Type /Page >> endobj 16727 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063043-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16728 0 obj [16727 0 R 16729 0 R 16733 0 R] endobj 16729 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 396.825 124.1605 407.825] /Subtype /Link /Type /Annot >> endobj 16730 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16731 0 obj << /Length 19 >> stream q /Iabc25981 Do Q endstream endobj 16732 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc25982 20830 0 R /Gabc25983 20835 0 R >> /Font << /Fabc25984 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=سFw!g37FEo5o6},L>UI 5\fP=(ES~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16734 0 obj << /Filter /FlateDecode /Length 5528 >> stream x<Ɏ{}Eh@vN EU7'Mu =>JERIUˏ,]_ZglJߟbUcrwZe9X w>׻wEwƶ:О)x?-_$`%ho\=\5?X5 q ֔h?0|c`oEW?{k%ԯ?[\\6kZl˯XaAi 3g cW Ȝ?Od;P]}SQ=ϾG3>z~и/)؛x6RT[I8vWlθէ{ϘQ7+GꁉU3ޢ ]03xp" 98q}7&•o#j 0""=Vu憐s–vpzXD4~E0p1+uȌeslbu,hf᷆pRcZ,שުW`W PF{nuN Mwy!~VG>ȓ5(MUu.P v%{,;0kTgA< ЃYWX.e5ũ?NA4d{V%uKUa!Y)ϓI%zT') ;I E>`=%e6.;`5!(rvkM6 }^5_`^5 [!N1IE&^)gw)53UMXX^{K Ji,:2UM\PQHrF]l̰I%OZWK,}M/w*U_n1aMbRjDs2Pݚ,6M JJm0nBڌY^TӬn͆D: QӀv,6-J5.Rʅqt߭d}\T3u'P:0j:s[6WN@۹9ئVNU-'鴣Z F-F- W{jaXΫajawԢjvؠB,NF0gtb 61Ug -'=' tqtů4LuR`>:=u[u=MpS'U'U'uefuZ>NjV3I |: 槨I6!穓ձfP'5ӭoT'ufvz:3GINNj;;W{jPEz^G]VF@H6E Pg q𺏧.iŸKЎެAZsft|H}ڸ*_;N-U-N9X!P&@.T n4nF  3,~ <pr ݄0˭jYՀ+PmxBP"+zGW2JH/b7&܊w(\_A"PY]ps@$?VY`=D uлd.x9u1%yC^ RA{U#A\fs"I; W hfגhr*O` 8R #;{84 8cc"P sv,pI4e@Hzق&(%*G>V 5UVl5`' r 2fçg`ݯU]E>Mc*nSCr0iAiN Y z1$Ʊ) R-@|3[+mQ3;c mEueCx!SL귳qk5@,aYܓѲ&Jaвhx>YwEP8P0WZrb~QuvQu1nF-[&E"Au(9+|*Ǔc^9n0,Ok[T(b-yG"@v$=1*QH:Gbݣ̋". >쏝Yl8aLqX?y{XV_Frja7vxb|WOksfynˍB+7Xl FX{YP{f!w(ބ\;3ո#cnXkA,[6S^ thmsF]74l /U\!A ;ޢveO#/avOx.|!Ceܛ0oHIDՁL{jq۷ uW#0-lYTMv+5﷦ﱣXo Y_+wLdH[.}KM'%88sڪkj͇sJkoȉ$|x06辩s'!y[5& mHqz!OPc|Lq_ clVSaܢFѲ5FE.xj;d0Ild4ZDrOk]5)<2\"-20ēS"ЁNTصtI5ayruJr3#x%~yJ\gj? (Cpׁ^A "|=O1H\t$ʭWJM0k]X߶RA4Sim ƭ9ڨƎYw 4 m:+3LrA^6Y $Ra Y>v̐ۈ^F ۶F #{̴Ԫ@\x k6tCKj ܃]Q6;NQ ߐ%6ެfZ8tW&\g#4ԔGoțxԡЩM!@# o,MyW,'zusP`\8ȣc6<=^^eF!#ZyXnQA0R0.6qNi[ t L|Ʂ ߡ6xn[D N^>#U?XU?f*"OΗpF {1{Bl:W0x{ T endstream endobj 16735 0 obj << /Annots 16737 0 R /BleedBox [0 0 612 792] /Contents [16744 0 R 16740 0 R 16741 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26000 16742 0 R >> >> /Type /Page >> endobj 16736 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16737 0 obj [16736 0 R 16738 0 R 16739 0 R 16743 0 R] endobj 16738 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 436.9384 173.0772 447.9384] /Subtype /Link /Type /Annot >> endobj 16739 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 420.7384 178.0272 431.7384] /Subtype /Link /Type /Annot >> endobj 16740 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16741 0 obj << /Length 19 >> stream q /Iabc26000 Do Q endstream endobj 16742 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26001 20830 0 R /Gabc26002 20835 0 R >> /Font << /Fabc26003 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہS gx*R/ jcȅ査˛~CѣDI`2R(.Xc4ECIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD3}}"juZG)zr8knT-(h*$RG"e*)sm.\fy4(//d"W-jg:gH5> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16744 0 obj << /Filter /FlateDecode /Length 2360 >> stream xڭYIoׯyp_V4@na0'% ؗy*V[E(' V:'<ơ@uqX>ZdpPβI8+Yz3Evogυ*xne @Kj_FLwY;N;]B"php2xdIt#|ڣ2YwhP]Lڥv*&lg*KV{[5U?^E9=BKšy=)0{KdbpANpEDZꨮA頊*SBB?(4Djx_Өl*gؙo  V0IEgy*M{𸛮*!(yl|b3GN Ɯ~=hlMoSmsiC_J<js?f 'M n;J7J'R;\+'%Z{ުx\NZ%ZP>ly*GwKO|Eѝn].qhrCEkުl=ڵldk6[bl~^̚B]}E@E[+"UƤ55 a"4h꡿Zm Qɝ*DZ׏.u$:tN*~W';}ָ0}.JNaqp'mr¤ D06AM^_j(9Mq_/:E[C|NǔMoGBX ǖOblc:FykBB֏*V ǢIeIE3rXSDoe(JhVqCǭĐhRocp;曣ʭ>|O;yNciLbrʱlo RK@md~\(]){W}A_w{f 癋|(8XF9Qr5?|ߔ;0y8sA 1@I N^Pou9>qFu+ޕtȔiܲD[ [kt^! Ά.NBEyjPY5;+兮{L;V>Jc#;FSΜ"w  k\ } J2f t-9wƠ`^Vف] mi7GΝ1-ޏ=^iwġuXdاq^F 9$#6TlDeqʋr˰7, D/?^dDU[4`8eeGf"2 ;ӈZ>If1kR ۈWԹi/Tvf*|[iHbtMUQg7=:)oigyTWx4zl28Zqꖹ8q$ѿ|&r:nK!}aUu @jSihuW 0>:֟`?Ih,/ VuDE6U2S8wD~A4+s$+Am{2z< kIy̚2 ]?iܙl0f*P  !aݽ ㉘ƙb@wVWQ(Ďl"Ĭ\V?eMeí & fo JH Y)AV TV@FnLMYU ŕD굴-dȥ7)uor{3f^_-A d e\}f1)E1=]k5ҫve'@Drt/7`.4灙 hx#AV3W;$*tf8s4:o'bthH!>b;qҽ|4ڏIMC3vJȔDzRuoَ3$Tuu)q NOjNCh ?Qո59mr endstream endobj 16745 0 obj << /Annots 16747 0 R /BleedBox [0 0 612 792] /Contents [16754 0 R 16750 0 R 16751 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26019 16752 0 R >> >> /Type /Page >> endobj 16746 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16747 0 obj [16746 0 R 16748 0 R 16749 0 R 16753 0 R] endobj 16748 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 369.525 122.505 380.525] /Subtype /Link /Type /Annot >> endobj 16749 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [127.818 369.525 238.9565 380.525] /Subtype /Link /Type /Annot >> endobj 16750 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16751 0 obj << /Length 19 >> stream q /Iabc26019 Do Q endstream endobj 16752 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26020 20830 0 R /Gabc26021 20835 0 R >> /Font << /Fabc26022 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoI endstream endobj 16753 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1536) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16754 0 obj << /Filter /FlateDecode /Length 4089 >> stream xڵ[KoWM6 H{@ANO Q7],VW$7m鯯Y. :~??K){UΘ ŴS=Z|kTN@%E}4NhBr p5?B,i-dpuvMVr/YiMfxmgc֬dÍ?^;/+g2=suܩ#~6%-k[zj`|*YFKJ΃"G ~E "p6Gĺ!Z0im]ƲM?( <ؓIYd+̯rK(4Jm|__N_3$'!3} |Om fb/Zʖ Xt\~'<ЅMIκʺ[f旐k+Ԃ8yՄ:LCY>TҶ.)>dQ[ba=IG~هBXR"jbb0^]LIE4YkK2 ,-甪j\hȶTpLoIო᭬*I]~02! z;0wU0 ?O;q ʀD((  #:t@fvC~osƖeu\z^:buʰ.P:{iu4-PLC2 ufo(EmAPU]W(5 y停t6=y007+bh. HC< ,/x[=[^/t[pe^lhyv,*8bsz_PTL}X;׸'ѵ]#c<㭍ݎYq'9i-p琥N9X:IE#V{;vddXN :Stgt2kHh>yTk~6_ANʫJ;vS"gy +ֻć؞xrmW)r z2m|cvȖQq.\ޯ[3\+/`6&Q6]4}-mp;x8&jzQpXco; L 逎~@Ht&(!Ok60tg Z(ڵ!hW2R bxל%l+,HtIX`W=2J3TqzU?b?`ND:SY'9 _g+_ Lh@STB甡:*3uꕨEتcQnОB:ց48[j/j=U8\KWګ=8z~o6u[kF Ya>2-,s ;ۇ2H56.Y\JdT} g./)ӄbDԖyf&ψZZatٞfD- F BЩݎņTvM/U8\3 ~e:TtaPgcPb`> $'\,P\9ˁKsاV.U0't#,Pv   7B`4XXTdA f,j$s+ub3S5Hce9r{r']Wv&Xkq݃ z+mpRoD '5I0 'pRIN4?Nc6G؏IMGI 8]F8Ď0zߊ^G>f s z))m y*s #sF@$K=jrnOV<<OӫBurVRǡ'/1ϗH1JV{a}8WQCG uл| ,;pC6K m&4/h cXCvHE).p$mIhP~ g1(55"+JC`blj:j4(̓`1ṔcI)9/֭5O]WFyrބV8=.*[+}j<+ۨ|Ѐw_5\Ǘ[R|+yCc=oEQ2 L*KkC\Gc8, CB3}s"a5I -H3=.dv}ݹXƣyXړ}6<4'%.yE~ LNɎ]SW kKNOg@`3Ev= 24#\5j(I'߰Bͣv8}FP.hqJ!N"HlV4 TYиخk\7qMvJeZnZu&%D=iMij`0xFa"$eEեz]f*q;?ݠLw;ދlm!kd'ƗD ĝΞ͏8<-E9܍iۮ x'22[u&Ŕm2\3/[*󎝈K FTDo&1('4^ea+/2&EϚunyj"#^ƣ-r !fNݷN8/ƍjSξ~}ͳ;Mt123!1(aLD1y?[]a#zQ{WF\p6S@$fo6 9ţEu#f4Դ{Aoa&s wڊƕ)jć]6'&Y @8=e~yP0 b &22Y,.XbZ2S/fzJMXbtM]Y_P<Ō?T@:WF%x{a]LBS+"VZY<ďɅ4HP)XC+sq69Բp^UT,ôSR$[Lli>+|8-/RY-efZJ_[Mҟ1}`yx*BTCs֊m('6XUT\e%V>J /Mo29R\T}l ~5nMvzP18Wf>s\f3Vl6@WWQԣDR}bDZ6mO3aM(3kӾUp4Ds[|' g_HonYOD8zow0́``mϏD #~Y #69o,7z]?8K+C!&E#xU S,@T#-BGn:OPrg/]ϻ'D`O{Dta"?#BLFp}#?ѣAޯN@Je>> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26038 16767 0 R >> >> /Type /Page >> endobj 16756 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16757 0 obj [16756 0 R 16758 0 R 16759 0 R 16760 0 R 16761 0 R 16762 0 R 16763 0 R 16764 0 R 16768 0 R] endobj 16758 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20211013063105-08'00') /Rect [104.1732 529.0038 181.0247 540.0038] /Subtype /Link /Type /Annot >> endobj 16759 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 512.8038 145.4837 523.8038] /Subtype /Link /Type /Annot >> endobj 16760 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 496.6038 166.3397 507.6038] /Subtype /Link /Type /Annot >> endobj 16761 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 480.4038 164.9372 491.4038] /Subtype /Link /Type /Annot >> endobj 16762 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20211013063105-08'00') /Rect [104.1732 464.2038 195.5447 475.2038] /Subtype /Link /Type /Annot >> endobj 16763 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 448.0037 180.9312 459.0037] /Subtype /Link /Type /Annot >> endobj 16764 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 431.8037 165.4707 442.8037] /Subtype /Link /Type /Annot >> endobj 16765 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16766 0 obj << /Length 19 >> stream q /Iabc26038 Do Q endstream endobj 16767 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26039 20830 0 R /Gabc26040 20835 0 R >> /Font << /Fabc26041 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16769 0 obj << /Filter /FlateDecode /Length 2000 >> stream xXIWns_Ars0@ANN@sy?_UlF>ƆY\ZY,RV蓫_^t:],u:>O1k}ښ9_voS0損9cb%aԧo`WCa36c{ 1%^;|l˫=tALZڧNI1crN8RTcEDyԦ~O펙ETŢ2Wt2W@H?#aQZ( DAg⻮^C?EOb~83̱&EN H3;Y6BaН?4.A3)9 0" XG6@@EB\|N ^$Mbt[,.͍%/ѐb#V˳R{vpu|?3.mDf4Oxo=1ض65Z2o] 0|Xw @lDl_)2z8<*m1~wޥTǥbP7mO y\u 6ksIKMM)dC͆!2i9UYߵϯq'DNH{$RڸCmFNN~K~)! b\Ao,ydYoՔqђ#U*>']c[ (L&,NkaӇr!+88^kh.e^EO0# 7ZwבY@0xעߍb! c;cdXTfgHI:*HnHj9\OmE;oK(^\跧 קIOÅ WoQm;He~Ektgml (4SYa(hE>>ǹ-F u]טq[ޙqG#Jf0n`85G r 8Кb#' q]e-Ե i%.Ms.̔My= T73#|VUځyaOJPHzLKOѭzilrb;|tq 6vz T7OȚwɐf*sn?ƷXʉȘekxc!XiSZVҨ!t~ȏ̈́9qB/'X+G_nm'Jz'JBdEp7  R\%ˏ'S3I27~+b(ǹ[_Bmmč=Rv`N=q)mm^0OGI5ѣP^ ?VA8dFwv*9S^9QH endstream endobj 16770 0 obj << /Annots 16772 0 R /BleedBox [0 0 612 792] /Contents [16778 0 R 16774 0 R 16775 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26057 16776 0 R >> >> /Type /Page >> endobj 16771 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16772 0 obj [16771 0 R 16773 0 R 16777 0 R] endobj 16773 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 406.825 124.1605 417.825] /Subtype /Link /Type /Annot >> endobj 16774 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16775 0 obj << /Length 19 >> stream q /Iabc26057 Do Q endstream endobj 16776 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26058 20830 0 R /Gabc26059 20835 0 R >> /Font << /Fabc26060 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫C;fũc8S.@˂Vm Ln;|/(q21r(r1"F Ɣ3wҜHr$sBDQ@@$u$4W̵R̃sV7Ӭjl?7‹!K4>S~Y2cy / ̧9 ?ɒ F08` j2*WLj$x2U|ݝCJHO;Ρ\NZu^lM& endstream endobj 16777 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1538) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16778 0 obj << /Filter /FlateDecode /Length 2998 >> stream x[K6W@ ߔctܜ`ANNbzŗZ=/ONqRȪbU}$E7m?^.%9y0FIyrkLr$b]# B\WUA@N6m4\XcmDԔҼ,B(9hG   6[B0!Z+8H9kmҏ/?OAPRrʂ ƹ9,`=z/G`\q'|nXn/GfNf]$U_YWtc;܍!wjuAJd" m򨒵$XRv0NpdEwGTD ԃ˸,$AS υٹj ab°bb_y-eeE10,~}(T| $oB63 1.l_pc[ HeE<; M9cyuSUcֆ0_-ocu^.$v0\Hc.].T״cy̐<&qo#'IQbyIq[Ct3&%B'3*s௨V]BHm׎ڀ늗u-jc kl2G2 ƺy^ h*"[^:k_Knkf+VF9l!' ' [j\^gymt5d/a!}>co^e h޺ o⋫8k<02nJV/c2n Ig oRJэ98&q8BJ\TQM^IXg3HBJh&j_"BgXG^;Q8"8h)OkjQaDs=헽N0ivgKOV\0UMSlo+2H,SJ))ϩI YdNVU^f&ԦGg=3=2k}lV%lQc@&'+  -9g&teO;FbX}!uPuq5͛6IT5 շ@ ,8 X=X:,\ :7(%s+E <۰ҵF= =]W68XEq݃ z*mpRϼNja8N=:8w:2Na6H'V>pz闇WI]Y 'ueu8शNL@zO{ @f s YRڀ(2?d^uHaSFPV<>͎OuvV ' 1 Oד3~VWBlW|)s)v.RǂvAX 純B{'g9hJ'%QxPC\qf >E16G d_|lMi^[@^,xT>eSRN!.$0*,]5kV<]Ǿa^# uθn2aL|tм#9s"ZH"PׅCN˔o\^``<2I0\y^aT,ܗ""Z Ao5lhLzJ9;;p 0a>68瀗M.xG E)@/jp0o69d-р%Rĭ॰U#j1DSx7.[NUP,mi{P @ew( FEIJ]< =g/S՘=2U[Y,؋!+a8Ϙ^VؔԔ3Lg'm29*&tO| -ΆrO0>ÓnbbGHD]i$fqdY "B=5uR(K)]*.kƍ4дyh ˰":ÇG4һ((#F=,3Eשr\^L(ҕ(L_sL<ԸpijmiZ54 Ss[F(bݳԂT ҶR}ZL_h" /⶘7&\՗dҫH ~:ljzvEF+N‰k)Z{J=LWUjZeF ^؜F]TT/ [N:;Ւl=UD y`5Gct8a ~Y;)RxkXA~=]ހRC$CR~o0 N> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26076 16783 0 R >> >> /Type /Page >> endobj 16780 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16781 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16782 0 obj << /Length 19 >> stream q /Iabc26076 Do Q endstream endobj 16783 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26077 20830 0 R /Gabc26078 20835 0 R >> /Font << /Fabc26079 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM>J endstream endobj 16784 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1539) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16785 0 obj << /Filter /FlateDecode /Length 5178 >> stream x<Ɏ8vw~$Ȉh`n=SOeLU>m$EFfUHvOZ.fTuuf ~4wukϿ]_-_}:d5\B4C./Kоzj/Z 5 q ֔h0s) (&*, ܸ)*.g3?*ɟQ`{'X55?AKJój}(E!a]y +Y6K@u u2έ Gu;9h.G4Gk%ԯ^6kZ oZ~E1A 0Qk) e]}#ƾeo(w5Yp|0B\9=?ӌ {S5g=i+&&VSF}Ę"+`- v)2p)eo1R‚t{<5O3# 0az.zDvO"$;jpkVPE3ZG{veZl֢>_^%Ӯƀ[SUl [tTw>4(gށ<5&@,զqyO9o7O&]K(j>@i4FՂAE+WJ#X Lr ½rYMޏfW5@wՉK{K^m0QQZMLAxs!G}#^ywf=X36M͸k>QjG tv`AW%"pIۡleaEB|(>#*' ;QPU{a .MPZxUK2;J"+̿e}oijTw |' ÏlS,HǦ.q:_7zʇ<K$_۹s{]~OK?Lq7`nzf*ߊ׸&9=ƦbșB/gvr"714ݥk m;h8 ympv n)th,CCŶ!`Ce < To}WxuF18HfW걤zSի$䄤345> VW-쪳N-R =BuTF8I+A XT/r\XR [{'"#iRkRkZ/|R'0P.7iu( _M ϕ"XP/hyZϖtb},:2ҙ*&.(σ(m$9#v3N߷'j>j%s$uLŪG;VM1c禙k1bRBaš+czoWpbDyfM,RA;[݋jus8>[-u@Q鼪PTWT&=8ģ7jT⼊ui:Tt`P7cnu`PPBsNܲqP\Q3Cf#% nZ,hV&5ؖf{蕇0Z8Q-\V7A,U#C]#O]WHbS MJ\q ꤄"ߝVbB:`iRjuR'ugd\V{ful IJ|f$2vn;u*R'^'-VZ=uR]wis'4 EqXQGVTNY)ta%U-Oc:P&] n'ӯOJ}?OޥRjvQ:T}nIVIVN &ǸQ#d^ff\Ϫ朗!pC7WO:Ÿ7(#:ԱIa <[W`;hO!~T}Qj"5jѝ܎]!˧PB, KA!9|G22D@;9BjH&Y5,ȻxŔ9|eLlALqO|Uj[bc[ndUbb,U2n0wr| >؞[Ͱ9fst̉n¬VJfMٔ%?ԩ@9nqiA 6IC`i ^QP*\ŌI9;H#iMB3[#↞'oa9_&}6rE^2Ȑ a%s8CQ{ݜ@[míH 4I9<т!d E #< oA˘ZZ6Ӓ,yg隌qO50^2ibqL=2Z^92^Š(k,,E2<=^ 6f3T"d|X*dV'+ziGׂSI4W;dA_#R(\YC#XdA˔L /KZi4iF7L508G"AX6"Seicx2IOpĽK  ,lLF2gv馠mK2M e&Qd < r3U cW Ďã^0FK~v @41p=u B^ K;.L9<_[zgv)ԥ#*Ķf&lݰWxb=01a%!!?t?-j:\Ӷ#(iٞqyRn@;N7SNTf  ox sxLi48j)׈0(`=3؇ 27\W0^ )%n=엇PP4@GP#S(MEh 4OU%`ӛdȵr"5ꂁ^,/ ,JJAQ=htɈ˧zG2+#ds0 .ލܴЕ!Shk%fgyhx3 ]Ogɡ2]-&صx3u )wf7d@ YJaZް{ jhc-%5ZYlcSPWBtcSc(ti*RQ=4 R[-uYFIYσ‹r5 =P^Ƀ/Ŧ*V`1](ӎV3v{&5 ,mqQf<$QT"N90zhn/`qN4پni)o* n($vō+"#w؋Ā:T5G-BjHA-R uTX& D&:sr.>eTnav\섹>M<۵ T>{QH[OvHa/U]1z[ KO1֗1zuћ>ynbA>=!`yX W]{pA,ם[ݫ7v}s<%w3ԏ%0 ̈́/jLݞP4_WJ*mesop3#pwլ6[A>9o *{wg-)1=0dɺ^H:i{EЦUΛ%{RBeyՙjT];Pmô4jb`O3Vlf9uP섧~wugd+w_Nyzj=oh[!7Suϊ{ﲙ.Y 6S0tN 6 pAS,bMK^,L28М~WܹƘC'>\OM*μ?@m}tXycamt4nc/|>!/߁<M3 7aYGT1~;o#|קTm]a-`K| {41T)s ߜj^TaTj@.pyw7vcM:K˽"`='.Cr$2lx4S$h0ras4ZzOTQ1ӎRIFTCV8ipvU)$䖲3, e%/x}tXz"Qj(uZ eBtK.j2[Ҽ)΅71.>ULFu|F{V>(jR~v~E%cI\؞)CDoSqeȵeq=ht Yl_yWz\.zkWwۻ>ձ_p1׾.rYvp%2r̄,Ծg'۪~з[3 }hL`8g?" ,K[^| DuQ<_rg l)_;>;M,^2:xI<|sBd:Wƒ̽ ] ,93%Z endstream endobj 16786 0 obj << /Annots 16788 0 R /BleedBox [0 0 612 792] /Contents [16794 0 R 16790 0 R 16791 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26095 16792 0 R >> >> /Type /Page >> endobj 16787 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16788 0 obj [16787 0 R 16789 0 R 16793 0 R] endobj 16789 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 388.0692 190.7432 399.0692] /Subtype /Link /Type /Annot >> endobj 16790 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16791 0 obj << /Length 19 >> stream q /Iabc26095 Do Q endstream endobj 16792 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26096 20830 0 R /Gabc26097 20835 0 R >> /Font << /Fabc26098 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fh^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 16793 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1540) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16794 0 obj << /Filter /FlateDecode /Length 2622 >> stream xIk^SB03y uy?VKȒ 6j鯯Y. }M.E[>ۃ1>j%]K>ˋ?=?P3Kjqp H_ Й 9x+ "XJY1pbe5'{[ #FD:vhV8'DujUC-6'Ir.!h@vzÁ $Y3P򒢛l `"-{UU̿&զRT Jn RUϓIoVo-uv!y?Ņ{TaիNaOP]hnSMM.7 :~2d.i(Qw\.pO5*`"N_sUV8-zˁ(qQNs952n.<Nll癡= ;MN?c삓M 3+ ~[K*Z Sl[ %g0 Z~{/h*wȼ5Dx6Ӓ)[~39p4f dR^1n_57c̴P,5k|SM_Qo>QIVp4 [N3c;̇q܎fr\JyuogOb=DJC =]ca.|hJi+p%9G(Wr\ ':Xаk0%[FѨN fFya5]D /?`~ɹFWf1;@0Gde !=[,T?9;K9R] h֠K/rA)WapX@Ee,G^7pF a=0RKA+ }*Hnm^ K\x'^|Lw֥ޅv>AQxfs3p^,{3/=t_'(Z?HmR`` nj/]ǰr}R[!p79үR\#\Bf~-!a Z'Ѩ pbk !@8Ѧ쩡_ʁzInx#'*7Y.Oflteka޹ejkT5[ꞷ,uCT;ڒ'Ὴ^yY&3l_,]&Q5:ߴ4r2+6K2W/:dr;+B}Oz,/8h<4mNt28rT^ȶ1EViYڊ)`W_Lq/kG5v`FV$!x8eu~G;f c2q^ p(Cˎwx!+7K׮A"C2Ű`y&꥙Ha%vIMamPubӷ4Ԉ_FQR}ٜ " ⾻" bVCYm7ŭm:fi#P3Kٮ ʂ廝gtGc\dSq-##x$ o>cot? Qh|9:{s.6>6Xn/V!BqGr`_y_{1 3I~{@yoStٜExgVN<Е'A]UܰY%v$L=b)r7 I}ƞ.&B\ `(F5>ߧil9I endstream endobj 16795 0 obj << /Annots 16797 0 R /BleedBox [0 0 612 792] /Contents [16803 0 R 16799 0 R 16800 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26114 16801 0 R >> >> /Type /Page >> endobj 16796 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16797 0 obj [16796 0 R 16798 0 R 16802 0 R] endobj 16798 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 437.225 122.692 448.225] /Subtype /Link /Type /Annot >> endobj 16799 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16800 0 obj << /Length 19 >> stream q /Iabc26114 Do Q endstream endobj 16801 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26115 20830 0 R /Gabc26116 20835 0 R >> /Font << /Fabc26117 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`%RthǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]d߄}j]֠zfQJ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16803 0 obj << /Filter /FlateDecode /Length 3795 >> stream xڵ[IWdF]U|&;?oR6cǷ}kjx˫_uY.I}COA;?a!e?S()Z>*z:[w]oxG~EzT_I6&+wC7? ͒bή_VN)<+Ϭve]˚u\lbUdr0Ɵq(F9~\vrGE9S3g.S:1i5}xGfxG:JPNGEfC"huIypֿPh$p5DrCKXIo3 H)1cf6亠9 P@Y?k hy!bl|2^QF |gɭKX:olr#hn`j}j/!׷@Ɯc&[CY_u୸o|)4[ţƬ˙'\jYoXHvx>:7ÕR@õępزiVF{İ.@tLS?PZ:7CfJ#q[c@-6` |F[Asܘ^k-unaި! S|vFnu+˛F&-S2= Є܂ᄀ쵙zF=jΌ4J{H˝YEcC *p/YƭY֨oM˲$x[(ԙI b#2F,kp[ΫN;uW "7y 7%3|)m*agixğynJsxaScnүX* zR0H Aa͟DSI~8BݱrVڝ$O&FnILAQy}T"ȗD{ԣ~ԏHB+Kz`~]\X wb(#j1 w[q^+'ؚ$8 տll5[Pc׼I: kp/+w-<#=#&W]iڢo͐:2Y9f+~JN ;ͻ#:WăE#ƽNlbLI^Kv ~P5jpTXVZbi"Yu:v f;08O@6 K5N}[J_Wx5kALg䐧l`X!*{ ?*ʗQCskB@FG>nȏ Hu.ȳ"f1ǖV&pW}62|oRY-ef^J_xߘް|݋ȼ6FP꒵bk A|>|EEWd@S(G!R~pSloEcû_";{c|&L0zlh{WuJf&D zc/4s&8r]Gydwx;c4lf\˾b;L= @ىGOC&9#.MȚ0ޜ53\H."p?UpeyvwU0wlN`>'r?&Lt6iD~Pr](Ï,]?vi"r 춗Saw6qS(LGxta&~z@So|G3M_zzG"RYA endstream endobj 16804 0 obj << /Annots 16806 0 R /BleedBox [0 0 612 792] /Contents [16812 0 R 16808 0 R 16809 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26133 16810 0 R >> >> /Type /Page >> endobj 16805 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16806 0 obj [16805 0 R 16807 0 R 16811 0 R] endobj 16807 0 obj << /A << /D (unique_612) /S /GoTo >> /Border [0 0 0] /Contents (report_ssn) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 154.8227 686.7] /Subtype /Link /Type /Annot >> endobj 16808 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16809 0 obj << /Length 19 >> stream q /Iabc26133 Do Q endstream endobj 16810 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26134 20830 0 R /Gabc26135 20835 0 R >> /Font << /Fabc26136 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫Dӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽?R endstream endobj 16811 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1542) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16812 0 obj << /Filter /FlateDecode /Length 1179 >> stream xڭWM37 W\WHCo JOvR?TO6[/%8dѧg4~SJrs"̮ ?"8h1C;Dg8GZ/ F{3=O,!v3QoḾOIHI]2_l_96g> (x֕de _[JM~f 1MZC0); 4ZcX odWÒ-[}İܦenjW&rs.P'OV|f .fn6 lHtN}ErrϖͭƋX[m(ܩ[x3&MNڞj|M4!BgKM};$f%.(8};{wG GpG`8x5ܜXR̰Z6F}՘JQO9G,1QGͦ̚h=qfJE-}rV?RyBW+2 z,R>8rUV*jJ\ud+p|ڿK+/l.xyNN_8T $~Zc]!l1p/5J|"ϰ"%Ĥ[WV#6]nD!ƱNJ v{ uQjȹS|hT3/)XvpR:TDh fYP Er1 J61jQ*(x1T(~5pthK[ą,ySw9q u%c%- CXꡂ-&!rI䝖y#A>rGG֬/MK77+[}.5T}}}1@H/Zc[ ^aln(;1s,44Pq4Ex~[1Eα>cFzҦ?'0KOyq xN=R?ӑ8|=k/N/N4Zt􂷣ufA;`ϗr4lMe4;04= yqĨI^~Uo$j۳&ilY?èWIib!A N~E󑊾bBM='pki endstream endobj 16813 0 obj << /Annots 16815 0 R /BleedBox [0 0 612 792] /Contents [16822 0 R 16818 0 R 16819 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26152 16820 0 R >> >> /Type /Page >> endobj 16814 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16815 0 obj [16814 0 R 16816 0 R 16817 0 R 16821 0 R] endobj 16816 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [90 314.425 120.844 325.425] /Subtype /Link /Type /Annot >> endobj 16817 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [126.157 314.425 149.0095 325.425] /Subtype /Link /Type /Annot >> endobj 16818 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16819 0 obj << /Length 19 >> stream q /Iabc26152 Do Q endstream endobj 16820 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26153 20830 0 R /Gabc26154 20835 0 R >> /Font << /Fabc26155 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16822 0 obj << /Filter /FlateDecode /Length 4953 >> stream xڽ<ˎ8w}Go@"V3a0,*/IQe;"UۍhmIV?yq뛮0cгE___vV]a!e>>9WaW1򣢫gS,FTXw+;لEaE`Xf%&_/;I Eur& ˿~ _ i3e[f2 .qVП|1KqU >jŸ  ]͋1 0K/p}y}ML;ϓ[f 忑 \Op`)=["PB*ԹҰ3L+׮lve2$yE'i^5oRHɜn'Ô\44L)9 Ph^hhH{%D?)PNYc%ϨC RfAfT 20˨c9i@ 03&}1 m 33ϑ` Jg<{YV mC|ׯ76UZW& tקpB2BU>i@敐+F! ̸Mz1Ɇ% EL kc0ui۱r@ /ӒT-,~X|߷pPO˜_)ʙt^5OwY%ch1$~~ .i)ih . $[m=n̽xO1cWDR +[Ϛ![Ur-C||E}O YcRe/ =E,9ND1;U7UXUw/ͳ{tz˻K#MV7%\l=œr7|yS («bֳ<177oKN@( 7z_FRB1zzW௽HpY"1kHC9,#V!*@K V^n~'ٽYEd,r , huM̴{a v-joj=[h:"k@|J{f3gaJ+K`-Yc%^&Gs;@Ց3H!%Tc{O91DԚ|Gzg}S fwJߔFf# p"jбfv\*Ґ ,2FuN{u5h s׫U`PJЧ@v pn7EMӆ^)mBw K-viKFM[D+򀱙"zv F?4T@Wuea,I} -vT՝*TZaI|~C)E8Ь ru$C08KyEe@o%WJ1a:6`Juq(fϡH:P-d}Gv('07.@ }2k hoC;^r)%JM*.EPA]nNnntsW͉͍)%R9j$\趺p)A E{KV5ZJ P.ϭK>wåUJoy.ڭ>Ա4MKLSCNShw8Mi}>)}ORGҔ#MCiJOSX:Ic1u QۉJߗDHTP&*u0Qw*u0QT@'*u'Q[JߙԡD/Kj'S]s)K~w>mr Pƪ:6{^[:|S޾MW6̺7i9OQk5KMDZ~x7ӌ7F7 ?:d fj#u<I^ImB#wL3Oii8_@V8,̸oN[Fi;mД0Ƽ챲譯lV޳n+[v}j;-Gwzݝ+#6yA&| ,A?*yryfp&8G. QB'?G\S;p?E epp+?P]W2uJ!Imm-LoTŁ RH{OX-<G ո^J xc%Aa.> VV.kpA6?t.soF">RP^Fͮ^i0WU ȘSZD,Q3GSQG*RNvxV?y /w/ÒfP-ESD@l:;P}I8^T7;(UKE!=ć\ e?P1WqGO'j>7销6)3ie.QaNq/1 SPB3O9 k%Ȯ"jDЅ.>N~ So^Lp|>ōDláO[nhKs+˄6gUgkG|]!x+׍\}b7$rJ׼%y $q=ܤsDZzMvVll%q!yM"bIFɠԵ+H̜y$R$u|eESYieb') ꒼g3 :LeKS\ծYzy5)wĠOJ PŢެeD-zs])%::&jl(P7i2dd;<(D*MkyçLxR޴%v{'?aZLYNPlf`Zk՗"ԑUܵu9d/S.sÜKjͼ~R/.rQSp'JIe TP.ӂ1@('Sn4e,mX6 2q_nM١`jn"z|L,|72晰p4ۉ x!tg:;r1z@nS,. O:C <鰮;X:l QBc@cT@`yh&!ǖڛX(n>^ha|^S1bZ25ig>wXkrq0#]NtԹ5e[a,nn;72/[Ajo}yto"J!*ꜵf# -{S5b>OU6L9kxi|kzɑS-&R^)tnSՃ1Gq/7oS{5gy" 2vycקڼ"s^`m_X"rX1i\~ۇzR _.|nsW, 畸zX|O?fgmJu }`ė 8u",3E.}ϯxr'>',UyS2m`NⰰؔPO><0**X,@ e_`.|x^vf Fƒ^k,9P:'g o eͽx=TEq> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26171 16827 0 R >> >> /Type /Page >> endobj 16824 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16825 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16826 0 obj << /Length 19 >> stream q /Iabc26171 Do Q endstream endobj 16827 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26172 20830 0 R /Gabc26173 20835 0 R >> /Font << /Fabc26174 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$V endstream endobj 16828 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1544) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16829 0 obj << /Filter /FlateDecode /Length 3669 >> stream xɊ_!(*3+ 0T1݆?mHܪ۞1Mv)oMfoRש3SS6xKӿ~Ug?3җ8k&s:ڿukko_`Z;gbMoZ,ZZ~z,w:&Ҵ߮L2 g!&d ,0= V] :w '퓇٦]_iښ, E<>00+&'!A@rfEvD_+ q h KA0?1. si~ IG`K%&ӊblm#-wNiEɹ1JcHW);@wϡDOXXvfM&;F}F̈́ ̊Pm m4n[Uӆ2x׆::*wUyN.U;` ="TBPnR7op[uB 3='^G+2}Vŕmމ<{"|BBĆ\:ƒH`&VȲ_;K0VwwEn(tOG|$21>=y%{ᕮƗ$1'R.b7ZSg- 6I1cyW{}BKIY#8vu¶8< y1cu/6^53?']>uQΚ؈ib߲y+5#ꊛ*IҽWMzX,沔[ʎH 0lYUOmƟ Y duω!pId ŰiҮ"Glz[)|Вo#mIHDB{FY~@W#TjIpɦGVt:"k,V@nFܹ} kLrT,y3RRZ:egbgjD"YLQ*4GzG.7!eK`({C0{N0DX}-!(0?hճf}pPuefs4 x MT[sSH@#q5'sd"6Xu,gI3L3B퐂K@!PUk;6`1)kdJ8 תͩk";pu%Wc_IlieU'k7i?y5 P ?Zv|Kf)wkC}~cP߳qo&?qD"*l;.<5Žvp_&*MW^72ݓCDn&_gidh>ȴis Ӈh`TM=QsLoP5uwsr3~lƖcfjYճ8l5`fu¹^M8W)47|zt3qzt3Q6ړ`V^z]1?쾄ڸ_>aN@?hs#g|-\F `o^*]say9V?=F5 n:!IcRz0!X-m7n*+&6nB N'rYWa?pLΡCvxFuEM8G't(kUӕ-(4 L iCϞ Y,Z?Vs,Qep,omb[keW̍;lбH6]eZ̙3a6pl7CT/e ZTD6,V69Sڸu᱖B~/ZAڀhɎlQr:qZO<{cޕ.A(MG{/须CBˢ"N [r9qo*%jD-pl݀k-{T m6=Ddz_63=*0Go/PEv_ O@gwu-TtWa'U azl\@i%,r6 %;t_xH8 &*VP ܱ& a:ɰ2l1;LdBeMV+pq0@ƌ}}buX\/+FwX ƒ* "^-ɗw 1xGI!xcrg 6#QV- (zV:IE X"r@d-@u1rdMSY|mBwNBGGb\"p˵"`=ѳ76W`'2l]Sb'h0ra&1y ;%y3 >Sm xa<]S=@дd䒰[.ai~GSg|ktC^_F7|PècֆUVnaԇ?RlE,Ks9΃/MnB .N*lH._ALv=kEC ó=G'5so_ Ð 9W9! o Ibjkg%N*օIaw2噎.҇~oግ_22  q!BF[ih1=QA3;]~ab{7v5p'M@("X*^ML}Oi-i'^$P*1<7fo>#Ε" %x},@=XsЂ0 endstream endobj 16830 0 obj << /Annots 16832 0 R /BleedBox [0 0 612 792] /Contents [16841 0 R 16837 0 R 16838 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26190 16839 0 R >> >> /Type /Page >> endobj 16831 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16832 0 obj [16831 0 R 16833 0 R 16834 0 R 16835 0 R 16836 0 R 16840 0 R] endobj 16833 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 553.3038 192.4702 564.3038] /Subtype /Link /Type /Annot >> endobj 16834 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 537.1038 169.8542 548.1038] /Subtype /Link /Type /Annot >> endobj 16835 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (report_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 520.9038 225.4812 531.9038] /Subtype /Link /Type /Annot >> endobj 16836 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 504.7038 210.0207 515.7038] /Subtype /Link /Type /Annot >> endobj 16837 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16838 0 obj << /Length 19 >> stream q /Iabc26190 Do Q endstream endobj 16839 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26191 20830 0 R /Gabc26192 20835 0 R >> /Font << /Fabc26193 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJWuA$񐝍.h@d:$ SOvue|M fp rYax}H+j[iX C-vu4JQthǬ_{9;u SYRHU]n;|NIe;Il[2591 !CJ2ECAJP ~JxTV^> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16841 0 obj << /Filter /FlateDecode /Length 1948 >> stream xڭXKk$Wh*inw7C!+' MCvۓ);#DCm>>mNv4sz?^exjx9&¹X[ݳ/%|+3!rRlL>͹_$I8atJQ &<%oͺY2ף"r_Q]miK/av6<'caOԢ JS<؄ xms)!F:e,OLLN4_6qDA a^b-uͷEUgʜn8v8B-G׭AA $,;Lg@h(XO7Qhm`o7XǑE79UX8_ -eQ5I5^PvhX7= %1]6?GvD;R YےoKTua4dn>b&ؿa%NJ{ٱL8gh';A.o>uRDzԘPgc DәE$0O4|pdENψ]* |3sطU#)_90gdV[ *esT2DGi T0ȣ;{ >köl5lѡ;ejc>jk7hk>w` N鬒OU7&9~ k2uCCs-vlJ-Kq}x=:(>U¬;ޡX+Zy?]]b2LyMl`g4Ǜ8 .4Why.D,jnjn[Zy n>h+ʻXȣ N]ۘB؂`^hu穑gԨ#>HBFƙϹ;v髃bk89mQ/q^`9Ql4{c=@fWȘAœdټw);QKF1fǙnrcB'Ι4,&Sm͘v|EݲrӔQFIKy323jzm[y\5nj.n{@n+y M܄)ms[-Tռ\[AJ駱A8R}qF>ڲwf[ /?g@cnѹaW+^rE׸/`^RܮV?8q|70Yz:VYy7ҫvG4$k8ˣpXe-t9y`O4'<}<2ϖ^hT8VvF>%~!=䗬:,' _5v7EhFӪ#KIʢk븘#̷,Rץ]]8 ֯!93:A=]A}.dJ endstream endobj 16842 0 obj << /Annots 16844 0 R /BleedBox [0 0 612 792] /Contents [16851 0 R 16847 0 R 16848 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26209 16849 0 R >> >> /Type /Page >> endobj 16843 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16844 0 obj [16843 0 R 16845 0 R 16846 0 R 16850 0 R] endobj 16845 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 412.825 124.1605 423.825] /Subtype /Link /Type /Annot >> endobj 16846 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 412.825 162.6715 423.825] /Subtype /Link /Type /Annot >> endobj 16847 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16848 0 obj << /Length 19 >> stream q /Iabc26209 Do Q endstream endobj 16849 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26210 20830 0 R /Gabc26211 20835 0 R >> /Font << /Fabc26212 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (?Ρ\j|=B/} endstream endobj 16850 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1546) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16851 0 obj << /Filter /FlateDecode /Length 4557 >> stream xڵ\K W9@k~ 9{r3"7mWIUEQ$?RE/ Y/N/-Ic*.O6~j.D/xR`ܹT|ةϢܝ{uz/T__OkY>mWWU_B/!'xF^0L$a=KiYF8~^{T*BIS3\t~^EE:K:EyE>?]B(iU?h};e:#SJ9 4JG ]1VseVf,PEC0A*yb~he\_oY>`feP4%jp?/fy^G]_J򉟟g=P(o@%i4iS5RdԧBx葕.֧P /+u` N_V.Ig3h/Wik&^@Q\Ek#8Y2~ߢ5#D:ی~,%ؚOĨMbV 6|Y込77?æF' ՉVl/+ ^2m(1~awve+ޮ,vE|3Z^(= Zirt bԞA͍_n7iۚAV4Yvvxo9V׼ܩcxE7niv}@6K9|*k.d_Pz+ެ*FKM'p¿r8 ih.DrOpECXc:Ɇ]~@7p)+\,"_ D?<+ ƪ{,2jܺ|{Ҏ]U@6 sݧO?s?}+1WZ\?pؖnS7,wu;"O/7xa%xC ?`iq "jAFce0PV7R0-CUb3zoeF4++jnxٰ ΈZ&Z"tپfkD5 F p jTݎņvM/U8T3 ~d:Tt0aWmo10%u_\-.57Ϥ 6qP7PN@"hÆf0b㇢Qӫ@5- + GbP#N^ 0c!I|h`q:͝~TJFVK/}硬,>cʂO .fOq=OO˯ 歽;̧h!5yپ\?kUf̋nktѶ m>uSSD8EZJqXߝ}!/2e_4-tA,L#X `ܳ^1yܕX@!&V)%m :wʱ $i29=,@` Ucד OU]Մ8}iˆ=+\gC9b8* "Đ.,23ĕV! *zPv&KKW,-\fB!!ͮq/Qh-TآFE.ftb>iLar݅ÙdWĴ+2JPδiRSVݚ~28[jyLԨ3VV?BՎjٓV}rg)mR!ឮW6ҽ,׵W,z}dLOSQ(ݶez=L 'Pp,F{qd07G>7!#X*։3.'y[~E+m3נYw^֝C+%L Rgm%LTsɚ(34aq,˴7Dam01vAʔ%5, h<,iq_ 7{[SadsY#ܱ&8,m6qAw;c ge6R+K w(졫2b [ݡ aJZҾTڵt09[Η9Ő5w0OowqS-ЫL9z7>Mqcw J^Z!cʉ[ )E[Lq>,ކ"e(Z{{`2|kKҦ^XoHCWܪ# ], T:A<@`0s'✋LY kkEfu9i&mkĠ1h#粔P\/l{?^Vhw+U6Z/3|0/Up2 #`,WPԮz̘uRZ/'}CIz_/=f8i÷GFyQ?4 Yי|=y駸S- UO1gY)]zy#D(|5[v 26'KQ 3  endstream endobj 16852 0 obj << /Annots 16854 0 R /BleedBox [0 0 612 792] /Contents [16860 0 R 16856 0 R 16857 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26228 16858 0 R >> >> /Type /Page >> endobj 16853 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16854 0 obj [16853 0 R 16855 0 R 16859 0 R] endobj 16855 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 522.0038 180.3482 533.0038] /Subtype /Link /Type /Annot >> endobj 16856 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16857 0 obj << /Length 19 >> stream q /Iabc26228 Do Q endstream endobj 16858 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26229 20830 0 R /Gabc26230 20835 0 R >> /Font << /Fabc26231 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%TC9PmтGŶO endstream endobj 16859 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1547) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16860 0 obj << /Filter /FlateDecode /Length 1934 >> stream xڵXn$+x i P >sc%_DRIjxJH1K/`oiҼ~~ѣuuewuzy 9u[$˝u᠟ΎѸ\w>fk{,~gg;s6XAGnw:ڒEr/M2nA ޹NTڪ Xf g&CLiEaEfBL+wµRXSU-^&'btVӛZk{EV[ ǃfKބ;Xf5ٮqp .A,g؇=@N .f`SQ6w`D;ARD>#8kICLxlXQ}eF @hRu7v%djL6$ǂ [ JWS3-vwP[#dݤ lŊw:JuJqZל7OR Ȼ#/ZP#BI;D z iR WuH+ʻ da#J2o&pJ&.%?'X'R Ą-/ϛa]vG-ҰtP4{My ԺZ`\HJتNy1Gu&Хugr{n^P8/R9OsQo仒4)>/\Zg툉ق֐^Pz!hjvBws7Œ)\[$9K>iK%q8TS1N}BUEE5Bƃt+kŬ=b,zag;յ'Yv]}uhW6Ϙ3ӱ#Q/:`xi$=xgfSPYobCw6HёDKWCcMJMPN-YTR3{J#veBwf{׵=3 *M(xeE|ݣ. 7aLmY:D>Ύ_L3ʎcߔQ &R|5n\J]d754a S-VRIXނ4_FIe76rg-m5]_m\iHz-كZO5J's ]tjd0GΖk~ͷ].~p.s.=lzu,y<_BzҮqE8_;0CBЧ{< K2:SfzwF`B ݃Ҹ;^N2\?.8k[z8 UҎ,I')-u>#|O.Bܶ3&9{]wzS^A%  endstream endobj 16861 0 obj << /Annots 16863 0 R /BleedBox [0 0 612 792] /Contents [16870 0 R 16866 0 R 16867 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26247 16868 0 R >> >> /Type /Page >> endobj 16862 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16863 0 obj [16862 0 R 16864 0 R 16865 0 R 16869 0 R] endobj 16864 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 403.625 122.692 414.625] /Subtype /Link /Type /Annot >> endobj 16865 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 403.625 160.653 414.625] /Subtype /Link /Type /Annot >> endobj 16866 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16867 0 obj << /Length 19 >> stream q /Iabc26247 Do Q endstream endobj 16868 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26248 20830 0 R /Gabc26249 20835 0 R >> /Font << /Fabc26250 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FZB90I NЋm߽?- endstream endobj 16869 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1548) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16870 0 obj << /Filter /FlateDecode /Length 5503 >> stream xڽ<ˎ8w~oRai{̩vE2Tfe, ƋdDH-R6<.-).a~7_>Żokk֦q9y wGCwΫك:w>.\m|%_^dqrp5o \۲[w`|fJ͔i࿲m-65rx@5x ֖׏Kry| OYHau0_ݶOf萡c~/Q(9ƒf@k T.c% Se'n(#<3Gf#!@7EHC ' L_CN~[s!tL8Ciph\,/Ke\ukY 巿,0@E),l+L`_c 8e˗o(lw w,Gfp@Bf`_KkN4tsWq]T>`5Ҟ7@1s'p(!1]S2cw~r{DA"fFym 0ƍːc]c 0-Swіiu \L"m*sc27o*fϯݮoMsu\gFQư}2DTU(v77Neu*֩,7Nż5' (by.oP&YnhWq/A{$6'z3=,o Wmy4MX'\ 㽧0Qch:uBJ]A)+p7J^ïe$srqo*7\Ec[6'wR͍ এі**}@|.$e`)U%%ȽOi1KٱW%pLOzH]& , A d4-.LHX/O(kQ(d!P{eN=Fh:%LtSteӮS~mHNW4"DKȶlb`bFB{l8r|G/& kn޵9;{B^0KJHE;u}WD…F< T:k/ŃL̴J{sL $l6W4E-N Ԩ Ighԙ2^UX5X]3D5>0>$R};{X=*6M J챚0nb(u/YN~81{-: Q+)bVi&=8c 74rAsgq%TU `0cA||4/Nfe@q$JtV]\ {OZ N-N-æH-RZDSEd e +5p~WSrmk* -ď 0L?ИR!c[0R|-1dgO@3#T]aީ_xS3)K"MP"o$ĺߕD˜Ԃ<_egsKAL)'q KonȞ1%33 wy{hءГii#X.l6-.S*H #1~-KhСR!jabAx_ZU2Z3xsƱ|Jчe7WDr L҅[u|SR1pguXUn`$4v)w?VMu>֠XN!ŀM0;:=~#WGE`[u?ĘdHSS6wI4Ϥ"F˒NQ72G H8 CYkƠhA]yP# aTYiB&pa}(Z8+p *5 *P"BW(.u.$C_ Cq݀ NnDž}x J= QwlUZL2zXDꄌ8i:5@36;DK$P$S`'@ (XPP ZqSyRQ=beGK 2Sho Q{/,Z1yYB-q;#=dِ{3PfGz7šku3E'uʢRh)3S9-~VApe#- HZ]pvR.lqh$l:*x=!X<o$v4zĨ79kDHtD >ehG*_nptH|i3{߱J/sWp[C#W{_p/1fEsYzT=([*{&3W\OGsb`Qv쭫9e8FS.ANiQkKW3wxgWm`E5g_n1AsmyY|?Z[bS#ЃKcڔU[UK}lQ4$؎m?`W Yش/]2å۝(H:^ggoȔYxS Pa>RpP~KR}2vC;| fm/xjB6kp7 ?\?V0ߥh$b[琲¯>aL&snImtWJ;4tssr3#*U3_.7{jÌ(;ai_KA@yX[S{s l2z9s؛NIj-kfn7{.?O 'F*OpTR(nEgHr7 Z7]QC:6U <559H?P(Ԡn~ਠ[>^Sqknur0 bѻ!3j55e?ͩ91@JZBGG~o尉~Q   o*\8ɣ<O "2'A#J ΅S[EJ.x>[|Sj \9VCe@þ1l*^ҺHgZ;)?LS;r9u}̄>~/\/dZ.O g9lpoY-~ ىSO#R0g^`zfuttvOȽ|%W_-cIO;<$$ 8Jl?ăR(O.l|٢S&^Wh0 ڿm#_i_2Qx)G.nk+0YBdB;b#2+ ,9` endstream endobj 16871 0 obj << /Annots 16873 0 R /BleedBox [0 0 612 792] /Contents [16881 0 R 16877 0 R 16878 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26266 16879 0 R >> >> /Type /Page >> endobj 16872 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16873 0 obj [16872 0 R 16874 0 R 16875 0 R 16876 0 R 16880 0 R] endobj 16874 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20211013063105-08'00') /Rect [104.1732 383.7423 206.4347 394.7423] /Subtype /Link /Type /Annot >> endobj 16875 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 367.5423 169.4802 378.5423] /Subtype /Link /Type /Annot >> endobj 16876 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 351.3422 218.6612 362.3422] /Subtype /Link /Type /Annot >> endobj 16877 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16878 0 obj << /Length 19 >> stream q /Iabc26266 Do Q endstream endobj 16879 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26267 20830 0 R /Gabc26268 20835 0 R >> /Font << /Fabc26269 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16881 0 obj << /Filter /FlateDecode /Length 2630 >> stream xɊ_JǾ@QP]MaN5tKfe[(eFdw6瀏\۞1bqП'G ߬~:5K4Q?MŘ3ɘOA޽јGg< _Dsӿom]J.?hϓIN%@o@M4',\'e dO$)^w5!8mOw sK V#HDm &|T@^!\ GF4I F=|ayKnPʸ/ԛt4ԓ a3 (Lr yIkQ  AJ}j Kɦ&NǡDDI!aEh_xn@6=]iI ,;a ) q;.κ, oocksjj A:EぷR3=L֖;mu[>)ֺDW!d9a F>X+""bQX;Pb8Mn ٬?e(6ι#E0n-=lo0^s-NX6ߏ}";C_[[5`\QzV~I:'0,>@T!փ~xxk1%/zj-UuBH{hb5/ލHtH$OQBw)ddLf W4WKg=R -*#!A+#l?Z&Q0B*8ܙ'W&!KZ"Qo'+W""gx/VD<0a(P@`'[H5x#1Kvf%&|Tۿ57S1D8T8LJNXAƄozӳ8[z,{>9 x~z~(˦;$]p%D,L)Y37 LLuB+&`Iu{P /҉țR@㢚4Ve鷺^5_Jn>fGw[[u7rBBӸXGFsdy:܄k{t97N88^bs--1#?Ǯټqj8M]~Iy+LDa׋<TSL@KXr7}ՏM1$p\r|0Gh6!6}@n)>l;ԙ)Vo8GˑyQnŚ]'ڛ[M`I)d?+s& 8Bxc4*B$Q~a{" {s qs U7/=~n.ROODG y<}.:q&/3Ϭgbd)&%~zYۯǝxx]ŻÍ;O#uvv]E@H=(z0 C~|`ݮam *9FXmmNrh۱nͦƎml˔&[c*0ٴ`#ou[Td;DKO5?85"$=Ô$9m~P,|;?ox|7!.SE\+tjBԔHVFC)YP ,.Ku5kZ#\PxE3~26+ą]ȐFkCE`i;hE@ v[n3T>rfg+ՖxhWJK (Cpfs3P/xCEKO6i8Å}bjK lBte6Y_jk=[ pZKG2[%ZWAJ{IGtD~ow&fB߳;7=NUvY.OfSҕygRRRl[ZfxbO놨v%O¿~AMf@G ˡYLj0tiie Vld,@ P"x"+Է,ǂO CD!#J-G%leu) fZ|!Its^?ʕ IBpn 0"zw@,7dLFM|'A9yt(24!\ #V>J]o.)VbWfXg/8}KCI>Ny$ȝHSBV%aD%W_0{6U2Q<ˇXlWL<\@]> endobj 16884 0 obj [16883 0 R 16885 0 R 16889 0 R] endobj 16885 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 396.825 121.8835 407.825] /Subtype /Link /Type /Annot >> endobj 16886 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16887 0 obj << /Length 19 >> stream q /Iabc26285 Do Q endstream endobj 16888 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26286 20830 0 R /Gabc26287 20835 0 R >> /Font << /Fabc26288 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pf-ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1?ΡR-z^lMKP endstream endobj 16889 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1550) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16890 0 obj << /Filter /FlateDecode /Length 4516 >> stream x\I$;nW<@h_BY[ *ݗMYR((GQ *OoiLTq|=;i|}|Ժ%|y`\T|huV(VNڴzJܶs7?&SM~{?hp#_W_hvTK nEYm#|M'Ӭ4M~'XgXr>/Q*".Q Fъ+Tg~,|@6??9&@q$gNsi# Q4*a^B0t=vQ7 ?YKv^WpCCKX6A/_wtBLN,R Uoȗ_6'pzQsO^i#5=S)*-#Q7-]N` ;*r ]S5֭ɷz[ mO7&1sXKn{ Wy?Z1*C7~4DD|Ksw1ASU= UnA`2]Q㧌y|P/HS*Dv)B/>) !=O#Tq 0|?W65aNV5_uJ8T/jCq2/CӡcJ|;ů(ǽ1㿡x(dB:3g(nR++K/@BqjH ݥ蔳^fpb -Oxmʄ/VPk^nCOhCĬ@ud\|Gފwi.􈁀Eq˓8|1=J$V*E܏D02:+3x`y޹(ЛV janMy8qN_*Z9 Vb>C~.Q:.pb(|yj"GwX=AfSK.Bu:,3[8Xwd~ 7(2AJwuޜL },o# HfR+Pp"H> @rDX\v'Yk록NA[sgt>>WkO\ 09 ƻ!3~]g<f|W_–77o1`wҘ0,ƀi eZGe#z䢣!'c-Uf/PZ; ˗*S*\ӥ˿t\$= rFU9`M֢.Hn(qQb6X8S[V8SVz'`6U3~b94ǡ3u! on`s*Cr3X+9ʽre>iok,q n(tcO2]{XPg4`2`vI/p&dsuTz!kS$3yDs|3?AF` PN, }af`e;≠EohiA% t.& kII Cf %sh6 *jZsa324Df52S7#zňtIph$x{iP|By",shq eq ʻC0_h$4DLXr`o %МqÄf:TpcFō(a[!|%X_>m+D ^aV &p<`n,#ϑklꎬZ4O[/&>1^:1ru$㲤],ky3ዼE 滢SRi90J[EEKv>ˡ36_ONUgi')&'imZiND,kFrWm$ .Ee m*+ʾ$֝y#%{icL%{{6lvCV|ۘm_T_ ]^bͭrÆqtn| x-߱@urZkv̶r! cpOT-mD>y4{p([l1ˬpW51ezܤ`ƙI/ذz 1êq/}6Ktf7@ae7U#:mx-X&ǎ4 zꝂa+TjxkX%juj^hvi,ăG)DO(}N|atb6(x^`r\ tnxK8ЊjJikl .>gojaQXE0*ՙ]w$J#pԘհ{q1۠V 5W2%x&J%nY36rl#\%٫0.J= )e3MO/#Bn9p SB޹c|7bZ-BUŃ^Ӽ(L D!M!U֧CZECi%X4foRi5Ɨ./*Xh1 yp>YzOm;cb&;<3!4fb7tJAC]"@L*uIʀX1+iQHWie: }Ŗsy7#yf^~yjUyiw{t_D$_␱C̢Px:TŔ[xA-xz:ԧ 1].U9dx֖T4M$W4LX@Xt0c!A`8(S4DZg(!,3,DTkĠ1BVJ}fcM$O#ص:G[U'ox!UEW(;2h.KS8:ObU* +])xd{t˥2tkQvG-{GZo%HP)b_]lsSMB\%(4 ;*%~I;ʷG GL$be<@C>K6lLKQGdjxϨ1?݋HvV*Cs֊+dc/YC1M@J|8^xpEq^veK;unKՃ( }ý_c{1w}>QؔO׿cB8mɎRf{Zmax sZڇU㾮u}3\6OΦlCZƀO'vtWO˟XXBu KJBF̘'uRZ'|+ϸ~}O~Ok>m 'E>1Α?c\ãxE-K偺4O|(d!O#? KߡP4g2c8>ߛo 5q=D\"~ՠ4 {"F?R铷G> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26304 16909 0 R >> >> /Type /Page >> endobj 16892 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16893 0 obj [16892 0 R 16894 0 R 16895 0 R 16896 0 R 16897 0 R 16898 0 R 16899 0 R 16900 0 R 16901 0 R 16902 0 R 16903 0 R 16904 0 R 16905 0 R 16906 0 R 16910 0 R] endobj 16894 0 obj << /A << /D (unique_439) /S /GoTo >> /Border [0 0 0] /Contents (connect_net) /M (D:20211013063105-08'00') /Rect [104.1732 290.9462 163.7437 301.9462] /Subtype /Link /Type /Annot >> endobj 16895 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 274.7462 155.1032 285.7462] /Subtype /Link /Type /Annot >> endobj 16896 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 258.5461 154.1957 269.5461] /Subtype /Link /Type /Annot >> endobj 16897 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 242.3461 159.6022 253.3461] /Subtype /Link /Type /Annot >> endobj 16898 0 obj << /A << /D (unique_443) /S /GoTo >> /Border [0 0 0] /Contents (disconnect_net) /M (D:20211013063105-08'00') /Rect [104.1732 226.1461 177.3067 237.1461] /Subtype /Link /Type /Annot >> endobj 16899 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 209.9461 145.1812 220.9461] /Subtype /Link /Type /Annot >> endobj 16900 0 obj << /A << /D (unique_446) /S /GoTo >> /Border [0 0 0] /Contents (remove_net) /M (D:20211013063105-08'00') /Rect [104.1732 193.7461 161.1202 204.7461] /Subtype /Link /Type /Annot >> endobj 16901 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (resize_pin_bus) /M (D:20211013063105-08'00') /Rect [104.1732 177.5461 173.9352 188.5461] /Subtype /Link /Type /Annot >> endobj 16902 0 obj << /A << /D (unique_512) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20211013063105-08'00') /Rect [104.1732 161.3462 179.3417 172.3462] /Subtype /Link /Type /Annot >> endobj 16903 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 145.1462 187.3167 156.1462] /Subtype /Link /Type /Annot >> endobj 16904 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 128.9463 152.6942 139.9463] /Subtype /Link /Type /Annot >> endobj 16905 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 112.7463 166.7302 123.7463] /Subtype /Link /Type /Annot >> endobj 16906 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 96.5464 154.8007 107.5464] /Subtype /Link /Type /Annot >> endobj 16907 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16908 0 obj << /Length 19 >> stream q /Iabc26304 Do Q endstream endobj 16909 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26305 20830 0 R /Gabc26306 20835 0 R >> /Font << /Fabc26307 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`ЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16911 0 obj << /Filter /FlateDecode /Length 3056 >> stream xj$_LǾ@!E3#/~KlT$1"o_R~Z*__e;4N)8=˿i@*X)4fR)mh?Yeg\SiJJNU6{PcNP""ufjT';@.0 ߥ LUaQ78 iCawƂ.2Q.D$JM"F0FAd%-7E hѫFa͐fPlV?sN 7v} L9 Ug?A†+dAQX@F#k6o 2?]{[b®劓[NaSww"mʳT"Y3{{iU9ZopLz84Ojv ᾣ,tsםq6 Lo*7ۖs8&NTixiSc1Nc)ܐ>u҄}bţ (=> [p!mom`?_<_ !-YUidh|q~k8)']zqi%g;a QyD= *&.|nYNXHmf"x"A *_2 e0 ~ qV*bKx&^~x^M3yWaw;U a:?37-2b~CK69_8WeDM8?:e 7*SޓL8q14u2B&迲yV%ŵK5ŠohۅޅJd'NIexORIOZO 1mϯxDד%4~xSҌ-^(vO PʯvWe p.-?_N_YGkXH7)-Ju% LZIJu2@9*h #z+W00Ω\{@n|9NT9 |.9''~ZɍAM.rQsjf #abK{c?ocO*σ]Ncg0z#EGR*_m_(2? endstream endobj 16912 0 obj << /Annots 16914 0 R /BleedBox [0 0 612 792] /Contents [16921 0 R 16917 0 R 16918 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26323 16919 0 R >> >> /Type /Page >> endobj 16913 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16914 0 obj [16913 0 R 16915 0 R 16916 0 R 16920 0 R] endobj 16915 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [90 336.025 135.562 347.025] /Subtype /Link /Type /Annot >> endobj 16916 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [140.875 336.025 163.7275 347.025] /Subtype /Link /Type /Annot >> endobj 16917 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16918 0 obj << /Length 19 >> stream q /Iabc26323 Do Q endstream endobj 16919 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26324 20830 0 R /Gabc26325 20835 0 R >> /Font << /Fabc26326 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C (;Ρ\j|=B/} endstream endobj 16920 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1552) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16921 0 obj << /Filter /FlateDecode /Length 4558 >> stream x\Ko$ W+zKn{6 Iv0sٿ]xg]R(GQ7;_e;4V)W"/-хhw;ʥrC{uG1{֦޵Fޭ\(.I|h 7k|+?@ZšZ6·k? #c_Y&VQ&/Uuhp`EJ|a SJFJ4b[? d%_q/5 ;ect5|'&lD`0)ZנS:VgG:9V3xQ%-Kv (q]B0 V=~(`Y\l6H%M)u;נw5*x~ ܙ%U?矤_߀W>**kry0{/0'> ?h Dӂ"`h)E&/]\,wWK ds| uVL5G`(4\m\._ٷ%:3a1vxp`}Q;h t]d&FO>Z{W 7c4_8F;쵴m"Qm8N:2eBaR]YY208'f7VfdtY!.§י>+vG5:-uj!V[tD Ѭ(nU&eipkUwW#[Z+d^LʵSSmj3֙nGC=Żhh_W'5򄢢PcbQ֋v`ya)j ;$SqӸ]Z"7QQq--y 1 FPzNr3/K&r 5O&%@mkd5|ܸ) },֝9֛sw>+ŮőE մp9̮_5ÌI,=6Xvk: z 4_;i> ]d<' n]'6n :+GtʹEWN?f9hLӏȔnΙVYL׋BX4 X^M%'A+}r9cݳg?W|^W3?iۺyeX|Q:P!ؤW%p+KYOpAYW/ř'&`[Tq&X#6׏6u|ͨ,7+t,ȡ\=3[m: M _XE-˶ͪkЧ:>,YЯhT+|hӁO]L9 ^_vo٥tF^xqOԄ#]<{^6Bysn u}-|ǍoT!]E5mw¿=whh;ƽ LVxo}$MVDXFE;"*}ʻGoT L⪣~P̨U]h-vTj5c򨡎('Uh@bs:Ҽd@C L瘡kfk3rm$iLU"Wbj츧Y EjӣԽZ2:{lȬOlcv"Ԍ<U4d}+ŀM>ZwK;O6:oVfvM/L+ ZGTg /NN8gY9MPrCofM쨝`4=,Pz`nltaa:X fٵ e8ɜJyXTtmXZ2#j@`}7-p[p23 鴂+ '3I\xQ8N$F8{ItpwxhNaNp~p8avDڽ$NN81;t8yv.m|PP6> O@ ۩x'nӥO@}P_up:u/i R34&0TW %uJ∁PrnXu#|Gnq6Cb%-jܲ;? 0ĠW4`ӡg%ފ a 0!'vѻG0ДցW4E&i|_YE]^,&%0׉~ʣZsMX4./gk&oq",vm2H[MjIRޖ6qv[[Urb_+憜{&} `̼l\I54Zeܞ2YqUz8cm8D='%+@ShXϣMY|{Сd-OYd\3K/99?vF9@SF9|ŜR's1I='*RRɗ1h%ie@].o|+;.<$iZ.;Y1RIlwꠅK"˦2 ӯ/26! 3VWX0I7LK'f}aɏoYQ99FZtPEW4oA@px3U FfHlb)kkԆh `/C `j3ߒ\/I`,G'6gk"mp"P}i:Y1e[V9 L7gE EC7 ut;!RaM[u~'3#WUgh!RjUj]cyȓo:^}'kuONNkvF^DȆL`fYdfTvY?Uو C#9?WR 8 L0OĿTZC7q1"8E{6<)gI(EFK{o<&B0s; 1:)|sݱ &7q}g+E14g'hju2G2[Q]=/6ؼ4Xj~._<-y Q4@Ąo.1$j57n]T]T^O6エ1|劇 .Y&'j9'ˉenY= uc |q %Bm7(GpRH "iC.u,?\s.'>0/fϭTǻ8+'iiBlLO4'_/v+v{ oCG1-r-jrzZ"šhCW9MnIm0鞵U(lWHE&FyQ'%TyqY\0\y-? f.I|]dFZ],e7׈NcǺҴS\3qOެi{?6; v7M}_R[411R.nB៯h.I4kkؕ:Of  ]<=l| %]/s|-Xwb#Gj!w ;zB\옍qmRzRb|L$vGxHe&Hɻum<9Q=qF7iܑs۸֔o:[ΨyѕgX2\K6<Sdaυ7)΋6l vi;5fUz)zG ۅͶW,~wspLJ4c[?K V q]=ڶ[ā|QV|szRyI$ Ģ+V ù@?oT#x!Νq)zu"#O8zVx3|)O|GRX>hLgϟ\9QRj kB~znR=DSkǔ{ UlS2>un ;xd>l WӷS~w#jZ̧waE(SkW"xy-_ endstream endobj 16922 0 obj << /Annots [16923 0 R 16927 0 R] /BleedBox [0 0 612 792] /Contents [16928 0 R 16924 0 R 16925 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26342 16926 0 R >> >> /Type /Page >> endobj 16923 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16924 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16925 0 obj << /Length 19 >> stream q /Iabc26342 Do Q endstream endobj 16926 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26343 20830 0 R /Gabc26344 20835 0 R >> /Font << /Fabc26345 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$X endstream endobj 16927 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1553) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16928 0 obj << /Filter /FlateDecode /Length 3806 >> stream xˊ+uu*ihEvY$BO`9ܶ6ÄV_RE/ +&|e)ݲjo?ӷW _YRvJzoRW >RoNip|@ D ^η,;*ҧW( ̂nx{˷r^jSe1A^ GқR -"uh (E20ZX' º1Uk7Vj$"En5qY8#uM9dzd"u,@ux'5gY xH:}ÊxIsUiڱ͌lmBt0 OgTcNt(RqpVrWЙ箧Qb@Ye `̀ 7#>Z 3?*:-\2pү"'II@!2;ОPD3҃zאx{ ΁֮̎ vMem&?4|iܲm};qB ZbA WZ]yGFd IGȽ &gnآd. /hbf$zEƉL-`$!Ng JLZx(~.2atdabcP;2XY-t$FO{6Lg >֠h3.Co;u=QU7::ʐ)8L$oO%7)S}&ߑz٪uXl&}\ ǟg}kQzo ;9+)g(-xfNVžWT9Uw{*)i 1~s|G9~FZࢤ٬r}ED r !(z6vvP5L5`_s4]=]1Cʼns+kVVPcflJKFQ˒k@'B6F*]AtfxՍvfE%_ϦdN )-X4 wSƫP]a*c'.zbpɫq)(||mc;b_劊!eN׷~61`JplVb^ק(Q6f7S0駙$4cOK1A vrgx ; X; V&ӞdnV3!XKA_Zd A`}* 8er8ߕeRSmN| 3쳇+}}{5J׬yHd46F]c1f͒K{PsyK3C;Mmd:^u4 kڃє;df+6FZLCO( |^ ZZ̢3"M*ok/clADJ)KAM#!2j"~$I[E#CBcg#ƞ1M`T1**#,3oIl[8qlڄxjjO9dȮKl8:e 8rwks;R6l3v'r3vn;҈zWܢ&{s~ouR 1R?w6RUrG>ܩ-99U>n簓ԾGYM2S~Q;zϊS4.A%}PMLkvzd %,#÷2%u*kk( ڦؼ\~nѳ{Rv /n=s*X[16z)"6y{|K0m K;Abv(ڋ瞩 @Sa'n~ yey<MWfPD8h@װsG蹓`j'vc*g|S/Y6R/^~^̫i7^e˭j8#sqm+f ZOzZ/Qhk82gyTB4S|TnizU}رjO)#-E ަVR(|-*,wgd'_dtCjW̻ϛudM|y7,0z&W߹^2ǯit:z._bA;7+KRփ#p0%MpzD 7HdF]kD I H|++ cǑ#):{Gsxfy[(xVIkD+Q k@Ch_E$kR?O_CcBǬSbBkIG`˿[%-ď/ɃCz2#Gj/H j2:.*hvd_WwT|}DkUD=4W٘ٝƌ/ EWʌj 5.ebG6Sˢyσ*L ΋F*lH.\{ l?$@s.V6|}^0N :HYt{n~isp+x:qs0gR9j2 Xb) r@Ƽt=獐?] && BfU}sʟ~\@ J+LdTPJK_PXsUx$5!T1T !eq05,ƢV!J+1ʚy|=gʬs0wh3n|G6OMJB9.P endstream endobj 16929 0 obj << /Annots 16931 0 R /BleedBox [0 0 612 792] /Contents [16938 0 R 16934 0 R 16935 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26361 16936 0 R >> >> /Type /Page >> endobj 16930 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16931 0 obj [16930 0 R 16932 0 R 16933 0 R 16937 0 R] endobj 16932 0 obj << /A << /D (unique_195) /S /GoTo >> /Border [0 0 0] /Contents (add_cells_to_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 339.1 200.1757 350.1] /Subtype /Link /Type /Annot >> endobj 16933 0 obj << /A << /D (unique_196) /S /GoTo >> /Border [0 0 0] /Contents (create_pblock) /M (D:20211013063105-08'00') /Rect [104.1732 322.9 171.2512 333.9] /Subtype /Link /Type /Annot >> endobj 16934 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16935 0 obj << /Length 19 >> stream q /Iabc26361 Do Q endstream endobj 16936 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26362 20830 0 R /Gabc26363 20835 0 R >> /Font << /Fabc26364 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16938 0 obj << /Filter /FlateDecode /Length 2517 >> stream xZIoW<@iSjq [rr'|=RTU{n")Tn8ۚ1Ғo|ԧƔOƸј7& . ~7@y%ƹa9e;[]p88܏[mɄxGclJG:S1ɹ4ǭvAr A5xXRc>V҆ |RwQ SυLm;H@ Ֆ5"oH&I& 5%idOB~`a)Dy:,&sfكIἹҘ+CUz w|k/FDcbIUbm%6V&='?4U(?R!bW~}X (so}*PBtK!m:a4uISI!oS-,]u Gκ8_OoXqwu{\'0ܒq #=!)| a$J߂5 @t ƒ7w( _NfwBcX mnG䌗1  gqvL_c&$B)j6pAr.g҅.TM-`;")BK ډ 6oNQ:mW6^*ˢ^0n? +1,ߋaENaf u ̪c-W1Bb,.yqSp\ɞǵ"]`/ՍR߫/:^X^FJMe\ZXb5jJ˨u/JԮUgQ^bg/(#ss,lVTfLbFbǗ)BS@ZTY)N;OR zI1;oruQ\$ZIZw:*K )玀j Ir.Tr/k4} Fo<7M(Dbȡ."8Q(r4\PJwV˶٨N,+:W^9SnCr #\-Lk5f{+(mq ZTV?ZkE#6D[歎~xݏaQp=h@;5R!ו{-fvvG(Jo`nBI곭+tPޢf͵B+y`䖋w3qi4j"i7jEwW>5LKEKq>ɫ{\TI^GG9wcNO;xCQfk2j_h3rb<2_LTqI L]!^aݢ`>M $`}bAK-#7Y&qfɀ 9F޵t6&<<x`)ыxDM{gcP\ Pq@Q3lv=mW:xS BYmz!Sknȁ$N kB\at["/(ʑ~)/Єj.3qCb)7, c  z@J5#ޱͺf|*l[G}/j^l \eٌ,4+kKU2kZ7L%і=*>yٺf[ eikVN_YT @gSSpfYuB0$`xXgoEI%M}4J p isM%CcJD%¶MqOf/uc1UT1%'f[͙Ìmϝpsn "zϘq==O xC !2C(YP v(6J&\g#Rˤ.+n4rXj|v؋9vҬF'2'ȕbx¬!k xޜ\/77T~or]~WqVEQ[З{SX|=Cm *~<_6 ~3Jcs㽇BzҢ>QcA="k*Bĸ9kG&~ĂWp:v¤w1Dšξ^|EBevcpe.F,0\gaR^m:[gPs7TC56Jێ1 eg/ؓ~,­&V`#͘`>I>zPcu&t.)C_O endstream endobj 16939 0 obj << /Annots 16941 0 R /BleedBox [0 0 612 792] /Contents [16947 0 R 16943 0 R 16944 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26380 16945 0 R >> >> /Type /Page >> endobj 16940 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16941 0 obj [16940 0 R 16942 0 R 16946 0 R] endobj 16942 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 396.825 121.8835 407.825] /Subtype /Link /Type /Annot >> endobj 16943 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16944 0 obj << /Length 19 >> stream q /Iabc26380 Do Q endstream endobj 16945 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26381 20830 0 R /Gabc26382 20835 0 R >> /Font << /Fabc26383 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵>se8>϶fEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM1\ endstream endobj 16946 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1555) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16947 0 obj << /Filter /FlateDecode /Length 4424 >> stream x\K8rW@jIOQ@eV{u>>aTwܓ)*/9̤bӏԙɻ)}뫛?9_i:X W.+jݩֽ>-B h3 6L9 :!vf@zhs Pc2oBM0#|^eJuQx-DOhR9`J`!猷PlnLb{<1_A/',G`0aS]1\}-}Џ졛pc?B&%\MuBВh0#1Ov3ڧi?4Fe'^<ǧ1Å> ^%Ɖ{fX)q52:b~8lj rjZem:{.iC ^eDZOS]ӮczGޘ_W<2TU Ymg `|8㿿ف3=3 RM٬z8=i㜎3LxmivW+N5/W$Zg40kqqvJ]; . >iѣ lEԺgzH`StUU}'&Cރ8gr'q?)CQLo ;gz>!T"G skW=\Έswz}hhg0,x]z-UQ65xzNj"#g _gZ$yzEUB*V;vAU=,`0xƝߡΫPuEfT¼*캨: R-ey-UY.lڳ{u'7аaU/X\^ k inha'CS\ B %)+O92El',#U^4계5}g2%J<+ d 3JݗTkq(ZUۣtƣ:۞h,eĭ,d&&gg`acAC TBgP镙jORNd5t-L\Ruus6mېFݪڤ(KpN*rYU ,`\p ~qcebXDC ꭣrɀƞd"6Zj+b=۪tTe.)]\О;SZY F]ߪm߶Ba*l 2XqS}h{{"sTcťxvIq' r2>P9#I|PlȕZs5vӬ؄"YTӌ=2ج(FA*U۾XQ j.V v:VL!, c 5Wur9kVWphr mз)SUL}-:m Y¬`nvv@,, k]'dɜJyXTumXZ#@+qeaIž8=85鼂+Nv 'ueGpR#OIp'iz@!pR ;Z-'I #:}>N̸Na83gfIg=8]:0mO@z I!@e%t G ۀ3ɍavO]B,Y.v;u7bΏi|| >U vɤ'ԷʻÂ;@]f@yjLf|W^^қ۶yX]_tyLcĀi mm蒲3\t=YD{̪&YN0\즤*R˿!`9_7a8\W~ܷa=񽔭.`n+q>2Ӕ|&ϫun_%G _|g=;ƍIg] hS4#,AɦNa(Ce(*8$H9wEeƙoL,oUҌ5|:$>ҴPҾITV*zӓE6)D4'<2Aفh fAfCgZP0D?E0VЏ}X}Ҭ`G=i:E-V @ dR.* IlNɴ\ Cf&EV| 4[/Th1-"=јM*PQ@Hf%TT}&!pviy{\CŷGE:#% `8aGU}~H+;4D N]uO3O[ڗ_u V.a|p,VMZ򜼻j',84a 1՞%܁:½L%4ȢOOjt[IvA<" )VQ't}2G4د'7zimn ( &V>opdno d;Eyk;:G7]rtCX8O[/rE}ϝ9JVNT팰ybDp w؍1:ڔ0yjwOv E5دm8c.T:{4q0q4Yn{pUa8}o]1Ref7ׇ-C!rva>灻O < 2; ^_z^Alw-hYF䃷GQ`H[t aŨpgW!%ʂ%mРGp\,f1~3>q ݖ>[跉-6SV:J4Te ݜhCdc;p9"rƽlVަ;/S%x X$j%<W+ӄ!JHLLs*30pCx"x@ʡ@a>jvWa)`Ŵɏn4[fd1o׸9E`}Xdam!Ja^K[\#E8Gg@Yw;pqK(DM3X$mTQ0:nmG⃶r#g{k/<5+r`V7k<9Ʃ{t3 S9m;OUK({q_6ȃMni$㯅!搆wPcU" Ƅ@i#s84fo Kg fg<8~9*UmcFb6;<3ȅ:G ۄNow( @*绐VDS]]J牛X!9W[Χ9̫5V]]:s|QCp#ǒX;ĔŅLԂ(OCyJs6VgA4/مʐ6baqMȭBG# u]dsL,ft%qVt`V53MZ ̕{f}M#ص:{[U7]1>u!oES-I -t s\4#դkjZRgI̛  ]-<=9Cm ̚srXw`OH-p\8ȭ:  E쫻\ f85_p,UJoR**n)ITAjw$i< '8KJKqKڑ04J?jMi֞ѭcyx*W]qV++cVTv_b ,?%΅7)Ϋ6T6F\F\:5fUf.zPG ͖ }S5nh0$38ż.7(aK$, Oa#EwzpUEK^=Zzr9xN> xu']9*mOOp!$^GXpq!K5ȁO >_+`3|SZj/V2ߢU L(\/9/x{Kg4|h!G~&R2߁zm YFrڏG/w=C":(`[+Å2]쿈Iٞ`A/ endstream endobj 16948 0 obj << /Annots 16950 0 R /BleedBox [0 0 612 792] /Contents [16966 0 R 16962 0 R 16963 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26399 16964 0 R >> >> /Type /Page >> endobj 16949 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063044-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16950 0 obj [16949 0 R 16951 0 R 16952 0 R 16953 0 R 16954 0 R 16955 0 R 16956 0 R 16957 0 R 16958 0 R 16959 0 R 16960 0 R 16961 0 R 16965 0 R] endobj 16951 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 237.75 155.1032 248.75] /Subtype /Link /Type /Annot >> endobj 16952 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 221.55 154.1957 232.55] /Subtype /Link /Type /Annot >> endobj 16953 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 205.35 159.6022 216.35] /Subtype /Link /Type /Annot >> endobj 16954 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 189.15 144.2737 200.15] /Subtype /Link /Type /Annot >> endobj 16955 0 obj << /A << /D (unique_447) /S /GoTo >> /Border [0 0 0] /Contents (remove_pin) /M (D:20211013063105-08'00') /Rect [104.1732 172.9501 160.2127 183.9501] /Subtype /Link /Type /Annot >> endobj 16956 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20211013063105-08'00') /Rect [104.1732 156.7501 174.8427 167.7501] /Subtype /Link /Type /Annot >> endobj 16957 0 obj << /A << /D (unique_512) /S /GoTo >> /Border [0 0 0] /Contents (resize_port_bus) /M (D:20211013063105-08'00') /Rect [104.1732 140.5502 179.3417 151.5502] /Subtype /Link /Type /Annot >> endobj 16958 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 124.3502 187.3167 135.3502] /Subtype /Link /Type /Annot >> endobj 16959 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 108.1503 152.6942 119.1503] /Subtype /Link /Type /Annot >> endobj 16960 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 91.9503 166.7302 102.9503] /Subtype /Link /Type /Annot >> endobj 16961 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 75.7504 154.8007 86.7504] /Subtype /Link /Type /Annot >> endobj 16962 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16963 0 obj << /Length 19 >> stream q /Iabc26399 Do Q endstream endobj 16964 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26400 20830 0 R /Gabc26401 20835 0 R >> /Font << /Fabc26402 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(]@ U8Ρ\j|=B/}Q endstream endobj 16965 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1556) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16966 0 obj << /Filter /FlateDecode /Length 3431 >> stream xɎί@))j2r|gf.JU ШHoߤMfo)TiMLO_VgaVAe^k p:ZS0 G?ep%^ƒ`/,w0@\Sp5x}P /\ k|6ބ`HyYk,]'t~^Y wx~ Y;'xeH8ga kYESCTT59Y?Ԫ-3kʛ$dߤ#3S?BCY%?!aA:X˒X٣7'N0ob݀y[/0v`fnFWtiVݎZ(%P27ȻQ)w *f-q^DHHP T3* \*H3/]i4LY*7#ldP|D U@l uJ!2i8Xd k-s  dqlCCi20"MZ0E^f(hГIQSwժgCY]<4R1^HVZMo{.H\ҟ+gYW/ej̄9]19_c`ٓcRf2j"nZƩ89*N")x]c45C* PH2 &*:$ΐ.Љ|9fDl#gAON-փ\LIr&4%/ NBTe~Pkm:](kK.^RՈt.vcb~ɱFP \mq٨unY6{"}]ϐ V0(ظdVr5>yM]Xl.q]ZZ(U]2,_ųׄ@x3LlT܅u1H|Rs ޘuʒYaH)[Ρ9{׃n4\ ]޻ ,%UwRKgse .W j)-Y}PJ+fb[ @2k4]B7QRhKR1jt^%N0]_pSV蓋pR"Ke疂<|X ]׷ EymI>5.%v蹮P1SݡleŘʷrjmUtWLHpS?PDzGheGxds QWLwΙ9/X`4%0@0 H4"bpt ÎIg55ԣo\s޾IXGˉfzenck Bla@'L^iXm8_ cTv \ aPW0gt+2"(d!lJ1imzն`#PBلzљMv" jvpH#^xSQV1 pM=[XAGKu`jZ5{/Ji-_V7]w#KS\ F6х+,׺u:6yg瀅~|RߠB>EMx 8}|8G/u k>A.Pd?ҜO/޿uDI\orys̥Yht'-SX/)itJJ~{L"4G!wyDŽsBv@g5`d5 LwjwVˏ`)#">b8 ~-mc50e?8_s;kn{i|rtw.•) ,2Vr;`Cm$H+`S༒' ,R;3qFͻNVwOn9OUw+NN{ŭ-WXy j MBM˶`1K:Ia>;:嬪䬽VM=+Z :TGPTVVTrzj2>̴T"t6:#L:Ǿq'@ub;`yE@lȞ7yKWygzz!7@R|N6`^@> \`Z5 :`;!_$Hhaq xX s l3gB_O…/A;X'c<&L PlBH# qP!f04"an7iְ&͘*rs (]p=b\_pǻ'?cZCG:]qN5L IJ۪6`0w^n^,fry) mR4ȷM_."1O)]N{];Q \صdD+v^)sfz*)m=6a5ڢLefFAJJ[F=̖QS5m%2\%uMX9!KedԀB|2gx'k 覡 YYD' o3ne=ma4C?j4GYyNχ~0em(R4ALZW*7!WGEe@r+ubn R&d#ȍaH ~.k?FXLM|~yOW uww`˧ݿw3j_[5-|E^oN_{p OvsWxਰ_LJ]Bw4¡^< Nw"G~j˅A mY԰ZE9@'1RvߣOIX`fo`|[vOFs9*_3I4m endstream endobj 16967 0 obj << /Annots [16968 0 R 16972 0 R] /BleedBox [0 0 612 792] /Contents [16973 0 R 16969 0 R 16970 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26418 16971 0 R >> >> /Type /Page >> endobj 16968 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16969 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16970 0 obj << /Length 19 >> stream q /Iabc26418 Do Q endstream endobj 16971 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26419 20830 0 R /Gabc26420 20835 0 R >> /Font << /Fabc26421 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 16972 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1557) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16973 0 obj << /Filter /FlateDecode /Length 1076 >> stream xڥVK#7Whj`0!C!'o6!xzI- W*}Tww-o`/oɼ.DM0dR:!:`ΗlCp%dO܌Z 0h0UKN\z.)/:ʫyˮqp5Ԅ.4POV|Ռ|Ņūj_&s_g!X3:3Ѹ%[8y??vISc$[}ר!α^J 6=]M\cF\8S@' aDs@Yb2S\ |^bX y3ƂGzcMDKyDЎÍnÝ ŧ i\eWB~^8)*Y/~R 1â/|p?f=]7}HoXS3#gJ"bf;siҦ Wߐ* 8OPOŸTDGxNUȸ}Kž5?SVc{Ǻǝݞ-=,|ZI@:p>v59:pgvp@s{EAA(Y=<#|;|Pr>S%j}V2Kx\5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26437 16980 0 R >> >> /Type /Page >> endobj 16975 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16976 0 obj [16975 0 R 16977 0 R 16981 0 R] endobj 16977 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [90 396.825 146.6555 407.825] /Subtype /Link /Type /Annot >> endobj 16978 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16979 0 obj << /Length 19 >> stream q /Iabc26437 Do Q endstream endobj 16980 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26438 20830 0 R /Gabc26439 20835 0 R >> /Font << /Fabc26440 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ3 endstream endobj 16981 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1558) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 16982 0 obj << /Filter /FlateDecode /Length 4425 >> stream x\K$ Wlz 6: 䴉3v/!EUUi{RQɏTToRKv_d;9kR˯Mхh7';ʇZwughwЦ޵U>p\(c_~oy\8+.4;V꟥Bᖚ6·)hOߙf'ddäJA4E勰~…+R(5)(G|{,s?F3p|#GFdiP(4`,(oH {Tj@?YSr^Wp} "SX6A~; k5 5Pm |\gI;jM`T{WeGmNG{ Ƅh >@Og2dR [Y.ͤ[Ox[t@\ 7{z:D-`e)?y?m~8&nG4A%n6 2Rc  : /[G@|x-; FjҌLC?3hfOB^S|0#))o7gcnU)U en%0%Ů6 ~R+[3<85=fă(>j̽ƌ.Q&*W:AZɀғv8y{)"{me;$Scg498QN<5?!uUc(<&SAfxd|KF)$QҜCM 2@ :0/5IVuntvz+,gA-&ZU/zvvpdy9+ѫff+,z4FzUY6= pEG7zX㏳PM2;|IX؂|b3V;Lo@:8SʥߡϫQqEnýjmz:f?/qyeM. szoWNo@Z; ka 3x%.)KiO "8B1B0>As4L7dWEQP_uoX"BFNeὁgLBВtJ+U_ŮjUm"X#55 3 [F*UX(1!u-R05CWbkNm8I,HG$p>[l 'qfvz7ę#p[$k[yT≷bZHA3s Pp"H6 3@rD\z'.IK롍N܍-۹#|Oի@5vRV'  C⳼b|gqK(b4J6M,$F61%s v. 40!]O(_pГ9RRp}Rld(oΗ)p s)0IKhor eHɔ>AD‘QǷ_s(ɋ}2G,s[8=Hg2Z'`6QQ OdnYffQpD}rSD7qE9(ݹSHEFRqMOܷ('4sM_{iCL3cD/b5fIjz1XΖ޲3YS"!! AaBV @ y(&B:MP)~0؜eXܡvv07?UǪ:A, $@`Y4bh ~es d<"b\ < Pb|Y"f%Uu&}>'΀4b 50=o1wnj+n IŒHD4bNST`@IeجxU<Rn3!ԃũ%; 9_k4{w'' ~{y) }@4|C]mY.Np/[s~hd><ѯg6 j t9b1Ŕ~'VUs`q1C-P%ڬ$In1 Mv|[fi1ϻ-dT~xgtog,{C\̺)u]f,$->.k^_rGv}󩫶a:;SN{0J6\<~Xj^05648u@v^$^4)g_X35nqD/)C ekC5i^ns>7KZ||hVLim8u_P֔=~og*} z'…S\]5l薌7Bߴml;Ce!#G[0MsWY*LFt"VnTJ!|7xg{؍,0Z!avdaz'񔊎7`L9 *^ul)b[0y6͆Mºdb)T ir$tݭ\En7,Y7E~ 6D\c$;j(1n+6bn eh.dS̻Uqs se#7>f:KYB!K1{+/45+rLV:& 㹅zt3Js`Cmz۞jm7K=C}syu0 S$ <cj@$Ә) ICcfέBZԥIxVd-j䂨1|m1%75X+\:ELL U뤳)) iIWhy:mŚsy3=yd^yj:EiJgi;i:;tOxK!]( E*lʵᅠGx{%j36e5阀sL6u h{NB- 0<^id-Gݯ᪼mNtٜ?^Fr*>tp|^<5O3xu]9i^4pBe BBF̘uPZO|OMO~Ok>n E>hΞ?}[Ox{s ei_P~B<{~vf ]i6U)$VGw}Hl?VA)(?P=D\*"~!7 z_,F?_RJ^ AYEQ endstream endobj 16983 0 obj << /Annots 16985 0 R /BleedBox [0 0 612 792] /Contents [17001 0 R 16997 0 R 16998 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26456 16999 0 R >> >> /Type /Page >> endobj 16984 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 16985 0 obj [16984 0 R 16986 0 R 16987 0 R 16988 0 R 16989 0 R 16990 0 R 16991 0 R 16992 0 R 16993 0 R 16994 0 R 16995 0 R 16996 0 R 17000 0 R] endobj 16986 0 obj << /A << /D (unique_441) /S /GoTo >> /Border [0 0 0] /Contents (create_net) /M (D:20211013063105-08'00') /Rect [104.1732 290.9462 155.1032 301.9462] /Subtype /Link /Type /Annot >> endobj 16987 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 274.7462 154.1957 285.7462] /Subtype /Link /Type /Annot >> endobj 16988 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 258.5461 159.6022 269.5461] /Subtype /Link /Type /Annot >> endobj 16989 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 242.3461 149.6802 253.3461] /Subtype /Link /Type /Annot >> endobj 16990 0 obj << /A << /D (unique_511) /S /GoTo >> /Border [0 0 0] /Contents (remove_port) /M (D:20211013063105-08'00') /Rect [104.1732 226.1461 165.6192 237.1461] /Subtype /Link /Type /Annot >> endobj 16991 0 obj << /A << /D (unique_453) /S /GoTo >> /Border [0 0 0] /Contents (resize_net_bus) /M (D:20211013063105-08'00') /Rect [104.1732 209.9461 174.8427 220.9461] /Subtype /Link /Type /Annot >> endobj 16992 0 obj << /A << /D (unique_454) /S /GoTo >> /Border [0 0 0] /Contents (resize_pin_bus) /M (D:20211013063105-08'00') /Rect [104.1732 193.7461 173.9352 204.7461] /Subtype /Link /Type /Annot >> endobj 16993 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 177.5461 187.3167 188.5461] /Subtype /Link /Type /Annot >> endobj 16994 0 obj << /A << /D (unique_185) /S /GoTo >> /Border [0 0 0] /Contents (write_edif) /M (D:20211013063105-08'00') /Rect [104.1732 161.3462 152.6942 172.3462] /Subtype /Link /Type /Annot >> endobj 16995 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 145.1462 166.7302 156.1462] /Subtype /Link /Type /Annot >> endobj 16996 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 128.9463 154.8007 139.9463] /Subtype /Link /Type /Annot >> endobj 16997 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 16998 0 obj << /Length 19 >> stream q /Iabc26456 Do Q endstream endobj 16999 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26457 20830 0 R /Gabc26458 20835 0 R >> /Font << /Fabc26459 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17001 0 obj << /Filter /FlateDecode /Length 3000 >> stream xɊ_ɱ\|kSS{6t_XTf20JP۷xJM[mo[.N1┡←ӳ˓/}O10kh~q0ƅ]IΘ L: | E3,Z>'k*2YH! BJ?;p- 9[ !P8?'TβA9 [|п翂>C>@)99y [$kD͋)_&pGzA򼱋7c=IY "%=(Zdau:F2ŞD;L)b= )*"QDkXQ: QFK!ʪіʈ(- <  H+xgxhkَ~f j*j'0ǒf1OWYJ,Q[;Wvo%J$q+wU{Qgrm5n%/KY>dkCS2 Ø3?9T @ɿ2(A5'T@.D&]kq7uE' =]9+i螺+0vz>!*@ ef4 `< ɲ1p]5_HDW_] R3 Ɔ \*],9pWquS&&r]H1 gWF"Z!9š!9][K\Up]Cp d&Rbj "DPFذUcB dqPܽ V*Y01yC*fpFݥɚ٫dJˈWpZYh"0ހ.=qhp(48,/T3}GYZQn;vǹC1E<<ǡ "_Lp(=mZ,)]u\)=%'NyUp#}:DMquqd]c/8HU̼:/ 4en`V#$kIj{{urSIfcq !Hö#^W^Gl,(b׳âZcB_eːrЃh״Q;iTo+[EL Ot䱸 4'" Vb.aΛ+TZ5QYrpqUS-åjtAL-u6Zl5رL5R;`dX/SzɽyKxjv 5l!p%I)i{ek-ޱlA3UIoTu=A>*y)"8-xY.vl@)Kϯ:HkªW8/U(ΕC|#aRtKNkH c A!R5nP`0,bİr}Rk!pPF̼R\]Ѩ+pq (i$ imNu=2̠U@VS` > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26475 17006 0 R >> >> /Type /Page >> endobj 17003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17004 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17005 0 obj << /Length 19 >> stream q /Iabc26475 Do Q endstream endobj 17006 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26476 20830 0 R /Gabc26477 20835 0 R >> /Font << /Fabc26478 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRvyxzyVp8ج_ax} -C |뻒0NA<vu8GUth۬_{u ]4i_rƠdr~A$V-l!`aL4GCIDE+%̕')gƵa(RɐىP|}yԬ9*{RvaT(P;b)^G?+QO T>Jج$Vaצb/mk: s[({L̛h*dKRG"+yk)YZ yp3S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17008 0 obj << /Filter /FlateDecode /Length 3972 >> stream xn$ί9@w&h9C&vHv/_=ZihlX/8W]A]}M]A;搲ϯowxg)S{mWǷ?O с,NhB߿A͚jݒI9M`V?󺼶|Z1ۦf✽Ãe3&9}N(T'#c=S*zgv' / AIޕ^I&(C@m5Vxwh)HrP]O a $_k3Ԗkcq΃nkJ{p}!![RocVfm_F%),(5t PP`U?~WX>W D,4'WYn&'i]ȭnۨO8B 45za1QyP෭ Yg > OW }C`7y" p~vSE<#z.e(M;\ X)Ur$.jU_90XWA[=OYD#u޲Hm{ doio5ۦk ``çurjv]y3W6uMpA\] l06 x.v4wnEKϥ;ưr䓿rnO/.x؋<(b6.ҍb!/h'N)Xy+,u d"M8`X{C3DH3ʟQם#g1wD Jk^9!0ب}YܱzlY7;D(i߷u(; U'H\79MC]5"۠5n 82pH  _Y̼931,t132wѕmeq(n4z&#K}iy4`mQMRtY "ښelh JZ06A8rl648cY Pxqǔw78O9Y*L("*1m`"<0*j;8~7Ab@NU]yV9Mi 6p!z즱vbD17>$ #xg<9.|"4mo" XP ~IFg,@&`_Y?(ɱ~!ֽDly fZy[X*Տxոy+{+֯qEg" YㆃpցTҩΑ:MJ"}T/dhs†'vytmRjCjUuj Um64kkPjSa,lْJz@3ieE8}dfQ]Kګ4>8*3>;~_|`&f>YeZel6@ɩj )d=ŝ9TC!ܞ:0ڰM;+V`=%B A S+>&!;sU#8y f=-0On},S+ZvFcL}T):RphNPPrIUJTS5j۱veh+"HEgS%z&7LRMx:~|+_ Lp-&I'u$ &31'rg[YyJ."RWwE#M ґɵ pk{'8Zc̙Ώv7"w) &m n;a*3!( [@b6Xtc5ۿn5[W] #ySغC`e\*,x=AZF'fsghjplOXދ$!xWM MemOX<zRUrͮsYc+)>da2(G11om.<@?{œl #o?bSUj(P8w(Cqj7v=]f{|?=7;D^usKv0MGihf>|6Rg1~(t$fTF7q#[Ym1#?rʏkTn&WԐǙ*wM`W8(\`k"8QPʟˣCL@KXsF8tz+Z\|J"?*ל cVTM%$+WRsW v"3涀Mjor>x.rC輺7 ~ d`ve ZH n*ަ\)|> Gv&יZ8݄z>@R)<o%쨖 bk@I[;tהc#QK>.Z21eVgT+$kRK2+WT:WF&4>4~\1lм ϊƬ1`XU<pX &(_p-\iB5˰+35> ֳ/ zIgaaFd]SG9#ٽ+2Q NYk6TN: od7UCR\%, &>r/Mn8\T} 1ۍvrP408KbX|~a.n \Dټ M?l6Ԑ/RG`a7o/㛴؄ '+_du/^MC-[./\3 ?¡:w{029z 0_+;F3gl7xKIZgO<(,>HaR~o)%BP#٭B8x5,AΫ[t[ >O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26494 17017 0 R >> >> /Type /Page >> endobj 17010 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17011 0 obj [17010 0 R 17012 0 R 17013 0 R 17014 0 R 17018 0 R] endobj 17012 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 165.8337 608.2] /Subtype /Link /Type /Annot >> endobj 17013 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20211013063105-08'00') /Rect [104.1732 581 120.4477 592] /Subtype /Link /Type /Annot >> endobj 17014 0 obj << /A << /D (unique_700) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 125.2547 575.8] /Subtype /Link /Type /Annot >> endobj 17015 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17016 0 obj << /Length 19 >> stream q /Iabc26494 Do Q endstream endobj 17017 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26495 20830 0 R /Gabc26496 20835 0 R >> /Font << /Fabc26497 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRvyxzyVp8ج_ax} -Xw%NA<vuX)|:m֯޽\:H9,hƐ 柫=0'3cX-"#(j!`aL9 }', ?$WJ2'ī+O˓*DƵa(Rɐى9:Y#rU %uaT(P;b)^G?+QO T>Jج tM^RuTkQ7^U}QKRGB3yk)Z yp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17019 0 obj << /Filter /FlateDecode /Length 1473 >> stream xڭWM#7WЊc=6r9f6O`?OUnKfhK^}=UvjM^?>tzvmuQ;/ҐA;k\BЗ~6?iy/*(f%}k^W kpG.c0m,z\ǤEWm||x^AKkv2oƓ'`F3fg]|$f1I[ƕJ!'~سp".q&WO.#65Z2֦߱)Y@s(Ǎ{pw\c~'a:!`+I#ŧF_?`J4k# `S搼5);9`@o2y3w5,BKgܚlb&hjv8U]0媟'"jF v,hEdDcw}pWŔw-Wo-|c5^<:[[M} F@yiBو/1&fb;<'E7qHN& i#C[K% nMi@޹R}̀tsY|75_-pbϥ*5_^*R BƝV{ɳwوNtZj'=>xN1OR/~a3&:'hi\(mַ7iT`pcw59'> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26513 17026 0 R >> >> /Type /Page >> endobj 17021 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17022 0 obj [17021 0 R 17023 0 R 17027 0 R] endobj 17023 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 17024 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17025 0 obj << /Length 19 >> stream q /Iabc26513 Do Q endstream endobj 17026 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26514 20830 0 R /Gabc26515 20835 0 R >> /Font << /Fabc26516 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$Z endstream endobj 17027 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1562) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17028 0 obj << /Filter /FlateDecode /Length 4081 >> stream xڵ[IoWi ~v99u2vKM֝yHUŪKQ^g]묎AU}S/ՏM}XrHgcb'g.zSuVm޻==x{J[}նGz=9 5߿EfIkGf1 Wgd* J3kk;keMt wpdL_q,咄^0jV Ohd/ZjFl h˭ V;ˑ_+"Vt+RFX^gS܈RlEɎ! }g[2ki'%ت'<_ܪIf!wCz[haXDf8Pmc'W?v]Rr޳D23:=*f^-^ROA%.Yc&_ < ߂/iBKP@z۱j!*w? j=-.{s9{~zfho{Q aY2#Au#n '1t_BOҁ bD[V¡,N[_m]i$(Sy?+!n{WH&Rlqғ96铷 (񜂡=&q fzHUSq4[\qX0:EBҶtT֥(OSKQz( ָd/6h|92 A^cWŮ 7%`00y LxhUIV7dMZXu8UCE¯\^Ms]iO,ρ̊C(ͱj_Y+4Th <7amq+Gƀ6zLvUoWmWW~l`:낓<' 5U| }E349$- 8`4(!O#ګ %EƂ"#U|_sl"& =QӈT QH߫!p\iƒo*^_ԸPGT8 _{+_`EM r\p0_r` TBg/PBuz]&z&jXTO .h.T`CzT(YpR6+Wj^\j U"lZ&}+ "[rTPOh漇 3/V%B޳]G5QFvek/hRl<Ɠ0B5gl|m7F Ya>2-,} ; KaY+3՘$șؠ% EbP(J53i6lBYT3#2[cl6&lQ0b@Nv,6Hpp(Gg7jT'ա0Hlcv`BNLgh@5ͣK'~i u L2V_o}eti4R?fW(hÄs-l[z_[uo](K^O''Qvhke {d!6SJ۰>޼MQM袛'qtLϹ, KR?/1$-4IyQ7)#E)\dm}5!H;-Cmn4ۼ$}[z-[W̤ߤ "ܒUĐ0Ůf*(U%ݧthJ>RI[MbQA I9ahԡRԈdNC׳nkMbU<~MZd@Oubƽ᭿V ur&JBSHF<9q`@r ܪ]Ȓah߿ Ћ؜hf[S / Y{g\Ñ⑤ǒ'X:)9 &"=t4m1 @KvmWEkmD-+ ~;dʓ$YOl5*[Te׸A 5נ^Ф:c`2kl/u4Qa;Cl\$3 t@lum]rPaw$t{Թq*7bIQն!L0t"t".SЩC WNcg~;fֺbvݒM3;y. e͟DboPڈW:\K025i.τ+n,LS(kcj[=h y>fm1[טr^UC,6*HJilv$ײw83>$sZ?Fֹ*q|0%e%FNxW_Pw/a%FDKXr}԰qr/Q)9\4c؋K'b{> V&y4Bp5qp^:*ӹR|slÜ.k\!6BvdvN6=V*~Jm=ǘk_MD۝k.2Љ0R8pXuOgh3e]jFW譩0nu12Ddb(^1~Jnšjdr]K>55BW)-t^\?fep_۴}%tI]K׉[p[->\%o|$> oAvYZX_~!JxL3Ź(,`"C̺| 2=r+%+jk'Em 1!iLH{YOܑLL+t0P`[T rrKD\(KaWPEuf|E^m )5X xRwe③X%q.s. 6Χ_q>^]1ݴ ex1DL/.V*[ ߜjSsk ! #5v1.Ƚ"b=1GNܤ%NFJy OY‘ $%bbՒpTbbkQ8RR8Gp"%ERvne%Soc:ci݋H2P꒵bcBF%{Q5ftU1Y8[bƹ&#Eջ. R~0StojE/gEٳGs|@*LŘB#6gs3)&K/+z =]ZQ?Ї`<|d05'ÅG'~.D>C9Xp?O.=M3p~#H0 3;_?_po~z,;p B,᷺0؊[)-O3*XX-9G]y%))ҿLjg~ֿh'F[ 7*ްǣ|Q_ ৣ'n$ kUa>_LsG5N_(z\P{"" endstream endobj 17029 0 obj << /Annots 17031 0 R /BleedBox [0 0 612 792] /Contents [17046 0 R 17042 0 R 17043 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26532 17044 0 R >> >> /Type /Page >> endobj 17030 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17031 0 obj [17030 0 R 17032 0 R 17033 0 R 17034 0 R 17035 0 R 17036 0 R 17037 0 R 17038 0 R 17039 0 R 17040 0 R 17041 0 R 17045 0 R] endobj 17032 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 184.6217 608.2] /Subtype /Link /Type /Annot >> endobj 17033 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 581 186.4367 592] /Subtype /Link /Type /Annot >> endobj 17034 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 195.1267 575.8] /Subtype /Link /Type /Annot >> endobj 17035 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 192.6352 559.6] /Subtype /Link /Type /Annot >> endobj 17036 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 170.4757 543.4] /Subtype /Link /Type /Annot >> endobj 17037 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 516.1999 210.6422 527.1999] /Subtype /Link /Type /Annot >> endobj 17038 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 499.9999 184.2422 510.9999] /Subtype /Link /Type /Annot >> endobj 17039 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 483.7999 202.8817 494.7999] /Subtype /Link /Type /Annot >> endobj 17040 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 467.5999 198.5807 478.5999] /Subtype /Link /Type /Annot >> endobj 17041 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 451.3999 203.3877 462.3999] /Subtype /Link /Type /Annot >> endobj 17042 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17043 0 obj << /Length 19 >> stream q /Iabc26532 Do Q endstream endobj 17044 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26533 20830 0 R /Gabc26534 20835 0 R >> /Font << /Fabc26535 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pRЎYzrv"eYHUC.$G7]Nx41?-LB.FPQEq¨9 }' L_EԕTx5s剢xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶f}#x#?$_cxy /s"r!ϡdy<3sB&s.S0Q8F$!īiWm`yݝCR\vhw%‰ph#bwo* endstream endobj 17045 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1563) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17046 0 obj << /Filter /FlateDecode /Length 1781 >> stream xڭXKk$7W0Bnr99ل0/UԭF*=zIjj\~|ҽteO?OA[_֥>xm\R^qŘ7&ţ}B+a6w+;]QGcNۄt2!.htA_hcR:bѱ-/ їd':w; s6A`w7} :nZfq@Eϲh+}(ӍA;fd)p-b|yuKRh01C?k4_<#2VY_wpV_kZ?x!Qi~CAxkqhV)jѱ̈n?N7 ; 5x`ڒI]\>8 s+NEF"g>C޷%):=if8( ؀ 8~NLko Cw/ b7HYSzv6%,A퓤4JtA?4o>7=E auۅ摷Z{V=bniTʚFXAKqƞ[HUc^OK3ny0J-g*n>RxaS% 1>++e6Uz3i>,۸5Mb_mfVEY J,!6T\C2:G$ HJЅc=.tf&G^FUv|=ZaQ|,ʹ-/#ӏ=겮1z%y!Ԋa ʵq6kB)7 Fq5H1zŅ,~kCveJ\\71٢^qK:)Iy= o\>of@GYշͻ_~T/Afs{##tgŏ eNeR3)?*?,7Ek4d~t9qhM>Ʒ~bØekxNjb&{;:Āڀa5h^iwz?PEcEG=Jnm'Jz'JBdE[nf0'<"Xgx!f8'e,,ki3F98F*5i,I')I}$dQ3]݄>of&lc_WA$dF٩Ӊ3 !C/ endstream endobj 17047 0 obj << /Annots 17049 0 R /BleedBox [0 0 612 792] /Contents [17055 0 R 17051 0 R 17052 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26551 17053 0 R >> >> /Type /Page >> endobj 17048 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17049 0 obj [17048 0 R 17050 0 R 17054 0 R] endobj 17050 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 80.425 114.9865 91.425] /Subtype /Link /Type /Annot >> endobj 17051 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17052 0 obj << /Length 19 >> stream q /Iabc26551 Do Q endstream endobj 17053 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26552 20830 0 R /Gabc26553 20835 0 R >> /Font << /Fabc26554 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ϪzkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ1^ endstream endobj 17054 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1564) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17055 0 obj << /Filter /FlateDecode /Length 4944 >> stream x]K丑Wl | TVuS3Q@eFMʤ2kl]"EE##HQ̋?iT+j9f1N㤨QYYO'ƴ~J+fp39剽r.W5]}3N~8Q䃠T3/xUO!ϔMfpMLr9ï/A4#_&$&43!@@)œj!!- bjqiC9Uog:?\P@Z2Nh*/㠋e2FHI$19ZtLt9-Js1jο?P4SLkC'+3A}łxbRGU*O4$=A)Ʊ'Q0{ j :½g5`Yл0d4ݙI'ƍHZ"+&QmX sI/s"&If@78X7W_廓p 8VI͜31-vH7Z^ϭ8Bc0oPW_dy"|kyLւ}#'Yu!`'&+UD͌a壧#0q|ހ +be*gkw{A9wxB~ţ򱒱)J Ay7p3;8{j4N`ΐaG輅xdNJ;ҋV6֮ضAb/Lx㌃dHB|QrνryMmjм:0!V$:_ ؏f>9 !`BMSIw *5!5 NjPg/sMUx2 ^Vp8?~'8[iq&7:йGr * l2NR-\d&uATr5#hmfuyFV-U]ĄOn wa:\'s,.d#_X*u4AzsTS&_SLR|u6|,nL[dmtmd mtmdmt 88=h##h{FvC6mtmdmH7>T&$ˊ!Reab CF"瓏 = ..knc<؏ƈk"j0๶ 6CP'ia chzXp'*쥨JL0VWf/6cNjcvnd}(H&0,[ qt)Rϯ~h3_Kz3y䵄g"&w{%w\ESVgmhun] {'칐 voWYx f*pKJP}v/R0U\t/wO(||o 4x"Bng[pcDzuDQW L,*B9* ӜCsMFkȦׄ8]{I+j z)f|o: nXߩFx|XY{yx{= n괯߰-l1lc2 5۝m1+Y1Q96fHmB:ibhlǢX$f"Ou]ɪvYmC֡ Վ9]_ksA/^k';d6|Y̻Noy"Sw3<~(MZ¹:ViǦ|mG5mm^O_{X]ӆb{.gM.K]I4]ʰg-?Vm;g/ᘖ煽l)Wr7eB ݻT}j"EMm1/M"ELK0-$?\&uW3b;ܫs3?(dw/-q |H׆W78ZܱT$pGDF^ddr_It j d% yg|P٪~&+S$8DR9+pA55_ks$x[;28gp9@JLE_pQ!fX*2I(rBezYi*ۓ`'ҳ. $/,XqwRC|\JOS0 4Hԋe&X3 QFMhLa1s,&JA> *漆f@Y tjN=uHdg[@]П Wj (5Lp2d27TN6UiD(Oy ҤZNb" H`\Lc@HJyE2z:*tsE$ `FRe2`2w WV,FLoA {Z \^Qu";z:VN8=N؋'-^JFt3 jl*#gQ\(]$YR b- Dci$t3_l T6^F97fr )T6uH"q] (Fz3b$@pD~ *PI/pε>|J| {9s؉r?ajV9'pg+kv7ng`TNha]*QjJ-7x~azs@QJo pU6 _b+f>x0{0I@ZIFa؀YiHORH3G[#w`‘\C{ )#Jhw!+[ZDKy$WMRtSX-u']ArNMWJ*΍0]9{SU<ԞJᩤԶngXgu椄F@Jw݋lwҍfHHϚ$  > \=1Rho9{O-+D!Raz)A /V F1R"ic=Fg4E2c*]} &Ԟ?r.4ClQbE{㧶sGf.C2%ciQ~xNɹJhAL15nCsL5+:FfRobzz=%=H7*_+?^̙ ~yثyK1_YV0f` ×ՕHzLzJ9>0#(N7H#5JvJr/j/[rLvLZHz8_QƙVYs-K/{뎓 R[<؝WQ}Y+Ӊ34T02cOx3I!J"{wfjsssir㺋}wGKq &z'(*i_ǟ'?oSiQxɜU<} u*墟_QisXM endstream endobj 17056 0 obj << /Annots [17057 0 R 17061 0 R] /BleedBox [0 0 612 792] /Contents [17062 0 R 17058 0 R 17059 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26570 17060 0 R >> >> /Type /Page >> endobj 17057 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17058 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17059 0 obj << /Length 19 >> stream q /Iabc26570 Do Q endstream endobj 17060 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26571 20830 0 R /Gabc26572 20835 0 R >> /Font << /Fabc26573 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDUZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17062 0 obj << /Filter /FlateDecode /Length 5473 >> stream x<Ɏ,9nw}E }Ԗ60A$Qȥuu JNMo #f}Z޼ے.E~xmZo?~ V2hY)rI)WwI @#!n?m+O%\J,>mESlh7$ܹgXΖlo !ӆO28Ł.mVp5Isٛc=ׁԧsd*DeNڶ$}D]Tyh;v;ܾ+#rJӮhOOۏ_CL:=y*Vɘ +b !hیĝ/'hllRa2惱dAP㈯HӾEzDE^zpu /FiN&y:7* ؏Tއ>m-ZdN@8s*1&"^`#N2hߘfIW8Ѵ&s:f&d Lxj}(ML|+G($;tY60댶wƃ(,fyo^IBE/ykr)5pZɁ.]D𯜿V;8mqfp|<<[ڿk+E ;,8P&Zt+'˦,e&{]ZJURbM⇚9&wAs+C6=Hjmu2A7f8!!:5sl2ZQ!@F69XNv{I[, |hAn~ZX"¿`K'_+A1y)h-%h_uEwF5,ty0kcr^nτ]ON M%+f?2O8VG t}~r~~tJ|͕inx@T_d۬SaFɝA |0xع%j+.ʯŷ| ]^ ~oᅣEG+GF٬4Կ;ͤpn95'Y .B|zg!\ZQƸu%vDLlݠ嬊A//Ǜ,э+/"ۡؑ# gls=7fY*%`dËp@HdY' `rDT_(}< AknV œr*TPWC]GCuc^6N3ݳ 76|/X%b|\0Er  OfFf-бe:򤹔3 ƁvVygGdЎ6pEZ3/Xb p{~(Rta0/}fqkfͻ8%/Uأ)^At\\i"/]LbTߘqi5݀9pLLK}ftby; = Y<%fEWM᪹; 귧!mLŐ"~y.JY:O:H1ۿ{@jt[u"L;NZu1[Jlh3Q!k>%7W5`#)DVz5xݛC>{Zxdjass;4}ͅǃ-tO\[&5cšާfqeulf1@Uqs43~-~Lek8??߯:{ı rt.RV>PA"Eb@C!#ʲI53BF8֧"I*@1I ,4Z8U`U@&D0@BZJWzC 1A !f@7`t}WtޭȨ8u-Br*6 UG#rt| p+ؤ D0ӛ L2,TL[("AB>R#CbBGh+W.t}tT#^^ML) 9}F$=HEBå>N V3 vz8lzۮzj0>T0CbL K5r; a)0)'ZI$"Rt1 Yph8bbpt3J#[fLP~>}A/nq @FoMb2&!K~@4%kz%YnxV|0zWGE0] G=!vucꍅf'җxhb#8`6UFѨ؟j38qp{Xnl6RZRc`i45:Xs?7F\`['SO[ wqrfV`^>YM:ӞTvފ>ޟFMe睑)>g9o5NTwXɹ.9G+y<8AJ"|zu΄OPe$cCpfjy"Jyvd̚31 -2Rrz4)e⭢X|Ǡ-'o1EpŒ "W&hbWW&b4!xY^/$\]fSĽ[,uxi,t7 -,ރCI>x ƁWU(0J}30ӻ 緽þ+;(ܼ n0YB{vHD$oI-⑍ab/͵XHt"jq{p\ mQӉ߫:"msOUܬbWl,T-|8k񽚴`(#̯ϩC;~X zcFkue&!U5>|pbV> ` &SFȰxyi䲰wp19C .!*.yFŻ#p؞L)Ҡ˰jF+G=;F;D,`k3fxWBr ,a* oL7M',^+9%YP>A3aksxvbg 9V+EA4  \o k`p%ƕQD-{ vk]h}bsź/[Zp> _X&?X2қ5^2NY h`-%V h-l%'u-QWbAŒE @NFZ3}*P.uʏu!o-)MF1,XA {fg%6_ʸ8-˷R|;'|&x-5' \\k7њ/֧'MG @WȄ+?jW'вѕ*.XO(SƩ>JfAĹӲS]ŽǤ_p/?>klTP3m߰Tgaj;jL\Mcd`SA2eh>x^b2T-$g[΋² 22~4GϞFUG>џ{tҊ]kyƾ.f8ls9==pZc?a(^~pzf.r-4,LPOl+nG:R/#XN|u}_85lKz vJ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26589 17067 0 R >> >> /Type /Page >> endobj 17064 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17065 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17066 0 obj << /Length 19 >> stream q /Iabc26589 Do Q endstream endobj 17067 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26590 20830 0 R /Gabc26591 20835 0 R >> /Font << /Fabc26592 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7pFжYzr" YHUC.$G7\]N=ɨAZn\JLF  c̙h;IHt(SBD* ^Sl\9 " /5"]zPL.O5HA?hxfxwBD>.PkPݳ(E϶fE!H5>S~3ȚCC 0yb endstream endobj 17068 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1566) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17069 0 obj << /Filter /FlateDecode /Length 5994 >> stream x<Ɋ,9w}GABfˁ94sʙPu3Ӿx,Y|R$v3&6}r،޼.N7}wou;j|7lw]m ߅yK>iz^ȷJ.BϙsW|AܝPWM  `3VWʵx{Kv5VWX_6p6hzW$?=]^6r&>d/: hm&2i7 8JPžt4#iN}9ȥ] s9Iʄ pw`I+lƝl ۄi |VvQz>Q8YkZ8 `"$8`R9l8&m2>dmaF|4 s2ml`yAhƹYNIw6Udlԓpm# NO_kk}ئ \6tTAH)9[ZN'+"z.M' v-wQY\ruv==ExN;c@lFބxdbτ U#Z2#Ab]4&:]GIm` /{ab=LF$ 6tq 0__} 8_c-U&=-7 &73O8Lg{[R 9vg.U1\ Rn) ls$쀌o5agW9)!>B eB[!Χ.]Ts0:@ .O5"kS=Fm( 99&pda7ہwc+8%eHĖFw1glkGc =DiΫ \i )eS K|. "S汼y/bCXyB)4Z=3P3ъL!y(b#Fgi~r;G0^;H羓QHD`qwJz~M1IlֵleJ"8D]M|67cx Nt}ĺے(dWeBsk.<9owk}"s"R Q99rJ}>pMX]5y:2p9Y&~$mCRΤEqG| +IWZN n90.VI:Ջu\E6)uɭQ k_YeiIvZɗmzVeԾMVB;ձP4``UHh("j: k"\pnJTهvJUzTϮ4NKKok=<8y2ֈ ( %ȩID(32[r{z5oH`حw-n`̫!@.t`Sp M"j!J:2ir~% n V#f0^rNu11SYek0ZRo]!# @"Ŭ/5v x}25mbHNk?0D;R6X_My&Dh{߳!#*zjV<#(!թ@Bh6>fRJ;Y Ip Յ)\T;ׁ솕2isJJD16CU4CE&-ʬ*Q3%K<^_*o&F՞`1(}AxRˉ04Y.7 gN4eL5W^]9+Wt2|XEJ gϳcU}7 iӁ8s JR}~y0r"c'MXiun {ڗ0?ܼLs*bY!5VXd=͟ `}ږ }p7;vw䔣 .K|qvS48,fPjAc4ѐQc&*ePj ";ODJTmψ*4rH"(v'q19UM*[nn "~5ښ!Vl;S XK1z ٥SZ=JʌRmӷF\ͤgiadGmͫHŒN8lm(V[Nk29 S3x`EIp (c">lenk# G}eS?”i/pbDڹ?|QB{V'Y@'uQ/W&?R̯D*-?:Ǭ9my6ؽ‚mUX lѡ%U<Ўh4mQT'F]uBC(QYg;R2XHCa:w܉߰wL{W{Gٻ4 i|o{iuR$le#)SBBT}.aDMgJ{HmGUcd_Le9≲{2LPi}va%xSEb@r>tڼ5EJK*l#GA:ux ;KE;J6Wa/c 9^B2] X;|ng޲:;azmX7]C̓IӈjxUagQ~ X̙* k ڂ:oIQ '+c9a*rmS ]JTǖis?DCv; W5_ޢ!'^T"rte)<0ٙpd᷏ s17qŽԥ0,ʅvYv #w6]C>0תZcMeP'^2lڹs컼%dv5Iտ+OtO޳nBaoxG0S]}U[vʀBwĭixiplsҽ)2h|^pT]zmO0Ell%>"A`M Kۊ25nezA_t l|{vx6}o`g7 {8 >)CNF % ;\3@wTDʥMQ\Th8Z&T 'N|&XEWЅ̷%^87F3Kef|i=1IbC<]`Lݟ2Xz;^N*ճÈR0 |v IBlLb ¨ $- xYmw=K|uVIƋtoF:ZFI!X/Aln:kz4Gr}"%Ջ04TP 5ަiNM/`ָxmq8|OqBOT=fGj)НRs'Kғcx]8M)bԡ kZ,KAi>mږrb4&8Z/=G^pF֙ģ GAhԎUqp/{ǀp7˟w7dnU6hMã7a&lY?B:xlZVU| =A u(Lewi&:|G4N J@rH| endstream endobj 17070 0 obj << /Annots [17071 0 R 17075 0 R] /BleedBox [0 0 612 792] /Contents [17076 0 R 17072 0 R 17073 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26608 17074 0 R >> >> /Type /Page >> endobj 17071 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17072 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17073 0 obj << /Length 19 >> stream q /Iabc26608 Do Q endstream endobj 17074 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26609 20830 0 R /Gabc26610 20835 0 R >> /Font << /Fabc26611 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;7o77 fڿc}Lh2< + 5pZ [n`U ЎYzrv" 7\Hj;|/((&c QbEP"$oXS4EC߉b"KS¯";%̕'I"fZĀ0dٷǞ5" 9%86(~S1j)ĦGw<7!& gV#ج ][{i4LT>Ѥɻ#frk|\e8>϶fZnC25h}'2g("_@9 ?ɜ F0.\05cDA ^Xb^@wƥC H1 +ϡma#bwo f endstream endobj 17075 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1567) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17076 0 obj << /Filter /FlateDecode /Length 7317 >> stream x=Ɏ$qw~E TeZnCeA1 wDp 2U=cC~5U}ao& ~[mS[T$xٔھj.%I}q~}+&sG*W^]on񛶻mMrsm*sS΍ 埶l=('xlQ[JV܍MRw0A;!@)zv1zW o x"41vFlv4ZFx2o2;>t#Y>9SJ2RW3mN=@oxk]; tnw1vW5A'б]MӲ"oS - HX6e>/u/l[#]J[2;&9<+T3ɫdlA! v%jpH+=0 9p4kdƹŰ')p>fL 2 )m0 q mchlN]W)k?--,Va%AZڿ@94VymL*8 tfHq 9"~k됙N]2Dt]H4;na|3qE@ڀF ©5I@tF&!┕S8pZ[5JEx8_ 7n QhE`bZ s`†b}ҶkJ'>'rY m'G&/Ob:u' wDxi?>.fΡ JtT 1PzO"ZQC"MrH'S) d}}(Wp=q`a { ر+vVT! yµ>_51}Rh\?Ft WUs.}i=xi˕q؆Om6UϺ5[_yt 愪 @HgQC+] .uXзpt=Qە2 }z}QD7=&ۙ$S͑" )Asޙ[/4&n>%T? Aw fP˚~a6ϫL"d > ߣT/T:}})ߥ# ozG]A&k$&aӆ̙lT.*@7uHl#MLyI/\EsQ=iO~͖I}Эg'@"JEMCd+ɂ:-/c~HU//1yI, :r "7v]Y &_Az3% qB hM^qf3Ŵ0wM&V6'Fw,߽UTEqUq}7w^9kMsU4_ݧqt>1On=|c{>lm9sJ1 UeszK{}ŤEm6l;R 9PSo?*|>e+]u#],23Mr]]_Q5@">//Cp[,h/jb43ս[4u=S<g)%Xb)TUJ-KWӘ͈ܓMVėTPVEK^ȅpc)dfr)1y2bH0q|)@ȣV8ZVu܄P߾\AL}Jk ՛Xeu@"d[$Dw$>HrD-jiTmw^9O&E_*\D 4y&IO: #tGρ~u,zzu"P^jYbNiԖ2qm"ç>s<5Ҩ-Yz37\W{r1* 8N{WCH`7|em[d1$#dkXQ9b aE,Laؐ%] w#&8j \>Hhqs-uT^WݓA^u0.Lt8qOh~蘎lS0V@K|\->oL: D)C2N®ƴzknsK@!iq@Z(N8r3 * 7 ή3q1Ono ;ۊ>%CR(G^;nY1Sj*hö/ h`!:jݜݽgmU6(-n#ӗ{ ?Gːc4@mtOg2)Jkn @.g}̓Upq 0[EO"t$ r Mmֲ)IQ~1 N8Y)Lfk9]zpCQXD0M|* z(MLfyV?dUD"" ,V[$;GL:)0i1EJq+_`v+:lF)iEOQ#YR)4vi}EK]Ij\r~o mqǂ= \iP֬F Ϫ=*ZwL :wjX u,ZL,ut lA/L~&H/!|./v(aU0 .˛Pb91'q# q5ٛ7T~5]pfRゥMvc Lyu< RXB@$Q0@dhl Jka(f̵ ne5K% zT*EXJ(_f6PU -Dئ N 6 doU?-QX28U1:e*_FїNJ9hD ®d@ֿek fW@ĄϺ6_' !:澐X",P#jP#ܔS HaX,5Xx˖0AK䅔WRNQq$$(w8kory\qQiG twUh 0ʢaLT"R n|f Z܀nrJM9[oNs#B* A"eBB۞!Fx_Y2; C̥t@ni%{ZY[ &e/Y^K9?MP꼚 5U+:Տ8_LNNQ^/OR yma|>EAnI1ŖJSfJΚ}E>.r~'g[K}$$G3Z21 ?PE> 1gX*8i,Sqf)gFhz5+1И˭m=k(0 ,$\|/1U :h5TH-@.ۇɥ A,Լ&<Ķs8/-i'S.[fCqy2gW>B[֪tqkWųi&l,De ?E)ۊR]JLAaAi+ 32vN.>~S7ogz JO2<ϻ0='o~&p\jLc^z`;g`YLAg3NU<71B sa.zp8^ENS4҂*j9~Ę2H ~gY1Htishr1+5mx%.clp6y}ȲpMn5g[6rܶ:%TQ/mgyN<`ٱ/=FaB_#ݼxr;2dM0Nl 3NqZA#QO:*Kh.T鬌=udG~G0LIZDȣy1)Bi endstream endobj 17077 0 obj << /Annots [17078 0 R 17082 0 R] /BleedBox [0 0 612 792] /Contents [17083 0 R 17079 0 R 17080 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26627 17081 0 R >> >> /Type /Page >> endobj 17078 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17079 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17080 0 obj << /Length 19 >> stream q /Iabc26627 Do Q endstream endobj 17081 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26628 20830 0 R /Gabc26629 20835 0 R >> /Font << /Fabc26630 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫lЎYzrq" 7\H&5UJ8*eAT Ɣ3wҜHNIx5s剢xyRٸs@61 Ej9{zs2@}giBxg(7FI% uGZAF 飄fѯMɧ5UG)qr޵n6ZNoK5m͚ˡ|72jIHh&Wj)\Όl iV%5ۏFx|1$Q_cxy /K"r!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17083 0 obj << /Filter /FlateDecode /Length 5806 >> stream x<Ɏ,qw~E Tze`n#=AGſ`pͬ=-6<~Ud%`  #$}[JZ].Iq7|5~,JN뷣T>Jy9KRWU/R4{?I&U4ԖWt~_+sA_|QZ*/ =ɴm/V0@^%),`"mzY|[ن[5, sm Gnm%e J>Zyix ƬF+Cxc<*À;=L#bxppeЋL+(c{02I{Wa&"Tkrn/@,8m:6_"Z>"$NS&[D͌PpBی3毊+3!_g-"rAA7q5&rĽmJw 0sp* N+Ox7`FF*tO/;6d΍2_Ą$Wg< )MFHz ݥ|w+EU%`jDkju)=R[:-b@ִ ` zUErX6*3șPBuWBAd /N6PEgOyȤndžsmھ,+qv)i&Ս>iɦ5=zT= @%Z5Y?ltKL@DhRn {o.=ZXOXPUg{TPӠkW:LkX9`L =^/W Ƹ!:mrGOe_%\ >׳pX4.䛐˒eO qSId@a8 xw")`WzӪ@2rx3e9 CGysig2/ivie.;iAXQ~~| }ڏc ?4 x/~g *U `5m*Ί\/5!+aEk"[L$i@IK8s"cP E>>ċ@~oɡ#CE2iAR.:Ă5@eӆl1xnj]f{&W6\@iuhjBrYtPuy$WFcN MFwt2,͚BRnOل6dʮQwϳ٪[W'H(>MDDxU6ZwmKo#jԘ0:W6?r[3Uks<9sIy_3yp0 )ւq~`e6~P;e2rzW!o| z waSp5quh {+#O+Yx,w-q#+оxL^pF]Q|^jQ|k~Sl˲IFkэ/̘J ]"{r%,[ (уcE!U9̐.|B*CeaM!M0@vܾz|*{ td=Ż5.L.83EKʧp [oR2oL(EE2|sA7Td3ن@weֵo0&nͲ'6kJbn$"7~ IozSVF"gbGH,yp1{KzܰtԹw084vA6N1@4)M(9z"Fadɣ>k2?|x{7 M %>m6Q<с.JugBآx#MDD6Զ$X2;,DzO%btr |d%EٍD%1OixD/6%2iͼ\!6'եlJjsvF499_RcZՠ߾ZBH|N$p)i-?D ,*5,n? #9 m4J)i _h-rG sЏԋ‡ŏV@,/RQ!4P ~E,+|VvQ{1ktcFAP y! m!py d~$JUFY })(`,Pjl߅iHhh}('8)Q:]o cp]! !k^N 2fc NywHx-< b.ath#+@ KekaAȫp E/ߕ3l]"U%ZrX:g0 kDpw(U]X ͺiuI2Lxzܕ,O*2SC SIv7 63=4rtR?淈5]:eyqpV`ĄϺ6Iz@|':PX 4Ѭj1DЁ܇B\rH#1ACvM&v$ #@q[a8ۤ,Utl҂} KOp7Msj_h˰z ;DM0?I<0=t!"-R$sn&N|*_ `g! 7@zm!h.(l02:=G|o;v3Pb4!4YJR1}`SʟJtVߟ+*u۵b"3Z ^~+pJ-vR)W‹P+o 37Wìz2Ohު *!WTqh~:d\זvUHtĎz9гާp3\ZW ZWt˷WY[Hve8nƿ}]Ha B87%^Dk Ӄج,j'?-[ ەE<"Pq Vc=5 ZT8! `4g As{'-d`>@bG-?S[$]Ȓ[0&I߉5%F-J[ZT%~*U (Fqc53 Fe{!O1}|[[gG{sdӖE ~q~MU(u&}f4z_ :ѮO7]y9@1)8TyܻN_뗗>:Ei\|ZonZv*A{_W3k-lQw#$ch_4-$9滸ߴU8={1M_͉b:{Q~d FB-H-xS 8{ qt'՞K UttKf5?2#P,L=Oz^M&9fͣ+E8e5e2Ϸٴ=2YiŝW\~0k;)II_ۅxn_$Qv'ena}!]Ґ(\"PqV]5"n}`S("y~!յUzwѳJ_s(Pm,ruՄ꺺F_d*'.[GGc6D}xx+`^ *5<8X! [|T8rcy_"ꌱ<kBFmejASk.JSu{rV*<B< y?_>|~JvXB0ws.#p96)AF;qH{w␛XJe ߷6X7GsњPynRǒ;f{ĤkaY*[E4ݴyc^lt4awLrXC0|&yr, bzEi\i}vXOQ K'wscKm?` | 'oy|]`VI+$zn ިh[r(}G¿X5<Lʼ  u5WKgbE&:f5qbY?̈kuf( şI^Q!ais+oTP3v`Wa"]NOHItC-zK慔vxo<ȶ0nMbaZ ڬKi DiϺeBkX YRr6a<7+xsD8'V3˶ Ie#m]qwA~\hu{>Ϣh{KIr\pݑ IIT)nwւ#Ev2[pL_^Au{Vt6DMMX*œ5h:tG֞]ׇo" V@1q?GϞ96epg׊@=#w0e ],<]?]1qyFMYu9_߮ ·T ~&'kGJH,DPGJ<"~y}8֕gHLLVW{qcs?SĨ×erdK œ X^Vy30=pjLrGF+t"Gr0 endstream endobj 17084 0 obj << /Annots 17086 0 R /BleedBox [0 0 612 792] /Contents [17101 0 R 17097 0 R 17098 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26646 17099 0 R >> >> /Type /Page >> endobj 17085 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17086 0 obj [17085 0 R 17087 0 R 17088 0 R 17089 0 R 17090 0 R 17091 0 R 17092 0 R 17093 0 R 17094 0 R 17095 0 R 17096 0 R 17100 0 R] endobj 17087 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 232.5 145.1812 243.5] /Subtype /Link /Type /Annot >> endobj 17088 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 216.3 144.2737 227.3] /Subtype /Link /Type /Annot >> endobj 17089 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 200.1 161.9837 211.1] /Subtype /Link /Type /Annot >> endobj 17090 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 183.9 156.7257 194.9] /Subtype /Link /Type /Annot >> endobj 17091 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 167.7001 184.2752 178.7001] /Subtype /Link /Type /Annot >> endobj 17092 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 151.5001 165.6412 162.5001] /Subtype /Link /Type /Annot >> endobj 17093 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 135.3002 192.4702 146.3002] /Subtype /Link /Type /Annot >> endobj 17094 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 119.1002 183.5217 130.1002] /Subtype /Link /Type /Annot >> endobj 17095 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 102.9003 165.4707 113.9003] /Subtype /Link /Type /Annot >> endobj 17096 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 86.7003 187.3167 97.7003] /Subtype /Link /Type /Annot >> endobj 17097 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17098 0 obj << /Length 19 >> stream q /Iabc26646 Do Q endstream endobj 17099 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26647 20830 0 R /Gabc26648 20835 0 R >> /Font << /Fabc26649 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pQӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * {~K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17101 0 obj << /Filter /FlateDecode /Length 2933 >> stream xn$ί0|?ayh @@O@{離Xl==H+1]vM֋$gi;|b/48>ɿ\4F>}S]TZ;i19'ywC]26i#&!4<(3@!`I@4hNH/9s񸸍+TA\aW;o224+W'^-~WPHI,*>|DO`2%6M_%?'@{Q ؙ1i,Y2i凌O__ 50 @j<]_`%N #|;IZ~ pY61W(8 CXX_`6h|DY/@ * T^"H{b\Z PZO|`{<~bfm /i,k-F*i&Rԩ^ۜVƮ4$M\+FJ=y^4IfW.1y;0١ɺ ˕ӍNlIl.ptwu! k `xfŅŷV k(LAl.~ɯ}]b:9VfmEY-_ > ;4[#Yd ڦ4\dp2LQ.4|7}6lq,:R؁/&-mY‹xmkU:LжPE#) lɾeJz ԞL=0n;E`T=J4_r1itRxPs Edc@o@Cn9A>}>3sŇ)sk >Op{yl6 #]@$$K$q-(3x4#Ss췾;_Tq X E%i۶ܓTTDiن~4|.5+K禢ή]ԭ*2cVR[E>Ul@ү"vC:+2ddTEFDEw||C߼7txˑEXY_D<; " W 4T_l8du1dsVIzXL$v+#G)c2aF!D,idmdŜ m/ecCu|62H(pbu/Q-85Ik3Fa-T h`LQ(=W߮3Rߖ3FoU qdq$jz9H^n/;h|htxkmx`o,v iM{H$-}s@S y/DlR*f55`;)rv]*Dע rEXOc;a*UlM_NH`w0Xˤl¤Y~a2*KH4Z"HnMRܤ\\S.|>/w_Gv+E,.wknÄh3UM{2 ш \)yLKo5t01iKt.t3* +s]wD\߳=V-NT6Y&OjD{ҔYOسbT*FM]"ޙ\uMT;Ґ%ῂ^?z&5U!>՗]3u&k XY1!2JN2p]7lp "k3Q#JMJ 6znh֢iĘ$%/z]k?>C0CåABv+"cuxstG̳{7d>5T,al45mn;1=4X׍٥[ZMe;@r+aY7,u9d` {![Ԉ#FJ4xDabRs*Mu,MuHz૭@WEx2Xqu7hpE<| ^3H}¾'.?|7\1ySߨZEJSjΤ!/*@`pM.y~PuG"x̿> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26665 17106 0 R >> >> /Type /Page >> endobj 17103 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17104 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17105 0 obj << /Length 19 >> stream q /Iabc26665 Do Q endstream endobj 17106 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26666 20830 0 R /Gabc26667 20835 0 R >> /Font << /Fabc26668 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;7o77Z fڿc}Lhj[ߕ9p: 橷൫9gOvջSp<I e!Lj;|/(q*e2r1""$oX*w19W䝒 jE3DTZĀ0dd~cޅzP%86(~S1 )Ħ^Gw<7!& gVĉWlVB+0kb/mTߖj'5yCod%#_%+Wp:3ϳ-nYm?EŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17108 0 obj << /Filter /FlateDecode /Length 4738 >> stream xC`e3T֥E3 W%w~\8P-71ӣg!CBG_+$/ shZxV?F xG񎆡:24D^lZ(#T(}JCU2PDC$!xLr8@Ǖ/T@C9!g u4Apeƭ¸&Ǖa&b;,d:J%pQ$U/-,VSLjυ /|XW$ڒqpy^e<\MJY녯XŁaKҕ:ѯ&j K,X-GޫhfX^fK HϾjUQ34H s'J$^`k3/Ndmi#kTy6ԙ2^UX5Xfj_71)Tl\sIs;{pЀH&[%bfƁ{E7Hm:uϪi^7P7 JZ8RꠒEK6R…;M+]E u6ct:'YnWN*@ԡ6vU56 Z#۫`WܻԢPRGJO^[W|BPշvǂǍ^)4|{wV۞\LP0,ߏpwnl$+YF"oRtaۢv?'.놻n*0~5m7MdH[#JG3@;h$mbI 8 S Di`+-w?mHP'ۺV:A=4kx< ]۾.,.TՖ~zEuQ_1ʾkz>b_7)uAcZ[׭7-M"Xg6m'jB1D0?v6~GH9Ga{a=Jh l!]o߸ GH˂'Q+KaRש*),71D-0~daNIff@`x/ÓiF 6H Uh\{|8r8q@^u6z;I] O$$u0ʳk'}O[ۙYTҪQ deav\V}\jw(D1G2ӟ5ۼȇi}񑡣@KǑzcBdv9SkSv(dgbQmmzԵ e-HCjVu(1X[NTuKB\Iw2?ˇ!&/dogjmnMݏusQFSƯ B ƸiBEys*2hw hEQtƀ`u& Nǘ=˛1ȫs+nRpQ|1Lɨ-ӆ aEG\qMBP@U,q#&k8 ~m55NQͳpLy"U3!+pAi "w9YY,Q܀K?>/"ίo$S8clبU2@֬i0@yRYMBoyE{Mx-kDԒct.7#kj\7Rg'nJЩҁ\(G[yW,'zuK-\8ɣ< D{X F05l_p.-UTݴc(T(՞PNO|xݵ͇EJ. lK@ *)5sһx*|P]aVu(+OKR+:X{.SM,΄f1pM&G ME$n]&dެgMtE;~S|Uc0ʤ 7is[=AM zmRJv_h”KqaB[mrrG鬷kxN|_P΅%BeD@|Z ֓^!{~zpy_/ɽ|4wx<p A~}"*Ȃ0;X]ߴCY~>?!c'gץl\!# ;Jxr,]"'ڧdc(0}As[S1?{IrP]: endstream endobj 17109 0 obj << /Annots 17111 0 R /BleedBox [0 0 612 792] /Contents [17121 0 R 17117 0 R 17118 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26684 17119 0 R >> >> /Type /Page >> endobj 17110 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063045-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17111 0 obj [17110 0 R 17112 0 R 17113 0 R 17114 0 R 17115 0 R 17116 0 R 17120 0 R] endobj 17112 0 obj << /A << /D (unique_656) /S /GoTo >> /Border [0 0 0] /Contents (add_bp) /M (D:20211013063105-08'00') /Rect [104.1732 258.5731 139.3347 269.5731] /Subtype /Link /Type /Annot >> endobj 17113 0 obj << /A << /D (unique_657) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20211013063105-08'00') /Rect [104.1732 242.3731 172.4887 253.3731] /Subtype /Link /Type /Annot >> endobj 17114 0 obj << /A << /D (unique_695) /S /GoTo >> /Border [0 0 0] /Contents (restart) /M (D:20211013063105-08'00') /Rect [104.1732 226.1731 135.8807 237.1731] /Subtype /Link /Type /Annot >> endobj 17115 0 obj << /A << /D (unique_699) /S /GoTo >> /Border [0 0 0] /Contents (step) /M (D:20211013063105-08'00') /Rect [104.1732 209.9731 124.8257 220.9731] /Subtype /Link /Type /Annot >> endobj 17116 0 obj << /A << /D (unique_700) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20211013063105-08'00') /Rect [104.1732 193.7731 125.2547 204.7731] /Subtype /Link /Type /Annot >> endobj 17117 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17118 0 obj << /Length 19 >> stream q /Iabc26684 Do Q endstream endobj 17119 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26685 20830 0 R /Gabc26686 20835 0 R >> /Font << /Fabc26687 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [n`բЎYzrv" UC.$G7]Nx41r(r1"F Ɣ3MwҔHr$SBDQ@> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17121 0 obj << /Filter /FlateDecode /Length 3268 >> stream xZK W@W~^ 737@ltJ")>>RRoRK_^e}w2i|Y閤VkjW$Jc沣ϥȋƱєIkCYP[CR3 u>AY ]L/(Vkqb[6E*OݵMIlKp{E8 ED%F sטh*% &ؚfȎrc H{+AC'Dz$]OSt5n S tNgՄ3P]hѲḫ0l.{NytBOs#hUѭ]=j7lQ'~^5| ~S*a~ݓTtpFq0.ȎC@c}>x#-@v;x#xx߇XpMB7$GK~Xًm!,>ig2E5ӌ@ Frw 2PSWTM7A͓1jU^28sDXzw愘Qs+.+Ga MFz螵RO+(% *!4 1dʥjhr]x>{>J$Tƥ0͝*0H-ZTql+Vt `Ax\^D%lKDZ21Cd!q7 [h׸z&Mzy_o˻j]CCZV\Vh-:֎oM\RGwM*P෋]- 25C5 YN y48#կܫ~Wb\t﹟9EkNN+P}ob_iHS=utWYmUV)F't3c s8mu+OBcۢA@+Mƨ$"S %J.؞(ur ~ބVc,zB}}brermk4-궀t{;T} XQAݯ~lcX SZSqnl˦X@a@+?Ayг![?x(,Lhс;Z .n e x9 >+&XUT(BOOizw4;oSQ-EXay+uvGbE{ a]ڏkŦŸUxaیőxtq ;8 ԉcblO܃{9;BcєZ>=oR-y?7ܷ7QC=G^S~E~ƽ%8mg`ap cu_%~MRRØ)af8X;^SCj6GS8> pc9kp]m[4> . x&_1džtxOyvLZX?[l,1[)T .&_=\j`9]͏m8d$?ĞǦa,#k *ÌVO6O y`:/7=zqW k3weJmղt\ۜVǧHMq}XZֳ93_+{e:ݒ3׷@g7Gg6adz{:J׫p0ҊQtƭp@SuGU\ Cg,նcmvlz7BYiɕ_`cR eAO,+~9 ic -ޞ@P_%]D[yRD诿@% B͍F%ai8͟@-h]0HS%{V1`W<ԆEjҐ/Oxp "Rwg+iA=zJ&㢠˛aGb-K/5.jH MZG4!3a?G[x}"Ja?KӒOvX"~ X}m=!fM qHƒdܻC c-BLyF^WF-l9ULNG -Sp_LBCA6bz"Ezӭ߱5`U`Gۅ'{IL TvC!In|bTGx=u=4س")a:RS$_A_н4?&7!C}Hk"MM(쳤cAu%s%nm, 7J8dXNP)"с(‘̅|q^uh`-1gj|!MF5#~mO.[m>Dj8h~iHeD13ѽ+3z.15+IQ9?l7]AbBR)/f7.^v+fro X~xg =AT0G*8O_d O<"JbmiϸҎP& )Zb>rV<\x:]NYX?G}/}ڦ^W`@VO%3D*,3aI]`9y]DŸo:p\M5e8!TVg:gv@:auBH.](G9.8\޹f,KK:7)d6GM1cuNĩ$I|ğ VUE54KS 8=QIY'2*nM74p9z_#u endstream endobj 17122 0 obj << /Annots 17124 0 R /BleedBox [0 0 612 792] /Contents [17130 0 R 17126 0 R 17127 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26703 17128 0 R >> >> /Type /Page >> endobj 17123 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17124 0 obj [17123 0 R 17125 0 R 17129 0 R] endobj 17125 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 422.025 137.278 433.025] /Subtype /Link /Type /Annot >> endobj 17126 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17127 0 obj << /Length 19 >> stream q /Iabc26703 Do Q endstream endobj 17128 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26704 20830 0 R /Gabc26705 20835 0 R >> /Font << /Fabc26706 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n!C;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶f5{kEŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwo* endstream endobj 17129 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1572) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17130 0 obj << /Filter /FlateDecode /Length 4404 >> stream xڵ\Ko$7W9@W 0 M{lX \/ݶvT*"Td& ;}{J.M~'?Y3=|Lnz~quj/̝~4㽒_c{9=:}yV߉Kd`)h~ ݿNzKKzθ,L,46}UɌva^),sҡ,2Oz|K0`Wn}wh_Zm`dH/T8^JD)ֽ'n#N2`|xS^`L˥:_UZM+A$"s;VfOQ}NyCc^gcZ]ek1ݲ ufk迋ڂhh;;mEczǮ3=q2 "xk`>f -j ϺJSYK3L!@V-'sK2[1iq$["&^JS4e8˒@SBS⻋"cEp1I~t4+os\-,lQ 2^3/&rWZM_d>"7*fʓ Ij!ObZkIhb+'¤mfGu:hRŧ6U"֊C\:4 Ҿ:9e]N-~{SbMW /Qùē 83x^tk e [X laAr#f`>aug)n6@Hà6PB Eз9;24El,#UY4계5}eb-(D 8dӼp1$NݷTq(ZW{@9HW }@peŭø9,fb2 v/܀vat*eL5z'z%ja ͍^jyj`Hj#jRRzZO]ƍzV8$BC8pZDfiu㣟M Ώa K,\%gBS@mV[#v*+ty/MiҬܙʒCo0B-Y?[Ϳ~F Y`>2Ζ,k;ۇ.+2@=&I\J`X| gl 巛0ŧ ։\5W=͊MhҘE>#ޱ:k@TШ͊Tvh */E8!~eu4aPgkso10nԸ cV;8496ivpǔΎPxS_ |NelV0+X.{,,f ׉A,]=3X)3N +@vv%l< ;8)w''T8Wp]ɮvNja8NgIup> Nu)pR ;'"}.>'5Dπ9pApR5b{/"מb^Goc@/9x:O%>H_uF~Gӄo$u C/]Jv‘?[rF4>>Wk;,CO-01pnWWx ̺$d#N`a_)Z(j|\/N%(QUl*pLWDD(}eԵ!PMR X{f-9bTNjSyC[Z?Zf6_ =%ɅU}YרQgj R6֦amսhخ *5UX\+96K>X|gfe7T]H;Q+-Ҹ7*X:+uh;EJ0 t{ʯC+E,[ w VqEEsj+Qhs*AcUjjA<>yGV9>p%?} rJ+&B zU_2% 7yL%BX5=l;nS_ Jז\q{ QAypp6 L]k-GwH,s)^+n:7z0ռ?n\HIx^ea73OrLZ5Anvmw.Vc:AQ{(7?fԹW}1(q?&Ԥ]Ѷ60L2(~qB8~W'O.@V VkRU޿tBJt_3B)#/:Wy74Rκ؎])H1dkƼEFChZ5![%@9B-C.~vF3svK]afTF<8m>y&d2< 8 .%n(}j4,;6 O—~v?U,ozcVuXlicyqb$:Ut qR@ 2% walIQ{ar .^褌N by& .;PP>"aL#7Yp|e9p`zhkDN7܉, ~>iŔƲ ʸsN Veq^ӧ>G8P$bW#q樛{Ms79]ȽڃZ nKMc|jM@jpCeo}%1vx~SL$k}ķFE'KмP=O!3y9z~5@gklMO9+ tI(ƕ1Allߊy5-!~bSO ( v5V%cݪ_rD٤0Y \ w}ܜlQC]!KX}H飑zчE4T0O`GwuQ-gi=i0[vbڠ No5^7rm9a%|zRVXB m@0uTy2R4[-DJ3deA@`gqPaZg(0$BQ`.0W&ț=Ɩ[X?`ǣ[-x#jDFi,/?\ti(k8>#wbJ ]-7PD 1gY}^~+"=;o Yg=CsuW bJEZPE-;"%HRBåm=|҇咈[֎4OD՞2ݣrwk"2uV6TV:I}˥Tuv]b ,̰X(qnTHqA62Oש7ۮ7sу!Zo|l*:a2'_ &-@qN[œrrεyzm0u֭>׈@֌<'9vc=8iˡv 'ErD$cwNLCJ΀aҝ;\ 0@yQt8Oj8vjVfQԁ0>! rwvԙW/ y๺ŞН79jmf3ˡtsK?RqBn> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26722 17142 0 R >> >> /Type /Page >> endobj 17132 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17133 0 obj [17132 0 R 17134 0 R 17135 0 R 17136 0 R 17137 0 R 17138 0 R 17139 0 R 17143 0 R] endobj 17134 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 279.5346 193.2457 290.5346] /Subtype /Link /Type /Annot >> endobj 17135 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 263.3346 193.2732 274.3346] /Subtype /Link /Type /Annot >> endobj 17136 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [104.1732 247.1346 162.7152 258.1346] /Subtype /Link /Type /Annot >> endobj 17137 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20211013063105-08'00') /Rect [104.1732 230.9346 183.3237 241.9346] /Subtype /Link /Type /Annot >> endobj 17138 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 214.7346 176.4817 225.7346] /Subtype /Link /Type /Annot >> endobj 17139 0 obj << /A << /D (unique_331) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 198.5346 166.6697 209.5346] /Subtype /Link /Type /Annot >> endobj 17140 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17141 0 obj << /Length 19 >> stream q /Iabc26722 Do Q endstream endobj 17142 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26723 20830 0 R /Gabc26724 20835 0 R >> /Font << /Fabc26725 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[VK2 ufg[XL`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1` endstream endobj 17143 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1573) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17144 0 obj << /Filter /FlateDecode /Length 2868 >> stream xnίyp_^@@x@ `_6R% .Ƿ/$mn}VǠ.C_UK}O39vg{m# x'yǽE?b83Wc/DʠGqgcygBĿc礳MW\klv |f*Nyd`)8ZԎOp_{x+N1 H8”Xr>IŘ{ X)ⷽY”S\JB:hK@;Wd5^TrpA@kwGDpfp@ 6N3 Yx67+ā}m,G3<.9#zU1Ԍxu?pDeuͱdAuI%\v#{MZEdq `T:KuG"XjGaokD:e筟w6L^/E*BuX"N'1ED`6ږ[k3f;fihi'G@EmmKFpkA|lm hh,2>Ym&{RsS9%uEHTf=){S0|=X"+ Th^^WmEDa~Hsn%ўղM*z+VyU7 5o4٧;[2fn"'gSx\@\]V4Z!бWdC{lV~!UO ~ DjI)ǔ_^#sHOUm!xld9/?kHWjZ~ø0R_v?#H'@M,am݄mGo?Ʋ˛W<&W)MDp.q.@1;}Ǥl k6zC0aǡց16+u +<ii-04@Fn3:FA6>fCR2ylFPn(lFl5]cM<GBhaYQ!(a$ᾓ.v>aJvVm&L?.7 *֕K&,2荇OA% x*:qaȡܹ{E;ƔsѢ݋l}vF.or[OcY$*%ϣsQm~-r`9:ɾ&p-Ѐ@dy6aMcO"lx+>űYi>EiYGG)⭍t"_s}wh8B{`\'bO1!Cn?W~zh( Nrxѽ:ㆇǬ0>ve[ C *eNV0I$ĎU1”ߗj ?4G5 r>Xeۼt˂TJS?i0u7 UqD?6j_A31k젥oр-?*<>{y# iy藷eɏmsE[Fga (%~3?%f%f7f7u3mf.K\;\n ٥IvQ̨%uиۡ9pRzY-Y/NVC QGbT\>a =94[|HJN|cýXɣf呴(9UP*YX,ҝ,[ +u+ߔ=wHR"uU^1BWw>8Y,o\|;qb0{E7*ևܸi@L訏+/x߾b;]pk!/._V_4\#86v+&4xbiy0#LnjcaP`S< 'Jt\ƤI֟!gC7&xS3hE㔀 vkW1W/17c>  ϣwum꫰@;5 aQq YB8]ŕ08X1sӓN 8+>RImS)` a3SX {Y(2l&3_?HQ4n",^. ?\Bb>m>dMQʀ4k\F H*p ^H2; Ҕ> 9r/{R#'{:1Z[lM( 襒ҔQOògKSը{hZjꚶ,ؒ!,mɒE^VI h(+#ZĪ@gF&PdEg +P;+By O7x7v85N`S^ h!Fǭ'iZ4M dej<i8ʷTfzfdh|^p~a^~\>evo"ulu)5祜|'F9tm(R4ALi#sO܄\zj*[hBLaBɋ9..d#FJi4RgUsYEp9Ϯ KK*g<_z]֗y'hI*e"=siHיtv8 ~sHW̄Lysbr瞐?^XO=11H2k&0 < o>%ƭCKYmc |$;p⑿Yxs9ȕA,*a16JځVbq"ssZcOօX(aXۼ8|G6ON~ A e  endstream endobj 17145 0 obj << /Annots 17148 0 R /BleedBox [0 0 612 792] /Contents [17154 0 R 17150 0 R 17151 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26741 17152 0 R >> >> /Type /Page >> endobj 17146 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=hbm;v=latest;d=pg276-axi-hbm.pdf) >> /Border [0 0 0] /Contents (PG276) /M (D:20211013063046-08'00') /Rect [289.1165 341.825 322.8975 352.825] /Subtype /Link /Type /Annot >> endobj 17147 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17148 0 obj [17146 0 R 17147 0 R 17149 0 R 17153 0 R] endobj 17149 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 17150 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17151 0 obj << /Length 19 >> stream q /Iabc26741 Do Q endstream endobj 17152 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26742 20830 0 R /Gabc26743 20835 0 R >> /Font << /Fabc26744 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}7 endstream endobj 17153 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1574) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17154 0 obj << /Filter /FlateDecode /Length 4676 >> stream x\Ko$nW]Wϒmnn dd59A`ٜR$jx]RUQɏ^^~,fs¯u:$UC_b{k[towZpƫT ^;}1V^U:JӳQ:e ڷ u[u nU;"|[77e?-f k!%5 Mb{A3ܴĽucz@Ƥ $߆9?iZ \pq%j3^ _qz~q\=igFup"ƹ~i+#hyDa;.ǩޮ)?5tCE0oS_ƙްڠ\8WA :5 VnZj3"Z"|ŁLYe-?so74h`b8GKJ`I j]}јwbh˟jQG"e~/TΗr<>7z u%5ufq*Fc}SzV.v/`Xgh loX{!Mo aV2rir׭6Eiz!=,ڋY:!ka#;Ib+s.B\F~>k+NfaNZe}6ם*} /rGu/Qs?]T=uhJx ϯwĊG=!ѓгKy5<ԚE#&#%juCj+-, ZM1%@^œ@HP%+PLD*͔osB˔&bk%WdWiES/Pio}߯"" =QCQD Mr$lvP?}I'NBUqPX#55ԕ* '=nƭUBcK Opb:{Ubkr[m3/V\TFZxo/WdԘV$FXڭIӘbDpObRj&tY8fmD=8U4nbEW|Z+zt?$vM/L+ Z;3D[v N2(PICTvn,:m `a&XX#XmXH= ub}Až's+@$۰=9ȎG;]+Nݴ8p p3 '@+pR J AH| m dY5F?H F=h.~L՗ǐ:_@{+Giܲ!RWk"+_AԈ Ax}͋E 1uI2eͲ=6n,jqKu cp5(ku]WG,vrzM>醶4,uڤ@[ˊBYX:3Jv-i-%aKeY ?eKl"F_Z*]F\О~YfXqy,Jyb]]ns6Q$FE>JVy^ze%հl@Aizc3b:pNDBq^i],sKVM(jQj]WH{"6?rY8<ІAbq`@1:oZ.Tkh:]ghsvܛHz1(tQЯ ~(#kD t!9(҃nT`xǽNEhCdNb5bm,^`Z`'IStȄ(7<0e,=vR ꊽ3 i• "yWG0{hOJFF$Dž^y@P k(٩"BØeRՃEU/#5_b&Xrwۓ6r@" ]¨1pﳛp6Z4v\hxŀtw1¶s\m|}{_l~[gsNŵ#0mSzIul YywfKsF`:A~x*wtŽMhP15$I eNۛ3 {\܆W SW;bnN6)`QQ6 c4g_*slof4y#5L ΀T vS`~0I+YtKͰ!``cN5X52\ÈQL$];8twuJs[Wii;oi_|b%D4M00n'ݬXs&Z6#=us2F渦4w{svo`D8Z@Fr1,2)YTw`3hV/@<`lveKqD򅷦u\7ګ)~'kz#lt]*Q{qT'Q\ >Uy:6ƽ5!1.7/Q xmysZUy}~ cfd ok360K: -w Cs<唆#Pcݴvоoc` Ba3eŭ1`Syj(; [ "n3|WH'ó1ܧGq(q:&\᠍~e= ,q6^PA65ҪeǒЖm&6hs/'>0f/0OOwԉ[ȭn;>I8k|K >T \r Zrwh.ˣtq:on˄Qj~Ng%r[nֲW)*RW-+@MRķ'xVw81\Ԑ^K)p:J1uނH[.ER|!XCZ}qE7iijL).5K{GVDXAuY-֦,WYͯ%[Q}6Y0QTxzպJ&.]&lެU 1twfy}S3 ~8Sش3 0]|QL~vJ: ?@$ݟEna_䦽}µrBw)[/YNvl򳗃X )Cdp #BXT/ g|"_[ꤳݑVu003r2*d ye B#Lq?ѩP .@H A!5u۩y=r=?cOga;OnZ2u.;CQ*W`d9,# endstream endobj 17155 0 obj << /Annots 17157 0 R /BleedBox [0 0 612 792] /Contents [17172 0 R 17168 0 R 17169 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26760 17170 0 R >> >> /Type /Page >> endobj 17156 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17157 0 obj [17156 0 R 17158 0 R 17159 0 R 17160 0 R 17161 0 R 17162 0 R 17163 0 R 17164 0 R 17165 0 R 17166 0 R 17167 0 R 17171 0 R] endobj 17158 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 504.4346 184.6217 515.4346] /Subtype /Link /Type /Annot >> endobj 17159 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 488.2346 186.4367 499.2346] /Subtype /Link /Type /Annot >> endobj 17160 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 472.0346 195.1267 483.0346] /Subtype /Link /Type /Annot >> endobj 17161 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 455.8346 192.6352 466.8346] /Subtype /Link /Type /Annot >> endobj 17162 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [104.1732 439.6346 170.4757 450.6346] /Subtype /Link /Type /Annot >> endobj 17163 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 423.4345 210.6422 434.4345] /Subtype /Link /Type /Annot >> endobj 17164 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 407.2345 184.2422 418.2345] /Subtype /Link /Type /Annot >> endobj 17165 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 391.0345 202.8817 402.0345] /Subtype /Link /Type /Annot >> endobj 17166 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 374.8345 217.6437 385.8345] /Subtype /Link /Type /Annot >> endobj 17167 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 358.6345 203.3877 369.6345] /Subtype /Link /Type /Annot >> endobj 17168 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17169 0 obj << /Length 19 >> stream q /Iabc26760 Do Q endstream endobj 17170 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26761 20830 0 R /Gabc26762 20835 0 R >> /Font << /Fabc26763 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -C |뻒0Nˠaz ^ 53|:m֯޽:H/ jcBrt~AG31H[&##((BBq˜Ti,M ?$WJ2%ī+OSQk9dP!5:Y#rU (QIwĘ (R%4 <~TW<;!fZ5Y}d endstream endobj 17171 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1575) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17172 0 obj << /Filter /FlateDecode /Length 2284 >> stream xڽYKk,Wh}0 þ ,BVN oOR'c'.TϯJC;mo[i^?>t::??<:x6dcmΚd~z=Xu>Z{>u*>q쨟 bF)_E~O;XkuwEb3GB=j`;A:<T`[{6?u&WK_,&G2DG=P .ȔtT@ <1+#0Vy@8SfPx\Qr(jeqE/G]Be.˅,iT<Ck"dJQ Iy"RE,^_#,ky!2M@BDJ2vømD۾n1V+< :mZ^ e0:sD6މtt&.ГW&XuȘЧI~Qm\Z)erwj5hjP,OhYs5*|K :rd( nĎ0C XP#ɐz_ImJ>hjS"=局`zKPPlOVIa7qp&d Hˆ@/L&6{W^y)G+oFqD^)򩯹KжB^JE٨ߒ)AJه ƃ 4DF`q<\ ؈\AF\B)ZLGI7/ZיN%i waW{hWe#]S,auC uQ &u0$$eoظAsþ 0xxR?5-&˸;5>P5w ;%KeFm`Kvil f~^?׿Bc{ڧ.D kϔVx=nTX;*4fsk8^uk|%$$d"Y9F'eЅ6oqnPr SFbM0ʹҖ[ c qJTmKFi" n鋰]\6|pK Jjve>CyԤh5)\﩯\&M6{uorhQmnZFAu?S0f%O )9[65pZbE}.[5)3PtThPE,?W隷Ӎu3O3 m=|ySfݍ9Œ^b:a:p&hM ?{|YbbDةkHNNpj;K!ia^-Rя8UG_0Y˄~xwh5nreBÀt+kEλS3w/ǚ5oW{Yv]m3C^ um1g='x^/ %@Z 0)Fmްkै)1 hXEuLF#}> v5F)[@4e<("d8B"U/fm;nIK0G;$d`@S1+/XH+ hecyE}6/gbVxzzɪo~J3hX#굴,Ag%:ϑ .Ij>Att Vi,ts"'"oO-3&ѱ:'hE^i40{&=Åly)~CS~?Uٳh:k50 \3;B  ~Et) ݋8Ig~ɡZH"sƿ &`k8VYH[R- >'^$P~,# ~$v#KэZ:OT ?L5 endstream endobj 17173 0 obj << /Annots 17175 0 R /BleedBox [0 0 612 792] /Contents [17181 0 R 17177 0 R 17178 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26779 17179 0 R >> >> /Type /Page >> endobj 17174 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17175 0 obj [17174 0 R 17176 0 R 17180 0 R] endobj 17176 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 348.025 137.278 359.025] /Subtype /Link /Type /Annot >> endobj 17177 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17178 0 obj << /Length 19 >> stream q /Iabc26779 Do Q endstream endobj 17179 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26780 20830 0 R /Gabc26781 20835 0 R >> /Font << /Fabc26782 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶD endstream endobj 17180 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1576) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17181 0 obj << /Filter /FlateDecode /Length 4699 >> stream x\K8W<@x $W40-`9lb9@%Q##*wOdH~$%[^-f foK3KK6X?~W_8Śok1;C_NVUnW̝~2{wN}jWv,_|Q߈Kdd%h/ ze7Tuk2ҫv%ff/.2J?_ˬbY;[Z¶&Ե:\[~~uUo<_`JQSF&pu b#=/_,uO;Lc1ڬiW' c7 = !ۖ@g ._@)/gGa '(0gDRlpz Ym" leϮ$[(1T+lN/\F'Tb ۶c.|DS?^yLLu>q^ҸOXD3;xwKyMD0N]>~XPKfDJDM4OnkrHdȿq`ij7و~H<8O©=:SH?IjWf9̈X/1F:a8j ۖ:[\NG&l 3zGrmNQT Xo>EHRR$''K(jI%+bj D Z6\VA1f1Wb@ז#5qRFyZMLW7f!AV_|k<ܱM4{uvn0rL%H]g[|fMF%Vj{.:FOEsRL9sV1TOtvn5tď](VٴCh~!m/U5B#+o|`먖B0^BK:9SBJKr=-^e{K~!<ZY˂@ Mhoqg+6c\u/ﺋ|0hcS@lM$n!*hn6 x1"γ-½=@D DA"ޏ&e{em'gYͱؤc" 1]9Y@CZU5/_[L |?x,?Y;EYI$rPꁠ&|;eI?i'Ygݦ+ɀjkU*[i7.=u!"fP`iYѦޟe q Qx-EܑUxi!Wak.@ ۆ *о.BE}+Rxw oT2 $㠘AWjYRũU}OX#2k *3_T$ X:Jt Q S^牫ވZXƢz%TR /`L/mӫMOFMKIQez)Xj0 UpcDf- ѯ&\` K\WA=GCb/,V%BֳMG5Vpy7.6sA{4YrÄggo)$+Ty.pyP:ch{ܐ1aMRBqIi'1r2vnMHf{%rfƁ%͆M(RZZ.ؔl=QzFNV R 887jTq?,2]/L+;3ƭ-5nm1-S*֯C-* M.΃W %,W'a+CUi Qy8Ya-)- 5X$#LlNJh+Pb;]+;e'.-m OUI'ue{F8-? '5i 8)'ձIpR1#pR=:}飏oQR+YS8 i pRStI=Ju8)XI=H'IςS2QpD$X\Z=s J%{ UMT'8gN?N73xxOןQ}RJl՝/%>hRa4@H;Mqm69J*Ԏ Pyx#u q#7۰C [0ԹeKah||7ԠW4`+гoEv:jB(3 p}[yy1N= zNp.NVfb=L"NP(>Aa#׍oft#=q?w71j83}s,u>)/GDqXb@G6/#dK{|ˉ_l02܊2۱wTD&LZ`=Emm/& S%;G9UtVZӞ]0t?7 I$=d3xWSE#:m}ya0 S0#o'n^MC3% =tM0 ~6xHȚ4PQzr{daws)lS8LέE(}UOy!J}9Wvf6HdMF5 槖)h6ւ;n%܉D؇9nQxim[aMz2df: t/=}>F)'2=2Hۃ6%*U  >Cy --J i Fq50Dڼn'W\yM8?mdz aݕM,^A.@491Eg˓ӹۥ=tm_޲􇜪 <Zs\Pl!!'ln0W<GcOHl pqyCP`x0r;M?B/~[ܚlVCA"Ngs@(<:C Č;ȹ=}tgQBc0Ɔzk!țɚqcIM`whz0[Ox-jDm@btMMֿS3R'ҩ܁BXNK`.q)|Xnwpҥʐp[L0E$^ (uZ e_h]\Ey* D(%^ Mo<9R\P}d;Ar 5nMfzP0 Wf~~H:S.>6 8m9!z3R`n\6&3W.8㝏m]m :+:9/;tx:Hp!%3`HIߒԾ<,!blG%rߐo`x<}&& _B)mO=.&MVeTށ"麥@(GF:?,^ĠW%ND5>raki_ޠ蟣(?-T:q>K(N?}L ;ۏ# `r . endstream endobj 17182 0 obj << /Annots [17183 0 R 17187 0 R] /BleedBox [0 0 612 792] /Contents [17188 0 R 17184 0 R 17185 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26798 17186 0 R >> >> /Type /Page >> endobj 17183 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17184 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17185 0 obj << /Length 19 >> stream q /Iabc26798 Do Q endstream endobj 17186 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26799 20830 0 R /Gabc26800 20835 0 R >> /Font << /Fabc26801 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy45ۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17188 0 obj << /Filter /FlateDecode /Length 4390 >> stream xڽ\K$9nW@TeV| 5= Ò"mDIɏ?Hw¯폥\SKTxIҿ.>nfQj[{UJ*W'g)ez[|@1z)~h(%=27W >WPDŽ\*.ZSU(-I&kwyMX3)_ b‡Ah -_Fil -e)?!2JHH,>2b:SNr%%KskpP(:]obӢVUyL(+ @Yp߷:_4 8\~'Ab㚯rOKQk1)]]CwQ?T,M2O˛0\!OM]P(|8:s!: /Ƙ(C*I [gDB:4 K!&F!Fū%PZ,0J {|Z P z<~C  ;R۠V񨝽YiU!jgiG+:JXTЦv)YzlI^+]8=kqχkR1f 1E+o ~ꜧDxn*?g˲V+V;w90|;A#K6M7J۬(*ֈ ][4Rū`E•)/36HzY N!$7Ȋ`VRA{Ĕ5:2 2[eE;^c>CEsWxIŲUv{i1ʬIz^k~uq9c`hHB@ƪdm0joj}D=evM$4̑@i9 gIb!9S]]QU< Uސive , LQd2"I{N|R{hLPR?TϦ_YZm#"gI%Թ +sD2zg\:Vν+ьS3O2d;qM=of-.cfCF|^r: G[G,- 8B)tu񮃟rdhHېVƂ{ 97YkWK\'Lla 8aEĂ.G%Z#E4|NnOQS)aiy[:Z0fTHk°1;vsb'`"ar(G#¦V&ыU1'zSjSz10`>Y  & 4DY[=n9oǛt RTȭj>׳qT=w2Vbd>QÍ%y$tW#fx=c%3gN~J_AmʶsC N7I1s+&.Knoo~ؔ--ٻ.##FZnX="znPk`s~Wj6-.`U1wT _6UVJ)|v"%ʰS<}lbH?JjdDL,}#auj<9GJ>f z0قǡ)gԜ9dϝP_1z. <غNMcV3 mK埃KzŪV] fj MIBn#{ϓemU.3C k3i>Qٸƴ(8ֹ߬nowpwZ?ߍE@+gGhБӾ0t'\wM?S/1g|kh;N%Mh|:xܵ?C駞XC}@7ۿēG\.2sE'ѷݘ 76{9)]Ȃy_"q?[sWbܕ KV{skmLgNwE7G:Mݝ{6 ,8oJHàbvtSjCCi0N >NKφkrQm5zjO ʻ? }g0] w1A_au[kۄRzwsmL]L~ yaR#s@93٥h˿\ṋ^^N. e_,v#TX5 %:`:yFG U 6-iDiWaPPr|yZb~s-.z6-ASp&}$ӗk{of q<M8Ƚ!ObYE螭G_^O~Ƹ[/GM^+ϽSOyKgsě}> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26817 17193 0 R >> >> /Type /Page >> endobj 17190 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17191 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17192 0 obj << /Length 19 >> stream q /Iabc26817 Do Q endstream endobj 17193 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26818 20830 0 R /Gabc26819 20835 0 R >> /Font << /Fabc26820 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [ndЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?@ endstream endobj 17194 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1578) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17195 0 obj << /Filter /FlateDecode /Length 4453 >> stream xɊ+_Qgҹ/ VOog^Ҙ|G4G/qmclV|'cI 3x\ [BccL1ø9yf6a.0.*22#L} %Kjb (5I3!s4y'aU,O{T ZNvv,4/i"Ca`74fe 骣yy +^ zx8g|#8b=9/r6]Jj.%(Jra1@J 1!<>q9$ 5得ayPqKysR=}MB1ˆdxӡJI:-vG(b#L[4W}\8 }b}M֭;^+tg/o+r U=L\mHڤh֭x\偢] [f(9&4am4BRRoqJVDܷ+{pfV/ U|o׶eK_I;<=SSs>= Dp г&Tfix@J &r.en. 'T"V]l *GZXN[gE#Գ$m1y[@6C95Gﵽl2xBT"#'Wfi3KM!^ CZ}SӺhqd0n\z}|&8(C0eDaWƣ4E͟$D=Ƭ6:sqC@*J\x1֌t\+[>>CuCm[$ƹƜw0'3$sDdHu`:{Z %9Mnf.|sLES.G",~|A Ao^Պ93?kTkUYGyca0ز TNbV\Ó )ݖrv{6]A2elG͓I2skAܥĺƕ=puƮ*DR^㼡_Y_~}`PT:[[UG7/C>%tѳ{CձUwU_g0BV:hvP}_}+uL ru)A\lȦ(Կ?\<%vPr<_*`nl (z>⌰ϐХP6J`׃P0'DJoJ((jU,;zqC2rJ 9mW2Û;Or)#o;?_2#͒_?ew>,eՓˊ\wYujaw׵ݱDw_!(0 ՅꪲJob' ,؛{V3Nsw,`j]+u%YJ1&zn Z>+=Uc%DXߑ;f-wYfdꝏ3$KopbAjKk[5JƼtjl깴kU5׊Srm?{s[lDɹZQr/@'Oܤ;ŠXÛު0(K݀r2nhP7S7a] փceKٱ {91UcAyGxY8\|^Ȝ%PHwZ^>/R [EoYշ{³Z0knUhUF.`rbNK͟Vƚ5zgdm^抗^eU_–VqaVì;J Q=p|cK4kCMqb @,֬xpn?-f/{ &;EV0D>#r?C V;}`L/҃G$5um1UtfT\@x^x]~Y~ڞ 8T N6Kkrtم!_|/>&3ݹp9W4AZYv+ǰMXFsӖڦWF+jC幓E bҍ< :DGaepΫNBU_ZQuZu}x4Wi,K}WKz g\0"P"¼rI{ID{_?O*oR "n> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26836 17200 0 R >> >> /Type /Page >> endobj 17197 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17198 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17199 0 obj << /Length 19 >> stream q /Iabc26836 Do Q endstream endobj 17200 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26837 20830 0 R /Gabc26838 20835 0 R >> /Font << /Fabc26839 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pVQthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMXZ endstream endobj 17201 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1579) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17202 0 obj << /Filter /FlateDecode /Length 5069 >> stream x<Ɏ+9rw~E }JR>>33};nLzmtId2`A+f}_j^[.E7q.Z/~ ^%˷v/O!tTJŧE;OJ當O"c}Q/ƒLgNܧo ExCAiE6\j!˓(*aC; ^)($z~_:>LĄn[$ `S8-cu[iJR'EȤR*{g W^^!Dz". B xu=+3pYTF@dNf>7ONI&ۘd?bӇq41LLϩ,-H&/G07J}AJ$i1F[R^5:'8}ZzfO>1ȺlQf&1E;t\1aFQp29uZ֡ yGlg&5usWձg2+S\iJ+α>nATt{5iL;- ەtIJq; CNs*[i+"Nm༹##w7EȿtêPA n׃=tM7-![G,MKk~N_9M?v'y$B"`Tۈr*Ҳ]ʪFPϙXwyeg;5m%<, 82Eezȴ~F–|BPKMH66C`g5zEHdRS;YisO9վ[|Et2]c i,&#sYHqg{}pΎһA%'),q#aƽۡ قG[=}׆UVrM]߻uH<WiAPڢ*h㞯Ӽt&'6`$O(eF/qe4+%;&Cli[730h(2 pp.&<JQ_-CDi+c>G]םQYOb%t,ƢC\f0{i,wJhHXHa`=({UýrGvڕO &=&%u$IIm6I~o>inq8dM% dti2:E4J@D6̤YK2 _l:?c2l /FܑO[2 ʺ$3Ba7NLwSZ$s^=VnrE6C5=V`4q_ys}D=?&ຢ8,STMKjis٭#˫*@}EK Uis0ǸpUx߬Tl6_zθ&%0c, $@AUR;ߑ-¡IVLW-$=+8ٷdiL0 :mGL t"!юԇo)aN >&)˙Iˣ`Px NW&_*ZnF.iAMRRf*uvs9t\!s0N~3(/ |^ ls,¤?bQX Vrdr7{ƫ1= >5|>znfW9݃M޳ ٸ囨mX80;tW~1R{>[$o0 A1ƈ㿗 vVjdz+Y :Ho)p XS*YR{Kk 2^Aԫ !8`{3:} -l&M8Vh=h4. ex`u.=> @*ԌC٧ *'YfA} %h xI{ittc'"CE4 2h/>e4w-buHxBZOND,1)8^łt0Ȍ4XBKXpg:\DpDu}4Ssm,P' mcz [U ^Q&ܟ҄j^o6`!u)T 3 Hpi6슸9 3[q8_:2q,s:~C,ee5}Z`~T"®#22N&~̀!T`Čc}b;IQǃ4a$XA©X-#(aЁ܇BZ$^Hy%)=Iq"&7jH%k.F;Kt񵷡3(;KD2KtZ =8.G7:QF`Vot--wz/;])ސxd`[L5pKŽ,H^jdT{WLx xp4䑇`)ڃ(\Էr'} p ;VȇL+B1m%ݴiFFC1[kOQ.c6.RRC\9&Wx3 #O'64-ҺGpq<+YH:7G~j W|u|M2o+ȽH)nڒG@!_S:&ϔ׫Sy>H Mr`(چ~"c-l>آÕkp4Q{h.L491 Z)Lc?M4x p:Ch~[ Q_I0e58(O-\~7!bzJ&AQAs#@=pAم2Kx-n`EҐ>b 1[j:uꪑoi UΑ15~e'Qq]r> vsMTCbM d̤- ]Lm7YjnU_z6֪h1HۛӮ0W;+S-M^8^@`9[wT;Je|Q\w 9yL8%O\0WѭW=dZƙt3nyovWA7;y1A>e9M#|tQH}MA?-auNSsd6/ ԛ*s-תit#.no)X 7Zc/b6@X[ݩV͐}0|B #^)nZ^QhqA]tG+Ѭϫ+қʎ묑wXYG`ƹԄ 37FceD: '[rmjP֒TLv}dƑ4 HNbN J'jn@p4 C<WB4笠2ԽuQ0[̗13'Qj]ܤ:ce (Kob$;( &ûYƽN 3D^<`+ip1?b,6f=C;}KSc/i#ê] ܓ6{:ޯ[bo]Zw˦̷||Q ERA([+&0́uDިPii(8>]ބf@ WȄ' ?Dl+K´Q> ("5/R|3|bmx"pAH@_gl7xO` 7<*gQ;\}Ou43669N`;f 5bvjx+[23īYRy咀THr%aFRC`e[֙|G2MXLع%e`A ?-? endstream endobj 17203 0 obj << /Annots [17204 0 R 17208 0 R] /BleedBox [0 0 612 792] /Contents [17209 0 R 17205 0 R 17206 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26855 17207 0 R >> >> /Type /Page >> endobj 17204 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17205 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17206 0 obj << /Length 19 >> stream q /Iabc26855 Do Q endstream endobj 17207 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26856 20830 0 R /Gabc26857 20835 0 R >> /Font << /Fabc26858 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(EW!׋ڎ>E;!%]vhw%Tsh8r-Zݛ^ endstream endobj 17208 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1580) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17209 0 obj << /Filter /FlateDecode /Length 4318 >> stream x\Ɏ+l8@w|0|=c?8 AfؖPb& b!So=Wfmkݖ4^RᅧOvzx\U+}y{~ ~wRPp ziµkma h g]ON|Q]o_҆>_e,SLJY HYl墫p6J'q2@󋍃;L@L狨:1q鍿6zbG~1; @0{ J=`]D#=HJiە>\+ҿ^KڱHI4 ߚ^4sj.Cu5$8*.KnJܶn8!9nk[0A`eXl]C0{E5 ͼ7X2gNE-J*f,c]_* 8`(6_q8,^!3 7^jfepzE M|/`Ö ڡ'En]6;9V;d)xhh s#Y)2( ZvlTd ZM!&jNp%Քr#t2Qv5%.0&] 9,7L'Q85 =UOxk&㷼ܻk Pwۈ1*}<xͪ%^P;˓n^fc"n=d32j4Th\ jVw5lz&<*zs +E,{arLJ6Fݬa IyP-M2;}|N ܊Jly>Z=x1F=3`R2;I5YKQp*W\h@JX.F'2u\nAV9}y[q1c5}Z0%0 Q@^\߼2̟v`G+}T똨x#zf>oZ!zrə3{t*RO*524Xov/¹@:2abv 4ϫ |F}CF DT(FhY{% (<,D'b?5/w!`u&F3""Z\jp;/"[Ny˰D:5t2 eҾV=1͒CunC sfM$,7 oFse  'bZpGFĭh |/x{l  ӐuKhs]||е;x9ܥc_{-%HFk[Uz\v\쾷j2n% hD>pI=V-LC\Uh0 w݋7Le] Z{~"t?dӥKyf>2yB1QVPLƚRcG ej piӘ釢O'Yw*| pń7^&{"J3l\0O:X\zk l"Rwէ=nU}ca^0n[N#Z rgWfIh:,G/ak2,A5 h, 6ʹV5j/d>ed y9?{>&YALq#boPy iPيPٛ\E&SLߑm;) 5P_]J0i \ LT2(J|^ ؊N)Cq4 ! uWZ RU KH<`yZ'Jfh.;ᕮS4%­Vǡc\k1ΘV]TF=0`MnpQ|^ԤT{}y^&y,&1m<:`sENܴOB{|Lz=o2|={TQH=A[~SkS騣Sa,DHj KwZ<)$Av dž_YcSQj|X,ۢǞU2}G= a>7Gk Jb`ϛ'oA2oO>{@lgK4ɥR><4(F P|wAX>b*\;_-?]+9y*Wɲ"ў yvqv38DvL%ǀ(D.\U?&'2GlKc:Vk&|ledDv_׊D Q%]qR15Cod[j+wF1 < sC8tmGáƊd a6nL 6xKi|`Aߢ/T~2ΔTg@*B8l/`{JrPy1'.cĬ!q b#ϟ>ɻT_do^/w3t:~?mmVƘI`\*YɾT瓭{RCSAH#*.H0RŴkms2CW8Kc'#/#1K0^uiТ eN[:J]nR.oOS+w_{)FJ-`ͱ@=5 t< Y)_ކbmڢʽdĨUKn[_N&(T*t; {duϺn^ᖅb299bU4Q$f{9T <#CkCv"`%P:/hƯ [huZeIQ.?|U{ HbӅo E֥kԮiza:ƀcWŅScY%Ӕ~2XwDćF6Nt+UOmDݭTJ\TW|&#pWjՅLl7.̥pjQ?(KXփ)f)|nj3D%ү_3jLLO&&+5(> QslM'i) aS>r6vK*;,1~Z&&7tߢtѳ{lbkt<*] _hz`;fIc3W\KɄiyraݲPgc\r5|Էrʛ9ʛ9yw)>?ܯ\th2+}/1gB5 3Ь X}`n<ט.J |>K7WDm"(+@Oчx/!-b]DT:š[_P؄˵rudl}٦?QWS*leP_*~>Շu.7R=Vՙ/FੵٟEUx̴ ԣjZӇ endstream endobj 17210 0 obj << /Annots 17212 0 R /BleedBox [0 0 612 792] /Contents [17231 0 R 17227 0 R 17228 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26874 17229 0 R >> >> /Type /Page >> endobj 17211 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17212 0 obj [17211 0 R 17213 0 R 17214 0 R 17215 0 R 17216 0 R 17217 0 R 17218 0 R 17219 0 R 17220 0 R 17221 0 R 17222 0 R 17223 0 R 17224 0 R 17225 0 R 17226 0 R 17230 0 R] endobj 17213 0 obj << /A << /D (unique_106) /S /GoTo >> /Border [0 0 0] /Contents (apply_hw_ila_trigger) /M (D:20211013063105-08'00') /Rect [104.1732 323.7195 202.5682 334.7195] /Subtype /Link /Type /Annot >> endobj 17214 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 307.5195 195.1267 318.5195] /Subtype /Link /Type /Annot >> endobj 17215 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 291.3195 174.7052 302.3195] /Subtype /Link /Type /Annot >> endobj 17216 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 275.1195 200.7917 286.1195] /Subtype /Link /Type /Annot >> endobj 17217 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 258.9195 180.2547 269.9195] /Subtype /Link /Type /Annot >> endobj 17218 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 242.7194 159.8332 253.7194] /Subtype /Link /Type /Annot >> endobj 17219 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [104.1732 226.5194 185.9197 237.5194] /Subtype /Link /Type /Annot >> endobj 17220 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 210.3194 177.2792 221.3194] /Subtype /Link /Type /Annot >> endobj 17221 0 obj << /A << /D (unique_332) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 194.1194 163.7877 205.1194] /Subtype /Link /Type /Annot >> endobj 17222 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 177.9194 165.4707 188.9194] /Subtype /Link /Type /Annot >> endobj 17223 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 161.7195 198.5697 172.7195] /Subtype /Link /Type /Annot >> endobj 17224 0 obj << /A << /D (unique_354) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 145.5195 177.7742 156.5195] /Subtype /Link /Type /Annot >> endobj 17225 0 obj << /A << /D (unique_119) /S /GoTo >> /Border [0 0 0] /Contents (write_debug_probes) /M (D:20211013063105-08'00') /Rect [104.1732 129.3196 202.1722 140.3196] /Subtype /Link /Type /Annot >> endobj 17226 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 113.1196 190.8202 124.1196] /Subtype /Link /Type /Annot >> endobj 17227 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17228 0 obj << /Length 19 >> stream q /Iabc26874 Do Q endstream endobj 17229 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26875 20830 0 R /Gabc26876 20835 0 R >> /Font << /Fabc26877 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n\4çC;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶fկ ۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}e^ endstream endobj 17230 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1581) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17231 0 obj << /Filter /FlateDecode /Length 3592 >> stream xn#ίy1|?% m9,rd,<vrOd$[' f4dfѿi-4?}ѽuue׿ÓccjZ&٤_Mw:쭭ͺx6{K{0`y~YbJdwtgw:mk~'X\r Nr,&'CpG*SS$y?" d_a @\$^w Ň k&B\㝮{ĵ>86x=xYdBk4DgLΝ~u) )5q+]M]Mm Uq~,N=Dy\rC Е1 Ne9svxiG0soYԹ,ZShmm2.dj wI8^#c#$bZ񒣥p_..6 z $[I-|! n }[/ Bβd0Ҟji׭?3:Lc50gefQP-_G L].B,d|HF!˵"O0!Ll>ӻNs3io\Oɺ\L%jM M4r6 q5}UքP ټKAjf~;{ae+0Ep` ]l&%}VYJY0wV [\aC$}?_Թ@5n&:X<㏺XgL ~vށ.?){Gd Ԣ^:4,z$oWТ;`yRǶ@m<&]pE@E zlww]I?ژZne ˮ *0XNn\&Q q=,MAtO\e޷%hkml=n v0HdkĀ}DwU6w3ƾt*vlC&= ݷdRim>2}L+K1N2+`}u~u`< {P=1PEyqCѢ>g+ s6#4iʝkmD>ik}H)e)W^Py?(N^3 v\ɘfڔD1ILܞ6@UL'-ndy(0m1ar, a&xG Su(+? s 3,& E!L[I觯oKE[JFBŸV6ܨh3ʗ^YU(;yAO# 1CpI?^a]FY<h =NOJ8Ow"EBO"c|DZs1 V2e.Z(Z8=c>w֤rsh9-*"%q^)bp~LUYa*Ǯ\^(QrHzY;FmS^N|SUm^[o#O_#OL REQ]-ivэ[T^oX❚J|-/8\݊\AC"I%Հk)7Ҳ9b-+ z:Y Ogyu/#"Ϫ?o8&k\ClE-7F|NWt6Y,‘2;9]{u!o܁ԗ!.re2{KҨUcFw@jH[1 tӍS.J ᭇlKn!0X=97%)AѭZd]+W)W)W[;Qv](uvǒ:aBb />x/8;Šf80 `֖?Τ9$ sh lX|1igMzC76XjQ"GAg@y [;Ѱ^ky#"z9L` t$%"-}jrHYϤU@]` <{VgҦuX*YK̈.FJSvK֖R3[юVݥn2_vL}  MM+hbfIj!Kb'`UZPXYN>U/ q-_" LQ+/th) fj|"I])mQ=!U~2IޯaYFDQceh":b[2Q*/IP^4BY5}1 MȄ%f.%΍Eo.)ni=wɭE5aRSm[8` {[JDH^ܥ>.ʆ=i[uaI vZZhrKL]D']tJx,׿|/4V5\ sL%+*UU>+x< x J%1 >Gcρ"Uh![X,S0҂8DȜWJ)=<@x_wLL C($p ;pTAiQdX.tkyY9⢼ÉA{KQ+:Vc7, sX-ډ%׷O 9PpSȘ`(Fi-ETVyfDR7Jh_ endstream endobj 17232 0 obj << /Annots 17234 0 R /BleedBox [0 0 612 792] /Contents [17240 0 R 17236 0 R 17237 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26893 17238 0 R >> >> /Type /Page >> endobj 17233 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17234 0 obj [17233 0 R 17235 0 R 17239 0 R] endobj 17235 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 17236 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17237 0 obj << /Length 19 >> stream q /Iabc26893 Do Q endstream endobj 17238 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26894 20830 0 R /Gabc26895 20835 0 R >> /Font << /Fabc26896 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫Z,C;fũc8S.@˂Vm Ln;|/(q21r(r1"F Ɣ3wO $WJ2'ī+O˓*DƵa(Rɐى9_>Y#rU %uaT(P;b)^G+QO TϬ>Jج M^RuTkQ>U}QKRGB3yk|\[-<8יq|mau3ϪzkEŐLD}%l)l,1aSi ܟBd#UO\05cDA ^Xb>!%$]@QXy Ph'-:A/}k endstream endobj 17239 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1582) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17240 0 obj << /Filter /FlateDecode /Length 4119 >> stream xڽ[n$+l @U5z,çg C2}wl\r)$y<=L2`0"^0M'3iwG*v2<3?eYM?_dX92uj|9곱5ϟ|}kܹe9,| }zySC;=OAb_4KOzθOkJ4/?);.4+L_YNn2K90KBUX$Ns:^4Wx{ %(PTOh3 &N?9+1 d@vz) BJ%{vF9ui;pz`.mPlgHW9hcֺ'=CdK[36 [y1TK~!CS +t%]>暕ϳwiO7i& y#JlGdL4C83Ն,&l*~K(h! K͇M˰z 7^/5!qr)nC@=$5eU^ 5bh;[pUfq`xz9;!?{@lBj.5^zC&a _XULz#w D=!΋IAjcJJgs"%55Q~D P昉ɤ[NX,huQB22T[e:ΓdLg'&NcCDHipzi9{'~rTЈPU.0#am`0>233,!c zs Z*N22^d7TXjx ,7(\ˁKsXfnU0iGX f tvvtv`aw`Q11QmHVj L َ@v  Ыڕ!2Rk | %Ǹ`F> ◁_=56Fbk~έX_\jSizUhY/ zԠF,096u_Ku p5n>ϩXeάe%l_-UY~6&P-ܖ0iZQ" 'm[y;+_哶jK(y[7|6((,<р?ra\l#T<4˰QN( 7cWvSf23/T:P!=,K;[UMū(f!Zy\.ȃ*x}3-]6/?*&ܥ7C;tR0g( A#jB{K5pQ TRI#jS-Lr:Q:K%,]jL Qj["J» GHMjKL3*@e|;n;8Ěr]d(|%+d! <'*S[A6AQK93egNC)acy /in Ǟad##ͤvI2ALxk,L3J&i,Ľ6DYDH96RjP9xnJ?δ2@j4{r_T,`/V8wʭnӱ^aQj޸cwVR/:UVU;}:C PǫU|/q#|uԣ?#&q,8Vʽaچ%Y*׮n삌>m\pdUOnq4Uw\9=TO洙s%!X</GugGi3Z};;+;2d}% &O.E Nià؋tYЄEoCek7ch\C=u9Y'uUմƕN xh*ѡ4YD[qm]G#~4sq=5q&$)O89,ܿ9ʜ䭣Pϋi6;M wG4CgeAa|#h@Ԁ 8>G= яa5u'eܬ v5}o-,eݎn\@ʺYJJj*rG  ġ J؍l>e5jLi r˶̴9־ys桫[a4B y8Zg걹HؗO"?Zt0xDjɸL"\ǞU71J6*%uwPS:.-o14ħC A z>q.g#؁y>/N!Cu[޴#٤Ԝl)tFJJ-&/xd4t=)=Cv=$ /vpTxO4dMV7bbs "  r@ӧY, +k%auzZ]AcFB= ̕fcN8eJu{ku)vbMc~0>^]>`Z2{<8z?\d(kjx&aN 4b|_xŮYS=/E[*-Mr 2l$x+sq69԰1zwTQ2ݎJIZ7TC^S RH_\qK%- RI[˩%{=kcRlMYɐCWZ)zRw銭l0b9&#ջ& nPdoEC ÷&gb8_jԙFl>/ַM*@G㜊+qzcCx'0ks˼0ܳIGd?+_/d ]^ñ%3\ FȄOG9!T/ѥ1,{޹PT3-BuB$WP.By g?g}!OWxWK.7O:I~cKQ,v;0X$]xMK~!!^mpo6];/F'D5mP( q=|G1L+($Oۛ`l9NrU. endstream endobj 17241 0 obj << /Annots 17243 0 R /BleedBox [0 0 612 792] /Contents [17255 0 R 17251 0 R 17252 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26912 17253 0 R >> >> /Type /Page >> endobj 17242 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17243 0 obj [17242 0 R 17244 0 R 17245 0 R 17246 0 R 17247 0 R 17248 0 R 17249 0 R 17250 0 R 17254 0 R] endobj 17244 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 436.6423 199.3617 447.6423] /Subtype /Link /Type /Annot >> endobj 17245 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 420.4423 195.1267 431.4423] /Subtype /Link /Type /Annot >> endobj 17246 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 404.2422 189.4397 415.2422] /Subtype /Link /Type /Annot >> endobj 17247 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 388.0422 205.3787 399.0422] /Subtype /Link /Type /Annot >> endobj 17248 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 371.8422 190.4132 382.8422] /Subtype /Link /Type /Annot >> endobj 17249 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 355.6422 207.3807 366.6422] /Subtype /Link /Type /Annot >> endobj 17250 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 339.4422 194.3402 350.4422] /Subtype /Link /Type /Annot >> endobj 17251 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17252 0 obj << /Length 19 >> stream q /Iabc26912 Do Q endstream endobj 17253 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26913 20830 0 R /Gabc26914 20835 0 R >> /Font << /Fabc26915 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(₅1LS4$dqJU$R)!^\y"/)b6M CZNNٷGӮBxg(&FEG7uߊ dE4 <~UW<7!fZ5Y}GܱYZ)6{ik_KiR'Sb:tD'W9F&Y:)WIkp:3ϳ-nYu_//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo7 endstream endobj 17254 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1583) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17255 0 obj << /Filter /FlateDecode /Length 2484 >> stream xڽj$="6>eif^WjiJUGDdܑi _/Y. :>F?}[}ϝ1G>ɇ?o0+yX_1n^D%3 s#.F@!]Gi,Jgx۽$ƖEk>3܀;&ƽ3IJWb`֢)0d"}*: p͏ g@ *e/|m IBم76eQigj}Xo6ι!)ShPdL|BhTc\=MG?P,Hg{g#wٴ11y"ЬnL.5O5ĚlRovӽ=Ķ@e0:m*@Jj{3PX Ģf|GNNunIײ\gekEAj^ռu&`]s_x`$c :)^mDZš04WjE  ,sGa @ó&~0 Y4Hr3*''#?b3{^L=gJ=H bO= bLD X9sG-G 1T;6]}BAIFrWi9kI1{9)_\O9!d0l9'z&Qz"o]oD0`܋{+yX[Q NyhCYc<&|92iX8q[|P??tC5D-e[:0SOTc`ϣ}nܹCkPpԍLjb{QjU44J.-8zbpQץ O;^q' *W y]31?/غ 婭luuUKݖś mwߟ;< h8A|SWaw>53;U:s05g1M.|L5 [Q ' !ZXTȰ$y?D\+']ba>a !ܷ TdxaM . Mś*(.'$V$/~eM+JcMъN<ۄ&KE)EJo3XnwCt#727 CC^[h>,0abr" +sFokʔ$Y8Os[\ Qu{ ,1qgxN`'d_3tm(24a\ X(q.]or\R\.dB +Oj m Y?^qKCI ^Ne$%՗ 8#|" tF%WM ز,zc6Fm[eWO vrP,mx+s ^n2#t b^g'i>o[h? Qx̼:Z{~.6u% ] ݵ#ZT!q-9>y 描u>!CPXQo *3&,x\Dݮ??_fDx'VN<\J5r:w]U0TQ* Q#"{=K{7Bm"O`(F5DR(u_d endstream endobj 17256 0 obj << /Annots 17258 0 R /BleedBox [0 0 612 792] /Contents [17264 0 R 17260 0 R 17261 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26931 17262 0 R >> >> /Type /Page >> endobj 17257 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17258 0 obj [17257 0 R 17259 0 R 17263 0 R] endobj 17259 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 17260 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17261 0 obj << /Length 19 >> stream q /Iabc26931 Do Q endstream endobj 17262 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26932 20830 0 R /Gabc26933 20835 0 R >> /Font << /Fabc26934 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//3|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}>f endstream endobj 17263 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1584) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17264 0 obj << /Filter /FlateDecode /Length 4309 >> stream xڵ\Ɏ+l@Wu1'3m@;6nYH24ՙd`D`pb NH._^gl0KӿoWX|m|LnNۛ7s/<WA!rgrf*רh)V_+v/FO!/^cIiMf/.Jo'[,1W]K(kҡ͂G9j5Wx{R\^Żj3װ3~N*,I/qq"Ыb.Q$e:? qy_>G$ >OwbYӂioZ~  Ę2 <=K)Ăҳ/ j_|jXNVZ?>jr@~B/.A'M- z_4 )q$P$i-8s^ ?cn~\"\ny2V\‶^h!Qle/6}21.8` MFh"Lu0h!Ed45]발z sۼQ/kQ2;S?Nq'U!)FՖ|5lj/`#@)DOznBj.}k=O5)H86p[^ޥ64nlyQÒAd | ǂ2{1fVqpH#Gmy6< ͲHk $3**íd>tnjB}oC} }N)p(GBp!_X<oIhW6TʫJ;wsMmA.gac6bQĞbQ gGRyW! ^%f ^0p@Q@% #(:@xb`xQB |fin6@ 5ۚG HԊv!_}//& =Q`D^LrԓqS8UR=0'"q!:s gL,&A N%$S =CUfk$s+b3S5Hce(9G;]+;NJ,ݵ8nd= Ndp[87f@'աla}R/#ǀ^rYQ 0Ȁj0G?~qR2q7Q_:~:b~ Uf *GУ5beCt܁"1x Ս!4j rN7eq]MƇ)rWIm*J׭B*Dm_YhGƚ=`UDZs{]'E"c.vktZE;Aϕ0!qnuxfSyG!Gt6 @;EރhϿT|X݁ F< 238茻clPpLw}2֬ao;aYALf #aҷªż *F)cĪÓQi;"g7|D |\m,8pH2ĻG]f N4+Q[]Â$w~aG+NݝQd́ )UƔKQIGfP,{Ꭲd>gU}M 'VZCѬ@ia[C4ͰyU½ah#:Q*BSlG$%$1jAg˅OJ\#cXO9N(fG}|Đ蛆:˪;mwUmB*f$̟?AWv8)Al2U~uҙi{tq4l4RDA`XfGX0 )~P5$KnL Nהֶ~;ؑ,t mu ~l\m>I 8{\cI!GJ(9mts?ڔx[# ĪCׇcaMhF4{eio '*-Lw2Ɋf+顫 A6~S6zRzPds7+kvZs1ahʚk-35𴴉0M$85405; )[ T~3ofyӚ%b{%݂g زvAczˠ 7{Xa|Wкdلs#؎=6Pqqo-4N_[Еې9 t7{E]LaiOC׏TC4̥ϼvd#؁SΦCu]|դ֚lJt^֔JJMqC ܦ0!;*j1św0O*OWZt]M)~#wk U:P8W[`Kwԙ[ n;`T9<LF|&zb\JNmj<ЊLv6±8sG2&rt11P`w>{_ʜ~d[%auBNAcFB= ̕fcN89:=8:\n>E_RKtnbymG b$tMEY_TCU {4ttT!x{K +vݬO]ܾ%Z*-Mr$2l$x+sq69԰𑭚zwTQ2ݎJIZTC^8a<I$▴3- LSIK˩%{=kcRlMYɐxZ)zRw銭l0b9&#ջ& nPdo֪EC ÷x"ĎbO|O]uώr 8pt^r5`dap΂C|<|޾׮z0Gp ]->}崘 Rrp gBm.mT_P.By"?=+N <%yrQj B,14"*ЎyoE$kc߱lgt߉m Ea5v_.v0ĕDtT?`-؇޲/`(Fs%܉HuQ0Dp endstream endobj 17265 0 obj << /Annots 17267 0 R /BleedBox [0 0 612 792] /Contents [17279 0 R 17275 0 R 17276 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26950 17277 0 R >> >> /Type /Page >> endobj 17266 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17267 0 obj [17266 0 R 17268 0 R 17269 0 R 17270 0 R 17271 0 R 17272 0 R 17273 0 R 17274 0 R 17278 0 R] endobj 17268 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 436.9384 208.8492 447.9384] /Subtype /Link /Type /Annot >> endobj 17269 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 420.7384 195.1267 431.7384] /Subtype /Link /Type /Annot >> endobj 17270 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 404.5384 198.9272 415.5384] /Subtype /Link /Type /Annot >> endobj 17271 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 388.3384 214.8662 399.3384] /Subtype /Link /Type /Annot >> endobj 17272 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 372.1384 199.9007 383.1384] /Subtype /Link /Type /Annot >> endobj 17273 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 355.9384 216.8682 366.9384] /Subtype /Link /Type /Annot >> endobj 17274 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 339.7384 203.8277 350.7384] /Subtype /Link /Type /Annot >> endobj 17275 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17276 0 obj << /Length 19 >> stream q /Iabc26950 Do Q endstream endobj 17277 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26951 20830 0 R /Gabc26952 20835 0 R >> /Font << /Fabc26953 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#%u$R&o2VK2 ufg[XLۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}D endstream endobj 17278 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1585) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17279 0 obj << /Filter /FlateDecode /Length 2456 >> stream xڭj$_灊}i11F2t_X2UG"by/h-Uן^ts:E]Y<vV?}[CӋhk'|GQ.'O7b֤xu.4k?Š tj y#R9io.* <I7YS3c+b8vdPn@]֦G'\P,ų%e AJ߈D"c ft&Ѣv!-86G&̠EFx "VIX>.MXM^cb('O,a܋(厎ڷu>\_5-_?v]PH4&v\@9?fT Ɨ |o͘Brب|=Ll7\XLz EG E/D%? 9sԠ:U"n4Gr)ʲ6w;M3&F-׭I/i%Բ˪͒y؞v.֒u݄D&jDmSAZ6GH]mBUJ[]]褱Ű&!\g=cQգu]Ot&N7(uʘa~.0wM8wRhJ'8p*OARBgIh $\JRE]5 a$0i_WVH5W^odP'i&iC; ^%y{e>sL B$r="Hvv1wسTfvQgP"wv7};cHMUΪool֏o4D6ԁ}TDb(-b/m`^k}o P ć8`iT(U(U{uG}Nq fH,V tn6N\ЬQkBrpÜs{Voֳe!dmX,N#3C&ue-E~m+dǷ\$&<Np0`BX3LBXq=r'OkI_ nA, >JԴ$,ꜜa ei#.Oܾ .B|uQ0zy1 gwyojra?}>~z ;:w=uQCYZiM;ꇣ㵅;-r&) .W>VGL +IC2*>aOPn7-y*:[`Av !$E}(Ѕf'sL5Pcfd,B8aٻT).|` 3.|֟ 'P3@u24qw]5}ssnW՞y*:sL&.v8c2ޯH{KӇ!"-@>=l 8tHTs7ı%pe9˲77X?j+QDE: tڠ(`;x {Z; |0A@K/dlD+Q)cj7#UY v|'J}jL9RA$P+ yr#l6NJ[~ב{ OUe{V%EU 0-e>x'TqrheU `V|t,|xNb&dU w('8TDx֘At69qVYȷ11,akh- e>Z IƐp&Vʢ!xyejQsey'F1 P)/(/bZP6dF bxG3<&]v"ibH(Ȇxe.O#u&x%鯳@9RY2ҁyNYU i%WU~z5v TM}Q7qW5}M-sLܢYMe,MYJw-a~ygLc}DOګ4!AzhisޟV+o7'E%fBb/Wx#O)YH"<*kENdf86t2<Q tלWQޕ.<䒦7L5q9M041Kfnڙ(H2/]J#ěDuup_'ȔFi-Eg2*u?V endstream endobj 17280 0 obj << /Annots 17282 0 R /BleedBox [0 0 612 792] /Contents [17289 0 R 17285 0 R 17286 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26969 17287 0 R >> >> /Type /Page >> endobj 17281 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17282 0 obj [17281 0 R 17283 0 R 17284 0 R 17288 0 R] endobj 17283 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 360.325 137.278 371.325] /Subtype /Link /Type /Annot >> endobj 17284 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 360.325 175.096 371.325] /Subtype /Link /Type /Annot >> endobj 17285 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17286 0 obj << /Length 19 >> stream q /Iabc26969 Do Q endstream endobj 17287 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26970 20830 0 R /Gabc26971 20835 0 R >> /Font << /Fabc26972 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}Kj endstream endobj 17288 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1586) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17289 0 obj << /Filter /FlateDecode /Length 3782 >> stream xڭ[IocW@o0 X5zb 'gf}鿟ڸEmtzGVZ_+_tY- :>_A;0吲owxܞ,>;sҽ\i!V mñ{y-O+;ypUG߆o@M)^x3|;[տ.<+/l;v>Mt,S6[Pl{4&'>GDc^y(3㲇~0A{~|!qdxJSiw1#T:sR?wup<ϥ(i Bemd7C0n  bJyǦf>;)d,L)9Yш1GRca*!ZJ!o5v6o?+A@Jy穔Tn 3t]=W7 $4=;:h,4?Cˉ'vi2W47sS7nj69~ ,kIֺ駐kD艱CݨA=U̵ -f VȵlSd a* <cƾc翹ץ @o'38KF9d#~G ᴂ# ~&SjEGbd rad%0pfC1BZ4QUL7#-&g M1). TՉ"KiM;CP[sbp#vзtC] }K7ԅn࿡xz HuP48d.:dƣRۇ29 41N ^|vhQ+MXZ+ Ulƙy9I vK38,ZU >L]  >b!B 4̛lDvuȁ-zl.7Cٳ9]coNݹcxN<4xmy椸v(BU+CG⽢̅':8~ƾ̿HT;ӊQ yiFb3 I">ɻa:*Wϭ v?gX'H߰5#ǣ9ů748ApK8t\Bbsm|8Z^Ie(aQ׌P`!uxawɚ_*Þa !U!߶ m14#m9`oim=<(aO|"1m/ ^D5Ro2r/bX+-5LQV_toG%aQl(mD3Tq:l?PNLƽƅ>vPH<|q'X؃VB<{,kQ BU*+uFܢvꕆ.h.TbCYg=#mRR){m4zRk5 Dxj?mo0!ɦÒ83,`IU :py/d"h[j/=[2tTSe7Kwڛ[`<80(~g+ؾn0 HV/P&GpņiqCbeH<$)1I,c 2 NDZg&ΈJ{Uf#6GdlBY`ĀLD +Xl(Z`W.nRƅ;wlӁ".(eo1Щ冮MN8gyCoN%.NU]FX v +,, }n`v`QhXŖ4*y,۱2\-6z׻:,8pRb`NNt Nn '`pRK8韆ZI'IpRo 'ձIpR-IbggI 8]{ip# S=VlWHm< žϥWX {٨2[#aԉ0}0bZ\ xJR`yX[ CdtnϠ-#PoF z8xF* ^SQt`Cz.܈[ }j6z۳L='-CW>hrB6&uxJ9%;7_圭ݾ0Z26L&?|_S=%G73 xՓ3v,ÖC3'iԐ '㐀8ssYqΩ׌N3iV6<%j(;0xo-IuO&7&Lޅ8-=s ~Hɇʯ /ꢑ7j{>A4 :`֫w2hyvݍ3ϲu_] jBP텠[Xj\bkEn\YvS+0?Yl~;7/$w|km•2pw_58=f(P`C V FqjubxSq%`>58&0n`k sdNϠd67  NHKZHw-]fnsk5/Wk(ϵ̠zmE^GuU}! ;2 ؊! (sS(rhNmyrk'E<|%޴~4|[:dh` ys/2y\ si "֒/)"}v[<2 V$WfNk㲤:=؍Ǹ[$ ԭ׻Tx5kALP3)G~|pB&_SU ?*JKI2l-eGdyx'(|ˍvVT)ຟYRRx=Azx#{Vw<.@\#- P_K,o^k1O-ɘTs.9dɘdF%މȩ6Aƒ#03 endstream endobj 17290 0 obj << /Annots [17291 0 R 17295 0 R] /BleedBox [0 0 612 792] /Contents [17296 0 R 17292 0 R 17293 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc26988 17294 0 R >> >> /Type /Page >> endobj 17291 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17292 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17293 0 obj << /Length 19 >> stream q /Iabc26988 Do Q endstream endobj 17294 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc26989 20830 0 R /Gabc26990 20835 0 R >> /Font << /Fabc26991 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=5TϬ:J#ج ][{i4LT>Ѥɻ#,JɭURj.\gy4՚Gp#?$_l˜!E_@9?ɜ F0P|sLѨ\U$x2X4/s(RҥC ( 'C9Pma#bwoQ endstream endobj 17295 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1587) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17296 0 obj << /Filter /FlateDecode /Length 3006 >> stream xɎܺίp e搛rr/ڸ[ǓeY}0loC3CdK?~WOOn0fxڦ8j z : ߆>hmv0Qkm#=o HQ1$`I8@cA@+e'嵉O.|j4k!!D} "ҮXDs%o\:s'=i*Kxާ;`e>y3[0Czh0q~lrFwim'k3:!|g:ҚŒyс7Ə!G0# t\͙*+R-S" pKCҁecs.Xg"0cm}&j &xXY!NO%s:1{^›<3™nMOFTUu8]2W U@^Xh u̚=xMzw@X;](.+ZRlsIgR_׬̃  |:k)YA@hE 0s0[3imؒΕן.L-\ b ;;i̺AJlZ3MbUEF}'>seY}}0x`h cMq߆/,`l!C7(it<|A4|:9:#jW4qǯ+O#~0~w="X[x1F!ey٭@LA /ap>Kf=^>yn|svY 2~h~m2YVY#8} "9ċMe>|O?O+4S*4ȹNI佞Z/9>qܪKuι.-r{]ܺ՛i)ƿwp ?|~d|+ʽȼ/Mﱐ_#!?|/>5cK#vcG-j!pOJ&"#W뉥*L1r1@;+&mWqd( *..c&\`CcH .w#+@A`2֎KqcJZ=K!;;7AKdc^. 8>џL<,Z)ʂrThUEy;^.i3$" NP 0(x~9^Q MO>Jx(P .B wBK+x|-۱ ZAU)2<0s}i@s62!6Ig*P$ RUSSw+OUpjVV4_&@<43X8rGf6VDN OBq0۪% v"cA]c)H :KQ -;qEp T sv";K\c(y#;PGmp^5 \[r4Q<+af?yL-G* 땮*ؓ@l Klw+B>%6[0#Kԯ@G}A"[Fj:p6.lEK69aqv5MƔuVLT"AKk8vI*j2\o0IQ|PZ2RɽcsV.2AR,T1.wDQ9꼝|oG5iB@ ލ9]3 K1g±8 s$ASt;Y8+sDC>ŵɥXk5 bE߀ѳ=$[5R-\BڮZx\j!s $sNfrV/ 6vqFLYB6vYHu}<I;LM `cCo}pcJ9؉Fϣ' Znl:͘gȫS;Q]]:c *,Уx2LFk{4&9&ク!7rm1aCyJl+MP(MDbn8I7׍eLcvdBeMN+pAi 1ly\1p0) :Œ/) "3NbFA ԕf}O8yK-,j~\2ӻQKݡ|S*_#x#hKD E/.FI4gd&oR)0t*pL8>k%ͨigEF.ч>86xInl2n D\{Xl F05_dt\z9udP$+S8PN$z0s5=4,MZ. m慌K)#^٭bZ ڬKY FYCr5U]RlA,K/qnV q$ :1ەL )trElKp 9Տ}s=Qox'<&exJer8ztJ!c j=p+x 8&G8{XH sYJ|C]hO}XȔOA:Hpᾇwp%yLL؎ pr o 2*z$@F(,.?x]:Z$&!w O]rP;8f׃)H,`jXEȇX4q ēu>0YʞW># ߑPQ0}dY"kZ͢^ endstream endobj 17297 0 obj << /Annots 17299 0 R /BleedBox [0 0 612 792] /Contents [17310 0 R 17306 0 R 17307 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27007 17308 0 R >> >> /Type /Page >> endobj 17298 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17299 0 obj [17298 0 R 17300 0 R 17301 0 R 17302 0 R 17303 0 R 17304 0 R 17305 0 R 17309 0 R] endobj 17300 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 316.2346 197.6017 327.2346] /Subtype /Link /Type /Annot >> endobj 17301 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 300.0346 192.6352 311.0346] /Subtype /Link /Type /Annot >> endobj 17302 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 283.8346 182.0037 294.8346] /Subtype /Link /Type /Annot >> endobj 17303 0 obj << /A << /D (unique_343) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 267.6346 181.4207 278.6346] /Subtype /Link /Type /Annot >> endobj 17304 0 obj << /A << /D (unique_344) /S /GoTo >> /Border [0 0 0] /Contents (scan_dr_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 251.4345 183.6262 262.4345] /Subtype /Link /Type /Annot >> endobj 17305 0 obj << /A << /D (unique_345) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 235.2345 180.1062 246.2345] /Subtype /Link /Type /Annot >> endobj 17306 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17307 0 obj << /Length 19 >> stream q /Iabc27007 Do Q endstream endobj 17308 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27008 20830 0 R /Gabc27009 20835 0 R >> /Font << /Fabc27010 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫C;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)\Όl iV)xkEŐL$K4>S~Y2]B Py> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17310 0 obj << /Filter /FlateDecode /Length 2586 >> stream xZI$W<1$!`TT.6-Y5aMtH S6w\~~ulq߷_Ixꧯ}O1^[3E41'g c6 [±~'P E? { G|$˔]Y$vC#J{S՘tD.&A^S D (=69"lL7X` WxǛKH>0Œlz`2gAf04ng9? )l͓-#Шi([/y'3Ef"ol7u]1 2O6R:v^tѷiqGK-%Xx+NW@4YƤ9/Bsr^_EڹO\ʁ iQ#d@Od҆ĺ=ԃ^&<#K;"NþU |6f '!E۽ꠃȈ2\%=ruq@5PUظP,Tɍbl pVо6\z zEON<99tCCK\AGLe!IApa Ӳ0+!][ՍtnU#פQIx }*DWw04iaٍ֣n}N-VТ=)`mc5$JQ7Tg kPR 2# s-v.V4a2Dף! i߶Ml[l8\c*\`hήOx| +o K;7O!E?k6Y ̬\H6-^a ˙ߘqGB{qTᦂVjm;M֭]h*qv3G"䨋Z!~Ne"6}PoY@sYQ S'j14W3۶Px] z7с/ɿ 9Bq6e_Py(AKt藝.@q6b<$YCQmbnLgR{J&\Ä70YV@6l,gD]H+"k>q'(@#W##/5x,3`Wjіܥ~>wWn qrn$Z^W{+^3w=t)սb#Gvw6Ĭ!P[a:˰i8SK!rWg"j;7̞v :OQ r$q (MFhmLuȬ r|GJ}/t9b\$P“ŝ| w"j_k#By{/ ݹh- gSBC4#S!x9e@Q/}eu"ʌB{(('B{>/.w"GbiYyivqpR]i`0H(Ěx1[F x&闾An,ft೪Y6kw P]}srBaFJGb%K )]!vĵpz'$vQ~1TO!,w! 눟̣MK$  Z_CW!K`@cN3ӣAޗɨh`xDǃw{`|σ^ endstream endobj 17311 0 obj << /Annots 17313 0 R /BleedBox [0 0 612 792] /Contents [17320 0 R 17316 0 R 17317 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27026 17318 0 R >> >> /Type /Page >> endobj 17312 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063046-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17313 0 obj [17312 0 R 17314 0 R 17315 0 R 17319 0 R] endobj 17314 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 329.625 137.278 340.625] /Subtype /Link /Type /Annot >> endobj 17315 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 329.625 175.096 340.625] /Subtype /Link /Type /Annot >> endobj 17316 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17317 0 obj << /Length 19 >> stream q /Iabc27026 Do Q endstream endobj 17318 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27027 20830 0 R /Gabc27028 20835 0 R >> /Font << /Fabc27029 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫C;fũc8I 6-$.p' J( Zn\f  cR9N2&4'*\)ɜfU]h߄}j]֠zfQJxpf%)Rӻ6{ik_KiRGcb>tD'W9F&[:Y[dj)>t\gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17320 0 obj << /Filter /FlateDecode /Length 4332 >> stream x\Ko ׯshF{ +}b{4#KFGhbU7G~Z*w,kɤJѿ/I-хhӳۍRʃQxڕ+Wֹt+:c]شiN}kP.Wp/I|yF~^~7T"r&(mosן_fPddâAD嫰\G"Hض .=Be^F)8|Y'(mL !"1eCDb}+-m- 䁛u Ü[0n,L]6 X&%jA7Lb02,5(%ء{ʷ!)|LY"<̒c *E8`-Y'4z4J4G>O Ưb'J/Qbw_" 8 QҒO4K #_F>}A_G!4{#|0@MƗkT 0(3 Ւ^edu0MT͢uUz ґ;.)d P[ڀ 1=;<0"2Bޕ  LW3@whոX0E z!X0T9#ܟhxmH&ke}<̒¸/2R4K3K1/viq*8&Zm= (W/*$\Pqw̲K;z\(hY\qhQSD+[Gg Ut2P+C96T*8XMԮZ7a^hwxحZk6C+C@wݭTq4.bL(l=Aͪ4_.EF3$Tb: ,xm(+Nʨk^.wn܂;J|sh"*76[uVN0r޴Ff/XDk<'uR6_Ďrhn|W%SQ}+wmx[ wF,yC-bCwqx&'wqcT^V(8cWa׮E@3}2ǮBX-qܾm 3ڐ|G /5Z@Gr07MM˨u/wl%':Wv{gxM:kxo妚 g.m/g b O)np^}tk^mxgУ=t[o=*t}V ɓ(S|Bیqw}gܰӱ)As.jҵD+D{ۥEv|?J(, ,,E88B~? 8M^oXg$|S_Үϥ]g|q|hx%h-8"-~+Wғ $tMWB0yڠ㕧{[hi"Iӆ]xF*|( *5Ug +\S3YBXjIMg-BW$zC%ի&̱HgjePG쉆3.ѰI]Ԏd@SLgP0uꙨyiT+RhR sDFF7MKKeJo.ałۉ:6ki0ܢnLQʅ4Pyɀ摷dd\%}^zU8\ZKW3=d? d?뇶7}9ZA Ya>\b^b!sTcܒ٥P0aI'He^ $iLW"Wb1m17|&cm1|1X|=b>1|yLuRb\-}J/LMRk 4&i6>CICIV'u|È]ڞf{`mVٝ7(N1z2) +OuT חYllWY=6ihyop_u05,ƐZ-LtzTwA#?m(0CS}oT%ӡ!:=[EׇvP=f,>ϒ o߼%amIr' H9) #M-U8̝RZӭD-ܷԬ\YE]qم5˃b1,e}tM< Jha7L& lV:oS"1߬R}ے+9BJ[y"΅'/]ȹ!rjMcߘ j8kJ3.)=LpߡСU."[$HѰ(,'€ƕ n]X9՚c~\*l [Cq/4>OvA82]ᄦdQ F֎=+/5[" MfLO]e3~4|ǰ.S(`{).rQÜ8V qs6!cn#]Z"ˡIWI_'1T*uMt;iފDGïӤϠjا< nb|uK-ξz*Sξ .dͫlɊCWu `})7mtjt3uǭ9rlI,ld"k@~!YlӞZYm]@VutӺ1נ uN!v -=S"D<6c&;|IVB`j!hTfѥ;4ܮ#M刿yDڃوv-'QU6-jGbͼK/5.^z6/,-׳{D/0P,EKʴ:hl=-mGGDUyTxѺL{Hq~,iȭ&@9+ 68(S|3Dp*2#vz\mjĠ1#g>+I➼X9~. ZCݭGs5>5YHMl_Es5隺,ZN> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27045 17325 0 R >> >> /Type /Page >> endobj 17322 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17323 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17324 0 obj << /Length 19 >> stream q /Iabc27045 Do Q endstream endobj 17325 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27046 20830 0 R /Gabc27047 20835 0 R >> /Font << /Fabc27048 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` Qӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17327 0 obj << /Filter /FlateDecode /Length 3545 >> stream xjdu:P l/k0dr^_ P%d*y,FjwT.ۜlpJӿӻ㛓_ƫ.*aV|.r'Y; NIw/Os>yә$+ xDXz 8$*I%461kcaqm 7>N&l(T@_&ܝ^{[\ jo<޹m S2!Sx8k |F^qm8o7̃o7X I*hI<ƿPTI H&JڂxJ4/TuN]ܱ1 r60iwuғ]VY/hp|8jG'R @ 3{!ubEAJ!AĒcc]HϬJjsd_ Uę`Doȕf8ߺ-6s^yД *DBDYH)e˪tG:628}bML`&*cISVD[͆E.{^g敽@"ČNdS(H ;熘2bgM֨6@ w/S8mќ .5zC h'^[Fo g=cf܋[f'f2^]Ve.NSv5:.IepYF8iQ'%cH 7x.eFzxYᝲ4zARbDQ-+9^]Yg$I[+LN;-Z\6~2̶ǞB3uꙮ*1(\brԘHǚt9}U3"Dmk.|\^s)Y{<(/U$0<۞,&u4tvMgױپ'{e]BQ{@X:Cvd#v-|"$&K Œ/]@PJh7ጧj0EU30utMj-p XF ꊠ( u\ܰTmVƅfKMZS@Jak{-E4TXH$nzd5K FqBuc;fqI!vqN f͘a9u݃&Ml+zKM JPerF'e][ѭ2CjM/|16(ymN+V[5>r"/u1$)j NLЉxF qL\ѦD uW[ȜZpWk&EZ5&m(rEk[$|%n_jmT-ẺjbSN|ɱUbVڅj\-sKm GKIo÷ұztS i5&j B߫:kemq,qyaecc7Krq\B1ZU촩5Etl}IVw "Ϙ!!glem\aBlmĞsGoxw`b_k3N`_l^^+)]l$m#i-H(!_3Ѭ!DJܭeS &_[5/cduՉi-O+g{-3˙g ]u+~S';I.ɛ)A=2eh#pO Z[xD['7=j ɧڮk -\9XJ'ĮG@]!"=}5w,ѦBq{ԲӚ{$ȗGd.KM֛@.WTtC QJR9wseRv'oz6u(6̬AaAM9*Lwcw`Wm©IG5w &V (z;dH_Ħ*rcvljY1|Gn @{Puvwew+_U^\us[?3\n2nsy<%Lm],g jq[,]28{f`1Nݦs7tagld<zνt_#%e«oe9.8eJ)vR`6p; C]@osKXNKu"^8c$wSs)6Ѱ/]{^fWW&Bmk=+K^FXv8#cEs˹(c70W5bjUޅ~, jv&U31Xh>y‡N/qhԈoLOW'|70QAl?./t*lUA}H7 xCv|qD_X; *eoUl0&2Q,J K*OI@~J(w)! bCA TN4mtIJÒ-ng yypWt (>ae ބ PpOpFT@ܱma3քA+?-3u*[T8f-3h"D_ 0fɃx*XQ,dk!J17dɣ/1I (tݣO2@l=@]qֻzov<|Go|C%"THCh$kZR6gMHЩ !cGbm O$(M[ܟhp'~xK2DkzX*ajؿ -xYGLaȎqLxCpj$zĠ#*%,[Q}q}{ xی&|h`և}+['Qa4o6WRlC,K8>*q$  MbC;X-haHaz6} 9љ"6-\h7™T!D㜊+&=/9EB=Nujnj[vy;MaB$/%3ϗ<]2k g9?pkxPqLWs-1G.Ru kg]30`,dPDy%Ooض)}O~O-,A7D`pN3;'̆U[7ȨQA$<A3M#᷿Cc/B`jXMbvb#Awyϧ'>Ku4ւn$`u> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27064 17339 0 R >> >> /Type /Page >> endobj 17329 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17330 0 obj [17329 0 R 17331 0 R 17332 0 R 17333 0 R 17334 0 R 17335 0 R 17336 0 R 17340 0 R] endobj 17331 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 308.7346 197.6017 319.7346] /Subtype /Link /Type /Annot >> endobj 17332 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 292.5346 192.6352 303.5346] /Subtype /Link /Type /Annot >> endobj 17333 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 276.3346 182.0037 287.3346] /Subtype /Link /Type /Annot >> endobj 17334 0 obj << /A << /D (unique_342) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 260.1346 192.0137 271.1346] /Subtype /Link /Type /Annot >> endobj 17335 0 obj << /A << /D (unique_344) /S /GoTo >> /Border [0 0 0] /Contents (scan_dr_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 243.9345 183.6262 254.9345] /Subtype /Link /Type /Annot >> endobj 17336 0 obj << /A << /D (unique_345) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 227.7345 180.1062 238.7345] /Subtype /Link /Type /Annot >> endobj 17337 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17338 0 obj << /Length 19 >> stream q /Iabc27064 Do Q endstream endobj 17339 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27065 20830 0 R /Gabc27066 20835 0 R >> /Font << /Fabc27067 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` 9çC;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@<"q-lb@r2dv"& g5kDN 9]%8 sqE fgѯ'&DS3RwlVB+p|צb/mk: S[${JLh*dKRG"+yk|\[-48יq|mau3ͪ_EŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwo1R endstream endobj 17340 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1591) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17341 0 obj << /Filter /FlateDecode /Length 3880 >> stream x[Ko$7W@WV 0 {r^f.JjO3̎SJ(DoG\~zѭlߧQ>ԧ攽׏/7Ƹ٘7& .\~h0* /46{D~61=_x3gtJG :[E;hKcq \n5{sw x͜dzA\~@s[`Me~5xjk,-|{pRr[02`19c ]!\>wW'mm39<;XM G5WJV5G Meqks=5nɕԘ+^o+9|aŽTJn)~;lA'7,8kjr!O?| OuTĀl @xE)x?N?~_7x#Rҵu52>vW&Tm»{QW"*}FntAwAƮ%2{',lj6r:kE2F:ΓsR-lނv1 ';&F`g܄ l潰 Z1)8\;Y?xxL,WvC1Eoqal ]N¿,D€S|^}]Sha-~Ҷ!T[hp`V0|<|{4\Oh3T[Z鼙c8"uf[d+7p ߓ#X/A,%:QBŭ}[%\/tI(tbF'1 M'[iB.e~TK9H[n8Y;gDh>ٺ}rh!k{svy<=,ѿu1,I([am_mq)m"Vq oTbSgnI|ɀvs :iLu>y8e`3.wg=={gʼn׵~o6ū\XՈs! l[]Vrje۲"}gΣ1.ZUJm۟(;YčRz* kx |0G- j4Y:Tۻf;۬z&]<}~vNi+[l]7n2ob2VnSarƲ /ލ_y}aU;ROH?v zm0O_kyv\5Ak)(a:g\~V{/}|@sK8?V;^D zq~jݕyÅ燁UJ@qS[;%{ o}gUPm^/FLҨ'4Pq|W.RЈ]M@b 6L>Ft>(3dL6BFPGG(} ϩh$ ":j' q t{aJQǩ̑|6eo&GҔػ2V<F#+E$J đ-Kh'H.ѳNQKKqB&x?3zV=!H6lMq'Բ ZZt7-Ddf{ά)AVG~hJ:aMx=xoGmR>QN4``v Gd Rp\xp' P,VŶX@SAdUC< _ejeHKcN? B HjnA* 'ƑseBaMgOELn-AP@\fO 1Ӝ4ɖ,̈B l=pfE2cTd))Hdah 'K!)ϬāCӑ04o2/"2zRM`SZ BXU"#X[k\a#͜$`i&Z+m01XEHwsySѱy(kJ5p%Y1$8YRvsj-g{GT)<`)CXJ`ay'.(SDX{.y(Ǥc/7*zhMFTjoY 3H S o-{k&rEsh_(p庼/-u[3{e\A%h,K5hCYr@3<»6UZc 2Dpz$C=! Ϋa̔빇+bf8n ]Bf=NYqlwClg'ހ;H#->e7ԊQGS5q&\\S|D"^A2U .7knOHGL7lnM[B3?KLJ&Y@:"gF;6kBa{z>3̃;{+^Q4nsEnO@@͢ ]żPH5<Z:7NVD8ef-m˗{Y UJMmA= RɳèARF= c˖ꯡjӵlLꆩrhp9vmf5஢OkmVF4@nM;:< rb_hόlgax^U:]Hs-o*KA+ѩ g zn0UTgf97fAhly=㑾,78nizcLs}2nq:e6膩\}όr_# tm(VJ&L̘zy[]. nvV"?)]WX-ˋ9RR#"= >z`Y\\7կ ώAl.H/W_Az)0^8b{es=^TFOy#os KSA2҇~uyyf9tXi2os@z*>c-y;e`\ gՍrƝ_iWN,+tܰX5 [8*DfH[K)̂olŻ":'ʼ']X$_WP5vTqjDMRg,sIEdPe]([>sPzb#x}7w,ԃq4 !C?5l endstream endobj 17342 0 obj << /Annots 17344 0 R /BleedBox [0 0 612 792] /Contents [17350 0 R 17346 0 R 17347 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27083 17348 0 R >> >> /Type /Page >> endobj 17343 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17344 0 obj [17343 0 R 17345 0 R 17349 0 R] endobj 17345 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 384.725 147.728 395.725] /Subtype /Link /Type /Annot >> endobj 17346 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17347 0 obj << /Length 19 >> stream q /Iabc27083 Do Q endstream endobj 17348 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27084 20830 0 R /Gabc27085 20835 0 R >> /Font << /Fabc27086 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pEӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17350 0 obj << /Filter /FlateDecode /Length 4006 >> stream xڽ[KoW9& h؛7rXd7e~Wwhd;=X(e2|loS3Sb0KӿOL/MM/ooZ87[5oJB-Mf2BZ۾ST>2=/4NSоyzxjL_&=Ǽ@3.ӚN B'(朗C%|^`PC5pf<㻌K.wd2)ꜟu8?NҺpl}YU؝1{w 9 s9 m\4#O :.4i5D=u@:0oAUAa6&jy:'4%4Dx9t >Oi´NebL X՝gfN0;ƉdhOӟ8'm,pxp,ϔONA󣂑gWgEAǹYvmbu ,D}* 63DKqL[~blL{J __,Q$m'#{1 a`aF*>XI X_yq)F%&ho?ElU3Q=./+h{);R  W]^uڭ:o̥TԸq]8M4TqDu]2?^ΧI kXî`1 K\UfC]>4•m 8h=IxQB&|niv@ uLvAzCq/}LCUƘ(D `vyl~Pj5Tj[/̉HGjXm=@|@e-Y'+.w2U#M]xo+2@9&e(g,>I3AywsFiBe"WjqObTGg{U5O3:{lȬ-Y;Qz2U4d}@2Հ]>ZwK'ztdvM/BX2Zk l5sVgphr m)SUL}-:m Y¬`nvv@,, k^''d\JyXTumXj#@7+@}7-p2{pk8YAOuW85N}ηI5(Mk}G71%G`3*ŏ 8{} Jٸ[~ԗOV .]zUhZ/ Nֲ!H}ֽi'oiXil]8m;!잜/%QbLM +O'ruhZMktbtk5bntEF콷:{sQaV%>$مHfDh@)*c`9=SDQ:~2<&1)]5pv Y( a>^YN/ć}qnCE1[(Njl`ƒJ@Lљ0Sua\碌_-nՋ{.~ HnHȜhK3\59-\l+5?K߯I}Q[nU{Pk4dr=slf^]`'VU3܇ʠ;̫SHq9-ATZnnHZDwʔy#M Q{){'"2y2@a|pzn%\Uf(F^pz0)Jݲ\4QݜZ{L^uue@޹Ϋuy|/G7>۬|6o;Y̒ZiaoME`?Qy͢{~dMz7sA*gG֗m*wEB‹'駅Qrmԉ(qy ;L-ʒYu;bonxf7,Hg-;6YtE^{͍`1NN1pRG5I-jۏ:=x@3zobT])bg#NM-Wcb2V|η?Ia}mESr yϳ^!njF6г>,$jnc Ok˄9 & _Ws0T=gNLSm+7)e,IBZ@qB7 iιr>yO|`^y;M3;`P8ܧ 'W6C1ܡ:Jtd5w`*.AN,$1IYhG14QL6±8_@*2&r+t1Qi`iP rOl@\f(,f \ޒ0l!gNcGJ- ̕f}N81#ص:{[눏_Rk4.Ru+G0~>&]SUg~9<#-1&pBHBWKBGGɯ3if˻"bK-ѳÞIMp8H:  E+e.3G/8ԫxK7gJ|&Ij°1 5x+?$咈[֎4wd,?לR㵵ѭciݒHtF>.8ڕ kiާ\jIU;k2[4|8'^dpPdArujͶ\bH+ς[}RƛI~E/4cs7U3)!]"GaLߔ+Ņ(`omWU|[.-W@wtQt\HS}#™%z vJ27ȁOgbx1t]x9¯<꺼vg%pj|J`:GJ?QrC8ѣA "`Bn> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27102 17361 0 R >> >> /Type /Page >> endobj 17352 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17353 0 obj [17352 0 R 17354 0 R 17355 0 R 17356 0 R 17357 0 R 17358 0 R 17362 0 R] endobj 17354 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 182.4767 608.2] /Subtype /Link /Type /Annot >> endobj 17355 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 581 187.8502 592] /Subtype /Link /Type /Annot >> endobj 17356 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 192.8002 575.8] /Subtype /Link /Type /Annot >> endobj 17357 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 177.9282 559.6] /Subtype /Link /Type /Annot >> endobj 17358 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 182.1687 543.4] /Subtype /Link /Type /Annot >> endobj 17359 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17360 0 obj << /Length 19 >> stream q /Iabc27102 Do Q endstream endobj 17361 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27103 20830 0 R /Gabc27104 20835 0 R >> /Font << /Fabc27105 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J϶f}#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 17362 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1593) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17363 0 obj << /Filter /FlateDecode /Length 1546 >> stream xڭXn7+x6 0d 7DQϫ*=R-c-/j:{1bp?<m>?C}ښ>?1`L( >>jO;}P^ `)ovcNۄ`Bmt Sp)/{hKGcq4'+N:;WX(/Gv6 |'HBޯ3 Duq|iqxWC+(5XA;#4)"!@ӿh3-)|II>OĎ9_c*Nk-&Xf0&'g3 Z K`eu<8c=bo{ng#bp@N݌XV](=yDccQ3$W)4519=:dWwƆ{溬(gls%CvKNBP@7\j^LKۄP}oj^bi@-Q52ɕ-Vz=w-9:l,z[IG» #p=bn'l`t4JVkt665S6sL-X)%*MPFw5,Ιϡh{*ɅbF:59n"γ''lM7ΚP>e: ]z@Uշ7[>oWahvQk\_;̝1CA"CiGTi V!=̘EEN39ny#O}SذN [89 X/X?'⎗^jQ4 w#o' %rߠMnYzec4kFmUD)TrXcCfkSk~R-CV1Y6y뻨e#+'X*7]Y<(HRi[n1Um׾ƭh+*>lkfQ*So2̡E cg9ƭ CPfx4m4#_̶evo͆)T/ڞH}OcnO} "d7o&`CS ,πӞn!CK]j?%6 !CLtc{ѿ}Y|Mu1^(m7i tފ0 pwp6<_nu'Nz'NCpo 73sGۅof%q|dsl;JrA’W+F9ݒjKx#iJm=$,{|R j p)4"د3SSkksRQW%)̡7a endstream endobj 17364 0 obj << /Annots 17366 0 R /BleedBox [0 0 612 792] /Contents [17372 0 R 17368 0 R 17369 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27121 17370 0 R >> >> /Type /Page >> endobj 17365 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17366 0 obj [17365 0 R 17367 0 R 17371 0 R] endobj 17367 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 281.225 147.728 292.225] /Subtype /Link /Type /Annot >> endobj 17368 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17369 0 obj << /Length 19 >> stream q /Iabc27121 Do Q endstream endobj 17370 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27122 20830 0 R /Gabc27123 20835 0 R >> /Font << /Fabc27124 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo Z endstream endobj 17371 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1594) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17372 0 obj << /Filter /FlateDecode /Length 4247 >> stream xɊ_ib r`n3.1U̬T뺬M, ttch;Qt2&롱HtK|r SV;ۼjAO:![ÓYGt/<Z2)Z G|k{2Ћ^B|`,'DvF X zy6xӿ;adpaF`DiP! "`9"+vAGu{YyCllsa 'E.N|`/BemmLɢaD~ 1& xG jGVYЋn1x&J&)dV'"X-"2 *C SŇL+j@TD? 9%=+FbdlZN"ůrhO>eV YÌaAk%p/3D?ןO_1?뀿;g,-{(׏~A!| ]+ͫ7xcSR n#!s '/l8b. B;B1e7سXz5Ah- {HU=j#Yf-/3qw_ q cQuwɳY?ρEfP0XZ; ˆX$j VϻQvf:i<jvmf%!ә9|kvIW!"~GI i3XDsJ%]:Xẋhl^,d!L%?v2Y|Rҭ)6G)2Ms,_p*.(X,nyř,!9\l.30 B1L^ X;?/[ta쀵csqt ^9Ʈ dœvB] R~䟡I5'A B_- ~bƙ{! wzzu - QLt21MeOeEsQEE ^; m8a[^XA[l aMfYD*e_\7w>fCٽz9w|tuUď D5$zn;>*Fu&:#QDQl}F1+*UMT`5S(K no {Z'lUZl!8h4M3q:˱~ۂqr c^;.veڬKXG3:qf#dwƕImX-揱>ϬI?aE~ ĥR#-]qjA|pϩr>T{czg]̐ΚsxlPʻn8*N[ls%VOc+I>;F8\k$XY:xkߢ+{ԏQΟPf}iSFx$WW_fyi1pf%fTs8#Rye5ɖ޳ ݢ}taή͢W$5_~FĐ-WY#t?J{xE9`/tLB zڹU1m \H,UU/2b/b3-5g~HA5쬿^4=2 ftP`%MRTP5P{8G9Q鈍Ƴ.PH8|a4Q`fq`ա3T2S _I@/-jg䙒747Xjyyj+ɂ{rZv)RߥتU1$,aB MчpXdKΒ rɁFДL+hAJOnK3ڋ4?w; ?;~GBy.΁™e%.!;MIs$ *)ʥy)9tu`d&R[F=ؤ>]tVس0fwFf#6 :488䣑pU^r^[1u]m Wj<Y^o&(TEb T4)٩oC }0@k?]XtCF=:eAs֦^ obc򀱺4bM!%u..ZW֕sNJY@8l=U .ū6XpRW Nj 'pN 'A^Nl>.a}K86;}P8ΞNƀRgw:3?}K@JmPPo+lxOokP,%cB[r>~f_Ƃe]T4 3wsɔp1zT9 ]]~ .DsZtdwpAr3k}],FEVЀ7p?m^؞?D,I;U`2u(̫I^JoC2r $s]^?_ ZJ8}/EfQׂywS/2r8ݕépJ4/:TY].S(2>5RCv?lJeX7V%wѰ}ij=k.~ +`!/Esu"\v_q9vndBN0f] >^z6 <_'qk6˕99sDJ\BݝzEݝL)v=q2aA {"%Ez0Gk6ވ:5]JM~J8͓TR֒JkPV׊&urDXr{؍/mm\x81Ԋj%Bұ=`sO~9 s.%fN ~ ⣨)x10V]?j2%FԾ*`f^Sա;VFV+zykwdzEɿu g ">;o Wt#* F\ 5/o"1ֲk/j"oTM/L q~SX~4L KvWzskt >2 ?Y p}ɗjor#^sc :$9#X@g 52!tA谴`N z a咿T yn$ΪYif;XdCTg1bwe䑲Yi8ԑ,݆}t=w8|D*9bfZ2K槧W}.VdkVSYg @ ^#h uqD3]^+B;fH$Yp*Vw f8_P>,giK'vEKBIS펉udጏG!ɳґY/i,ꖶs#.Ä,>zU\!scaݚ#@k5jrsh#ϭ*v_DqM,KϬqn> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27140 17384 0 R >> >> /Type /Page >> endobj 17374 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17375 0 obj [17374 0 R 17376 0 R 17377 0 R 17378 0 R 17379 0 R 17380 0 R 17381 0 R 17385 0 R] endobj 17376 0 obj << /A << /D (unique_384) /S /GoTo >> /Border [0 0 0] /Contents (close_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 214.9426 182.4767 225.9426] /Subtype /Link /Type /Annot >> endobj 17377 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 198.7426 187.8502 209.7426] /Subtype /Link /Type /Annot >> endobj 17378 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 182.5426 192.8002 193.5426] /Subtype /Link /Type /Annot >> endobj 17379 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20211013063105-08'00') /Rect [104.1732 166.3426 177.9282 177.3426] /Subtype /Link /Type /Annot >> endobj 17380 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 150.1427 182.1687 161.1427] /Subtype /Link /Type /Annot >> endobj 17381 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 133.9428 179.3802 144.9428] /Subtype /Link /Type /Annot >> endobj 17382 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17383 0 obj << /Length 19 >> stream q /Iabc27140 Do Q endstream endobj 17384 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27141 20830 0 R /Gabc27142 20835 0 R >> /Font << /Fabc27143 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 17385 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1595) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17386 0 obj << /Filter /FlateDecode /Length 3941 >> stream xڽ[Io\Wsf/@Cm 0I'9Om\"qMbJzm?'-..1,b?~QO˟-<ӗ1'm_Td% <6xcWh;+PB0%k0Hg }ЋI^:;_+nq؇;e 0&MDz\M@?ڻӏ!L$qrRw|b㝢)q<[e/ݒwYLAQe=)raicC!Z8Eq91x(EYusE{U"&7٪CJX JMBX$VZRljS,&q4VD&">c'G"G$296NNb`ָvrCPN=`&^4I7$څ1K1]`P1{.D]'@M負7$hq]kK]Q N}2ǭ\o9ަomFچ-?QީYެT-`]ƽ y`(9oڙC`ofqc`&ŦK٠OOyV58\ѿnĹ6O6{7wٶ@(U|y۟w"6豮Ãí-OYzg:3 5U$hZAS!Ƞ`)"q!Qu (fG @SKQyLX͆?MQ5`K'@Q@QgdJ ևKB?@JNyWkC=ԑm+xiӵ5 W md(PNJ;o aQ? ta~ѼqSv4X\f2aZQb%JxKE]8H%WGZ.8`kُrı;xhlxMjSl}[wMr4+C .m܌m3چNB^&bvof_7zNl,Tлpt4{-j|)3r:a+oW[)'XTMbzLIeq1`DS*; ޶k rd|J`h/_VuW8bxܼvxutP(|$ja|zvA>qO+^wvG+i՞K6{~мP^S^)آ=eCqk5|ƹxxcJGw(=n@\L?RJ5BO `o&̎$pj='nUŬvT܃jm|T۩_([;l+Er?x>;P+)%W>zBo1KT|ŀ5&U/B,^{qCWN7t;MՀRD2.oeX^ p]t85Qք9S"Q5$Z/@ȹsL|ż2|y~LWBb"-Qz1MH6}/G{`V5_["3rSk']PRh mPXv2:LLcn@Y˿zE-_'[9!j'Cfwe4kF^7_"H{8ZSc! v&.6dq:Z RAJC8 sR@][ VnvŒ&Ὴ~~;M0WǩYLj4tuS@`d,?5 $z&bEZ>27N8h<58Wڔ$l0sĩeWEzij֢aJ$3S3IjOL'_5cMJڴc=D32_>O/ִ ODk1ƌeh"6u8gx<@>$('B[> wE@6Ag87rizAv$iŒmYˋ9NؤJ:T?ހ5Rg-l8^E%W_qD1KE(JK.YvQt-ސ(䏒>8b-B~PIe~xN3D18Oޣw/́t*FҐ5n+g!B^90 $:O#W~OOߎ/ BA>sӻC9),x@.nQKޅ7'>7oBvjxvO3q"c`=xA"PhV9=d}g=m8ާiF* endstream endobj 17387 0 obj << /Annots 17389 0 R /BleedBox [0 0 612 792] /Contents [17395 0 R 17391 0 R 17392 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27159 17393 0 R >> >> /Type /Page >> endobj 17388 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17389 0 obj [17388 0 R 17390 0 R 17394 0 R] endobj 17390 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 428.025 124.1605 439.025] /Subtype /Link /Type /Annot >> endobj 17391 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17392 0 obj << /Length 19 >> stream q /Iabc27159 Do Q endstream endobj 17393 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27160 20830 0 R /Gabc27161 20835 0 R >> /Font << /Fabc27162 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`LЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fa4CZ-z^lM^ endstream endobj 17394 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1596) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17395 0 obj << /Filter /FlateDecode /Length 4063 >> stream xڽnίyV69,rd63ԋ/I~mY,֛*JVwK^ ldߏI[?ԇ)~zѿӽ>8w!T,w'ꝭwi;wq;G8ucU?`~E?>B\gMh7V'͔x3\]տCp_c^ۑc>M%L6Kx=3l?OKk{ #13c13xb#w邝pYHC$$uW A|eJyЌ y-:,%zdZBxI!߁c@ƶM?d)LRԂyZP0~?k~@@(]N:O٘GhWG) ъ~Ӓf %Mp&:]!M.QO!d yHjy nYzKWp{toq2Y֐ 2R .A@,4U,KŇ<-@Ga6aj1i^{@w?HԟH]øuI=4 JY5n D>ـ厝9 '"=q2ev6.!^;.+Jx5-oj+`,@#ߕ  kT0@>MmZweV P>aA8l-+eN{bA?e9H CT7.xwF|脒B}c"Ap8܈̼BbN^LHX˜ʄG32o3UHf/At _!󼢁$c Q߷{~aHTGǧU򺪽H 3֣:1bY{_ufܭ4A}!,o'qm/+daA7 Qv9k*Q ) 2|OWOZHW/"o*&iWjJEÃaՇbٌ+`@\VZ5 ֊Z*˔iśMȡ#%Zi\C,@bVHExD1#Ėey$F*?֑ev7qz+NnH.X\FrWʘ'Ab= O RkZH+dQ-@$ʷ? Tt7L55ZiDg"/nia մq^z3X,J6^XNJY4CQ<ޱ !xEQ*b֛[Ap;kalyxlڵ2p?[4..4E}WXt)[2+;ZEkRrcCaZ_a#36Àth$0Mb\Y17)E3cjw=ƕ-v=-xX}w(ѤD:)LpL7p t%r,@zJG<ƃXlܔWјtFR]$=<Q嵸tXݬ{۔X4 TވlMMaRb3 Ɔ3 Ÿ W)2oNg8gճtZiӻOW]4E蓻\H&Ջ؁OOU L,Ě1jsa-zL(o[*oeȀT2pjNEL- h Ϫ@ mS[{i9i.k:? :Ԅ9ugˉtnDnsASU MeŠ ]s;kVM@eҸͲUH @FSgR*]Vx=xb• 3M*!'kr'6E/{=*emjrY)i^flu;T5"VW] ڤ؎ԸڍFQώfag#U|m=;bMw}Yh}ٗy)`ݰW|$P5*_n =~s{JH0崬 *= iF8:ȅ >dmlznvOSSKt3Lfƣo |b&B=}]j̴VyXض M pa OsC?BFG>,̷ʹt;^>1.Oɍ$QHߓl0G):kMNJwPҡWĸs2/'=Nj年#~Y/;9]YuQ׷+>2*-T'aLI٘з[C:L< /݇aG~>'PȁFٵB°8x5,IֈW/ߙԯc'}AºP?Whݗrx(=x$lv{_c endstream endobj 17396 0 obj << /Annots 17398 0 R /BleedBox [0 0 612 792] /Contents [17404 0 R 17400 0 R 17401 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27178 17402 0 R >> >> /Type /Page >> endobj 17397 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17398 0 obj [17397 0 R 17399 0 R 17403 0 R] endobj 17399 0 obj << /A << /D (unique_565) /S /GoTo >> /Border [0 0 0] /Contents (save_constraints_as) /M (D:20211013063105-08'00') /Rect [104.1732 582.9 199.0867 593.9] /Subtype /Link /Type /Annot >> endobj 17400 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17401 0 obj << /Length 19 >> stream q /Iabc27178 Do Q endstream endobj 17402 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27179 20830 0 R /Gabc27180 20835 0 R >> /Font << /Fabc27181 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7p! ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD!ӺJ.\[-: ufg[XLZ[//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7} endstream endobj 17403 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1597) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17404 0 obj << /Filter /FlateDecode /Length 1600 >> stream xڭXIkd7WxLC/&4rr _*-=aMFSM`AG<%,kЗ_`s.Wufư(Vf g7skE!S=wFnb݂DJg[{^Y㡃^mupDFQ<}8P `,k'-HC==a3֐фab.+osaC7;\ɕ uq/곶hȱ,'-H_'Ξ)spf`6Ld.ޤ 8~^_^1xhoǁ}}:F|<Y 9_¡[6K ;`](2qQKZ茭9qjRQ4)NU&a>3$UWTE]|dvuOy罜ι#?r;I]`VG)џeeaU㷟ȧ`JiW+)"=jH^OŚ]/HS Nyû(LOiE1JCsEGL5E] K,ޞwQZBt3bd2<16+ƂMך| Q^*@y+7.6Bw; cd_bA婑+j%>H,BIc9$>yj!;6e 'ke7[ ׫[m-JZ_z}Z .1?6ydZ)q^kyQzY{ c?h978YogVQBn xwUbGJ7=KpTyNH$-<{LULm|q܋e-av|'J/ק9 UI^1d']50]`7o/9>P|/wx"z!K/U5ZHq(ǚ:JsPNM+.'W 3CbY~Qe] ICOĴͨar:;wT(ҏ~QFqu5qĬ\*B\us9p3u)rYIsh*Lq6JZ%HC Cq@޹R}pFQ(%#OW^K wtW9Tz7NN;u'+7Kc ]cܽݻ}堯BIq=c::{ߵH^\oo8:0*P-z${8<35 xJbdbDΚ LП5=Pp3C#ܨ` ~(3t8wqs11jϹ:Bk$#rgIb=I}oY6zO>ɦ؆ ѥpl4ErG$=> =_iH: endstream endobj 17405 0 obj << /Annots 17407 0 R /BleedBox [0 0 612 792] /Contents [17413 0 R 17409 0 R 17410 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27197 17411 0 R >> >> /Type /Page >> endobj 17406 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17407 0 obj [17406 0 R 17408 0 R 17412 0 R] endobj 17408 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 396.825 124.1605 407.825] /Subtype /Link /Type /Annot >> endobj 17409 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17410 0 obj << /Length 19 >> stream q /Iabc27197 Do Q endstream endobj 17411 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27198 20830 0 R /Gabc27199 20835 0 R >> /Font << /Fabc27200 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV9o#x#?$_l˜!E_@~‚y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17413 0 obj << /Filter /FlateDecode /Length 4690 >> stream x\K# Wz4h mrrd7\W=v%UEHJf.T_1M>-.M_Og'/Z7E/ooJ(Fsȧ7IG}oꑮGl#G~=;u ]"y8񃑯+4;V_$ݿH54)5)moS? #g_Wz 0i`:R3P;hأ?"Og+H.th$2A;"GB8̬ ~ry!(=\;'kuLy2"/3J$59*?r<ʗg#t9t6GMy_7/H[OQb?ɯh0@QuSJ1 m&7h YOtRGZ!>J}3?ש~:sȳSgVRb,` Cӂ6e>f +etbQ SV2?>>C,Q*E).EpQ한5yeFpoA>Y!f7%=E̙&^'f>ܜE@#=3kFLrO3Y%:!BЋ U3"C}]:²4(v8YQA%ɉҮsy΍qkke2yrWcokL`i=i Ֆ!AӤ[f0 1oˁ 8 Nh%/COh;3hAN]2]{%WH/tor֭ŒE=:;"U1oq ApfsG>߃zwLK!fi9o2RE#ޱ:PK@TШf)lцhU^pިkCf'ɴhza!vkc.10{Ÿ cE6ivp)PxS_ |Ne X.0[0,>,L l ubP}uWOf+eb5SIarddV]+Nݴ8Io,d=N p2K8%; $? '1IN@.p ;wX,'I p>kNb':}Nb':}Nb+:Nb=8}@u`Zc)x)6% @437("Rπ7&x`jܲ;?§)T 4_a'e?q20$w~?Xj[bV{2F.?ygiw+zpuhXf0r\2UNw<=ʿ_ZUPV)C7}55(+Un KotLһF* &җԮV1V АoOUR8ەLezЯ*NtSTo ;1v }@fn/RU8?S*qԹ(0[vqrFOQ*Hރux3(Y,Ezb0ZJ3Wj|b8hőrQyTS6H0{vZjp:[Ai2 ֟9X+8e 67.J%-z,뾇P]!DRn1 B`(r0;'*/޻[\ЕArqzEWzqaNAa94y*X?tF;r^8ZdJXA]k YB8)Y;,Hɜ✯|G,B .|Qz1p{o5fW)~+5\6|F -b-y02Rl`ݓJyM|,2u9ަw^*VS/H*#e+g-^ %MLߩ^׺f`JE,S/&hS@O2qiS[a邇 nΎƄ = X}5MuV7'&NC33}"ezXX`M6} @eNmk= ٖdmoᆥV!}OX/g-/nj͵Hqd-(l&."Q8.+^Znd}nN ƻm ge!S'2 ) 3k?Tnmu&齓ޔꎟz~w{g+"OAujAod߯!õ1,{q[lZ]ι{[0W;'n565^T \*>fXhκΗֿi"PhX*!C`qzR>"5Yn >5zBf˜j/8*,WnDEnݴ/Rs[T;|p P&Cldqsۘ4 n=vjݭ`yѵgY2o[6<Sda EGS'E*l#]F;\*{==|;bq+'*u=(0[pCsL6u%G.cK1Xs~mVn<,DG3=,o_wP7U +!>>h_|:__fBe g ~Re = z=]!1O Vx>Kfxu8> >9֌ةLȟ][!RxSZn ),S \{WEa%LKL?!ȱyC%j{ ͞_nQޢQ^$n'ERa|ah#6O`(F,?RO %W`d9me endstream endobj 17414 0 obj << /Annots 17416 0 R /BleedBox [0 0 612 792] /Contents [17422 0 R 17418 0 R 17419 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27216 17420 0 R >> >> /Type /Page >> endobj 17415 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17416 0 obj [17415 0 R 17417 0 R 17421 0 R] endobj 17417 0 obj << /A << /D (unique_564) /S /GoTo >> /Border [0 0 0] /Contents (save_constraints) /M (D:20211013063105-08'00') /Rect [104.1732 197.3732 183.8077 208.3732] /Subtype /Link /Type /Annot >> endobj 17418 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17419 0 obj << /Length 19 >> stream q /Iabc27216 Do Q endstream endobj 17420 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27217 20830 0 R /Gabc27218 20835 0 R >> /Font << /Fabc27219 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴Rg<~~?va,6{`B P$́2hނ׮nww+SOջ]pI 6-$G7\]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;P/5"]zP.J}G"QB3Guœ}"juZG)ȃ;6+Ir8knT-=%MW4yrCod%#5\[-48יq|mau3ͪ_l?7‹C2Xl˜5_@KiܟBj2gԜo.9Lj$x2X.s(R.Q8!`E ۾{w* endstream endobj 17421 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1599) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17422 0 obj << /Filter /FlateDecode /Length 3575 >> stream x[K$Wyr~@Uսۚƞ5ǰsٿx镕U] 9TB/B,'|gf ~iWuO_.“..6a1n3hIgq˧Tk(@?ڸ˧C'zK u|:38"\= FT•*[!kc~|+oس~:_k5;gALT[]Ֆ$Ϗ$AenpJ(hDNao(E0}.Dy$>N A#=&&7\f.Hvٌ2tGzF14L}2ыRiϋ^[x+"WށyX3HR%篠z PofXNͺa/7®~"Q 8йKli0R[y&1!D8D<`Êx+~9n-ᳪÞv8;n[}N" &-}&Vzezp=O~[mro[wcASM%X^ؖt`L]W-b_rdvh;a;fXĭ/st ]iPqm.Uo=j6_WfYު1cUPj"0\u>VL/p7)}ŪJJC&U}F.;@c)1D|$Q&Q3TIJ7bs'lMHq|XVv]in>5=5b\`Iֲ^A#E/eΣy ,Y)+u> OYX*i'h\s1lFILYk>[-djsvhkBKRHɛY. > (>>o $sZ˷._~X~yixi4kn~q 0im@ <NL@z!xCE/?m"CߒB=ArHJ M N!@t3 {Q/F Nݚn^EhAuHX>5iS=Վf\ z7X^MnՐc|F%P;~yCWjglټ-/w6v$l%὜M3cD-jh S"ĺdk5@5$W{vx.;`D2&ͧYZܯ]Ƅ nYV0vX4׮^/rȒf31d[ezޠ5 f8r]ߵzeAQ^cM'fK(ʽE>Xoܚ򿃢\rQ*IGᨎ-3D3:1==Tpk|oOwlM\ i_xc$>G{mO0Q74֬Zuo~[DskVݝzԩ=u@> *)'ۙs.ȧXn} 5P{o<6mK}s84`%uc_?qJ5ʹC+=-"xϰ0l"K8|aVgqdx_`>W&g}KxZx7pL*0c<vrRvp*{.hx&傶)^ J%դAH{)(X%CzrcùVz|7~ ȤL &!nM|Yuv6!9U .{/iyH'pbGj,?QŎ_"j,i ϱ,_RN"nU;'V4ҊH5$*ںM$d6͜'Ֆs/=J%sQ|>MW֎Dk0vPpDFLtdӭg_u`U)ak!a LX/˿<S[\|>ᾎ21 5w&'r1|"H: ֞_<'Va2?f\ߋOF7SY6͇H}>D30?. kgZŒ?o׽`9C4L6$(+|[.MM[?E&l|3K/Mo2]R\kTɭ7ɏ-3bmz!]JLpO^?~z aj.+K)l E\oy TV">>t#H9 m_ VQ^qʌ @#alۄ0qD`rxu>}GI?{,eMJ"d nDI]33\O~OpG[$^&j B$nQ2mXEҵnۅ|i '~!@TVrQ( Ui$=S5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27235 17429 0 R >> >> /Type /Page >> endobj 17424 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17425 0 obj [17424 0 R 17426 0 R 17430 0 R] endobj 17426 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 298.725 124.1605 309.725] /Subtype /Link /Type /Annot >> endobj 17427 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17428 0 obj << /Length 19 >> stream q /Iabc27235 Do Q endstream endobj 17429 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27236 20830 0 R /Gabc27237 20835 0 R >> /Font << /Fabc27238 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`eQthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17431 0 obj << /Filter /FlateDecode /Length 4178 >> stream x\IWl))z{|&;?q^{,RbU}E*oj>B:=[$ur Y{S){ɘ>3++.6˯;>+]\~s ;~ tpIG+ O?@E)-3Tx3|;$Y9,<|%-Ye&e9:13 ([cBϊ鞹t`+ &7DrJЭ\\7~VxKa}:-zUL)98 B7|XdZBBn>ik5]IAB))-ڞA hZ7 gw4$=˝$uƢsQ? ts3=\G1g?K>ыfz۠UlrU{d-| rEqQ^ĹcQ"]:jw ~?0zB}V=Wp ]uNN>_fM~sc T*p> tX,ҧQٳIw\ιmD 3dL4^@8,n}N dD89Ws&":4 O, O{0 9*NH8l(bcj6=i=bX ̭9v0l8g485]ct =W+1uŭ]*(X]r}*ש\]r}*׫\]T9 !RY.P ָāaWÏϟf1{0< Ӄ 0ݼLvD/peFpkYEhbAXK s vEQ)V!bVMLwBJ\]ڋ*Ɉ, 1JYG`S2M,c;D՘CS$S9$)$xA ӄc#Tj-qϳbԦGg=J3=2ج(FA*W۾XQ D5`WR̅:wӎ"Np]c` @}P/ԅx^K7_L PO=@f SZaޒ\?\^uHa@^>SPX|DOAMZG49jW:,dO6 /a?}GyT|6*3^:zP<Pi,S$awr_0ᄓ^by3-oܶ8d>NNc&;n#Cͷ-Gy=5'ca^Ҥ0Cr!eK\7!q&Vl yUY4E^0I{Z "Yr0PVyq(6R<Ö|Rq~WWFz6/x[=PbRdn\z&K~g,v57;{a=-RN]2 n ihc>u p2qc/2f:?]\UCnsqo''h[9Fth`877cRYW/'C\S^uNKR|Ax:nj WX&K^~<؃^g$k$07a%mhneeyQ([T'Mcӡq2Y~ud\$EF}92ON d'Z!u-D^&g$8 N;DH@]$JO:5z РKyeJ0(޴JGmy!UB'n]9R()˔]؉D WO=Iq4[ ʹt1_gNdFV(ˢCpb3ǸmD~#&:g  3z 2}w<ETf¿I ?5w.^ 0TWo/05Y>(%م] _q]Va7]jңgj4j#t[ƕRɿ}'q5-aa >ǁ-lm@a7fj5`X`b2|MTmpG+)]cZru+"BT]IV+'}as+Y"& WNl|8dpdPeC oW۩AQØcW _}oME}U[dL36l^s^ҝٻox{{zhw:ɶf* 蛜{%(IGi{-X%z +.r|NED$D:(|nӗ+?|3$`ﰤ[H`2QuЋr ,jK4k '9WyиǔTIKїN^}^O|QI.mf7gyqN_'Q8*(J_+pDU% endstream endobj 17432 0 obj << /Annots [17433 0 R 17437 0 R] /BleedBox [0 0 612 792] /Contents [17438 0 R 17434 0 R 17435 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27254 17436 0 R >> >> /Type /Page >> endobj 17433 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17434 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17435 0 obj << /Length 19 >> stream q /Iabc27254 Do Q endstream endobj 17436 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27255 20830 0 R /Gabc27256 20835 0 R >> /Font << /Fabc27257 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pfMЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ7 endstream endobj 17437 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1601) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17438 0 obj << /Filter /FlateDecode /Length 4343 >> stream xڭ<ɮ9rw~E(JoCcN{ Cmuw#R˓$ ƾE/ +f|sݒ4^R>4?6H좕/_<+RyW~߂ CCn6{37ae[V"0 w~[3ƕYWo G'Y x!z\t0[-X28 #H\V* ci{sI5\ƌ N,+qR0pTu tx2ϩBO+;M4mg x!& _N: ~:sС%$`B$|cNH?NF!:MJ-!} _sgUtkj1k1KđpQ]u+18EUC׽Yb2lƢݱ-q \%08KP8~ pN/~884s_>wG>ÃHȟsެ&|/4Af`hQIYv|8ɇɇ0'/D _~n*XŤ΃jFڝN.6{nwn$EB &wGCj8N͒yc+6Wڤoyl+cB6X$^\H).{H ]WqY9h1:Y|4 oͣ6Di|}-G@,)̠x9:VǟNNGFn݋1ƹҠ3F:禬򡒍p2+muFrѭKg!y}* ǵB20"Pr7n;' `ŽmwڮiQe9pKQ5ǙMu+d-]BlFwvrNyzpGOVCujX>cί쑱9ADH(1X '[Oc8NU✽_?E*wr[j+j{ȝVv7T>LNV!jX8um^@s+{]n[`3F6=v/fюZ>'|KBҹQ:'0Ky){bK4mZ:GԦq#jKem2{/س4fNO.,pkvͼHZᾥL+@mO74ڨxUϱJ&n4G3T8AojASr3hV~.x.OO<:AoA>Fex㻚{ %p(]o(Uk ]8ҩvYP-0*,Q?vJGW{ܶRi[ΥߴskSJ|J/.ZnzuGRL({PW^fqЫ挫zHyL z2v)6^l,la 3BuG: S3fRۇ"WsxN^fxL?43[3lE76  P ^C%mIՇ׀DҬNnM a;KɱDbFtiX *=9]yV_m7݂Y'n}¥Tb(lͩ$*'E&ҮB&%vj'ɒ_Ǟ>p>&qD6c6310l3ٓI@OttP+ԕٮ{K~Z=PLqܭC.d:-ZucG{G%\ֺ^ޡD|(JQA{-7X/3m9c*8ۀGdc5]*!L\:[!豍|t!dl# M~ vb}|Ɨ!NE3c{2Cکt%xF:0[}nLM1i];Q ݱ tmx[uV.?81Yjk}M}!Re7!̎ܪ#^i7#yS$Hz72o&F[k=g?z.D)[c%2hzΞlx9~m$?ȑ`9sOMAG+㸷yT"u6~qHeL'spͭM1UyJ?bG ,%E93fY OX3^^Yf5o3𐶝Ըx=zYA-*G{iGm*=gb̎Gf 1EYQS[WϼVv!Wv$t_-5HjڲUzl `n3P&`-4~wcfKk(S H{=(ܲE< {*|yv:qm.X5u}@c4.f8kfܗ/?!-AmLXˏ^2+i>m9`4 ܯN_ xp#jZW{wQOr`pAxf5OBo $.K`~GߖqYc&Y`vrYdYN_lB4p98,MvļiMv(< \ԣ@;4X/2,S/B _XnEeNTut \Gc./Oc>kO OԀu6| =U a:/'!k8e\;۰P-AItRӆP8LU`&ó`}"YW܊unh/9"{.8e?b֔Ʈ,/ Tڱ.;]9"3.p_xc<{s"u7 }T=וoy|U$UqF-`.h?P]4_..SIǩ^$k"R0tp?PBXX?ks,; 7-IJ$Bĵʼn ҂FyD2LW.epQ=^3 ГK)<}Ff7a)ZH kW0꘵a[(G6hLhe)F&7&΋N*lX\Fi1ۍ2G? ol&@s.Rؔ u*c";\svsDG%=%Tꒄr5o$C_]2bBIsiXkUh| U뵌p9oKx:8FzN\L):ڮ1Ь+|: r|W>_ lg~'oCDZCD AȬFg8  dTP_R16^{ L2${ KfNm3C,X*je%WI,=ĬsK2 WcAueG+_A鑍Sk?3ÑY^H) endstream endobj 17439 0 obj << /Annots 17441 0 R /BleedBox [0 0 612 792] /Contents [17449 0 R 17445 0 R 17446 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27273 17447 0 R >> >> /Type /Page >> endobj 17440 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17441 0 obj [17440 0 R 17442 0 R 17443 0 R 17444 0 R 17448 0 R] endobj 17442 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 552.9038 173.0772 563.9038] /Subtype /Link /Type /Annot >> endobj 17443 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 536.7038 178.0272 547.7038] /Subtype /Link /Type /Annot >> endobj 17444 0 obj << /A << /D (unique_555) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20211013063105-08'00') /Rect [104.1732 520.5038 167.3957 531.5038] /Subtype /Link /Type /Annot >> endobj 17445 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17446 0 obj << /Length 19 >> stream q /Iabc27273 Do Q endstream endobj 17447 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27274 20830 0 R /Gabc27275 20835 0 R >> /Font << /Fabc27276 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`eMЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYޚGFx|1$ɾ d_ EA2T^2 'LsBV9p|sɨ\u#URԫ`ΡLH9.;2Fsh8-Zݛ>X endstream endobj 17448 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1602) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17449 0 obj << /Filter /FlateDecode /Length 2045 >> stream xڭXKW)^ !S'A 0}ٿ(ݙ Rů$qHRyfژ3)ѐol5/_ץ!cdZW%|+>,>ʓ~f^ +(SyPh^r2GS9C@1;]1@˸Й!'U)~AbPt TZ밪E-E[z6\ޱDѕ&y./3|>} mE*%ԫ:¡ `BmR.bn&SiNzH|Iᙼ8 #I'<J]WQkQh{v~r[Ok<9GA<<|dϵ _4\I^XxŽRx)̇SQÒ] UytQ-j<q٥ EmH'5uKZ|^PDё46G(m%tj-Qt[Hl .R4EDS$x &^3vBsQ&Zȓ✞)AgX(إϲc>M)C+NDw ua'=|zʶm$ ѿǹ/ 3JT+Kze V 2T0,}~-zح_7ނrvf,O|VE7PjϫЗS]Y<Bu\M<(V򎌵/wTh+Dz~+VuSА_/ ]æ9V'E)u__ ponr+R XG|J]P}Z#׋̃ W2 2X.irnnp)>g>8%Rރwy|Bs0?t-Q؊Ķ1 f#+T#! i kF'?\dۉ!nx Um2[Y-KWgwvH*dty"T6t'w<:0"@Rh sWZ3kGejpL'ƿb"S))sml9$ PvzrLs(1,U4nӦkv'8ʖFLjSn8&'څ .25"#@z`4exa71+Cּ͇(?7?pNC8Iy6Oc?r/Sm?#S>Sǽ[ǕD|r:i11'+/쪆O (9>O(O.57JQCg%ĨSWV!eѨjr] pnӾ'M#FAxeOe.xUlOKjc&AJ!bY|GGۺƮuJx1Ԫ(NyvWz* r*3p(15 [NBܖ]y[IKhBZ`yn.AjJsဍ|3u˚+tϥ6~NЈ IE>G q/q]3n4PO?ˠǐ~ i^ ^ YIqwFZt%1 s 5&fJ" IOnOC'g]߷iH*g- endstream endobj 17450 0 obj << /Annots 17452 0 R /BleedBox [0 0 612 792] /Contents [17458 0 R 17454 0 R 17455 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27292 17456 0 R >> >> /Type /Page >> endobj 17451 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17452 0 obj [17451 0 R 17453 0 R 17457 0 R] endobj 17453 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 351.125 140.4735 362.125] /Subtype /Link /Type /Annot >> endobj 17454 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17455 0 obj << /Length 19 >> stream q /Iabc27292 Do Q endstream endobj 17456 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27293 20830 0 R /Gabc27294 20835 0 R >> /Font << /Fabc27295 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 17457 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1603) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17458 0 obj << /Filter /FlateDecode /Length 4076 >> stream xn$ί~c7;rr)e?೻g4#)elX/oRK?|lWYN&U~)瓓F?Ʈ-хh۝R>˃Q\}եͽ(_Qn_sN|U~yh8/+_ty:x*ןW|RFx"Q`V1Ǭ3lX2K|eD|>)`AAA-<a'S;ϧP໴h?S4j;[^>{ ͙%,+`@ \^kRxb4l@hA1rEoK :'Z`c91C9 :܌ :K*^vgF웄v3h(b]t 2&J%W"FWR{3 ;ۨ}hSk_Pټ+E.uS {VCv,]wsaS ׉ުW|ҺW S@*[>aEa WE^;qPCo ( ţ/aW螵2ui÷;E!ԞA͸@26L,NULUn(2+B隔+% Mt#ah50o 4lgH*9:tv+r6g0c\gEVUp#Wd}zb/E#.3{;υh#5 He~)r}'w ؝#xV-ͪW&{-ߧ0TVgvm\ õ«B;vstlyTvzcIMɚlNTۄlG>j ʂWg,M(4 aIFX}JMY 2PBoļW}$"EZ#sLj{7,2O5-&,$2u;wT|Z+*jF<¬Ej3Xg{Nu9ّDTKTvbUZ)&;إ`5Z*s^0J~%tr)K8CXǽy&uZpβr5Aq4Iy9ԢM: 0v kOf4 4= D}٘PK܌x٦,(͢ikd uV`v籎yT 2X ]fc@[Ny0'Q=Hq@w+TQ)9]piRju2'Ʋ$fs6'1 s9O2':sv>ɜjy$vm#$I$y~9]qA6>ɚaߜ$^G݀Tܴ9V T WTp7$U5wѐFD i%f oOJ A IjA% F t|F T_P8Ewv/s bŋeٶϭ#jol~Yre`;aK+.wTyg$ Иϩ?{wo>AE91ᱜ Njgro<$LU֎4곗ϢomZQʫT%!1S2!9`1rcGMrAwz"6X^X"HAD ^W5+&]+2,pѮӺ;&f1~f!‰+kJ9 ]8W+}8][@ޱ|ܐ%G* uN5UBܾ_,Q Oԩ栍i)k^2tHVr@O*,%ҺAz+>>\Vq&X3dGy0oZ4k@ԉ8\ ġ?Lh fW-}sV21 Sf* "QKT:K*$lFi%,¸B4̅^緦'*g(g:ht:q e];y7m6-.*%B'CqYǷrx5)oaq *J+ \s`jU-S܋L"'k6#U)қTשAFDuOaD@Y +K^VLLt\&v  vUl4 Lb+UrQQ-&8,o•hYR#x0`LN,&,dX-Cc<ɞ EDN0w aRA @ ! ױCS.˫)Oċ5s+5..rK> (@RcF!E( "-r+% ̖}joSxJI(S }@a(,E,+: n nr0:LrFI Xk! >ueX)~.v!QV'P>q!UE-P)q -eZϯ_Q]t&YSSW~9:]ze* +\U:4}d~]<|,/j¡|J,BD\WP`RǡRJ*GBO.OG嶊5p#['rjDT?& ] R~͚[N@P=N-X|8qz ǣ/wjꍫv2ދ[;2d/q11rcyjmwȬSa Ke endstream endobj 17459 0 obj << /Annots 17461 0 R /BleedBox [0 0 612 792] /Contents [17470 0 R 17466 0 R 17467 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27311 17468 0 R >> >> /Type /Page >> endobj 17460 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17461 0 obj [17460 0 R 17462 0 R 17463 0 R 17464 0 R 17465 0 R 17469 0 R] endobj 17462 0 obj << /A << /D (unique_729) /S /GoTo >> /Border [0 0 0] /Contents (create_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 233.5847 199.1307 244.5847] /Subtype /Link /Type /Annot >> endobj 17463 0 obj << /A << /D (unique_730) /S /GoTo >> /Border [0 0 0] /Contents (current_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 217.3846 204.0807 228.3846] /Subtype /Link /Type /Annot >> endobj 17464 0 obj << /A << /D (unique_731) /S /GoTo >> /Border [0 0 0] /Contents (get_wave_configs) /M (D:20211013063105-08'00') /Rect [104.1732 201.1846 189.2087 212.1846] /Subtype /Link /Type /Annot >> endobj 17465 0 obj << /A << /D (unique_734) /S /GoTo >> /Border [0 0 0] /Contents (open_wave_config) /M (D:20211013063105-08'00') /Rect [104.1732 184.9846 193.4492 195.9846] /Subtype /Link /Type /Annot >> endobj 17466 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17467 0 obj << /Length 19 >> stream q /Iabc27311 Do Q endstream endobj 17468 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27312 20830 0 R /Gabc27313 20835 0 R >> /Font << /Fabc27314 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17470 0 obj << /Filter /FlateDecode /Length 3555 >> stream xڵˎ#yW~=@n4CIf;e?$Ee=AuTER|,K--f#ڧw2iR"[jâZ-)Dk˛^) =<'xNp)xƻ+wW,?J=38xglXU* 3A@ZV0ԔF9Hx`^4 )^0A"=„W9Q*<r`}LJ]%xO`ee ? ґ=N &}r2}9 8!׃L-`"MthGX*NFHUqGFE J 0у?wwE. ܙkɝiH~Pp:ytu+yDع"Yv4ڳ]u-}k\*]P|6F3 )6/9XA5AR lbKvxM{O"Νw]'nh[л-dniH`ϣ1 ANޯ7U_!O 4USqp{n 'T qQvg`,|PYlG5*HCwJ=`=YAR~1$1OBq-0fp@&[R?KxҒKξBՙ:1J1Z%% )͐̓[^jo3[̖?ղ|Y#a4uwM?sE Q\uyMZkWqiƑ9e+xfG_Me;/x`ingLl:|e衛6$`!iRs5*#\J U$w_mYPHզjr2nw|d(Piq܊\z2g} <6ԍ #_Ԥ=$VW\u%~Uf}3we݅֗8ط2F:qbA 8::Z=E'5bPdv]hdkexjۚŏQ{ݖ}e4%V;`)DEk{Θ{U'ϰ?35XSaSg p>ep[eĪP˭" nfZaZZQe#J}ⲎYTk- gbRO݃YJ*:>/O TbFģXW&:%츥3w*Q *]%ݧRQA;xCU\<9YQ}u֩!0h^4H(`9ҭ}/հԟծ `2j2@y0UI6܆P Ʊ͞ Xv0F\&x:mZ -nQNSk\rx0}ܼwj6JbM+F3} *X5= bUڸ~*@:I]V۽2VŁƻ2`|38 t;gu'}|/\U'եc5Y3ұFq{Y!_${U ;ǡj*5|_ ֤z)hg8g0˹rA3'I*g~Mp9@9s`g"xAvNnWР/,x?XV2bB^KV T_V\ogu )*anq];+_;v(ǨKcx_!,}ٛDl Hփ`]ArC؎_"} Lr 0PńlŁo-`*YtiWpC^76!C}1Y\ 4u-_p®u\ \ލ|B^@R& ]r@wW1܆bj$ζzqW pKӒL6}f z676(" wjqpЗa]) 9U[yE6)o}.. 13ER\W}MeĄ.k<ׄ@#w'B\BaWa?>c:W?")Dϼ@g8GvwC9Y%EfX,-oSR ?1NscyqьŎ[e C!vj6VjM3ƞcUy*u.Wrq΅lWve=xjm{f82뙙^y> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27330 17478 0 R >> >> /Type /Page >> endobj 17472 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17473 0 obj [17472 0 R 17474 0 R 17475 0 R 17479 0 R] endobj 17474 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 313.925 137.278 324.925] /Subtype /Link /Type /Annot >> endobj 17475 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 313.925 175.096 324.925] /Subtype /Link /Type /Annot >> endobj 17476 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17477 0 obj << /Length 19 >> stream q /Iabc27330 Do Q endstream endobj 17478 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27331 20830 0 R /Gabc27332 20835 0 R >> /Font << /Fabc27333 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`athǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM` endstream endobj 17479 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1605) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17480 0 obj << /Filter /FlateDecode /Length 4117 >> stream x\KoW&`Ќ4 999R⿟zd H{4M6XU_Ѭ_ +&#RwrX߷?I|thGuSt!Z"I)(rʕ+A;3F znyv_-wq |U>>/gk:;V?CRM!pKMjaLzRzaRJ(}EYNvT *‘+UQ7~>LiN h?oӿ:5%ʠ$9 椴r0xۀ|Rc0]0S (7M!kYD"Th$Ul)9+ؾ vz&o?~Q {Q og&7&@"|A#Hz?@/ _xFz/o{ELjA׺XLYɖҦHm&ݚANرCΓE<@%d vF@3"6a06(xa@Hn#;V7Űk$јp,rY<)p r(*q sQҤQgcnU)U a ˺VK=0I(nUĠVtMq[QݪZb u?gL BCNA󠭶C;5wݜ&La88><}kCI.e`*-y6)\bq0 DQ!"gFd)6i n8cޔ9ٳ4z*ͧΎqAw\IOt|WJA}vS<}?ҦpJ(xu/6 7:as00ڝ4E5'8:|Q2.#u Dw`3;ׅ.\̩jQŏD,G3 *&Bs1315' &r(|;${¤ciJl8~Q(!mAV eeC#U z_Xy0hzs)ry#aY|[-e݉;YǶ"8ɻg&oLbT,ق KDbaWx̺+WWwV>K/Œ^E5V^Іw]~C{@H0\<-w3O2 ZXTf/(2R/⢘3TjNVMD'bX}%ݽH;I"T2mW$Z]!ժ6JGjk 퉆-=nN0YtRG̤fVZJ*\YFɔ^) g$Tp`]Զhd@H[TVKTZ+ciBlR7+gE,H!;*h伆fFfH/YzKXmPg]5} 4buΕ{Ʉ.Ђʷە`*dι/}rR4bTEpEF)9$)3rm9eKF=͊M(RY:#>D% z 䁨"Q%R 8ؔhUYr^[Cf%봫hvɄs8!X㽢 $]:8ebMM6vrj2/>xa: X,0 X-X4,L l ujP} 몞 ꦢlJrddV:ku.>u.Az :,p=SlQK~4fa)׶#uz q9YzXst=@kq.GA/+?Ym[Mx*2֍|"NX{3fGo<yVwLh5"/I$:R$4 @̘b5Cy))ٚN<̆tC|h1/ٜe<4 ˅0(U9_pm)b~x6-'<,8C@3[^`sIn_A}p i::L Z2-V>S\ 3aCH`Zj!.vN"X3|-uo50dpvqefLYyN( 29MT \`^Ze?k7DMa џ-|q=\N8.y KS~D=bKj24DmrG*2"rtQi`` Dvfrak,bҘfW1 `5Ft *pW&)5Mbǒx?`Rn!>ñvO|K%klL RFJsۖ p|AwѹlMM_bWt~ DP42fdp'EϹ|-\"'~%v6 Ee)vY $_/桔s;*8wh)sT|}DBh˻h+lecFZrHZSZu5uKIwc"O_g`F+I4%DèrІ)e^Ko=ҎzMJfr8XjY K!po'~(Υ– C`gǜFЩ Gei9Bn endstream endobj 17481 0 obj << /Annots [17482 0 R 17486 0 R] /BleedBox [0 0 612 792] /Contents [17487 0 R 17483 0 R 17484 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27349 17485 0 R >> >> /Type /Page >> endobj 17482 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17483 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17484 0 obj << /Length 19 >> stream q /Iabc27349 Do Q endstream endobj 17485 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27350 20830 0 R /Gabc27351 20835 0 R >> /Font << /Fabc27352 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7p%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 17486 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1606) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17487 0 obj << /Filter /FlateDecode /Length 5186 >> stream xIÿ^R))׿W7328k z:Loߦ~ǩ5½@MJOo!MX|Хp;>C_C0A# o}@033y]mmh8+? "|DCۼιi Y8\YNK ;y;/'? EkYS ҧWsɫV8܅=Օ,.)^)4X"8;O9F-]gCikn Rt+.yNs]dE4gA3~%JWjetޛ~<@.*D9z *\f Ud:0;cKكw :]!>m |^Nnչ鴆$rg[sD[4HWZ3 ҉QP*1D v(*&Uu hnyuZZm+^%#mPX8GLPuЂb8, ȟnx҃A0q<{~nKU&6lɥ sP?$$g0YYϮhasQV47#tINLo ]0o +[0 C$,u$K;$~~ߑ%Vne7)Xp׆!x(ܫ m땞j[Ʊ M uAq[ݣu5ԇEM᥂}yS;@/ѧqyN9}1NmOJeR283o0IskK&Wx J{ </~؏}\9=31RѳqU\r"8<1̈0Cdp:D~XQ~|m%5֫KUѓW84e]{6 __b;(C3߈~՜aX6SB.?Gckl8t̊]}"S2[(vۅx4.֗eLA 3;pD ޝ7Dލm9sӍHV6ϸ`} @ h1UX+߻L9/CB@\/9ːh9\Q;7kraI4{oHaW!'0!̜,RB ?6h!q.)!&xc>?R 934p=_uwowHgM2D9NstY"Y}pٙ5ߎ3"9 >-E!-%*X,[&Y[-sƸZ+WzG:l׉8mZa'4#ho|^fla^?P=#shіƽ'-px[Ũc:2wt %/RDڈG֨5%|LaSyl}Hm.=! v MjD~KC0Ρ&BBC {VwjhŵA@2 :-煸(ޭE.:# Gݙ RX<X$$٣HJ2. VdĤ* F!4/~:e!S`%( DׄJpGE^V$0z> R? YJ!XJm~#͸]Q؎%ɉZ1g^<䝿#=dH?0 *3P*=ԊtL&jSTz5J'~OchDվm &7m0?7cvӌz@N/pQDzYe'MT4- SǼ3j.r*5h6[ʧ&SxK_-Pr1wJT *lCϗMftYu.DDb(0 -:/@8["$l?G ;-a"NM"-&s67.o#\khO>m M]55VVUkb ܴL"C\ƣ܂xW.'RV"O2RdJ=!A+wjOA0j)Xf5(Y.żWGj轑ETTt(4b}7iyIHHOkȜ!%K(T\mfcwYTfd:4RY# _ISJrFbzuz JV^bTj$Z>K:*=<3ÖpibKaH`fizAx}u&Œnk2:|@::{ v=_0g_ MekXAl2RNf?`ٴWп{nΥXvcD2VW /ˈSyCaxeտtC03 apRqL"n%*X6A(pGn﷪<Y /? b.^҅}}yQT dwlS\ok=oc'h-#CM, QiWW335l{Qu|Mp΁:0)əwa'[#K7_ߒ6׶k;:0"~Z@ٌ.F8޼$y͕)p0HG,'^}ג?Ŀf-n:lNS֋(nX261^-ިRvޜޱ5W/[s6R/Ӓm?rnچG&&|nv(w>`PJ|EC:_!խrr*%AgLyv:=z;Af]?t^[xkv r&VvڠKdUAmZC^U:J6sFg+ߴ][-Cwn--s@IZS65.Mخכ3?=#~ƺ剴#aPR5Vz3}Rl y ˬMHƶ7}ȮֱpCϊ9Nce_˜ -3%E ǔfK[3dk(hEm-0u tJݎ0W[̧1ȫ5秴]]:c 2~ t0!F g:+l-qӑ(v:vbmkaӄIcuCDuTHe!crQ4[-DdF?6e+i'kIbJHł2X5ҟ^p_9<=Jp + Dqel v꼤ܭw\ | S>Q4\mIJr DPS)S&^ӣwrj}X @WU+ _fB~G.q\ z+3$Ssũk?6\7Xh*jfx'J;:!XX?Vz(f |-*k%,j'>=&G1uDk`֛}*[;PA\M'RlC4KZ+HG[]1.TLN{V+z0 F+!{>J>k24 MDn/9W*ˑ<8.ґ0^63՟ϵxU0!?y %0:\Be)RpkxPqL<ѳgZkN@)gt3Q%p#!<[mB'pg|Rny"VNJ \?=~5G%oA; Vb_=v TvjMbfGZ\o󥑰z(VgOcf3_@葌@SJxGbVnK@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27368 17492 0 R >> >> /Type /Page >> endobj 17489 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17490 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17491 0 obj << /Length 19 >> stream q /Iabc27368 Do Q endstream endobj 17492 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27369 20830 0 R /Gabc27370 20835 0 R >> /Font << /Fabc27371 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n` ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17494 0 obj << /Filter /FlateDecode /Length 4091 >> stream xɮ$9@+ d&c&@&~Hڪ6AaTK%Q$]zH 𕊕gd2j.‹B0*VԉbR> M(*'?H#*Y`*Ek#KQW/;d5!ɯT_ZAl`gyQtg`'CSl[Fp e|ee5iB ,8fJ)ۺ-Oɬr7=<6/ mP)VN0Ԏlq_\)rǫRP.yZ @ѵ}Y>#5,<0 #o _@v#64240U9||Z~yH|e/gഁ'\ q[X3MJ.-Q5 /w@= P'7SyenA~-?$gۍGAA9:s΄Tnl|1|R;ވ1xjKG;8\Ow2s/Yѡl3mBx |6.DeBn+Q}g#"߲ w&怋Bg6]wwAĂYBS=X :4F 9G sjړJ"؊ xxX5jjHr~7l1.3?|BX| k>I΢yֈ , [nl9r%>:s9HJ-X.0ė ?=6Y@68zG7Y2[&;}ܠ$1hb,ZI",*Y7YIe{ sŇ,FumiTfk|bouDp"± om^9%@;D=z a*4Z~QT˶=R?zݕ)ކv194Elc M ¤*3߭ d2uҴ sUobv8 m<*: y1Gnw5@!Xj%ipp`G?9 8VFC*r╟uby F=wHZwArֶEOHyv]6XʎM`|}+X%K܍$ bZ54o9h2䯎[ lxe1.C; G 21opq@0Q5O-zgEâFۡGGS^'U+#!)^9+^KorxeXIkT R+ȓ29#g9 Rōl5Wٴ p욅+^ v\vjJ?6Lr!4ԁ'K~$mCxo% bn1Ü^d60J*\1kZڊx"W[k+]\mE"^Q[鶴j+ݵq"[[k+q"%o, ceU[ *2i" 7WS`?QP)QaĒ'Hc)[*k*`O sX!_\r{"~r %l$fs>,"k\'05%ϗKASV- Nm2lT*ku7xqC$^Ztx25B%m02>TL}iFiTxDzyS||R88BSI`y0huE]05 t;:8ru#ol|$f֞YQ~:qܨc˶agVT)hJ^i"}]f)AbHg]珢@WxdD}M,2J3XpeTύ+;^l*alev,hzm]c.8%ڶV\^M m$w\hG6,q}5 ABЅXQþo,#V0o0/JR ۧwAuK1s\9/RgߨbMB]ɂ@*=3r'IySYK1(9jQ 9?kz?A#:μ)q\ ϲTc8YQ9']qG T%w/XwMIbx?ɟ@Et-슨x<\(Lxa-aZo~whUKsh)f=(0EE`P­BU.#n~3tp5x iǢY-VY3s萫8bAlFn`=vh~-4Fgk Gۋ]`Z^rlmLt?S+AvSiZpޠ3$@g7 ,*(¿{9)OwVQX XtRނR{ wd Zz ;؀S*Dcۍ}Ȏ}PCJt؂*_[;Qz]3_SSyBu!#ce-Txn<X_4H|$5N)ӞO "5}%,N!W15d_/"Ik}*j3Odи+ƧʩE>p+ ҃z3Szq%f7]aiZ&۟zOyca=-V;|Sk`֛})Q0\Mߙt8N}ߘqA RIdj2O7myC ӷxĺ9D?y> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27387 17506 0 R >> >> /Type /Page >> endobj 17496 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17497 0 obj [17496 0 R 17498 0 R 17499 0 R 17500 0 R 17501 0 R 17502 0 R 17503 0 R 17507 0 R] endobj 17498 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 536.9076 197.6017 547.9076] /Subtype /Link /Type /Annot >> endobj 17499 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 520.7076 192.6352 531.7076] /Subtype /Link /Type /Annot >> endobj 17500 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 504.5076 182.0037 515.5076] /Subtype /Link /Type /Annot >> endobj 17501 0 obj << /A << /D (unique_342) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 488.3076 192.0137 499.3076] /Subtype /Link /Type /Annot >> endobj 17502 0 obj << /A << /D (unique_343) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 472.1076 181.4207 483.1076] /Subtype /Link /Type /Annot >> endobj 17503 0 obj << /A << /D (unique_345) /S /GoTo >> /Border [0 0 0] /Contents (scan_ir_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 455.9076 180.1062 466.9076] /Subtype /Link /Type /Annot >> endobj 17504 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17505 0 obj << /Length 19 >> stream q /Iabc27387 Do Q endstream endobj 17506 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27388 20830 0 R /Gabc27389 20835 0 R >> /Font << /Fabc27390 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n"jЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?T" endstream endobj 17507 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1608) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17508 0 obj << /Filter /FlateDecode /Length 2077 >> stream xYIk%7WhEo 6Cɉs`|O-m<Hb.-U_-dQwW*V>k'xh˙7Z*Tݥΰhiinv`=9l䈫*kؿk+auAƪ%FD(Ħ5(#v (6o/R5-?KV'7iC>uvͼzs@wW~E!I}5(plk`mC@va̸=$GH8lG +x?ǚIĦ>'M"F^ʔUHN<?|'+qh= -ÈyY,8CH10>|>   ~-m-`V;v{@~ޒ^ NuE>5֞KTsTAX2N_IA|C9Noz@Sq}2/_}Dp\vJpZes3lh';x!wyT;m|ϽmdWcUx{: U`32>IJUUW^eWMX _#I:,Pe*0K HY bTK4ލzIJÐW廑W-xy7h2xQKCe̴-8x'{)(.IG1BvΦf[1֬&V~e$fu*k&vɧzVb.2m'1}7)+а^sD1bzŮ<&1$yNSWZ|2yu63]hzpCmiKeS)o4e~nيTT%"Ȗz[; &4 /S) h(,Lk &R td @m*훘J]bG9RåqDY-`pPlԵRDF4QT%@Gw۾J> -˥BvK *Gp"cA"&|La$~2|)Vm#(*LXbxuG3q~:um!;Ҷk&?vհ28@H^R}X)c-+p{dRxT^&C ց!+someºsK?kv:Gx4?$-Ֆ< f: >T瑼:ƞƕ\kVm& u4 0!k~ }# ( T-+,+AwgvR0T>t緇 23(]K*̼E.8~؜`|w^/8"s_*Rڰ*@X{4 BS'UL1VDʬ]rdϒOhFs%X2:8e o endstream endobj 17509 0 obj << /Annots 17511 0 R /BleedBox [0 0 612 792] /Contents [17518 0 R 17514 0 R 17515 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27406 17516 0 R >> >> /Type /Page >> endobj 17510 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063047-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17511 0 obj [17510 0 R 17512 0 R 17513 0 R 17517 0 R] endobj 17512 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 313.925 137.278 324.925] /Subtype /Link /Type /Annot >> endobj 17513 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [142.591 313.925 175.096 324.925] /Subtype /Link /Type /Annot >> endobj 17514 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17515 0 obj << /Length 19 >> stream q /Iabc27406 Do Q endstream endobj 17516 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27407 20830 0 R /Gabc27408 20835 0 R >> /Font << /Fabc27409 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17518 0 obj << /Filter /FlateDecode /Length 4147 >> stream x\Ko#Wz~d-!iA`OnY ĘdU%o_>*噗:ɭAbHJ?GYq^g;!wJiW\YR}b;%޵޽߸{w=^I]xWȾ~av?q+LH7Tb[bZjJF'o0ſg_gғrԓK>zn-ʒrpBx ԍO.6rH;>w A]DPrΦFR—kdZ# QHe=ۀZH]~AvN-V۸8&5x@FITmh/g|'e!ϱ;'U {J0Z ZLUg+ ˿'>3  9!N*_÷tj;z#/o{b Kh!fY-*m^/wĂ&@D y8xP5/~׃[r*FC& ?W1 uy&^;mЎb'1φ5xҰ&v_0 1avRL>w Ŏ;+CX=h/ِa-~ y7E%j ;J`:A`wi<)kw{_5-ƄHGQXʸF) 4z,vحjdX:ZL7*M)vXQܪ uMqYQݪgCGw zf"U\R?T !hHHSgõhBEv!.2)#Q18qy*M$$ qe`*-0N#`b<E 0 8Rl*Hة3p*E'4݋6s8;QQOzJvYɢ>osnVOzߏw%Lg&yrFM$W5tDtRLg1o[ׂUqo޶4Q{_kRdzyRM4MyܩN0HjuV.n`+7oc?o$h0ۘ ^eͅ5ȁ"V>zJX[h(z^=AFj(ΚN[-y7y\?,v.us`lb}3==Vs,<?jJI1PdBn00nJԝO +ϱFus1i;&?CSI{@HvleiW6=,DK&"-3T+q *e+LID'lX}M{KBpkJ])k-vTj{U:R^vmhV!JåOPÂZDP`աEdZ•jL9Qb`=CNl*Nxnmd@H[TFKTZ+cio,a3tV2,L쩣t%k[oV[YWê(=0K3s.VwA\id;L&t@o_A$ TE%8ŊˍJֈmCSM5.>K!/Ĥkx$䘖)JR{PLmzt'Vf66+&fQE@/VJ6`SnUe)yBo񛕤Ӯ%`ꌁq󇍛?up1KiMy6;8mJeGPdW>xa:, j ? ivP(ȮuD_(ź's+@)4۰ҵF-^nW6XVpfNr Njp:o '5Ipb^ '6‰Nl8NĞ'ְNpb618atz8Ξk 'vftz5ؙ-pb[;ͣti?P P 5x갴 sOG+H0Lpn”k[AtđG ̸s^ބ`/,&J҆@MƎir =ծ WuЉɀ(mb/Qi|arpNp鼀(k|0Ps9撎#on/,/~Z󡜨n֘FG? vwڪFYO.+:|5'(eNQדxxdHgoȉhDPc2$NJD9MQNta 2] }WMctΪ C-B?FBH{ɻEZ}~8Gxhfqk tw҃hg9.EAdB@&4ӂ:yQBw*@ Y™t X8~IBI|edҔhL3)Ϧ=@Z-M~!d' `Sj+DI0 )2>y]ŃnYݠhKL>VZt\J1ɬ$e 1smCu'U%Jo1Z;%Udd֞lFt7ʈ()wPojfdé5ZTsbR9GAa9.ޫ -&+&qKiSͮf+Vu˳4aTON[8"2DN\nx嶶i{ĕU.ⱒD;E A|nY m&u>RG*2H䦎3tQi``f Dvj1aqeo1J f ςf;XAMXװb#bVJmf}MڱĶ:O=:{G38|[ux-iAJ=4N ;\lտŮ$q]!"?1#[ǩr9Y"hD. dPD^]b ᒤ gS.QGY*-eΩ%i>"B0'͡\>;i bC,Ԛ=#t+",U˺R+++)Kڷ"Um]_HTxv˝Ku\L!]Lvս\Xjh—ekN}N7 DH#6WOIG*.շJ1X/dT+fpI+Qv 3u> f`;9IPY4ݏʤW?צڢ>L0̨I_yEi*0^>3?ɔ?S1._|a_7Q[J fTŽؽJ3*X-)0<Pᔔx~9`OZg}NUKcBvU-z3>KbIN-DeՙXT喦qP!pzT#ThQYC]uAi endstream endobj 17519 0 obj << /Annots [17520 0 R 17524 0 R] /BleedBox [0 0 612 792] /Contents [17525 0 R 17521 0 R 17522 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27425 17523 0 R >> >> /Type /Page >> endobj 17520 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17521 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17522 0 obj << /Length 19 >> stream q /Iabc27425 Do Q endstream endobj 17523 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27426 20830 0 R /Gabc27427 20835 0 R >> /Font << /Fabc27428 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17525 0 obj << /Filter /FlateDecode /Length 5203 >> stream xTSh1D qwsN[`5? 5UD~%TϏ{hSmíJ;u'.\K\=*m-pm+T -e߁twC:] si uL]Z`QmcE2wQՂm.Čs'vDC+o8ga C&V6(͞(SY3r+(ήtJǜX7Յ`Y( wQ,Q 6ϠX1mtvzeOW M u8攐J>Qu$[ij>ul7sgm_fr ,JlsvVΖ)-:9ol至\ sRI [{b5md|UbN 5q Ҵi kV$S(9A)Hؙm2rZ}?Y}uSf z}mO;w6l{^Sv&e(9/h[ ŞxaW27wT 4ʰʀ2~'4=N,~,ҀHwa+R.Xk<ՀJJ5x@qe>(] %D|q[-u: ڹ?]`_wtGi<lÓz:?a]ӯ~3;{(Pz幔 qf`TCHs$h$H IJy/ w$byTM_k=L7$g|(q Ā|6A,7]k +VNֶD3d~{8rXIݼd g{T$ebe]7%^U\Y/Gtk*jMm6T]Iƶ6:{hၻ" 5nm(vECqoalw9М;FK.h¦<'1oct%)簶#c#p2' y9yk#t]U_=W& Vd'f oWzKj;srȟٍ8vʆ^J[R[8_(5eB0ڏp)b)R5Rxr)?˧Œ=<jn=%l&i<;?EsqvfMk(\/G. hc9J5h)p&Jj%uom>rd{5k/(Q5҉/ra{"R̵٢ȸNn3  }Ǿt %,BʹdsءַȝEh2*%غ$|ùνyTCs!ɣS=ytP#}p;8kcI^]` imKg:t)zJ~i y lGK%w:e6Fk?M!7wecلc8h{KcɆ"KG13)b,Ml=sm{r GLēDadlbyrw1=쉉z qbn!g0Rf-=AaO ZpN"VACxx|O~>f"8(fXxcu Lc6>,/هBP" (GФbJcNۃńF<h1B O(TddjٚedZQKXOO>c9'KAT2X:zUxΉ8Q{ g@$0jr *,VzGW`,- a@Qj0m8XTDQXoTiୀy6)4gO-BR%j@mѽXsI\1 S[:2,҄^ŋ (f|Z>A@#6_|@P2wRgWey9bt",N,BF,:Z. P}ʳ %-77"* % *CW(:] ul)BLq[_ߩq8d ┑n <:2#{ #`ۻegJHa{`$d"+V'$\(7ŠzTV@#(ĝz!Z`I< 0gL%tEZ$0dVj>xRO7 iJ!J-"͸]^X%Ɂj1gz qGq=شH?0)ZPC 2-웎5,KxJVZF]i?ȼڗVӤafK'=ocLUVVGU;ziKM g;DZ:xN~JSXj#^97[BY["VH)B̧HS3ӾH2ˠyN1۶};/P8v! qĵ(:d͛RZaŘà Jnr;P\2۰5( [wx1.x3a+BǪ':vj6,,}ᑷBi# 懥oSl\8r [d3Wړi`>uQ稵FB~`@U/X3-e%9єK0\b"@ ֟IzAf@5G HS4|+n,ѝzPFJtNcub̈84A(93Ktn9IlG FUrʶ̳i- SJ4#@1l=IVh-8EUIx(!tz{x-ឣBS2}#^^!4-˾:g ˤ54"_Nִͭt~ĝsuGkX5E؁l2Rw,n9\&H6%.?՟Ǭeq4vp6_6 jﺨ{ӵ{al$! z\&TPo򶑧\yM Zvv?>YޘF"s-V8Vr*&E#@`\ΰ/;@B6Wwk7߱Oq훯mHFКLJ;Qlb]z6Zꊊ3e*qj1 L9y<3 n=\۾A}?oneb9 7Wp[q{>%wa~L`S'L*fj(#v_flmYs6]͌mEp1Wop`T ?c04V`0}LiJa fI50+V: 3JAV(ys|s |Z#?}ynUgla܁a<A@'tr6S?8grr/ǙR k-޶6=M4K &IJ4ƎeΠ j"%5U rIB PQ,syHk{Rn3< ߪF aT_hU <65%7~.Q<o|^iUǛTI4!DUԔ_NԞ?fb8Щյm!cGZGF.q.^ *3$Scũk>57ʁhZ**f1*ՑN+5л`.0\HÝ1jQK.ek4D6^Z|>z \{c0APVB YriUlS M,k!8>:pA22W'o`!.ZH5ٳ~VqqYrR6XU`؍s*[`~=&8yLLqB(a\6s՞5V/3<|ՅBYNrDω.]j0~3yE5<}8&Gvyn3-L P_@It3Qnq[By3|^+xӞOII:˓+&*sr XT_Vxstnuxă:"6"w.\&A: ٶӿTgdllhO4>rv^VwKK[zf2X>= Lk\ uq^l=sPj< endstream endobj 17526 0 obj << /Annots [17527 0 R 17531 0 R] /BleedBox [0 0 612 792] /Contents [17532 0 R 17528 0 R 17529 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27444 17530 0 R >> >> /Type /Page >> endobj 17527 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17528 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17529 0 obj << /Length 19 >> stream q /Iabc27444 Do Q endstream endobj 17530 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27445 20830 0 R /Gabc27446 20835 0 R >> /Font << /Fabc27447 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ>Z endstream endobj 17531 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1611) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17532 0 obj << /Filter /FlateDecode /Length 4121 >> stream xn$ί90|?͌d 7' 9S*zz$9vodX*R_H 𕊕gd2j.‹B0*VԉbR> M(*G?J#*Y`*Ek#KQW/;d5!o?W_ZAl`yQХ+0 +p_Q! 2L),=F' WjӄI~Sc wMolNfKx?K;a *Ŋ#ڑ-ŕR*u !r]ݗ3_3\b__2҆m6}h?L~XZFZ_ O0VO? СU6dx9im̓4>X YWjqA~/ ?z$Π3Ng3OmNYdaL1Th34^iR4}tioYhp"E 243,sq/$liHE,%>;].< щs$wcd ]әB_1he*A"G*35IY  &l\U.X!h7Мys&怋qYF\5`e DH[Α(ȔxR:"`pbCZNOFhq)It aQ7V*&9F(mS{Z#FV(`opju#с1DPjrԼ^}^H|l/cDi3:KځW.y~*cUg>&ɎAf?N"y`QɺJ¤ Xc`.`xmֵ}Rћ@󝏋L‰%|-Vxko) )aG9lHF/ Jb۸GGSR20ݮ4"'Wݚm,a4@,L*2# mW@`;9jc4qpD#`^TMgu8GûWߡ6fo瀛Nʿy@!Xj%ipp`G?X؜y+RW <^]Q-quWArֶE OHyv]6XlW"KH I@1D9jhrni_}y5h#@8syb\>7$d1[@ (aenh=n3aQУ=)ޒ˔`߽_9Wuz.7_flҨ4+e7ֽǧppv`zтЋW9Xy>.t\,q:adBI2$=~w$tZ-BbEϤRqhIӴE(6.G0VO9 K&{R?R?\ 6J(0cfGcŗQ=7Lx qK3ͲY뵑wꎹ|*1$$Gж{qyk7ǃSqQ˰ld 2G6j ᾢ,þƷnÞ܏v+Ld:®Sw4O@_ c[%<Ó4iE"ɑぃ>qئ?H^|ȸ1o[̈́ߖglEo<6EJ73䛺L񊛎 Ys jɫc7{au blyfl\T2xFT%y蓼M,ٚ|uf`ިজT )׉XCVXy*U٫ґ^+&N([NO2 |ٸrn'!DY >Mm{1\8=d!>}@ce) OXQ;mTtP|yHu[QpÅv6ѢcU(TƑ}Hjq&Fn3(N¢p6f=IJJܼhA =HϛTn.Pf[n tb ? 5b@iE65^ڈ r'2XLIQXVKiϜj}wRo#&y] <(<{!-uRI۠ѝ/| Ro2kKDv!ME[\zqwuJ\L?L&Щ<屒*Wn<X_4=J|$5)fךF/^Kk}mTfC\+ o$2L֮4-TcϽ20M>50;J(|[.苍TLƗ7\e\c$`5㧛lw0--7>nC{1b{setd6I7ΩRoig[rt W,^͝8ϱ`IL!XK`4y0#ry*'ײ2h^]ձ҇FңN@%`C? i瀌}Ԑ/xiGxIgi!$V``8'~v"*}ďw!C T?3Ĝpo3Kj m; C( UJ{\%\GA#O4IK#!ֆa`}+{Z Bd:W‘ zGI] endstream endobj 17533 0 obj << /Annots 17535 0 R /BleedBox [0 0 612 792] /Contents [17545 0 R 17541 0 R 17542 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27463 17543 0 R >> >> /Type /Page >> endobj 17534 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17535 0 obj [17534 0 R 17536 0 R 17537 0 R 17538 0 R 17539 0 R 17540 0 R 17544 0 R] endobj 17536 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 536.9076 197.6017 547.9076] /Subtype /Link /Type /Annot >> endobj 17537 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 520.7076 192.6352 531.7076] /Subtype /Link /Type /Annot >> endobj 17538 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 504.5076 182.0037 515.5076] /Subtype /Link /Type /Annot >> endobj 17539 0 obj << /A << /D (unique_342) /S /GoTo >> /Border [0 0 0] /Contents (run_state_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 488.3076 192.0137 499.3076] /Subtype /Link /Type /Annot >> endobj 17540 0 obj << /A << /D (unique_343) /S /GoTo >> /Border [0 0 0] /Contents (runtest_hw_jtag) /M (D:20211013063105-08'00') /Rect [104.1732 472.1076 181.4207 483.1076] /Subtype /Link /Type /Annot >> endobj 17541 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17542 0 obj << /Length 19 >> stream q /Iabc27463 Do Q endstream endobj 17543 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27464 20830 0 R /Gabc27465 20835 0 R >> /Font << /Fabc27466 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17545 0 obj << /Filter /FlateDecode /Length 2059 >> stream xYKo$Wľ4r[COA0 ^|%:1[BdWZvR~~mpv 9y, X $Ӌ`G|@ t`8\g{,g! jM+xJ< JcZ~PC%o(y3ߣ2ނR8+`te06pˁa{YGA.+_He\Ďa`a2"o9 څҘ*Yd by;?H984SYbLs{<ØcdI Fjqф(³$sTǰ(tpq={![au #dIis@!WRm`gU`¹@^<h=?&V[CwVt9'V`?o߷b?=?Z;"QVܳxM^cf3@:ivqpfT⿏>uk3oM$t n5ah=8T= ; Mh :]^G. >Ϙ3Ӷ^p5#P γ@1l8gR{%V{5H {Ś8M2$żYvF]$ vڰ˨x G hدkF1jzî"V1$E@cj7#~;2y63OtztGi[eW)"l4#{H׭e3n %$0Mx懥9^}!S5DZY@J̒#*E31[Bz꒡Lm[(eA4~D;A"&bb2J~= f* r?( 4 [ ?LܺD]r\n-dC:VRwM YW/4I Mi$ȝfHSU 3 Zj4^H&.:MXjov^`75=`35nQ8Jρ"^~3&Xxɓy4SHw+mJJ`{߹`waB|P#?_AT~Opyd}ITf/* ruhNB؜hpD\s_Cw6VYHkRVY[F%x.fBF<N/ !9 =lBM18*x.ܨd׹ endstream endobj 17546 0 obj << /Annots 17548 0 R /BleedBox [0 0 612 792] /Contents [17554 0 R 17550 0 R 17551 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27482 17552 0 R >> >> /Type /Page >> endobj 17547 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17548 0 obj [17547 0 R 17549 0 R 17553 0 R] endobj 17549 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 422.025 145.297 433.025] /Subtype /Link /Type /Annot >> endobj 17550 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17551 0 obj << /Length 19 >> stream q /Iabc27482 Do Q endstream endobj 17552 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27483 20830 0 R /Gabc27484 20835 0 R >> /Font << /Fabc27485 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v`%C;f٩c8I ko ZHj;Jx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9] Qv?f1oH!6},L>U|"j@͠zfQJ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17554 0 obj << /Filter /FlateDecode /Length 4471 >> stream xڵɎ9@W/@cnrsb '3"UU/cO`(;X~Z*sGF~}NKdXʟᅨ'_~ZD_?J$FKe.< zԯ9.P b )E~"4FJ\tT߿I"rOjQVOs#`gz R*'$209 O{R.aghm /Po1w]]}k͓F&8缄`,0Wy5 cӓ&Ւ.a"R+De$?La #f2d 2K0H#|G:?9AEiX?Hy0%҅Q$23~0AY}T:7rT͢HZ(T4L..JϟOd%ԣa1P +u4EU¥:{q L6F M!u~O,q Ց$=⒴UCaboj=?W65:-PzekF*{./iql wq#-|4#Ӑ2 3MnAQ82FYL.-ڡv$cW =y0$7\ʋNh`R40CiDAY$~ĄF] n؂`460́ATAf@zK>2(MR2z$#ct'Q襋S@u/ k2NkjkɎ4%Q`WtLp ",Ūr: !Y~иNĮ:;}:NvSD󫮻Q~#bWVQ |I!Tʰ|*Ycj4" 󨰸2}$nY[ێ;֟-Kt<~Jy_ 4'~?Amtu{VHh=oVaiw?qѸ l !϶i q9\d?a'l1a>IdƪrEb09`1\>((*khT֣LHE .Bq(ue43LgS@W. ůzʫ׎m=o^m+:}G |K^׆OzfSXs8Ǡ>n0=38IՑٝ4imkKK7vϐ(IWwOjHP9nj*XFL$hYgZdh 4mg'6$hG'#l;,Ŗdj% >'$66AKۓQȌL|@ xm٫kH?2ODW1b`?hxyIm,[-Fr%wwh؊8U6EX95Ix_(ۏag?RWV3OQaUF〃xp_U&|kI~/9na}Lb.yf ,x‹^m8^S6^cZ2KJx5x⢹4%CHEбUܡ?Ig^~KZ,D]Z?%P!XWhrSMBǯjK4QQivJtχуK62l K@@Ypk-^{޽`y1:f؆2\wK6h<SR|(N7\a}$`1lr]R JC-n3_{1gy> *M̀7N1<;Q0ۏ?O\lAi'\ZpW7NHdz ݊4虜[eu;; ߣe<ˍƪwh_yw%Bu )pCtE@PfȘguVZ^T?M ~ |u\3 :ig8' mكg)kbhۥc]ʷ~,'m3C̎rtz_Czչ^y'Ĥsp\>y#; BdZ zy^xi2 endstream endobj 17555 0 obj << /Annots 17557 0 R /BleedBox [0 0 612 792] /Contents [17566 0 R 17562 0 R 17563 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27501 17564 0 R >> >> /Type /Page >> endobj 17556 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17557 0 obj [17556 0 R 17558 0 R 17559 0 R 17560 0 R 17561 0 R 17565 0 R] endobj 17558 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [104.1732 567.6038 204.5317 578.6038] /Subtype /Link /Type /Annot >> endobj 17559 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20211013063105-08'00') /Rect [104.1732 551.4038 185.6227 562.4038] /Subtype /Link /Type /Annot >> endobj 17560 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20211013063105-08'00') /Rect [104.1732 535.2038 168.1327 546.2038] /Subtype /Link /Type /Annot >> endobj 17561 0 obj << /A << /D (unique_230) /S /GoTo >> /Border [0 0 0] /Contents (unselect_objects) /M (D:20211013063105-08'00') /Rect [104.1732 519.0038 184.4677 530.0038] /Subtype /Link /Type /Annot >> endobj 17562 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17563 0 obj << /Length 19 >> stream q /Iabc27501 Do Q endstream endobj 17564 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27502 20830 0 R /Gabc27503 20835 0 R >> /Font << /Fabc27504 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17566 0 obj << /Filter /FlateDecode /Length 1721 >> stream xڭXI9W\* sP0O5c7Mր?oSHMWxZ*)WҼ~yսuue:>cN?kC6I6םu5X=kڣjKq R3-4<5޺x6a:Gk |Kkoe p^D+^:f{-<ޤn`|̋:]:=@yH/'W.CD4X &+Ê>i1O56mI0C@Z3j2U6]pf| }l, {+xݟtUǚVA JGFq~Nm_zN=,r[AplDB=="hMeo_ t)ƶ.h??a~ &y6y$/o- Eu5AvIk=NKZUEhJ0w ~[{3K)1瀾&ECYN$L!]J9\x $pFPBkj @JEIO`/AwWN<(Im+mtlP; C?V?p%mPb'7u/XEJJU@Q0jN_dN š l au-+wZW*>aoPn$dKҬ 11["TK?;9>@j`wɛX21"m\p⫎i+&UA o 12`Pneu2,yw1s31M(~˲ 'G];A".:b XDiBِ/@bxcř~uq+u!;RYIk8Ƕh(VRIXN x&'駱A9R}V.YU6t{'C*p]}ұo*^|H|Q3ux t4p6V ^Gb|#ȁn,t9I$#ۛS8cבAУ!.Ҟ7)%9r0QV endstream endobj 17567 0 obj << /Annots 17569 0 R /BleedBox [0 0 612 792] /Contents [17575 0 R 17571 0 R 17572 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27520 17573 0 R >> >> /Type /Page >> endobj 17568 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17569 0 obj [17568 0 R 17570 0 R 17574 0 R] endobj 17570 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 437.225 140.4735 448.225] /Subtype /Link /Type /Annot >> endobj 17571 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17572 0 obj << /Length 19 >> stream q /Iabc27520 Do Q endstream endobj 17573 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27521 20830 0 R /Gabc27522 20835 0 R >> /Font << /Fabc27523 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pVfthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 17574 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1615) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17575 0 obj << /Filter /FlateDecode /Length 4098 >> stream x[KoWy޼"'ov@ `_^|F=&],V*,].,/`ToO~fyc|5vuj={w!I4BOZ#ss$|xq+gZ_H6Q:/̉3ͽ"١uh | WIGxQ$1d3a 68CɈQ6hfHHkiڪ[\q81`To+[CW˚(S< =5UUoQ4"hڒ\#&_x"@)Dz.,=դpNn=KN% Րp[^nRj8 vމVhʨjA$[Y0h}OW~!< @T*71ӣ5{^U $W((%uCWsey,#UP/}JCEƘ(LJ{fL]Lr+XRšU}Y@9LG u4@puĽ¸Lq%Li B% ZZH: ^N$UD-j`… ]*xiJ484u彿ܐc)%X$A\[0eNR[G P6#:k݋ju9bsDf{c(1 !S% R&ѻh5^p^0jg@n%tzR.0>b9n }[6+'8t9vipʁEWyS |N;el60X4\aa`aX,aaX v٭n YWd.`XeOvNlѮ;#"ݷ? f,j)Go0B|Ci yHēGھg] wL^r¦0C 8FPn(TC٬%$a;)'IT_3;! L4?SweQ /1\zJ*wU=)PΡLԸ/ihOQxX**eRp7ج}28כ:#eIxF~ta*čX3àkfX{ّ:1w[ j5ɛ7M1܌,K揜Kд /rfPĘ"f8A% FHW}Ơaզ6LލyojҖrb{_:'ޒ  3FnP̘3b":y^Sg@?F|aV/ <I*rtоnD8 uԡ4P!owpɲBYBx޻ swLC%2S_A$~@Qd%-Vi9kdgwQ!-lsxVLӁX\ =j@}m90.o5{SȞڂxfsz\lz// ]=LuR{XS nlXyMP2N&Q\;88щvq]vҴh9^Ogyyürmp~\~.\'δN>ÊI&tm%)æϬQ3[hϙVX;d~ӓYĻu91]m3|ɱuNVBup1q5)X F_lVSaܮJxF`F>-D!;^HAS&33qe4;ai4_ ȋexN ˒VDDڡ +8I%t^]Kjbs9_n|$>1/`[wuU-iw{č-;Р;lQ#Sn&ėYC}eb}JOmcf3w9dxQ i(e7!3h"@ fʂz]}bs'2fJrI-$;ZO[5h (بW2@X9a.v#6A-oz!9`Z29c̷@ZY'Y>WD,'zu %(FJ2a#N /8>PK/R**a1T)ITBOth,(i< |K"n)[;nC%/xޱ݋HvF>9k֕ 9^R/Y.CM@8^dpPd;A2m7ٛAQÐ,6)<ӧZ:ݷ6!9WG9 {3ဆ|@">>c#_?yW,SHa2|`]6t'bdˏ~$ՇQ~c!uE%R;fBw`H!:EOpgv26D5nǸCGjxMQz~cORڰa=؇޳O`(Fs%܉QXOrt`AKĂ endstream endobj 17576 0 obj << /Annots 17578 0 R /BleedBox [0 0 612 792] /Contents [17586 0 R 17582 0 R 17583 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27539 17584 0 R >> >> /Type /Page >> endobj 17577 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17578 0 obj [17577 0 R 17579 0 R 17580 0 R 17581 0 R 17585 0 R] endobj 17579 0 obj << /A << /D (unique_674) /S /GoTo >> /Border [0 0 0] /Contents (get_value) /M (D:20211013063105-08'00') /Rect [104.1732 514.7307 150.1257 525.7307] /Subtype /Link /Type /Annot >> endobj 17580 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20211013063105-08'00') /Rect [104.1732 498.5307 172.1972 509.5307] /Subtype /Link /Type /Annot >> endobj 17581 0 obj << /A << /D (unique_230) /S /GoTo >> /Border [0 0 0] /Contents (unselect_objects) /M (D:20211013063105-08'00') /Rect [104.1732 482.3307 184.4677 493.3307] /Subtype /Link /Type /Annot >> endobj 17582 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17583 0 obj << /Length 19 >> stream q /Iabc27539 Do Q endstream endobj 17584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27540 20830 0 R /Gabc27541 20835 0 R >> /Font << /Fabc27542 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17586 0 obj << /Filter /FlateDecode /Length 2012 >> stream xڭYɊ$Wy B/$5[ĜJ"Ku׳#kQ1FWmaroN)cnӽD~V._3fGIj#%ISSyKL;r,+0e OledR\Zb}b.%N.©ſlPh7~^ī<\]0F^%E 灇}ҚoZ@-iaWpx`&AR stY<.SzU`*sp ?Uq%.5nQb%a= D)u~XD!za)%_ͱCBB]VP^g=&TGi=;1M|CૉKKu) 9`q)/T7dQ`J 8VNK&:}ő9sn0#=O0/C HO;wi~D JFoAK@اW8SG~>GCm?mFZ-/ݰT/E zO{et%2Zb'@3ߦLl_bOycZ'L;w!ۈOԵio\Z}{JFzki=Z.&ME[$}5)M4޾X]dc6BZ n%Jn,fj/v(}[Xs|=XHZڕ4h,S@.R`Ɵ,{{~̟ѣ0܋$)k0)~#;"Q2'b6'~ćVrQ]8,{q5v5bIsڿ)iČݭR;1%T[n4}Ɵ䒪.B"ie: *!$s(P)"Y endstream endobj 17587 0 obj << /Annots 17589 0 R /BleedBox [0 0 612 792] /Contents [17595 0 R 17591 0 R 17592 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27558 17593 0 R >> >> /Type /Page >> endobj 17588 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17589 0 obj [17588 0 R 17590 0 R 17594 0 R] endobj 17590 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 252.025 112.8525 263.025] /Subtype /Link /Type /Annot >> endobj 17591 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17592 0 obj << /Length 19 >> stream q /Iabc27558 Do Q endstream endobj 17593 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27559 20830 0 R /Gabc27560 20835 0 R >> /Font << /Fabc27561 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17595 0 obj << /Filter /FlateDecode /Length 5631 >> stream x]K丑Wl@I:mS3Qe2#RY|1nH`0">2R /q1]<-Ic~/'_~Z7G_?(ã;ʝ|M}?mqgӅ^G?˟/+q,OFI\|upUdY9, YYm#\^Y;,4*ɒR~^$9*_ġGj#VO/VdR&yobpIArzoRG3-lMfC07iT p T8/koBĴ `bg%dF$Nhmf(_~F~җ/:QST3S?[* @ɟQx߀ne4 Ej l¦5׵g,!{>잟2,x\&,ؾMѵ6~YMyMTHW!V}~V@c]1f%Mu4z`qO!~ ͈5sak(3//0}Oy{Lw4Bv6,;R T΍{Ksk㘠̳hZ_NtȲh v e9P0ys_Bg"ySv"WR{}~̘r4Lq&Veւ0u޴9Q2kCŭ1:']v!E<< bU䯊;贕U>n%1WmHs5 c/n0e0[#n1a0.3j#; F`|-'C-]naTaAn'$:1v9^[3dhab9{ M='5/;Et40k0Q&PPY ґ;ӦZf 16H ƅ( eU{aZAJ=*pYL CGsR.8x 9I dD-K%Ir[iMEkd/<Vj$VS/3]2O RD&FY9(98Yi ry`fDžK%*y OYc78YݨGYd$5PLVI"߄>E9_7hG;~ޡ($4@Hik xiT|' ZH&DXFp}A*N#.,29yb;(fParۙOVb*ZV۳D9H-{aK[3؊͙fq0af hu(3TZL5z'z'jIi9!s֥뒧q48IqeLbiPcSlȕXs56Ӭ؄$YDS=6{dجFA*U>YQb&V'N73L&c#k / NN8gYr&2&}Q;9U5@, 8 X-X4,L l ubP}LY=X)-N +]@f9Їe0P$XlMNStZNf '8Z8Nf8Np$whع*D&nf{ItnNt5ĉ8ptnW¥2n^:@ ɂKY P&̶*+{i .#@q=(LUnTGf P]7kdVŀbsiYvfETOc.LyL!U*>0%! B1 0%1%oŔXcJSe;YNJ\.%.ĞuK[7Jao *qž Pn]yoTžmPA%_ ?/X??ǒߏ%K~,9P?PKWvn{(si Rk#iM a#Sm^2Sk(PG Q-VEۏv!čK|l\^рMx)ɰ) Eb;t=YHSΰXBSV:j<Jλ:loxG̜l | g_Ə?C#xHn\+4^0st.#֎. Ax]%xYs.csf+=>3iXtN2r5ʈ2Ü:dS'R9ɶh w9lTr/*qRne DfR?ׁ |R\MjG 1{ԁLA#ät~ @^3JI^Vz4먋F}5p4^*,U,ѱѰOj!)/ͬZs-לg\Hp#j|Z6DXkʕGT2#Rew7sOɠ3?%YctI7 $0m!_hܑe=t3&`_OqŒXVzQsSAXwxzB K[Hr|6$ Á*XFa@ kwTȡ^kCEHC -:>ˀ)w^L@>,g͖*6.kJF͉זU &:i!XՌMe';F DDda;UpI;oWJ(luXtЁsbˌ]2$ěV{2TG,UcI#usY]_jB%4 yy]]. -wov:tXbߐ5-l~k KQHymgY!Xb%P2 MGZ\+k|A}=OS)_fA.ePm27'93C@+yC^h8Sẇ'[_V0,脂vIqvw\lpI|7b :˻ԑuNŸ"hnӄ]F4: 6]SUIK\xy|yl= L[;{=6(HE:ATa%BDlAӱ/U^Q-9D8LӇWG |؞)ƧO&cHƁ|E8KLp'P8WyeYh 0<'N/O?h:-rr|U'H󙫭OWz[?Cvgҿ/qȇbw~?gɺ6LDV&mPGNٴJshz7 $.\EGGӦ\;7 ]n 2v,p ]`r ? endstream endobj 17596 0 obj << /Annots [17597 0 R 17601 0 R] /BleedBox [0 0 612 792] /Contents [17602 0 R 17598 0 R 17599 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27577 17600 0 R >> >> /Type /Page >> endobj 17597 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17598 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17599 0 obj << /Length 19 >> stream q /Iabc27577 Do Q endstream endobj 17600 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27578 20830 0 R /Gabc27579 20835 0 R >> /Font << /Fabc27580 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nC;fũc8/ jc(J2wr @d` j*"$TT w19WJIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ 5Ժ@AC5N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17602 0 obj << /Filter /FlateDecode /Length 5532 >> stream x<Ɏ8rw~R*3 gS=An'6n2k<0'`l ,]^ߗglKӟ?}q1ˏ_PWWAZ[xv'`@f5+/x%j}pj60ϯ16<.?6 Z/eA` F"x:t ψ$Exgd*MlgFW5mdt'5 _b勅]|iUq-CΏ Wf2<ᆙJpAۈiI7Օ)]YgRҫ˹ (޵SHbp6T)| t.j= %9TߥnVyl#SG6Tm`&5Br#|:)U6d5BRv&m<C~֦hb;@ԛ'P$k$i ch1 m]ϔQgސ3Qb˨Ps=x٣^ءR6Oՠ[q ^iZ 7ӗwqA3ᥩQz>KUKV~]OBa^@~jIͨҰeΝ%b1>Mpa_7 G**@}#EFyk6bcıICv*GJ;-3ZltOxAFߝRC{q"j1]ڹ`<=t+[08:7"M& ĹjA-nq8Z\$$8;ݹ J^m{~WXقyoi0>AOP3;.r->ä!jGL" <()srY J fhGfIDtyA]CqȰj͓~sߣ{79-W#l^Ms.w؂~!3yu?}}ogz c3,]ʝc(21/`~eŘHǏ^h-S$ teZ-~3\4FA}=dpXmCPb-JS0 {3(m ZݜnM0,OXnjg=hEyҥvDYs1DZ&ۊu2Qb@7-)PX=|ZŖ'*@HaL.HMN\R}!^`q&='r"'B9VD=?xgY>êv&qjkzJg?:sa5.[%Ԛylcbt}7m}-o]Q>} GU!Bp͗^ %ӭ֔wȇ{)WjϘ2O<>=^KLaΫv*p_g =FBrfԚzfi%9OcYxqKrm|^Ca ή5 gLX0R/sÉ zxO!N3JsFG_t'/) R$0#*ADcJ4L̨7wJaHjjXO)1X8@To}1aȿ 'RgTh=TDKx.2˱(+,͉9/8mnGt[sRZn'/rtfga\:-LFnMӵڔ귢8c XAh::!ba $<"ҙ~bELLj(l.!ҹ7WlKT<|Ns/:TP*GSxFމڕ}bpSm^>*- zqx>ӷp @9 E*ȽQpph†]F0 ML r"1^ 1/Uw֏AH~JĻղ;v~~csHZ7e[w9&-jcS_OGXJbLP{A3 mO4;{o|J|Ayd|6ARPu`houZMeec˥Tm\b+@k1pMG /E$o&eɽC óxܨ%=?~VF?\FSm*qN }|'tj$ɍ;tDNVB$%Cv Bb=|хkj[*pdm~x<"*>|{9Y&x_hO$2I_ 3g[^*9ol7x`Oq=Qyuc~>DC}7ɷ!66Pfc(=L#4+< !/atUKQ`̞g#Ε Gb@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27596 17607 0 R >> >> /Type /Page >> endobj 17604 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17605 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17606 0 obj << /Length 19 >> stream q /Iabc27596 Do Q endstream endobj 17607 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27597 20830 0 R /Gabc27598 20835 0 R >> /Font << /Fabc27599 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17609 0 obj << /Filter /FlateDecode /Length 3941 >> stream x\Ic ׯ=׾B-e 7 4=<Zߢc0z dzw.;Q/_y9&5Cb50 yaHlXC6( }3bJ8bRj(ꚹ70Ө5@@ӬpY-4kh á ykU#!$cjSЙ ՠFL}|UƾD45eo> T)UQe:NlX<r n,zh2;&|]AЏ44=쥬_IXlF!8諍ʦPG d"V*EkDtѤ,n8]Y "`@^pQ؀fΞ YS9#xBf.frRz%33s6[+&mCjFLgtˆd]xk꺂\Nb(I ajxf-]}jۭP dfcf7Ƙx]dcɘyv;fߚϳJvqf+͟n=#^UK}-p}67ᬨ9EjM95[!1;qtPmt[ؾQ:BV[7cVRH;u4[H5o!4wCl^EA17ԧ*Aµ/|ÞV Ley7|6aLfjlk :CդAw+>N#;E R'%udkOm7*FGq^mbGeƲLBnHASªe.9[X˥%4^φ f}c|,D !O}SB[r|"n`y! G'}V5B4-{WɝT~2 ){.w7nqwƞu[x?kn tlwӱM[oHr*st23.~1V7DGS i99IξV/Wti#}jU)kܾ5Tk5$Qw:ׯtKN.g۝f 5E%鋸_-RXFJ-rhu*Yb`DlDl^2\OCWo]2Mǀ} sJqs ?UZ௕ʲnO?wL5阏koFMrוHD[TwD =*IJA"a@0/]=բo֫16,HsO!CnxY]FW` ,Xe\oݷY-q+>U)<* ([@ai w6%N>OovW+ϔ4mڽ?6\&[vJ4o^'|Hu:l40D;u6'?i)ļi;)Mw0 X8< u2:v|IrZ۔|rC0(btt)(MRhR R=ӊDn&ؠJsf2;d<{ Έ88eOAwfC>3Ҏ32 [GXb2=s%6Yql8bkVgbow3 F_1>v5 K--ṕ\& ̇ZKiտЏ]9&Wy~WhE7 Y)nA9KnYp5Qd4ۮb7KZosn}%-­D? 9!El*xޑh8c%)9R ^SOJ;)Lw˾2* SRuSCqUEGb+| vi\tP u 2f=ݗץk> *ժ@5W$'MS!ĮW8yKθ3 +R+BeUmsȟ~\@Bt'sL`I2 RA>-s;3ur]IPucr4Ŭ\5D,!Kq')ĢKkG0zT#ThYᨬs>6Qg]`d9! endstream endobj 17610 0 obj << /Annots 17612 0 R /BleedBox [0 0 612 792] /Contents [17622 0 R 17618 0 R 17619 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27615 17620 0 R >> >> /Type /Page >> endobj 17611 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17612 0 obj [17611 0 R 17613 0 R 17614 0 R 17615 0 R 17616 0 R 17617 0 R 17621 0 R] endobj 17613 0 obj << /A << /D (unique_590) /S /GoTo >> /Border [0 0 0] /Contents (report_bus_skew) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 185.6942 686.7] /Subtype /Link /Type /Annot >> endobj 17614 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 155.7467 670.5] /Subtype /Link /Type /Annot >> endobj 17615 0 obj << /A << /D (unique_599) /S /GoTo >> /Border [0 0 0] /Contents (report_datasheet) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 186.6182 654.3] /Subtype /Link /Type /Annot >> endobj 17616 0 obj << /A << /D (unique_640) /S /GoTo >> /Border [0 0 0] /Contents (set_data_check) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 177.8237 638.1] /Subtype /Link /Type /Annot >> endobj 17617 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 174.4412 621.9] /Subtype /Link /Type /Annot >> endobj 17618 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17619 0 obj << /Length 19 >> stream q /Iabc27615 Do Q endstream endobj 17620 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27616 20830 0 R /Gabc27617 20835 0 R >> /Font << /Fabc27618 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ͤЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo>\ endstream endobj 17621 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1620) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17622 0 obj << /Filter /FlateDecode /Length 1291 >> stream xڭWKk$7Wh0!7@!'o!?*[m&6=RJ_=խiҼ~x҃t:bYgsm X>?݀O˟ 7(xo|-9;^i%[OsPJ|M0v󯫃0}cAZ/2FjU-?3-#E$򈀊r=k>przVv3JccYž)}VG9! Q'zҒ,#&%6ckH^/Ś]?}S NUx'Ljo l4d[*ōt4-USE;ob#Zƈ܅Bt+bd2"1>+B][-wƫ0^dnfڻX.1gq0'4|$E5`\3i,/> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27634 17630 0 R >> >> /Type /Page >> endobj 17624 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17625 0 obj [17624 0 R 17626 0 R 17627 0 R 17631 0 R] endobj 17626 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 412.825 111.681 423.825] /Subtype /Link /Type /Annot >> endobj 17627 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 412.825 139.8465 423.825] /Subtype /Link /Type /Annot >> endobj 17628 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17629 0 obj << /Length 19 >> stream q /Iabc27634 Do Q endstream endobj 17630 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27635 20830 0 R /Gabc27636 20835 0 R >> /Font << /Fabc27637 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴hy㮞~?va,6> }0SxAo}Wi4SokW5KOջ]pI e!Wm ZHn:|/(hF1rdbE0EH(.X*Mw1)GJIx5s剢xy"q-lb@r2dv"&_g_5kDN 9]%8 ?sqE fgя'~'DS=RwlVB+pצb/mk: S[${JLh*dKRG"+yk+YZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17632 0 obj << /Filter /FlateDecode /Length 4466 >> stream xڽˎܸί9@+|"000Y 7a''A0^$-U,֛bM𑋟NM)N*KaΟ>ɻzhsKka:y oqo)ֺ|0[X=s憺Z/ws6}JkE2%g?PKY6]YOO .Ln]XfkCS*sÂ-{h>Y+- ^}ywc]z b-`s{9~Np>LxycS(3 ˼,>YLEAA|h.19|,1?n2}_OHa`Y\\#׹$@q"؟w0}Lϰ'OO\gn$|Ҫ#aa\A`-H[|u~ZC4?LY-31$G*K@ G `9RJ}Q`1vz9*Ml_}kq8ۈ'‚~D7%J.5$<"L+j8 Q|d;‚T- M3%W7⴫q 3L+[G˶tT˼ 1t\͈;2ݻsR{bX Qɵ9˫U͗.fl==q2dH2u?GKW-`B' V=:gr'k؂:M!`"^3#DŽr{2iY #c@R$p؂Ncĵȝc  d(c %{J(j9BYwH7NE Y}BgV+L- *܎ɼʴsg;Zw*r{%r}?;4'w?3%/jgL5yGJU[hsP`\w/l´铚6wM^X'Ђﷶw;iyѽ#&2+W*NfUfLKVMWMï~ 6f)d-OX߶M聂?y ]1]<+m!h/FSlo]`9b|(#Tx,}JGګ-ʼbW"+r $"d \q%{*^wxPG 4؜F+.`WBl!աTGHux'z%hi A&.T 1UAazڸԺë?kF퉣&%F8YKJ`gʕ";ba^[4μWM̥Zt*=QtLCEOn3UM\P(m$9i@ofl߷` JV5r=E^TX5mŽn9'qibR+Lŕ:t:vj*u}jvUu@Էk@ZZj+Wj0Z.Tg`Jy(v]Q=#;]+;dNF,ݹ8;R'U'/::::76U''9oI٪4A2>\Uw<,تNǼ0:aqN-u2]wI9R'5q"ݩNj*h9|:) R\2~\ɼhFS`b0*ߩPy؝K̫n#n]ObpG}K>0/ZwR NS27­!jVFv0FCިՠd#؈K2::ܲz sx>fW@䃗|Nr,C4䏝r|}CcO^&"o;n^VWN}Hd5x "#[$E0.&/xnYoxdJG߉Fy( +⇩<00q¿ R)dƧ:^!xY:@e +U(hNHAMQe@QyW0}DlNXiؐ?s /HӉZt&fj[\Ę|d>7r/22Hf^Mx'4Vizꒂ2 O;nok: "NpC/{&ª2xtC /WCK$Q|0F.CtzQqu`31+Z:+1k㼭jBJdڈlבݭY(bWœI䚦xȊ5^]c-|*JnHL0q E|Zqk.&|7lWvQE.^x̺1-" nХcԳ0CetM33*M q?-׋kWMN^T/FYeL ,wyaQ\ֲḺӺaښYX/Q "1 NSvԁ3@j|( a=UeYa`{I_]{EfwA+t@ֶJGT>ƒ"]LѮk()vڥ[J۷GPu=UBUeSxuHƣ@lmMl}Uo1ry؈t~FNbILC.3#PoQ8N:9O5l\MV@nWTkRUYvKVhj }y8r͘1,Woz8olC mB~;7y'O= gEvS(/˻(]bN%@k ;܇ Jx7Lqȸx`$ӓO2hh߂#sRI)0o5P[A_S eNGq$p, 8 [q#G+>b}<^obcbw{/&[qhԆ،79戚MCC^\2'Ecsq#3cٮפE}`?aj̯%7k~u 2n0USlI 㻊^}ԶQd^XR協n5QkR}>kxkfvf+B6E;|S'E%|L;m {ٷ]UK۠KњrKM$g>ߪ4y PSާ'p5>lNR~k]\|DIpp!?]\3 !6݋!`¯:vWJXg}[Tr,7{.%&˭Ԙp`OWz҃"~GuØ,DT7)әۖsDD'g"H^ Qgkڼz[/׋ O=ăttIssǥ̑g۩HJzPSw+L1#A /#V endstream endobj 17633 0 obj << /Annots 17635 0 R /BleedBox [0 0 612 792] /Contents [17642 0 R 17638 0 R 17639 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27653 17640 0 R >> >> /Type /Page >> endobj 17634 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17635 0 obj [17634 0 R 17636 0 R 17637 0 R 17641 0 R] endobj 17636 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 426.9384 164.1782 437.9384] /Subtype /Link /Type /Annot >> endobj 17637 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 410.7384 169.4802 421.7384] /Subtype /Link /Type /Annot >> endobj 17638 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17639 0 obj << /Length 19 >> stream q /Iabc27653 Do Q endstream endobj 17640 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27654 20830 0 R /Gabc27655 20835 0 R >> /Font << /Fabc27656 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pNЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}K` endstream endobj 17641 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1622) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17642 0 obj << /Filter /FlateDecode /Length 2677 >> stream xɎ#^_1@e !it6.Ud*o[(*wթϯY* rzVez23کW1b%şwb⌎<{owP/t"2" &1rPE3! sg>3,oyOش}EKBmKup3KLHp]Ʒ)pDO\{Xx+QE? "`b,neNb~NvvXV,ATkBVDk%cКZĴskkqt6d:`KB5L%!d2`ņ#?#>-cIxPڲ .+ ¦r$QhX"z XF.lcYϑ4n50M5J\(7:zOÑ8-hymf.-ZJMj2>Hy5e'?$<DYjʮ?9Cm:[u:B3 lފp_ُp |Tˈ1vsv U%yVAHQatntt7L"vst'b^pPK}ε~(ޔl,QWg:bmwEfdPfD V S2r0+:^kq)kDV%R7jEj廼- fz6R#ZP4ӗmL˗)\ad!ndxJ2K ]=> YVd^Ha<= \*"CjXuuͿX`a ?6^!Q0-Gxb(1UVkn\pZV)ܖrY+F=(QbDP 8 86DvFSGzo`9B<ʦ謸^rC9[p  8d M˝Jhv,^4 |UF->R7Шd9:<݈f}\ IdE<^[r{?30ʶ"/=|xW4*SWn Ex@`6TLOWgp|@xcu=sZ7&1%鲾@BX`Om]`2O$P1v@ u#*5d-e!/?ʐۻ6\}QoJkꮸ4BmX`/ ~{d7`Xy!_¨}J>_Ĥ6APJN"lxb)48t]k7צ0ⶢՊcGx3uIюlO-ӆՕ¦@.6$v#|;4aTk< .bO꿐ιFWj5BcfFd+b",x;: zG2Ua'꼼(zQY}ɋ.f*? 9Bnm@rr|e^} e&ޗ7Bwô~vw8CHۉ=,*R~p@1ГOqYƄ}njCh-euq(BwW"*%{=ep^K e ]-La_t0SdU VSیT_1<* IJȐpFV!x8euvfy{ ,7b4<W4줜 q fr4aK/n".^Vb7f Y/8aC!4䝒 dg!H_j#n(lzxC˾bna#7P3KL4Z}T\HTsuOUc ~}X_w~y8ms!ݵ*40 t[F*7ZBЍ)t=牘?]<'˻c!c!P TV0 |qw@5')DԭA>",;qB7t;"ӹ9X6cs$ԎDG*E?-'$ P~D+ZyS>ӣA׸m{. endstream endobj 17643 0 obj << /Annots 17645 0 R /BleedBox [0 0 612 792] /Contents [17652 0 R 17648 0 R 17649 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27672 17650 0 R >> >> /Type /Page >> endobj 17644 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17645 0 obj [17644 0 R 17646 0 R 17647 0 R 17651 0 R] endobj 17646 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 356.425 111.681 367.425] /Subtype /Link /Type /Annot >> endobj 17647 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 356.425 139.8465 367.425] /Subtype /Link /Type /Annot >> endobj 17648 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17649 0 obj << /Length 19 >> stream q /Iabc27672 Do Q endstream endobj 17650 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27673 20830 0 R /Gabc27674 20835 0 R >> /Font << /Fabc27675 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17652 0 obj << /Filter /FlateDecode /Length 5654 >> stream x]Ko$9rWyJT{|0|zf \;^|efn d0_0b '<,5,/`~M.kE_-__:Ojx>$OcIc~[|PsYzʕrp-vF~=j7duk,^U;ZSYB%3KPʚtb_wxM CF/G$m^HY ~rYcl, ̀$ _%ZZO@fM !-eB bLP5RRƮ>˨~YwTpqڠA ˳3HR&~IJH/4d+ 5gGyh-ضm>\_kůS}ÅEqlwTj)MR[`/EAG3,b:}E e>:Fs2ȁ2Z؛ĄZXb7Fw6M$5^l'ʫv]|(zlpl?^ȥP[\ |AU5>l vWtrfObcacUQtT)K^o sicOYS>.8nNG!G(3mƩ!{ey'rVj`X 5FpZ0Z /#I*תe5e_Ÿ c$gg yu+VӸ'f- C !DV_d"U+5b邭Q ]0ED${>P]ޝeo>c_uf{|ꕰd,}:n\>@!$j&9.!Y]"-fc/bO6xT=GYh <oD&ƀ\(F4Y4$U}D#ws7~v=y!f|)-c6 C_S9W $]xPB2 -CUŶ*h4^e ?Tz!п*a }0QhZHv/[C&aQLrX qSqzVۦ9L[ y@p=ø Ǚ~1p lAΞZ+3u$녨jLX8R kO'FۦIQjonNR{  UpDfM _M ϙa K\-kCS ۋH{k =fQI6sA{Lica4!l6lm =A|Be\-Y2&.2U'v M]y2@9&I\J`X} gNcdiBg"Wj\Ha͈ΚZftJcU##jTndCd |&Z*N22^d+wTNjApR/ 81#pRSpR>?No]{'ԕ᤮N?'u;}Զw pzm&'~'ז+~'~zey{4`iP/#ŎŏǀbrLY z3p423qTq&& ԻԹ3aFOzB 8?!]'+~ٺ[-k(a"eUlWAy5U6cu6 v.Ahs Heߚ5ظ!fau]ȸ̰FGX){AЊq+gߺ +i0TJz; YHEՅ\KSRd`qh&ѪCE ̟ Oa’HI\4^R.82\280J4ů 9R ARh:@i';|kh9ML#Y`=պ܃ HG_٤dJĜ6R{;bDyԋI@; ERXٳhKa: gPˑSjL`RP!!RP!?!#6<>QBcuhph@BDWLYѼk"= #vFFz 9,$?~uB!WxFPQ;D:>` Q >ܑDQvVQN5RUfJlS|plY:5W.g%iIV:,=U;Mh # l%a!W;5F6*͍ҶQ״|BWWuY(`(=Vh33Ն#{BZd=;6/[)b ܓz:̜{0`-kjd6HDdfNff0 x݉ytχ#ATO_ ؝asׅ1V䝜3Y 87ric=LĠ5}!.X#|0Nλ'U JjP$_5 8>Mvէ`-["3}rHa*|%@A1H}Bsj%cWʶUx6}Fhyۂ!8ZJqp.D"^ˏۃidsgHvCD<ߺ͢y7WDpC̀_ ApI'Ӫ"ǵ'}x 'ȷYT`_c^+\PC]P߉qtBƗ M9':WKcAؽ g֍F$[O k, A|l&۠'1P FvĀ| (j-e}vALu՚UD2AU"Ѓ-^nA]bF"ZpӚ" X&fR'D]hͿ$I:2h{gY?rX͋mn v%7 zٽ^МYVh[#5 R$WK(8\ oKv-Zޫ)#$J69V}lx]'#k>7ގ7kn@ }Ƅ\4vL=Ĭag#O [UJdUV<7YY<\,@k ,Bܘot/2]ktk<`@L9DŽ1vvhV3EGSoMs@6N Rw9v s I(WVi^NPo9SSq! %TQ ~9i r0:>َ;KL-d-JԷC`3}5%U/Shx)Zu#>d'՜PaS̚d -7.xtDr߁ׂ ֤h=pby^j_'0"ҁCU5uIu1jfGjWz]ݔ:s[rQ|1MRqY*A Vm%qґ)4I볡vvZJϤmrWиk}0:ڵDkcqօo AMCt0S ruy".{90qo3?-`Mռ;tU69jn[z+ƜqsJ5[phuc ]0S5agxQJs &DwOh.FI)kN5HIܮ{aԩҩRQG`yc }^]1qHp8ɫ: *Ekx\ /[ɿ`[x3tM=K(fP$5S$Њ9< "= /I$▴3-<|$rϽK鞴WF>!9kV ڮr'U& $V>JMo8R\Pl 5nMfzPaHaxuG`t}Q_[tGMo;L*@{㜊+Þv}o6neQ]{8pZ˜}j\h]o7\nrbbn cvjt2bឰ/Ur3풺Hx?h*=%yH'avh`:gӍo)@DCfVwxr<ܷ?䃆s$~Y8~Y?O[OD5pFFvyK ;n]V/I4{ |"Vk\2u;8 Q~> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27691 17657 0 R >> >> /Type /Page >> endobj 17654 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17655 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17656 0 obj << /Length 19 >> stream q /Iabc27691 Do Q endstream endobj 17657 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27692 20830 0 R /Gabc27693 20835 0 R >> /Font << /Fabc27694 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7pN&жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLޚGFxaH&E d_ )2̼d9 1po.)U|B5_}tw%BJJ‰p-ZݛXd endstream endobj 17658 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1624) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17659 0 obj << /Filter /FlateDecode /Length 4643 >> stream xڽ;Ɏ$w~E (4U5m@7`~a<@sظRdIL2`0_\mi}va) _u'X|eLIFGߖqaO$gLN:aWs7xŸm6Σ1~/aø=(da'Aj;Vǔa_yfT.UxYyXwx:F{i0.2L˞ylwVby3834Bj䋷fC<Q}}qB- N5'{AgzlT:3_!T9~1Fv@BߟipX8DgJD%SC729t8xuHLokŒ `12ZYtbAQ~@VI\cU΍h¹]R?^O%R_ Av`IE#P&l=3WA9N8VX}Yz&ag4 Ն ET5`'B8E a8HNȵ6{?@Ex E GML$4v>qp7Y21AӚ"G::d'>uY6] e'yd{jΰndr!%90jR|r{`-WJZLg'qiJ3.5Rwy+k;ljVCw[f/^.euyCs+O`6>m 06Cx_=Jf%HVQ2>A B]~L$fA_.¥q^y"̉׏ln{s>֤<)p,X# e m@U@7nT?!Y:F}8T3("u^=([jbbMGNDf6Nl.Dh!{6>tKJKUnw-X"uE~WjI7tl7W)Wբ>-zC ߀?5۞ܩP$ u!o=WPw۟, ]-V]G ƈNzșf]N$*NhwAHb\T8a <Oq삁x"%}EsMha< VgEyAŖ]s"L!M@;&5s& p SUψ{?+ļ 2gRohR}w]8uD|/ =deWx1BBP_R`Y b.^r}`*4GIȔ>$ŅCv@+0:?<:ӞQvy|e {`p<(⸚<Wp+}ӢSv8%T!4/G8uS#D1դ=QϢ ! њCZ |+/`)`+ Cx]YN5 y`ciGxw~Gzɰ"}LδBz =ND<7=kZX7B?\tJ*U-{4ߟ;qzII;R+~ o  UGX&E+I۬u=IS.Ply<;'vؑɧ2h!6pPk(߬=m1ymghOQZ;F/ܱfϦ;&!SX/N[\qԎ_bZt#UC2Zt Sډ~kSX^l1]I߯]iw h`ЂN9sdr,)2 l: v'Э=MұM urŅrn8d0UHe4Ϊ')sL|c+=`ÑW1[xj_}^H}|ӀyNMzdj[x.``00̰vo(6`h.s<[s_!8ZK $,aU"#F>u T5%Gʨ7_6 wZ ψX*LF& 4l&:ӣǃJG,nTB6UQgeFy.wQGFzB"1!W qIOMPbo}njD~_?ֹj@ѩ5Դ|,WVfjIVV3ߕM|B bAly'ss%ʙ٤Gq=. ȃ ytM|9rh.acQYA|n]1#?$ӕ텋n-r*=O)mqkZFƸ-=X}p_9k3ɺ7w)D*H5) d]EH[L&Hx]1d( IMAW̥HQ^V:b`}6kJ\1_ލ |"|ye&իTglwa{j U(̈́IEu g(K鉕{;iJ[>gMm&0&mn1Pab2T$A&왜-5:0߈! >t4}\1pʒŊY7JgrRoxTMN ] <6{1[hCw=yO02T$:JSVBvU  O{tYP>g~9>+3OX(/0tnpL+p~$ʫP<8UyW/F45xInS3D\kX EϤ_p/(oZ/*ji)6*x&J/&)XA H#аi,䖶skX/%F9f,,Gӹu7RN:PN8|Me+ eŹM6G*H*cN{&o Fz&Y#`a#r~6WqWN#b]hn矞K/i. ~IBP %~[Md8V4Ƴ$9(\' endstream endobj 17660 0 obj << /Annots 17662 0 R /BleedBox [0 0 612 792] /Contents [17669 0 R 17665 0 R 17666 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27710 17667 0 R >> >> /Type /Page >> endobj 17661 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17662 0 obj [17661 0 R 17663 0 R 17664 0 R 17668 0 R] endobj 17663 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 492.9 154.2562 503.9] /Subtype /Link /Type /Annot >> endobj 17664 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 476.7 172.9177 487.7] /Subtype /Link /Type /Annot >> endobj 17665 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17666 0 obj << /Length 19 >> stream q /Iabc27710 Do Q endstream endobj 17667 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27711 20830 0 R /Gabc27712 20835 0 R >> /Font << /Fabc27713 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$h endstream endobj 17668 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1625) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17669 0 obj << /Filter /FlateDecode /Length 2117 >> stream xYK7WhY, 氐Ci'ـzI=ӻ5ئݭTOUwWi^?>Ns:E],}SsO֐@&٤w s xve 泍%;UV|â|M'pi\_0Z>Z[C` hQ`1 qt \A[\h Xh" Vm󝮵=&yH=I>A.v$-h k_ц}Ϥ3 fUT4VxT/<:r^Љأ.%Zw`ù$]g E,qTz\ls௞2!WPjV$ci9N]0R=;.Z=oգr=`ճuB.(h^K棠^[o^V8R(p~%{d/ Z[uɺ)zrܿP}ݪ\j4շVƭ3+=>Gv " PBܱT[:6\ +|i/.C<4P|FgX3czW8o NM-AA*DnVnư bgdt ]h|x [iCZ7:~zŽL,Q_n2]rR龪l wz6sU/sd%= j0Fz8O*a@݆c!<ezZNnw`>5)^?OiW|k 񒱆;&a7l7 2y%IENk/4WzJ5Sz_ ?$XDžL]IU|£8Ғj5X0fkH^Op81WkgŒ)#ZD:*- t4-v8./dM oe( `5t;λ^fEխ͚/WPWمUʹy;ԝ<#]~ɴ8x'`zj(M\ az7\!Q}WPxÙdM\,dlN`^_jmQn"$yVV25&obawRk-VJ5Rf%#Z1DShjo4(URQamMl3DflGJW-Kl2yQDKҖ5N㒲TFHUK^+[Q%$W# `@K1u/XV6PecE}UaCag=x&^4N#M+G:J` a.2GMD2 Sz^ {ɞFlḼ+eA/ƏuY잇ȼ #BLѬbByr~1-Go2&j+ =΃ˈKK̐J 0%Ba6K%-Cq.- >p*I*pĪ^K Lؔbi>S66V'Al|c>{zc>@'|_ ¬gLc}$OڣtFzxiZ_wЏ;$!.dA=)8žO)45 L r< QAgfpR Y{]ڏ NApD8'~9"˹o¡I8cUi{֣*{|<7b.iYpgɑ%Fi-݉Ygq5 `z endstream endobj 17670 0 obj << /Annots 17672 0 R /BleedBox [0 0 612 792] /Contents [17679 0 R 17675 0 R 17676 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27729 17677 0 R >> >> /Type /Page >> endobj 17671 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17672 0 obj [17671 0 R 17673 0 R 17674 0 R 17678 0 R] endobj 17673 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 290.425 111.681 301.425] /Subtype /Link /Type /Annot >> endobj 17674 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 290.425 139.8465 301.425] /Subtype /Link /Type /Annot >> endobj 17675 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17676 0 obj << /Length 19 >> stream q /Iabc27729 Do Q endstream endobj 17677 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27730 20830 0 R /Gabc27731 20835 0 R >> /Font << /Fabc27732 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`U!thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM* endstream endobj 17678 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1626) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17679 0 obj << /Filter /FlateDecode /Length 4698 >> stream x]I$Wl ZC!P@gV];=~xz@eIbɌ\fFvE5R~hNNT&헶uCpcCI)?>˃Q?)ogO\zm.unܢ l){ݴ˝ȷ?ɯo{+v`U jԠI+4"&Y{qPJI8UPʼt7@:F2BVvѻ+s0HZM T|E2S^ X32Y$PG 28 6w6P;5!|NƯх 1Ĵ_ FBSՠ;?.z` nRaDu4*T Gy_?[ǼxJR^ Jɟtupg-X)hIar?rU"P}MjiU>ғe>K꟞ 4YATsQ SbHufq㉘iїq :CjMq?M`T/79a y5BD.frCUꏆȯ c\g:iOYǀJTVZJEeF;1dzKIh2!lL{h&j,hBn;R.Tീ%X|^?p,'hN:a G;g}a'p~'jY b2]W5dԂZm|h6M2skZ+d^D/?j3.9ݜ?+G"ACGVQ=͋|q5s\3qsw\5q s7\7qh8;\>T)+a"ډ!Ĭ2SabirTߘSpvޚpv8EfN-O٤EqByى#( 0| A饘jf qadK#bH".>P$.3,4-q˲)?DT ùd97PLaCa]xYyQfYr#I Ċ -ȫJt[OK}o;]]^,'JˤIkCqË팟V.hcΧfR9z2!cSv"\4{:JCЙ ͦwau6 %b޽rͦͲk[̦^:z.kWJ g-VߩxJ]laP)HrfOWE&q A |e ɧmE|Rl ҩI҄:*|}LUAʤm)CaAQAߓ[TmJLfի/0"Q!/uPkh㚡(5)7&̠&ZJp`:KrTWy⬏Dt{B%$%Jݔp cMrvC;l#mR)sZITNY.|D#k3q3'8K41s!* oy MF^X%]{ZceVo:67*탓\ОSYo ]Y ޷OP$3;T¼!& .W2E%My 2rO.) cI>02Oc5s[LeZtwQfRc(h1QA@MppGmUxFLiQ„9Cl0V^Pc?IMp̲r6@Qf*dU 299TUӴ@, 3,,L i60+.yTȃ2%rGh$[Ҕdz5j畕r'fZdp2m89N±ܭp=p=#$8I|t4'Q 8qpN'1p{=J8f88pNt`Pt[PpOxxtP5xj=+!%.)qf6H{w@J)g~3= %)g~ڞu۠Dwu Ğk@%vD{TbA~PkJ\wuT⺃ˠD](wkxn*9NwW@%;];twTUPNwA%n?]tw]uT ԰Rsw-=l@ Ҡig@2`ދImI0r8 HjVVx,j{NK-ۺݳ|E 4I^<_3p=% \@ ,^lka>4pO1Gc7ͥB983d] AF@F㔣=i 6G1_4frJz]OgXcqa`0@f}0Y Z}0[#S@Z=SΞ_cX|FJ?/jhа pf05БibO 7{Ry#E:oIr1>iS/ Prc@%N3ɞG&``)ęg\F`-(cjƸ#l>L&qmYNrNu,/IKO}~b+ɭ[UA)e+cG*wI4f0:n.B&b 1&!Rp;_✟,mpB]ta!0V8„{)sn̠)!eLvV(H}Fi6qUuŒsxǼ3/o`JwqVm&- vuۆ(<8[ VPM\zil̵ ' D<ߙ+-&M]. m%qdz:6bRK[NoIR ΂q !I/N1=-E |JN.^0׮I$K΋&U9+Ҕ >{T\MP4VsWLfgEFO7\Rd`r6jrն RA|n\O|cl#9uQՔFl:3Ep4B92;mCyo,`W%ЎOCTE )52۱JYp%: K&OG>sc/]Lpd7%πa HP*BNNJ >@ST> |?Q)2i:Α?m fT _ԿD:\@"|俔]IM#f:<$lSU=2k ?=Gn+e9|]cOTEba> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27748 17684 0 R >> >> /Type /Page >> endobj 17681 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063048-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17682 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17683 0 obj << /Length 19 >> stream q /Iabc27748 Do Q endstream endobj 17684 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27749 20830 0 R /Gabc27750 20835 0 R >> /Font << /Fabc27751 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17686 0 obj << /Filter /FlateDecode /Length 3899 >> stream xI$ ]/PTfNt(Aԣ!3?_#"铺Xm7s\~_b6˗oK=&_ݢk])Dko?^2N)_ |㷆OOx\>`*p12ˋx9(+u$bc4{6ABr# H C!0Hp0lhK 2pG蚅5ƇtfM9r3_Q5]{(y Wu츚} GuHäY,Y|4'ѣƮd)`a_xY4W;( دyeT7LOkƨVhHpaoxc(#'-D`<|-VҚDE9,d?dc+TeNbFv8uG$L/Pev/{ r%o_Y{ ׍;9h@,9:g'fs3gsV<)⬸g9+pvYɾ̶p8tO6d6pW ϩ坊V\}`+BWǻfp[F\#}`Y{RNڮy52>3Ph0$V3*az b$,ٛ؟3Jflς KX՞h)ڄѢmn6;hv,+Xml+UdN0WXhR2S򟧚$0 4Bsm uhc/dU -u7ߧt@NE]WI; ;Pګ"I()j~~U%Xhm W/{qPI 2VJP1̞NWqњagb}c4O! )}zllYp*QL n :D~(tj^IL`LҐ)ZQfu4)J5Q`1֥YW៘]^0)01,n ~ Ίb&Ş3E@#g?Aٗ+dKzydfXl9,u2ΠN[ m7(w*ibB, sWDZWTQ抪$VMʺR^E2\NHFU;Eg{"q`*V=\;2$B4FuW]P6tR~:YfI(6Mzbz$lԏNYN9ڒ$p{--;mU{b$]]-Vڴ95J?ȦH2o}MA(ȫSJPa(%3Olvd qM Ue";[ɟ;AJ³&No{sFi):( H YP~2Ѹ@pS6Rm:N0= jȠ}"%{ ;WAKl<,WL[ҪDmkfIw=^g-$Vη Wp+}f@AT #+Q}NRɒax~X>s5[/zKGpΕ1ߘ ⪸m'IgK 2[+J/]/b]b?DZEӞ~k"mEIA}~sΗmq|]]=*\nCWi?lQᬾܩLj5n =Wc7Dh- tLqN-5UQZ]s-ڣDE=PDGa͸/50o.CW[{=#aVF~OaXMu8ĠX M˧p2) fҵw66 "kn#7H<~ kӻo l\Mu=7J9H앪l쿫!yَk|J !շ_h*Cg g dl䂴,߳J/.F+Aܔ>ϼ*ӷoo5o.G77} Q'`ӽw"Ժ|)Z|=oQ?ξ7|RKcC@nOx%Fcjq|B0Y~1$/k_+ci0;8dz"pJ%Mp%UvtoGH[l2V)uP1-F7~[ixX+dR`D$__! t3Zh/P®u nb܍|B^_@R*Lo9 {t^3'!k8d+saCKAASʗwlC}ڔ!æAb%p;0l^er~] iݝۅ pq0@`  #]dq'RiƢ 85ϐxbA/j}F 9?qexc<{ JCܭЧ]ly\'j5Y X"rEZ|Aq4neC=˓ܶ* +\U 8y$ҖCft-=ʱ `]QLH<8Ky6a* QoRX  [0%6$z,CrC*S߅6o\{ u':_spx:_pW{O%Je 0,fM@ݿJrl5yS';2|S˱EZË0}s,qག Rjŋ\"^A#}fRz'íƃtxr endstream endobj 17687 0 obj << /Annots 17689 0 R /BleedBox [0 0 612 792] /Contents [17695 0 R 17691 0 R 17692 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27767 17693 0 R >> >> /Type /Page >> endobj 17688 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17689 0 obj [17688 0 R 17690 0 R 17694 0 R] endobj 17690 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 169.4802 608.2] /Subtype /Link /Type /Annot >> endobj 17691 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17692 0 obj << /Length 19 >> stream q /Iabc27767 Do Q endstream endobj 17693 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27768 20830 0 R /Gabc27769 20835 0 R >> /Font << /Fabc27770 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17695 0 obj << /Filter /FlateDecode /Length 1551 >> stream xڭXn7Wlilh `A0 `]yȈXnXX+bqi-yd&O,?ż?'㽹,>`0°GO=&}'^;˟N<Rpzu.?lCyO2ɪX){u1/u>-#(*تmhS9 YBr 9ل+UX^/QJ;tcvje3FoTrX4Ȑ;394 s mYBv \,+.]㴴 ڄ*Gi5vC؈FىV[Sk3I6/ !S>֖Rb6`Xƈl(Qb7aVDm -QxG%@:QH$ې&WB6g]|++J3Dcwmp_țZnkí')}nfۛX)֠1 /1=6ZmؑVBF.}:fҵðFullZ_V=`;Qz5 [cA]33?6lR)꽯1:\fkRM@KTް7ِ gU,ֶ,ԶgY Z+Ks%^)l49*uV?*uXWoI^[ wN>QyyesēE~ʡI Zc}!xx3ؤ;V x1 !RB:5h;"5& 1-+m*5ȩS6hte&8Rfq334r4m!U0r+3\, I}1f}rX-t/5 ]=, \hj&ZɉI".uΛˉk/<&Ҕֵ*^y5W$u#DRs|C0u˚􃿹 UjMtwUs{j6=_6M~9ƺ3ZN|{upOO"Cw㣞u}oOq3er,{s9ZߞqNCn#q\B(Øw8׻瑍?Vrx=AA (XYqo '3\50~"* *?T5xGIN>\W4\s7EhF˪j{^IU&խ 4H=n_IV>)i-?h)Xg8aՒR9Ԩsa endstream endobj 17696 0 obj << /Annots 17698 0 R /BleedBox [0 0 612 792] /Contents [17705 0 R 17701 0 R 17702 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27786 17703 0 R >> >> /Type /Page >> endobj 17697 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17698 0 obj [17697 0 R 17699 0 R 17700 0 R 17704 0 R] endobj 17699 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 366.425 111.681 377.425] /Subtype /Link /Type /Annot >> endobj 17700 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 366.425 139.8465 377.425] /Subtype /Link /Type /Annot >> endobj 17701 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17702 0 obj << /Length 19 >> stream q /Iabc27786 Do Q endstream endobj 17703 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27787 20830 0 R /Gabc27788 20835 0 R >> /Font << /Fabc27789 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`Rӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17705 0 obj << /Filter /FlateDecode /Length 4480 >> stream x\o$;ny[*0`l iv ;!)곪ݶ fURHOToiLx|vrh{uKt!Z&N)(swOԧ^ݩ:?-垷Sў]U>T/Wk7_@_ZšZ65h߄2B巬'+'̚_|Q*Y{dBZ+RD*c><*3=,Z:b%c@B%1A _UkPq^Ĵ ?P3 ymQmiYWj,.ݿ&|v'jv:*#=;9;(&fߗZE^AS U."Yt.%pW;X>h(“'#t+T*!,g"3:b]>D4&23 Vicbg"VSeRı?4Llq*MMm#4D9~WsԳk&;c˴oƾ-/`ߞ졳 o MX"p,L782]#~=wEdb+$js.b^lLU潲Cμg3/ z&UfZsbde=9ƅc֝ 4vWyZL'8Ms-b5v pP]牔]3 0+iya z..ZȴW\i~=6?Dpn0;yf)zK L|{iFPG~6|oBGMc ןy LM5q Mh`4~;OUe@4HT4o(2R qQ+djV--a|t&, UG|P`3UġjYmJpґZ5Q>DÖ mmtRG&̢%8S0@kk2qQ(L9QߥfE& GjZ\k%Sr:&LCXl t`kT0.Eoݘ2,&g E QyρF[h22Ú5PӭՖ{Y*J.퍓]П;W<Ä.d2a1gK>4U\x~ܤ$%63ro$nӘbD,ȸY I*ӣ佊2:{lȬoc2jFA*U>YQb@Ru:ffv.L3 hj}S384=6mvp˔̎ٮ>+|Nu'X  X=X<,L ujP}t۬ꦢlJWrdd6z׺W6+Yqރd=N \df8N„G$F8OIp_'I~@K$vNb"}.>'1N_'kt$N8;}Nbw8wp4k P P7W__P}O68x)6?j I!L2<8R@gIVmߛۙ7In&ǧS*{M u?!Ã/2ٲoo瀕V_E3 >.Լۖ͒l }.|7z ,6_emy'-+6售; zےsu9삸ug9]uD`+Ǫl| 7VD?=[NG^]v sZ6eKnUXRw/.8>VlMs/P[C A墲mw( M+uu+fN +6/'NU걮P\ Q۱[n!@5E d+`&խ܁7:}UŁ5fdFs\ t7%{I5U9uM?>YPDi *T}TvˈZZ%(s'cӵٺVBuK̇!U0QgGކEI}Uf{͑%vrv,ď46ۓ@"v{̚Sm}f*++Ik / $ 7`V wHP~nSW)*Ԕ )88; #l*;:ʺ ^n>.GOKC#n \p~a87DY a^(Ԋqb6uad735qî͡WNϋB0ZCW*D k=6 ѭ]h_Êu'(^\ԁbtך)3YLSM{k8]ǎXvborF8†瞰!t}Efᘦ}R/N_[< `!∡X2&d>QmD݂༿p _gY=Hb8:x].Q0S{Fм5hrh|?ksiB.]nŮٴW p6c%ᗴBUPPj/&:j{ &ițD\ "A6An8[ƕW:HǨĎ3Xϧ=WnU4m[[pJ>7\tDߥXb(-څYM?T`kbݿhfqidXux8WaV7`M ` }Q c4?3I-]D?s[W7/8ɵCs<9n/nNϛVM< *+wcnt\[uckpNs(S VھgFf01_nCQW^70*]d ʭ0! ;sqC8&! ߊ!i`Εh=0]"D?e07J ~sp!/&D-MqCG4=5^Vd>F1'-rM%&,FL3m8 L6*oQ tZZI{5:{Gs8~ 'nuVk,L RF,mT;l5Vo%O?CcB@FǼ4߂ pU׋ "#JMd9qG)۬'x(W4Kq`1p$ %l(WN J-1gJ|'M'Wڋ R}'VK7_8e#ƌTfq%SJwTco$/(yѥd5YYu*_S6s)bT>{xNVqp^fmh3k]ubA}ݳl)bo۸ >I 娗ZAtק+=<"*X4ƚu+0_贇2lr(JdnS"`hC2'EN]+u"?)λ= p endstream endobj 17706 0 obj << /Annots 17708 0 R /BleedBox [0 0 612 792] /Contents [17715 0 R 17711 0 R 17712 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27805 17713 0 R >> >> /Type /Page >> endobj 17707 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17708 0 obj [17707 0 R 17709 0 R 17710 0 R 17714 0 R] endobj 17709 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 359.1462 164.1782 370.1462] /Subtype /Link /Type /Annot >> endobj 17710 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 342.9461 144.2737 353.9461] /Subtype /Link /Type /Annot >> endobj 17711 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17712 0 obj << /Length 19 >> stream q /Iabc27805 Do Q endstream endobj 17713 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27806 20830 0 R /Gabc27807 20835 0 R >> /Font << /Fabc27808 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pPӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4Gۏ"bH&} d_ EX|g2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶD endstream endobj 17714 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1630) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17715 0 obj << /Filter /FlateDecode /Length 2792 >> stream xڭZKo#y1|?A%Y 6=y3ve~G$=0dbW/7eruU>bPb?;>icFGTy뜌y 썹z/PLd I'[_{c319`tFl$xqz;JP:[Ҩh!{< hLuB0vQ:EXp'5O.]goe J'`K}^ gϧ<$G-6u0cyu% 6E*;"<0@%oIJ([<60:wX~2nO=uWst)|llSI6fJ6˰G20pNI %8A!yY7%3-77F~?i@|, Hw޷ĕ%c< -ϖDB  L|V CBVE܋l6 [: )XsiWuCJq2RY/|ѣpD}aS25y$X"Gy}/NqXnYY-9y85 .Mb= Y#1{x X8~cWD'yLFؓ8dB-=VZ$F9$b&LڕU.܉l'>Y\ gkփYGtC Jk]V'ة_RDv{+o܍XJ=?F9B9앻WLM~(g$IeFg5Û&{3d]=ʲ `uu$lAI5:$O>zs[f<-ʑ)98לal*:YɪHSR;Y3DCdSl˺Q5Љ/n>t:my)9F%AF@gokv99.̬ۤtƾ9hbxwr%{EP@::4_=T*TdzY%[mÔ!":ONpeڱ:4j}54n0/҆<-o aE [+F K|.5F%ֹsc +rʉ߳.w]:U};|F6ZxǜG||Z-RIk+샢/ '=7gf}\ ܦRA`I{ ~>Wn zFиqGmI, jtqs)u2M@0{F צl׻VA龷y'\b;戊1z;*H-PZ rU 1oD+sc*v@^( 4;$Sa7XF\Sdw;4*ª>^т)N,}@E(Mè_]qpfsT "?Q:]QDl*GRd&X#gдBN Fxsag+-Qf}yWm.-Qm!jfͰ඼lwW~+38A;5=a;e䵏R`8?L^3xϲQ4Dԫ8AeE.f~)VY1 Z'YVLURp FA)}/HSuϤUXL<{YFG֭0yQ@3HiaeTW u[ GҺ!.a;ʒ%^V 4gy&wDh Y,XxD@@B{&bEZoYIN^' Fo f8`/m{znj֢iHIV3IJ7g<<˗6R1C4#S+|yܜ[2uSy۳9f,,GŨ#Ĺ58_AP/= ϫk`f! `bOKכl[/ U'5۲C!ַsޥd#2䍔j>I "#{ !Kүläy@wD9g*Ow`hT|%3&!QI<%rbdȬCĞI}Yޯ? Qx<֓q44Jɞv)Rm& 8tVi6  Vrzsz$FT~x&pC((trN 23ǗRBB$x@.nZ|^'މ.tI=؊ 9*wjlJĻUͣ_|O|УA׸.z]<9hw endstream endobj 17716 0 obj << /Annots 17718 0 R /BleedBox [0 0 612 792] /Contents [17725 0 R 17721 0 R 17722 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27824 17723 0 R >> >> /Type /Page >> endobj 17717 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17718 0 obj [17717 0 R 17719 0 R 17720 0 R 17724 0 R] endobj 17719 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 262.025 111.681 273.025] /Subtype /Link /Type /Annot >> endobj 17720 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 262.025 139.8465 273.025] /Subtype /Link /Type /Annot >> endobj 17721 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17722 0 obj << /Length 19 >> stream q /Iabc27824 Do Q endstream endobj 17723 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27825 20830 0 R /Gabc27826 20835 0 R >> /Font << /Fabc27827 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7pQЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMKb endstream endobj 17724 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1631) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17725 0 obj << /Filter /FlateDecode /Length 4685 >> stream x]K8W<@x$H$j=,T3݋EUCDَ ;"jfЛ]e)䧷Yt'}{2t~F$Mv> 5_n뾾wj|#=w/=54{]+g#wGl&|3H=/|w/W=q[Po _? }Fx{팋pf /v3?/cיVgEǠ;>vFq:ҽ#G^P1xz=X|E5hr |C,7dPWCGYs4x0z[BgciH{럺/Xq( (πt2Nij0:P1ݿT{;HzIPӋ6y. Vh)?'峧gR-u lJp錍/Mz=Pc{S&b~*G_A1~p4ʻ;ْPO1=>gb8:#ҏ/RfM-pŃMe"_++yc4Epj@[эO 뢪us]!9o x]a7mmnʷ ^]^ԄnSF(655{Tl\GtÂRK !Kzyz8a~gzȽʈ# M'KPG({OO^F.}K8,(:K4UsUbsa8L(%cl.8GS*"auhFLADeu(ƙe^+Óa-:Det5r%:jQV]Tn.S9ed2LTs˴teG$] :Ljb_f'?7Nɾ?8> Z3x@f.J0¬4CD xoӔI$Rld1EZvsvG4̠Pg}`(TS-֞)]gsR*  4ph'RR%ih#)6ϗ1*|&V{y9QQgcXԀͼi}+>hx,fnٔ:i}Zx (-}!Cyԅ&d <Ծ3 D˝\ yZvu]a΀NClf1N--V*Y2EQuGלM0i&5sJ88,IMpȁڒdd5Pҵ 5,I",nڗjwNwA4d/]Y ޶E $3T&8s]dr*uh,{} b|I$>6Ӧ|ܦ1JD\`ɳ`)DgBӄNM:6K!JQ 1QA@V& QkxYr޹_%Nڅ3A:@ӧڝ9aYEUy6dLvUUpc3  +`ѝ@vم&Ԡe"wKds+cqS%4["r6-@ZǕUbW+6p2kps8YFOy89NpR-Z8up' TΝfSIb8w}N83pRgz[z;I{O8ӥ'vэO@}P;uL^<١d*q/9ɆIݱû5~Pau@RcH/@d:c3 Y6&):C ,2Gc>O}thEj:_}}"_&*ta+:)~| m8R_].'{ry;Pv` tr:@#b ăMCl?8ؐ0F(HL˔naٌKear%F;94 "^3ƹG9c( ؀D؀~)Gǘc>Ϗ2L[~W*O FAT~ 5<8T","fn/r fi YM+jiV砈I{K<&Lh\r9wr9#{t[ZRWmb6'`6y0"𨃁OXXiKĂ Cր(J龶Kemۢsi<}H&ob`AZUňS0ʰY8 98wEV4ЃLzIn+VMԡ: Q0 " EZ6QDZ;W[j 7~X m"yQdp0ȚF&@uKlmjR-XZ~.MdV8k?r9aG3{6ͺL(K'-^hj^ hKv)5d /t398`ŏNdhȞr<>EA D J,ztxjMv,zh{a%W>۩6T }^rNh5;L8 L<5 7Lp`CUq.=1>-v~e+ òcB=μ̭P3m:5=4B}{#y_!geVOP o9*>CUg;La_ q4:/VO2:|Pu]r,dwWO]}up CCpȢ`J«Ä"PPK\PӦi!-'q=Nt5.K'7‘:ߺdP/+ńRjH7r78*? RivC㒢Š 3U+15*mJUjuL|t=|uOuZQM R?v)_] SV79d7q%>bR2?RAnz^%fcy{%+%AERFdx-$Ł ᒤ *%GQೖ4Uh_^V83LZ AN洵-/M<8Pr5sa0x%RU,EY&eŚTb,McoqJqἪU% SQo0P}*eыga=Y7D_ԗvo|c.cn2&N{1Nn GppM4J^@ 85rƳC %BJYhqZ| 6DB? rfH<ÔMՙ)6 cy ?Ot<# ~-Uq̝WNJ zsL~/MJ?üp`٧Zyb$J!Xec(WO}-C> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27843 17730 0 R >> >> /Type /Page >> endobj 17727 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17728 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17729 0 obj << /Length 19 >> stream q /Iabc27843 Do Q endstream endobj 17730 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27844 20830 0 R /Gabc27845 20835 0 R >> /Font << /Fabc27846 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17732 0 obj << /Filter /FlateDecode /Length 5104 >> stream x<Ɏ,7rw~E } tb@7y5A?ſXgVu[aX׀T[xʻdD%/ ȂQi.tt0PEMb"2]ÆTZ{I xl . Ե@ O ԥK2 Nh ndAU*+58"3©eXuyW]?H~Pիz}3n1z/7y LROqcz˼[BkT=1ϳ>}/9.{?(I>ϒI:Z% @;6Z2%s14X6l, &xb0AƼ V2{Y G 3l_t=?s9*jO! sY6m)xd'zUm?b+j4͔xE*V} x׎WSI }:VJ,}8R %Q:ŕùS'>KpځUld'`;4BPLtb<(|bS8]/G.hAFO݉FRx:fNN\Dt{yNQ6ncq 6& R\0 `."z,viʅ|nxVer<?I/ঘLr/i` k9‡)N h(&ee%ӸYt'heb *Lf:5xMBd4Hmu)īQ4>x"t<> ^c UQۣ-Q߰(d:@FM\+QQ'][G0v*4ǻG >Gi;Y5K:T/t:X\ N֥ ){?t`5ufl :p oY?~TΟՅr` MP3V! .ރg g@g:C 0Mɽ  T!DU֫4˘8YڠmB]׀aòeIp[R]OXo`C0A r,@dFa8%/!AvGX'HB ]6WX!+SxT`|c=zM8ρ^F뻦hr{-Ovp¨n.6syw]DfYh@3>0$_h6z$@߽j+֓Gp6IEM ` ku6Tဢ}Ng> f6z/V\9}AG3"=,T1k#)&_Cp<J\50W]Z0\MۥBp 6(v&!D) kA GLHavF lAᐱ<ԁ@=2v~klG' {pGn:c:w[lΟtgKGnNJ`W𴋩ɌIregD!Dea՛SeraZEj!]K`8ENKcUQazhM>\l1T3]C _4~p(Vǻ^5<'gᲦT1c"B%HAQ(+5L_3bmYK<&(c{u wkV>'fAG(I3+]_Za09K\XQ65DIܹ)P00ٸ "wW?{AZXy1P1OYH2I xёf)sNԇi>%7WS{x 0`zAv@qa .:nMhɕ@p CX-#o>{TxJc] @&b\Ep6O7fy<\1ܠ'/@ nCyGFG7vaH?z.|@ '4[]o⥥uk[jH@"I!߷o40u@{0J: vQZK;0W)M^WLp0HzX#eыN0@F? =jc=LoͶ`Yzܤ@Ymu(&8xϮ9:c_Щ,С G˒NP3kXM?X *2Y]:"Zu$f@*bƱ>rL#ܤBeIAK' ǵF,zyrrZ^;3;B7wsȂ[=?J6 ߤnt^Ͱم+m^,XfJ1^y7 Cqo a*`U@ JP9I@]Xr)MLĴt5k*mRpTEAC5Xehxtj/44 *>Srao8x o8~*ݞr|)fB$8{n }+mxv\ \;Mt%}.cψ]6i=ЌNf7v98ޝ;Mw= 9p3uw2+M| K%maK{7ymmM.>}dOzPW9iGS;o5ZF WR>p;N\c-MK/\\fSaFP0Dž_FuH/s: NyKujsf_K\s09rS%\8KkرעېVQYavSGP.m&ȕaW8(cD*K1΋UH.Ƥl1뽼dB.5,yw*X.Z ץpBymU̟FyIL~Vmi'f-tI1P㩸3~|YeJdld'%{DcNPN0lT0J ~1TSqBͼїݗ3v9T?y@}vhM`$%NJZK} }^?I9MhQ723HEp~惄c)! !CjYd]CFQg߾\j_ 9 jOj ̡aR‹lݐ_&>u9nw!^_Zk sJW/Ysbd{\kμyCO{kl%q?]4a$! NX.c윆q;t:RoK} z55R% ~IU&8lrCءBDRa\&%uP1r '3fe޷( (/)2ь@D3VЂ@{LI޺\GI0{̷1OȋQj]ܤ:c f*,1L)ځLd x|2pDnZJ?u)M(M)B줤 s-P"[&g4+lD]JWf,r2ҕ$</Q)~7s˜'_yl.]TØo&[z1'@ ĕ{fcO%6;8:lnAr>>Y䊍R]` ӿP\t&^XeĉܧL7V`Ic:B"D#Wi(ʳ `O҈ah8Wy6VTV2_O͜B ,p  ' 5xR5T{WYw*7% \p\p\(cuuOn{~VtyWWlKzph4+ڷJB9 cՓ/~/W/gÛ7A3l878;L?Y2ASsyO ܙOª+ ;x7*VH+h. >#O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27862 17743 0 R >> >> /Type /Page >> endobj 17734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17735 0 obj [17734 0 R 17736 0 R 17737 0 R 17738 0 R 17739 0 R 17740 0 R 17744 0 R] endobj 17736 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 265.0538 164.1782 276.0538] /Subtype /Link /Type /Annot >> endobj 17737 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 248.8538 217.8802 259.8538] /Subtype /Link /Type /Annot >> endobj 17738 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 232.6538 154.2562 243.6538] /Subtype /Link /Type /Annot >> endobj 17739 0 obj << /A << /D (unique_749) /S /GoTo >> /Border [0 0 0] /Contents (set_input_jitter) /M (D:20211013063105-08'00') /Rect [104.1732 216.4538 176.3717 227.4538] /Subtype /Link /Type /Annot >> endobj 17740 0 obj << /A << /D (unique_751) /S /GoTo >> /Border [0 0 0] /Contents (set_system_jitter) /M (D:20211013063105-08'00') /Rect [104.1732 200.2538 185.1222 211.2538] /Subtype /Link /Type /Annot >> endobj 17741 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17742 0 obj << /Length 19 >> stream q /Iabc27862 Do Q endstream endobj 17743 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27863 20830 0 R /Gabc27864 20835 0 R >> /Font << /Fabc27865 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17745 0 obj << /Filter /FlateDecode /Length 3048 >> stream xɎίy+0P6A99UU/y)J] }H7T)+eU[mo:ۜ1bqo;{}ښ)^ƦbspOgcA? yŀ\=coq &7=> јL$| 0 9< eI'cǃboL"AYڢ9hga60DDhڐm"b6XKИmbZ9"Ae\w:hE y撘4$վN~nBm=5L)d00Ś@"W&B 9$R#ޝ/ jCg[7X gCdG@P:'6vn?1s3DeDּa뻆 6yl3qXd%Fm`ރi^_ތϚS #2m\3 !ɜ&/'^=AlzP^c(#)YT(tV͉bg)R΋DsF\#j=Exxxr"ѼoRC)Ԃ(R~\:Wز$qKMC .)A|I\*UP \>En]>KE+s(Q(0hƮenuȺ;&Gt43Ո}l{B{U:#)I79kC\GԽfsCK[Zcu.i\=ϖ֤Jo Ic:y8?N _yx9B ]atן M)]Ul*53u#)Y2P}bL􃔩5 M.(Y\ G4/:O%`ɋ{2X>,H mtHnm ,I\x&^x^e]3нބv>@Q 3DtF)^q׻_0s:yɦ8MpAk)۩y( QnX2P0lfdz\_Ԓh&GUk8 pZKg2[%ZWAJTH:; ڔ957Lf r lG }/jq5\eɌ+օqfRRT5Zꚷ,Һ!*mɓg4/+d vmN2 XYOӝa捚6975x6~Oeg:C3#|֞6 .Ei [ 0w]f2@{0Pכ#oJ*܏p\Y33 :QTfKV<;Uf7_H8Nxw+wuWPE UlG,Ex'$ P> v0cFbz_^ºrO"> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27881 17753 0 R >> >> /Type /Page >> endobj 17747 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17748 0 obj [17747 0 R 17749 0 R 17750 0 R 17754 0 R] endobj 17749 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 280.425 111.681 291.425] /Subtype /Link /Type /Annot >> endobj 17750 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 280.425 139.8465 291.425] /Subtype /Link /Type /Annot >> endobj 17751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17752 0 obj << /Length 19 >> stream q /Iabc27881 Do Q endstream endobj 17753 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27882 20830 0 R /Gabc27883 20835 0 R >> /Font << /Fabc27884 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4~oC25>S~3ȚCC 0yE;!%]vhw%Tsh8r-Zݛ^ endstream endobj 17754 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1634) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17755 0 obj << /Filter /FlateDecode /Length 4723 >> stream x][o$~ׯsRy@<>U*wE.TE(߹? {Nr3s/JƎ+ɟmf4~xG~PfKW']ռjW'*#^܇ nɟ¿>q48/܈^=÷O/\L6x%&vd'f73IGsKGI7ard ogyXPOPqT ]o6 6Z,D ("yD&k{ 9d*AD:H?~s)8(Zؒ)^\~ !4{`%>ן?Pw a'!q_ NT?)jOH >@KFs֌E9-UqIJZn[f@z #r=>׉K)Bz}OlB'Gs Ľ# z2E Q 8v~P'@`Ug FBVX“w%/) x6Iv30=XAbS h|=WK9X>NY6ZNѱX"LNPbj % ) t[KyaD]Qe{ud]22('WN[ 1\eB919 Ĺ)Yk O3Ⱚ0(cU, !˺èr%Ŧ4D+^QUz0]eXӴn3+Jy.*f03hO8ts0UaTҔN@[ _4s#sa  \\M‡n6a.n0"%F "VeRR RNrE1#w@w.u$ú7|vC3:x0>z]GDna<0{a0P IETT=Le*HAYI0'L 'y)ȍ@yt=E}}lG}GOnVK-m/֤0BM\ұR CֵB%AGι`:̆6.rL}'M󰍬(Z+mgwvA?Zib}?vH$,v75ԵV_^ܢ73>V;#(w%pt[= c@NɿbM2*XSG{߻$P,o8n>ioDŃ}{K|L$UlIQ]ɑ{s#tEچ߰~ՉH㙜jVYY8+(z2C r>!ߍM9֠6yi,vZ4u{ٝ:LHPbj^gOWD[ON L礳s.*m|o=N-7p']XWcho3NoBS<81q A3||Y|"w>pf]xJHXF_ T$,WR<~ڙ+^F&r i JіXmqȤjU}]$%hP4tqz2,ct,IxZZJʽS^)UFj+EFNl, cMq Vߠz'wJ\hԂwnחSk4$,E?q&mRc0'iJOb3쥡=iK@)FւֳMVR?_^S scJ K6$Bg֢m; *!pNciJV)2i&\!&}RBN_0OKk%rŖ\4 6۴u/ Bg16K'L,Qb MDj۶XP*Y!V% 5M6+IMEK"*c%" ,Uvpr[m+jSUL}):U \B.`n8B`XmXH"#5bm!O몖VrblJӲFR+WV: XpNr'J)pVpZI-.NNX'~'8׎]*v'X"Nl[ĺpb>o] '1;NlcvNl4;Nl9;}N? O@]K1|PP^='>t.Y O@}@]|}l=+!. R=Ag~xH=wCg>H )g~ h|y۠bDwu ؞k@vD{TlA~Pk]wuT캃ˠbD]~t{Nwbw8v;:tw*vT1OwǠb?þT bhP7yw-{؀H@$A;Tz؄l1C}``bLsr;ԬE [AUnϋ*uQ@Dz OÝ-unJ5&KNa0Lga&7wv/ g0YMlˆI䵳)GEl鑘LHb5zfRd:˘Lǂ&՚J^ak,W #W.s@H?=\qXaOrĕ|rxd{!Tm/(К\Fb#:o;&U!}21)ٝ3ds36Lb!/žG`h3\f.Yl,AR: >:A4( :s4D((,`55aƯPh>5qJ<2>ZT֔aɔWLzX:"g9T^/l !61Zݫ g?X DS5^բL<Ƕv<ş(pBT7d>hFAX"Q(\4Z26 zۻfihqk~=ĵ CB㡥\^vNepN78=VrBdQn~5eyiDiB='-IC{j^wqHgRy/t"ɹ`BC Ѐ 7V? &eg5+1 ?ȀgL zIZ*q~ 8С1IRp>~kPP/On3iIQK!Jo9gkn[l<J47NB I ^!s8 D(e0Cq7]mQQGS.e;9 !hɷ{6?RS|;ߥ9yVwh6B8_h FDr XhT0[AM#s; w7}Xr޻H'<h بVqY[S96/iC3ũz]k|uHKlQU \36BGoh.2UG]Ǧotqu2=GnG4tEz N!cGJB\Kx"1_{GJ!򤳖!ST}t8$6ȩ$c\zI%(E ۚ,s,|w)\c Ъ2W*iĝJu$g*+5K}FԎӵsgb6)UJtRE:]-Y25 /EoipQqաVeKݨi]d/g{v\YOG1qr5V>V4?1$]B8{thNdQE<5Bb|SDI i7rt/RiJZ0u^T2S%>gSs[œOe#4px/#`X6y4xi7*B2x5D&sR&0^S"PZ:'>ˁy & ' endstream endobj 17756 0 obj << /Annots [17757 0 R 17761 0 R] /BleedBox [0 0 612 792] /Contents [17762 0 R 17758 0 R 17759 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27900 17760 0 R >> >> /Type /Page >> endobj 17757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17758 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17759 0 obj << /Length 19 >> stream q /Iabc27900 Do Q endstream endobj 17760 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27901 20830 0 R /Gabc27902 20835 0 R >> /Font << /Fabc27903 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہχv͇׳Sp<)\T1BrtGwr LF r$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(>G+>7!fZ5Y}GܱYZ)6{ik_KiR⩔L(u$R&o2VK2 ef[X]MO"bH&|բ{xyۯsYsshf^2 GsB)sS0Erѱ*E拶`ѼnODHIڝA U8N\j|;B}* endstream endobj 17761 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1635) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17762 0 obj << /Filter /FlateDecode /Length 3273 >> stream x[I+W@4FCn9vZufzfkX,H v'+"=#-M~Y_wg'?W]TZ]6 _c앉(kmA&'S:Z. *_< 2.BumUY#WL8ڈK1:iC%F^83'/g G&NҚ(tEŔ*#oK:BA_*YUg:$hs8I#Z[i[M|f + i8|Mn.aBǃt i|̅zN7 #h_C@0~s3(T8L# qjTmg*IkϠ`ܕm#%lQ =S@@Ba;]X`0&tow9 |5^CI3d` Fc @5". K4?8QVp%ssM*aTOl]"Tg{p-0g٬IK.gk*/ı2)&dbbc;kg`MMR}>Z?(`EL7E.* daAr"L0]>$(~uNnfU▻mZ5zkBP 9G+dpxsD8"m{7|o·cM9ukfÕDWQe]~U-C~:c?~ߩҫ0lksʾ[^_XT>PJ1we] ae vPb4"`߈ML_]> ̈Q KB 0a`<-:Sٻo5^"$ z/aYN 4/@6NϋRsU+1re8{}!YyżEU+ sl`N\/v| %\|Q圤_VHvY*gW/.p>~zyT T U iʸ57q\+S}u`y2 qGc|#ںTXObt=ڋlkYJRaFH͍RR.@SPo!˫hwR >!=nCտ !0PLA7?_ׂUh^Dl(p0z`[+}Ȏj׆}cA%: .8* ЕgSU%S2ܐo2)0)~?)EAt҂H{vk]'nDpsqɹyf^~y'M3EGvwm>(kg&lh| %n:roGV P{m[(M.1HJdzשl6KXo,H̠J}.6&*ÙqN<@dgO `9}Y *UFƽa;XB[`B2@l38a[YMщw+z'x|C .9Bb \LMl/|kL} 5@:5@SXH FizkArÑ!2l&kq)sl0sĩ 1pq뭶XPA<CR_HSFńnԖKUݵm풖恌/N6ch"6ALYolAs˥}bYtGsV#1De2ƥX10=ۍ/73FN{~ι4co.oB8T\4>zYEڡS֘p۶l M3/a% 4ϺPɆO6<}Q@ 럩LJ-C1{4^G}"wNr"%^g< kpj?TȒ3I?2x~ 1> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27919 17771 0 R >> >> /Type /Page >> endobj 17764 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17765 0 obj [17764 0 R 17766 0 R 17767 0 R 17768 0 R 17772 0 R] endobj 17766 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 544.3 169.4802 555.3] /Subtype /Link /Type /Annot >> endobj 17767 0 obj << /A << /D (unique_651) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20211013063105-08'00') /Rect [104.1732 528.1 172.2742 539.1] /Subtype /Link /Type /Annot >> endobj 17768 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [104.1732 511.9 174.4412 522.9] /Subtype /Link /Type /Annot >> endobj 17769 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17770 0 obj << /Length 19 >> stream q /Iabc27919 Do Q endstream endobj 17771 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27920 20830 0 R /Gabc27921 20835 0 R >> /Font << /Fabc27922 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJ׬M CZNNٷGӮBxg(&FEG7uߊ dE4 <~UW<7!fZ5Y}GܱYZ)6{ik_KiR'Sb:tD'W9F&Y:)WIkp:3ϳ-nYGFx|1$IjQ<<9C99 3/s#9p9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w1n endstream endobj 17772 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1636) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17773 0 obj << /Filter /FlateDecode /Length 1867 >> stream xڭXI7W(EiCn9&\6UjS=}oJN[?4^ts:E]NYQרӏҐA;kj.!Ǘwjm:Y#<-|ЏTP 0ޛJ.O;`My9ha2_m,~0> s]d預|x^Ǡ~T*L TEB!`ڒJYi>Y,F%|W𸜇9X\gK+l-i T2k1Xdծ5ޚhuz؃ "35-Hh>%m.؁Y ]SVk\hbãi19|XG@II_'3dWuvմ jڒ!WK}~|?W ^L4>y|̇K Z 5Rzo'D˙!3sdCt.Ϡ5ڇ+ _yDߠ4)>95LQ2 T.RA`.d/ԥRCY*`O2$Ƥ^wm&*8j .̇O_ؤle-J\+bb3SIvS !̼]Npj7ng[GߐE E1o_wphEo4ބfhEdD}pWo|_)P젻Yf],ȣN]}̘#h/4x\P "H,LΦ`gv&!Q}W )P4.vam036د7Z[,Z_gh̏M™qR굖VJx)Q. ň8&(є973U z rZf;RP{@욲p`&1U0ޡó^bbWՋF Q$jrPncc&4SLD2O)=3<\ɞFLV!xxƲ,~Ψ۲.eB"xF1Ԣ(A(/x1T(+~QFQPbt̖9nb.nn=e@j+iM l6/4KEa wTV`#Ҳli5ŕBx#|L;x6VE7~.ޝb[0f!ȁK,t`x[ȇJXIt, wҳVGI*0[BG |{@ʟxntwq|K&&Mp{Zev$Il=J,S'=ċuuoD8"93$=|BK謫|J3 ?$ endstream endobj 17774 0 obj << /Annots 17776 0 R /BleedBox [0 0 612 792] /Contents [17782 0 R 17778 0 R 17779 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27938 17780 0 R >> >> /Type /Page >> endobj 17775 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17776 0 obj [17775 0 R 17777 0 R 17781 0 R] endobj 17777 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [90 428.025 122.648 439.025] /Subtype /Link /Type /Annot >> endobj 17778 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17779 0 obj << /Length 19 >> stream q /Iabc27938 Do Q endstream endobj 17780 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27939 20830 0 R /Gabc27940 20835 0 R >> /Font << /Fabc27941 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@ݧ`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 17781 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1637) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17782 0 obj << /Filter /FlateDecode /Length 4547 >> stream x<Ɏw~E Tk tuM ?kc\G/fKk6Fpفm.Cc+v5`1:X>L6/밍vTBm0L l9['&&T♠:AP 2f*i.z1#,pll3əU- "kbZX-j\k8o o ciWc@,שުW,e;U;:R!?x72imWG?F=,}$=W6ά:9@ѹݢ# 0֙FF-K2@`$ aQkDga'^# \? e [#E12_w2Ja#6U^< nK3N f[igpoeќ4)3:} R՞,jϗЩ(\`|1bĹ/ vQ[zM+ͬ9Ɍ>ǡ-Xݑbh\i ˫"j!#(CG|(ʽk[9=ⳙL `tW&޺|saeH/I^iϽ΃@]v %`ֶ`ی#'娿 :e e4US\7BCOs2"-ސd ¢+TW_7 #QCF{/9$`6!1jǕZToqzUH tƣ:ρ`:^Aљ[.X:-HuhQ*U'be:NSz#hfXTd\XR DZ5Ip<@o:R6)&Fn,^{$|q8σ!Kͯf Ώ"RR^[ 4bޛf-r-j/lU{UFDfB{+Ŧ.B&MRa g^t-@&Y\Z 's jG;6,dfk\\ U($2ҹ;O $V JJT%jX6:[ݫjuSڦx=m#Qzi%ՒJaGJ5!R)nǕSQR u{u1Vc݂,L+  PjSMЮNDd6 dYi2tPݭvgBghu=lI6hYb_%ƱyT v[-E֥kwtS%4 9`o!E@+PQ䩪9piRj:zgv#椺)- 7 Gǀ\ҶM&dh0}4#}IT3e0#?&~DGD $ٸ6G}m-C¥?eaf `S3@LtM&nf&_FXl %z&hR{\zsMIuH55kee :gŇx{g f9rxޢ ]|(-'qXԃx $g3,Xy~r;@OPO&#, l܆`nܗp= =⫸϶sSmS hƵP]T_c)rf d vȊ@psQ;4X#97q^S2ul,v UdXbI~on2'Qu] b2A-54=WV+{j>RY?V)5ꔟ5 `| '6=k7;\kSODӷwޙǐC1嚰^Cr] 5ڊ/k+xK }J|g!*SFxGg@H[yéɘ5ϐJ&g6yK5iLD_>Åy,3h7 D_3>d! `u 'e?MbVxܿe !&6L%$8rAue0@yZԻ|T='M>|;ʧ>|}HlEhQp}G\Ŕj55eS3'Ü\* +\]:P>%$~BnVM|yW,/zu©|J,3 T!".828԰Zz-%bBRLĩEp@Hl}<|baw)[;ҌX(RjjGwĥw/"*|P)kņʖr@Tb//CL,ͻ_\xmr+#Շ*Nwn7k!m;.|-1DD601 )fs9f^mX j)p(7"d\W+J"17]ӇBc9~;|Z&9ːpZ\] _X#b~ ODj;JCȉٶ?NѰI~G_֛-n}DSxEn}ˍr7y\Ȭ ւzY* endstream endobj 17783 0 obj << /Annots 17785 0 R /BleedBox [0 0 612 792] /Contents [17791 0 R 17787 0 R 17788 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27957 17789 0 R >> >> /Type /Page >> endobj 17784 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17785 0 obj [17784 0 R 17786 0 R 17790 0 R] endobj 17786 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 490.1346 169.4802 501.1346] /Subtype /Link /Type /Annot >> endobj 17787 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17788 0 obj << /Length 19 >> stream q /Iabc27957 Do Q endstream endobj 17789 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27958 20830 0 R /Gabc27959 20835 0 R >> /Font << /Fabc27960 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nljЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶f5o#x#?$_cxy /K"r!/dy%JivP(x Ph'-:A/}g endstream endobj 17790 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1638) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17791 0 obj << /Filter /FlateDecode /Length 2055 >> stream xڭXɊIW!}_ IȥR075sT3d5.͗HEf$"[6WN6fu X2:?_oE[?>[FH70/G~p1E9 |}0Wc;?`9f 匋)H3$Qt)eQ3a7 c特=*$ ?*dB@;;¸TfsF7`-QH_Gt2;rE&ɢf!/X ʠfx ,QIXZ1ȋ oHDMQñ1'h܋hgeG}./fpQ " z k2Aa (-H䠢_]/bkLAu.H,{2HSӀh"VTM]TtMtbv#1q,eT÷<=MGX`9dmj1K3 ˦ J^~]jb&k5٤ZLl7OĶB 2ίFc[Psjz5VuXl:Gh0uM":C!tx?n\S85eϷUD?7BFEƄ>N+huKĹڸ5mZӋڧYIJD0',L08q$:(`H756=gi8;0ݢ\[s⃴)b$<\.ւ#fq6OΪilw;2%?N3k<[Qѵ^ȅԓ4<=/pZ-R:)AC6O c92ZƺEQv>h(46_wNH3L1On5 d|f-cO1":S^ep %ZR8=I|CKb+擷+ 'N@x*ˁ2x|l e+9qJD+'@PBgu7GTG$, ӽy7/<`A""矿?p]Ъ 11[`,ÑZ0YB,+7kbqtYgM-J&t.|aų;jw ]r\~zo HY+bh>sἊk\3Qy7Uf]}m]뻼G']5KVCr|8^r+QnWTcizcڵ yYGjw5x%H.a~۝gc}$ON#ݽi;ia  rPƝ |Dʟox)>'x|;6;6 Bgu93ӝ tfpը3Y'/Ⱥ]?>q]88sOnyr\,5qzp`Dډ$(<.f'3xMP 7"0kWӐX@nz_AκQp!?$#sB endstream endobj 17792 0 obj << /Annots 17794 0 R /BleedBox [0 0 612 792] /Contents [17802 0 R 17798 0 R 17799 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27976 17800 0 R >> >> /Type /Page >> endobj 17793 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17794 0 obj [17793 0 R 17795 0 R 17796 0 R 17797 0 R 17801 0 R] endobj 17795 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 397.625 111.681 408.625] /Subtype /Link /Type /Annot >> endobj 17796 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 397.625 139.8465 408.625] /Subtype /Link /Type /Annot >> endobj 17797 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [145.1595 397.625 177.8075 408.625] /Subtype /Link /Type /Annot >> endobj 17798 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17799 0 obj << /Length 19 >> stream q /Iabc27976 Do Q endstream endobj 17800 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27977 20830 0 R /Gabc27978 20835 0 R >> /Font << /Fabc27979 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17802 0 obj << /Filter /FlateDecode /Length 4528 >> stream x\n$9)t /`pڕ g aѧ .KJD)"̲X`U )EHR~ȯiLTq~?]4Z>n.D+_)ý<W.+Qv7 zl]vuPfZH({j;WoY|ˣឌ|^~o&em?f"E+(E_|ZFQ*4C9>Cs/~iߩu><V-ܼthJߋ,"ciM! IoEԻ4=ZNfET|lI6ʦ_QQYrzuT} Cd_+>Xff &2)\i"OK~|wLfb$-4b,NBV;v^$ھY>>{]婴q7ipԨMWdDT],eܺe ojC]QL|I[ )D`|AJ'p{V(HOVC &L! U9,HBS=r āD5g9 AsЅW>?LK.4WdWE~_Rꐰ. R$- 6Yd"UGtۯT$zS%իF̑HGjeP(4lqAXaB8S 'ZZP :[jNDUFa,H,l.8+֓58hDr)9cvH"F{%r%fƎ9͆M(6E:UɱɑٞfD45tny*ŀ]>zwKk)p0V"L‱ꌁ1c&'&R9!o&oS+é> |Na2, tfB0X M VĠxN*N+b3S$۱Z2#@oj@Iɾ8=8Nt'3pfi('a8N3$'I4?Nc$$v18av 8 ρӵQn8~N`v po8{+ʸe%0ˊM!x;ʺ Ta!ńVhLOE,qC@ "[0,L1xEBΫAۼ.Gqp 4_RT F_YTԈko`D1[ 'ݟ$MJ] V^o~d΃֕G,@(xZm1z n6mOں)<@ODb T^{iiռ*/u7lm2pu+q(-L- ph0876k7I9H+tjDOP4$r@"#9 [#(,dMC=15f^B?WvJ=^綦˦.0.di 0-&}(t}3L?d}& n"q=`+J/Yݼu :qD'2ޑ]QQ& ϝ۲ yqǻyʮ L/Nقf=.m@")?'-MzR/M}8Ȳ*sbuM h=Fox\]_J)>=k/DDlrv]}Acڠ/!DLyސ Cz=r"N$6gTŶĶ)l*<*6C1]"6.8$䫨}po;Ar$h˸k]6kɡϋO#Sw"`̠5}}u<|TV]n1ob<՗^m왫Ѵ*zMghAgi~re6RAƭN´$Fd}"M%՛[ Jx<a ~'B-&8lyFm;cS2!0^tP1{r2sC]"0."bb9{~sC5lXW"y[]Ku9[՜sbf^b7^QSp&dDpIƋӊX6r+CnamNfyPePc&Yq!2 ["YҐ D 79b@6(S0+l6s7*#bEI4}بW>{3^9~,ZC&N|ĭׇT}]egƬ/_\4Ug]E_ũiz)E!c<d\_Fst-2bOV(]‰n3NT)b_nDl2KUK/T*ذRskT|{pĜ7}R tv 3Ԙs̶%讞gw~š G!Cn}O-r",#Oyأ|yϗi go<~иoJ(tF "*u7Q9\E5|(&!^as(˻N/sv[lULa%u6e' -T"ѹAnzJϏ`(F#.Ym!YGD endstream endobj 17803 0 obj << /Annots 17805 0 R /BleedBox [0 0 612 792] /Contents [17813 0 R 17809 0 R 17810 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc27995 17811 0 R >> >> /Type /Page >> endobj 17804 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17805 0 obj [17804 0 R 17806 0 R 17807 0 R 17808 0 R 17812 0 R] endobj 17806 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 170.4578 145.4837 181.4578] /Subtype /Link /Type /Annot >> endobj 17807 0 obj << /A << /D (unique_492) /S /GoTo >> /Border [0 0 0] /Contents (get_timing_arcs) /M (D:20211013063105-08'00') /Rect [104.1732 154.2578 179.1987 165.2578] /Subtype /Link /Type /Annot >> endobj 17808 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 138.0579 169.4802 149.0579] /Subtype /Link /Type /Annot >> endobj 17809 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17810 0 obj << /Length 19 >> stream q /Iabc27995 Do Q endstream endobj 17811 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc27996 20830 0 R /Gabc27997 20835 0 R >> /Font << /Fabc27998 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4Gۏ"bH&|բxy /sYsshf^2 GsB)s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 17812 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1640) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17813 0 obj << /Filter /FlateDecode /Length 3584 >> stream x[K79z~4 7/Cb1^oVKq V,UV_Q'>~VQl𑦿_/ozǺ8ksA|k֗^\T"{eNVY_m}&"d2s H`n`j*u)@䡷9<4Go\"v&Ҧֶa /;nx~VYb r=M}KW5RUg ~і9/G.q]/0Ջwc*ъl{lN'^m=2k4,$JAgc} +SaxՏPJ†͝Sq>Nvz5%صCӰ1':kcnaӌ;C~Ѻ N-zW%؂V66 z2hgBDQq$r gJ˨4SKjh xQQ}|hkۨ*֕q02.ۙ9ވkFȔ8XǔgJb}n1E_@%FL*XS [3$)@jzN`r҆:C QE-/jgD 62 $?ѧW"gevLT_>>YgiuХ]Hz6ib?~T_~'V y9I}=f,y1Ȭ@~D|~kGr!N>`=MM{&i}"y|)t{ooLa5m,7 ֏{oߖ>dfs2`GDmgyvθ_bO̮Vǝu3ިuW'ZYϺoܾ`3]% #7)E314&9-7fqHi 0`f!qgo&&˄K)^6yK_C,NT5Bsd4= iB/}-XN9 i3ar+"}/jz'UNO=e^G 7 qb{%,^ʼnUr|#NW᠔5g{p3pu3b %u1ڢ.G{xzȏg;j& rn9 .9' Eܝ;*nf$-!JqtHe(ʨ㔯,ѷ2pu!Fv#UbUw{ಛ;>6'?cD<t'KckC5ڤM54LEmfJ '~[6o)Bv֍~ @ D )` 0C4u.!;4yѷ3 };[${6D2;~V~ 7ԫJMuMIoA4sITڵuI+mΧk՛9/̫o`{ԙ2;Ui0c:ӉVCXl#\9.:N)Q5q/XY8\QDnsE` rӴ q1Bmg,e, _sz4ӂRn$QؿmʳBƺ~2h&+G`L<NI_GJU6]#HiNdRah`ǖ5q Q< #dԕ #3#_HTNnjj@%-0(HjD,K  <^ɫlNpW)\muQ BǭWif-6dfj|$IF7S4۴>!eqiXy"c鵏}bݛHNF|[3m*+IPV0\Z4mn;3 .y#KMo\R\kV VBSGۖ .v%U&'; 7RFJT!+K_ (bTϩBc }=B1$9 ͙+yϯթ[:(yTcpAu6kF OOR~YΧcq4DIiZ3i Юm+ʁ! gbx ᪿOp%Hgy V[`:GvwLPPfq Ij2< N"+'oʓzUŎ"հYEf,YƦ^{pE(ZRZ ?=|G1L+a/Ga]anpItخE endstream endobj 17814 0 obj << /Annots 17816 0 R /BleedBox [0 0 612 792] /Contents [17823 0 R 17819 0 R 17820 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28014 17821 0 R >> >> /Type /Page >> endobj 17815 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17816 0 obj [17815 0 R 17817 0 R 17818 0 R 17822 0 R] endobj 17817 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 351.225 112.8525 362.225] /Subtype /Link /Type /Annot >> endobj 17818 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [118.1655 351.225 150.8135 362.225] /Subtype /Link /Type /Annot >> endobj 17819 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17820 0 obj << /Length 19 >> stream q /Iabc28014 Do Q endstream endobj 17821 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28015 20830 0 R /Gabc28016 20835 0 R >> /Font << /Fabc28017 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMV endstream endobj 17822 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1641) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17823 0 obj << /Filter /FlateDecode /Length 5269 >> stream x\Io$W@/@C݀Oz1 ݗ/6nYZxR&d0\oY4;#<,5,/`Sw_??ŚcVcrחf9Y o>׷᫒wtcLL`\ʦLTZ!oY|FEdWKDe߅f K-f k)%5PEiJ^C$-(Ki=h KD( :IQֹ1ZJQ8(pT GD >Oh6.  1 d@:zC1$1vY._߁GjMxwco){y305/چVOP6,ط~\AcU+V׻{3uɎdA j_в/^6R5@mrU9X@"6ѝ(‹4I-GjC,_M0:yrٯрU7~XF:_eHn޷u-PhCM @+$/WyfH31di2([nca}61a8b rzdKNƝ"}Yưţ *CEynڰgt V׋|Nz/׉\]/JE\/ruQo+(PU 4F]xվ t'yҳ-hRi-͢#PIp$%/Mm8R\i4n)<*h߅]l^2${XYAsj뛹$~/Qز=#MBxF,f/ i+WV]ȕ$bY*GJ\kHB!j|'QFZ4Gi}K{q5K+'ًdCO/M^5"8tšPIj$'Q}_n *]ljN-OE6]kMAQ_9]'C^#bJqljC2 +> |DȏAgZvȃ4nc<%z6P\NWf}sAnf_$_l|Y~6HTgHc5Tb"$w- (/1'oiCT$K9Lk qTAp=¸q_LVvقVΞZ*3u$ꅨj?8p奀&U& N%OԛԯMK-IQ\k𳦛C-^8C!BCU8d6QYCWsdfX$C+y%)ȌE$½zQX\ڗ 6sA{Lica4!t~&w}#@O0PWKp˃HՉCSW{5P k&%pX$A ݭ Ҧ \-Ws#͆MR5Y89"8f+D- F HGЩݎTM/U8/3 0e:DtaPgen10yƨuNgeAq.2.c9P;UuԷӎ@ , WX#XyXHs=EEvĠ@,Q#3X%OA+C @v=U_y(pR"` NNv '+ip:'I]n|/ f8-'5i 8Iu|fqpR8w8AkY'uwz7ԙGऎzN?N~'~ xP?PoԅŸxxz.-׾Pv@zߤz)v-@4c܀d.I%>I_uH~^/PW_{m`FOA[sft>~>M )OZ-0cR_.=9\vݰۓ9 2 } +M:o"rp5eK=XHD $3Ѱ:㴡$o E&w(<FUVl^qTYa}5y-Bpl+l20M Of|Wlm(f n␇"\*0R\MuU-J0F Ț`w@_ 4Yq=Z6\6LQ_*瀋h !T\>4i͚|P- Y?ղĄh$+L>k#jInӃ'oȣ^hY $O4TRr^NEeA!j, h3Q Y٢ #إ! x1j18RS)'ϵA̮%BC(e$ 4N(0Ekbjwc\C:0,ѣS-#J!A`b- `+iPbX*YNO _ox%4^z?!p0U4`ec&z h\7KcۘrdPĦòL=ǍŤ{# Be?*{RJRe:$n[2yBd㣨$Z5➢6VWTH^t&UFn%˧#J/_3.:הZ,PA}/Ï*[[kϩT#>|";3^%F zbw#< BV"0j F)[p/QBj#'$6D[ktZGj]1a0xҚ$~wJ]ɶUU-XOHmd'p'b66Kbyn-Sk-= ^N14M#ٳrT9*=.1 J6F1l mmgQn6m{G׍uZ-8d8v& 4e2 8(R6Ȳ,F!P[zDr_ku5)5:y ` )qwVD:ҮMb9W{Η9O̫-;Tz]*uqQ|1MKpauUgԧEAGxj.cm%gP6ykВLPi&;g֐4TDJs:79*>>ʜ~ł8ʟ<uKt 6F ELԣ\xl0QVGKKmw a|So5af5j&hIwOh.FIה/g$'BS* R8d.x{&u} +/i]ܾ(Ɂ|ʬRIJI ժg qEPd <Z\ BÛz}"~H%ii.fs%SS<<,{^E uZe%Bvi>\A ߥ* BsӛTT*l'HMFu|&{V=(JR@ݟ)|/|OWk/wGKV8{L"zux7'{K;Q SJ$Ga=Zy @V endstream endobj 17824 0 obj << /Annots 17826 0 R /BleedBox [0 0 612 792] /Contents [17834 0 R 17830 0 R 17831 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28033 17832 0 R >> >> /Type /Page >> endobj 17825 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17826 0 obj [17825 0 R 17827 0 R 17828 0 R 17829 0 R 17833 0 R] endobj 17827 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 324.55 169.4802 335.55] /Subtype /Link /Type /Annot >> endobj 17828 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [104.1732 308.35 179.4682 319.35] /Subtype /Link /Type /Annot >> endobj 17829 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [104.1732 292.15 187.0032 303.15] /Subtype /Link /Type /Annot >> endobj 17830 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17831 0 obj << /Length 19 >> stream q /Iabc28033 Do Q endstream endobj 17832 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28034 20830 0 R /Gabc28035 20835 0 R >> /Font << /Fabc28036 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫%§Cfٮc85 e!Um sut;_PL$V-dAQ\0j4ECIB%)Gu%)!^\y( ^)Al\9 " ٗGӮBxgH h@1H!6hxfxwBD>.PkPݳ(%ypfE3R{m-־0ZOĴ⩔L2:)ƿ2VK2 ufg[XL5ۏ"cH&`K4>S~3cy/ KaEr63po.IU1" ^oOcj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17834 0 obj << /Filter /FlateDecode /Length 2878 >> stream xZIW<*YZZ栁sr e~ƥJv!HoBVjvϯY-NuzZ/}O1^[3E4s9sa.M)81<(ZdYJ$9hga=tgv'c>q1!XlwWչ`7!ߜ;n3ϣIƸw<0Rwyp{h<Ø#U4h.S.ɹTɮ}ox85խ?6 ;_\bIAuGBhT߃~!MP= B"p_0'߳:CLH[~o/F[^TR`>|^. MȺ|fWZrC䡆iD@HVrc'K4/P%\ʹxR7xrB>#m ց(u]} $3Ҩp営3'ЭFC|Ṕ!ݾMj{3@*vL$8k;A8iwq(~ I;.BĒ~Լr~G=z'f1SxjMrd Jj6f6-_Sn3@ Cw%-ؒ2RSy2fbD^5j- ~ILAp&qqT_@ƏaM^&"r3܆@mJV1^LeD[}&ŔŴm} 8Dj4y9Н4Cθ!1*x[Ge ^̐zVq 19s(9xpm?L~ù곖AR>k3;%}wJ f2̭`ZP2Ӝ3:"g*:1v5볟,@Qsp&{: < VGw]S!14T'd#6|8iEҽCi1U҃%Ք:ܞf_=o5KkCyui )%[#@ A8V`PӬ D;1nms0b|ejꝋiyXS*(9\JLbN:<*f@@eZ%K:zͿщX@iC6 >gYXg:=~ˣ.E>=PJ) Ntf@spO p)ŽY^X |@כ b~Հo0[wq0{%IV`ױ'Fb Ay`e+NX0OAzT9]<]9PnŌa +)nu`4Aw-02(=SK {c v#hYJqh@ "w'f)gqݽK8JtnU{Wy2d,*vf= Jn w'w6Mv[hHB;%h6I7qDlC="^qI}]* ^:?NWmP1/g~ʹD7Ӫ` #@"C'gvjk`qs*(k0&LцU ;X^6Y'1X^H!Z %mSKTutЀ[%/WkUf]iuL.-Qz@]pfs#vPϻ_  0 26MXrYC qkHB~aX26ٮ/_j4FU[8 rZKG2#E +s~Z W,^@QG|D+v ޔ9 Z|33xb]EGі&*'$=,qƙ-%R@֭V+nHJPG[$_/+Zʀ_vuNe2U3MC#Y|rl= ;e t*ىDRD^D;#I-g%ЅyG/2 ùh+ g|&KiQ2Ӑ1C}fdj3?|,疴 3n^ϨtƲxeF!._acw2z!#CW."b^"^D]r\TvVbsFlBs~KIU^AȍbH 5K_X\9YX\|?3< 8u,!QN'J^N 0SZp7$:rrbyB# Q?<.g"c}OF#ݬhם40tkF+MȚ0pޜg<+(+<>ݱޱ)I>;@4CY\$]>(߆xgvN> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28052 17842 0 R >> >> /Type /Page >> endobj 17836 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17837 0 obj [17836 0 R 17838 0 R 17839 0 R 17843 0 R] endobj 17838 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 191.225 111.681 202.225] /Subtype /Link /Type /Annot >> endobj 17839 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 191.225 139.8465 202.225] /Subtype /Link /Type /Annot >> endobj 17840 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17841 0 obj << /Length 19 >> stream q /Iabc28052 Do Q endstream endobj 17842 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28053 20830 0 R /Gabc28054 20835 0 R >> /Font << /Fabc28055 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17844 0 obj << /Filter /FlateDecode /Length 5633 >> stream x]K8W<@j8ˮ3StU _J)SLt$,!2Oj>d&$I*_?A/mRc`}0×?Hp\g$KIA\TbI4g֤-yH{|3|Jb68i⫅A>NH(UjOBߙg?^;ƏR ]VOC9> 6RO)LňQO}{J!ڧ$mRIӳ.3h䥰Avwq^Q0B=V |p)xۯPAI51gTd}hGd8#DNvڴi×oh;H/r/pRG}?$:ēI@I^z}]ɹ>HuџYt=*Eq1gbܔ̌6䧭RHXFk@Qy뼟gYz46/U=ޅik ]`.ۅ%7@i[̣nN:W5f̈o4ُl4JrU2ҖcHYflSu0^zRíĽ b?c,R0F2{ot=pBQ|KD 9Dpl%q׎ ' hO.()(QgQ;HU7C!Nȇ%@k-HwXj2ۜN\w Is)`6p,WPs2sW+Qhh2\]o[,lD66|#nƮMmOhS R-wbz{zkm:70)wz_xi) V3 ^]B…^M)7 *+WCwk'K_>q2(AQq .e5!<X=TjQ;qW2IAĀ] & s*P5 UUN1()0@IK׾$K`sǝ}>-V*Q2ER!]s>TR*ɄyOPCXoG坱=qJg)[9Bc@ Vkg9%#(mv>PTs sJ3Ov0K3eoo{#!@v0PGMp沴̖)5qA舢JqJ u#c|oL\1JD\>g&)NL{&:[l,)Y2rTm5W%+ I څ3AV>:@z_)Yf5PꁜjC'nMU\};u ԱB`p^n`1B7@ ,,2 Ql8%lVs87f+Vt  }~eIٿ;8%894iNz'=NԵp=$z8 h4 Ny8;ĬHX-p]t8ƞO@vINWINI,NwN8қ@=@]zs >O;tuzj. RNHsWAJ3R s7@J͙_ )qv:HssWBJΙ)4g}uPřsm؀ f,oڲ2 n}VѴ : c|Aϭr- * AUh셅%RehNP59 *dE/A_kJS T`T+VO_"f[4jO5OAu3w1jYH W@Jp H CJ,BjRbRÍ͐~{ սuHvj+ݗ %K<^ĖzS)Ŏ)-OmR=&)[Q;Iq3NR*T7MR,Jl혤iZP}ݿˠIW@I25}| VA$O{ 3y?.^/sdۏ0 os2ȵo4Fh*-}T8!q:? x? Q+8Q+ ~7yo]: 61D:6 ݦ f]?~M12ݷ_fNq2wGA}l]jS> @LhTٖ_\fl=JUNq-Ae.vEčSl"X F|G6=o cU n\L0 8RIЀI9Ppn4**}3Z#K2IQ0JTENaD;2~=+8O7Ѽ$!9:e`j ։B0"t(i@&~C y$A6ITfR||(;d.YUdPY63(SJA| ? S5u!-b:DV8eޅ>,F?(;pk˃o:T1j68na%c=#Awj6~+CA'IynC#u`݊' v#ԔU|j~g67-]I`'gx_lQ ~<^{ &Otd"Y:# cd:dM+)=0/%VN*r#COm>]1sĪC=pc (GhRwua:Ǧ *:g3C2IsK'(&01L  t(}( -;beSGe>jmz-rl@|Aّ!׬uRl.^3cS4x{sZgc+{O$vF'kCLp#^q6|li{ꁎf!:W| |xtvZ=jU̽SH'c̶$ΨfW:?@Dr1$5\ʶF2Z%.*>ԟ-i>HR:M 4!J&L?ZQ{{4Iq`1,ϬSeIk9ơTPA)wYK3^ISt% NZ̐ʓ7I L`usX랗L)_pPrF֌9u j]3#NePKV ,9y2Eɬ>,& _)w)Vƅ#9Q\2*ޚLvѽEt5r O >~ivJk澾OЩ=PBCM]C;|cx#Z@|kÅ4УKyF/[V]rC9CM1=>EkZ>W2%ayf[nyJD7xj'ǟRºy 9g>YSc GeOWsT}Z endstream endobj 17845 0 obj << /Annots [17846 0 R 17850 0 R] /BleedBox [0 0 612 792] /Contents [17851 0 R 17847 0 R 17848 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28071 17849 0 R >> >> /Type /Page >> endobj 17846 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17847 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17848 0 obj << /Length 19 >> stream q /Iabc28071 Do Q endstream endobj 17849 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28072 20830 0 R /Gabc28073 20835 0 R >> /Font << /Fabc28074 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17851 0 obj << /Filter /FlateDecode /Length 3286 >> stream x[KoW`r[@@ 9bьdw1f7Y,V}ɖ𒲕/d}fdr2H_~g$Ͽ.e'M~&M<Ítwx?MT*d˃6.k|/pO(o ipGt̥ͣGgOpKT%{kxH s3=}<=G@tz>=HBdjOiX _L_PFV̡3;= pŠqCt!{<6HY,2] I^O,S/&$v6s]D 0{Na80IJ#@Ѫɥ883p|'mӹ4vȄc vMxeZVɸ6r4d ԇQ 0L0g'O*s%j@ P""f`K Y&q9T4⚇xżb&UxŽXhsrO#^̃3Mi\R&2PobN!es=睲j+w0?Bfh?`1m;X6@_,pкx 4KWf&6|?hĦ_k& 01%+t&8A| f^2>j <<n7FC0m{L,uQ`) Hj7 1桋aXy1*sSm azʠ֙XϚJ4]jt zLbadžҙŜQRVS: @ . q,;e~J(&ٲ4QZP[̓v ~\ +9u[,.8W5N6]1M[fNA9؛ْ̼ٹެ2{27ǟ9k!Ԕ ea]Uf-\Te[6z4lN]Gmubxu ^> uj0K C fh эF;l@P,MD cllJJiCfrގľTa@r[BJ 3dE_3[ JcL3\L򤲙-U^Y@jXF KV~ǻ5$WmBvI$ٲdb vu}tp4} 5X he|g!cw D܂o^A!]}i pD #CC1d>'Œr?B m7\&: Y٘[0 &cNsz}rVo(S$[Bm?$*mon-hq 3N-T-?GGڌʓƯ:]Gj`V&߃jOrQ+k'Z/;WA'85o 쇱;L0N uX4_b!iU0N7`+xA(x(x(-zmb?*?g7C?P!Tܒ A6t-aHh7hg7~3vEn8EK\C3%?cbuͮzY@KÚbs\K8a0?-)Y^:Z~moE6sRN击:dσl3nm:u6#.6@c8%&t4<ľ\l #qӪd( F}sm3HC[gEC2mz*Ϣ4wDhrnvMՠc5YpT.&"ٰVcr V_ka[8́/б; bGt7إӹXC~w7ŴX}œ>p,5CUoi}d>'U,OAxu3H&tש[W4 ?ZV-W7u'yrWNz0bkv<8і oTpӑqy?щ% ɫgQ?u-=} Z#A~g連Tj JO]D_he? ZYXP|1hxrJ$V*~^A(,2T2)0xʟ)rĉO"gP4( J.7 @.s.֜˛9ϘK;Zz]\:q EZx6M#9.c`:5]r+GW0*DZ[.v1Ǻ0a m()i*Ci33Bn1}.*}2C8DvVDpψ "rJf1(<X /3ҟuye4}dr2L?0/i+GJF-Gy%zQ{l€k(.>Tl'XLFu|v={Q*wqs6bXǘxl6,?7RvY> RO'}"Y> bMF%I`Ț0Hs'qQgSLOb)&ׁ@K/ oODJ\=rn0U8r/#`^R'\]4r`Q}8T?q&z/A:K(ߧa7GpQADTOI#隕}!:XU <+|S^Q~``TlBfuhHV{ۗh-m=OQ Srx`:xrp< endstream endobj 17852 0 obj << /Annots 17854 0 R /BleedBox [0 0 612 792] /Contents [17863 0 R 17859 0 R 17860 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28090 17861 0 R >> >> /Type /Page >> endobj 17853 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17854 0 obj [17853 0 R 17855 0 R 17856 0 R 17857 0 R 17858 0 R 17862 0 R] endobj 17855 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 536.9 154.2562 547.9] /Subtype /Link /Type /Annot >> endobj 17856 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 520.6999 144.2737 531.6999] /Subtype /Link /Type /Annot >> endobj 17857 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 504.4999 149.6802 515.4999] /Subtype /Link /Type /Annot >> endobj 17858 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 488.2999 169.4802 499.2999] /Subtype /Link /Type /Annot >> endobj 17859 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17860 0 obj << /Length 19 >> stream q /Iabc28090 Do Q endstream endobj 17861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28091 20830 0 R /Gabc28092 20835 0 R >> /Font << /Fabc28093 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!6g \09 `FcU$!īEWmGyݝC.;p94C-z^lM1^ endstream endobj 17862 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1645) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17863 0 obj << /Filter /FlateDecode /Length 1844 >> stream xXK,Wx~?`ht si"$'!lI\='CezY_u:1biۯp9m|^45sI{}y}2c4N_~)87S֗O?tEǃqO;m&لasb t¦Sp)/{KGc} Cd |i$9 ~>nyCxVNO_~YY;m/??Z [EЕ7wfFY&&p]XOsqi{-vFg:@FLgjr3Y1צE}f!ZRk+DUhgq1 CjC馰Bk*N[E'.e9 ۩l%t˰ mQNj]7(&wW#ڒ{09Ym%R[MnYge'̦4@:CoM7m>6nC!U }J}'%ԖkNx-=&ǒZJЅ}@MX:TR [J/+f!ff}*#>L3 "σ9eur;2nWwf<XΆr^#t}[QhML;\sk-еJJ\Fn6bz1a-ԅL Oy\-evo;V/ھ;w~oQ`'~GE;|ʨ!#E,Pi4M'EzۣW9Cl2fҋ6m%:b@wkgdyDwXM(C7|D{fg(+Zh((G7(Ck#!Q2S3+@_dtH|l;ă6bQsmj;FUjI'.[>Ob&`ޯp>Ɍ5>5h4~^?U !)C@ic endstream endobj 17864 0 obj << /Annots 17866 0 R /BleedBox [0 0 612 792] /Contents [17873 0 R 17869 0 R 17870 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28109 17871 0 R >> >> /Type /Page >> endobj 17865 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17866 0 obj [17865 0 R 17867 0 R 17868 0 R 17872 0 R] endobj 17867 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 437.225 111.681 448.225] /Subtype /Link /Type /Annot >> endobj 17868 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 437.225 139.8465 448.225] /Subtype /Link /Type /Annot >> endobj 17869 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17870 0 obj << /Length 19 >> stream q /Iabc28109 Do Q endstream endobj 17871 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28110 20830 0 R /Gabc28111 20835 0 R >> /Font << /Fabc28112 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17873 0 obj << /Filter /FlateDecode /Length 4043 >> stream xڵn$ίsp_aukڀo C;3~ƭ"N>rEÿ>RR Vi7uk]_-__sN P{Oh&. R1 mz&s2= @J}iw 'm'x?_917cܮ|m LO b\Ym(%7vDӲuZv55LYէj rӉ`WoN^6wr<&OC! ~2&IVf8P̪s Yd)a`lXC i-$ϯ(o sƀXSUl pmaҗ5_@*?ש?;7M졨Q9-٣vƣ* c<5\.ɗY4GULhgT;`SUÄGrWӰ%˳;=dR|M e.ԇWFEm^ĚF۲5uOB7#yn|LW]TDq!;d5['9BٸK7Lۅ' *AD# Ddu"EX<oI`W6NU;۹WPܼTѽє?%X*X@)JbnGiZC{ByK) 5Yv \79sd7!` 1ӋƂ5К d:삑4<+Ë:24D^lzE*\Y-!a 2@%@ȗ) ˋPlIW{!V鹬EG5T?`_:^y$Q`[،!EzRɪVՒ:\b˝JՁM3@5&W(g6I4g.cdiW"Vj<&ͨE:9標ǾnAj√t$ciTIvM.8\3hn%t|R ǾՁ9Ws`ߜ,lWN@۩9ئVЮtQ-ffhZ=Z,j0;jQ5 dc:˪]#O]WY ]+;AXsqR'NvNVu7u[u[uRo>NҲUz!v[c/9ƍ Ya jSh.~iuH|o` (7;]m؊)R?< 6ET?=j`#Z1 R_׳m0&6qXTVޏ} DprF(jD$і_"X\b6gVWk>#+]߷gzm.)//)/XQę(6ݓ-35LBY2̎ %-d{ƌiϧO;MiU24yNˉhƖ(d0ٗ3x+}gi7^Ȃ,$ @.汾]Z>4ciX-"vzWZhap's APӪV=pQR;Fi2;@PUL6޽kw;Fay}:㮝%U,˚b=9"m>aOS3Ȩs$ k;.Uۑ-qP΃05 RB׀VBǼ Jhp*Ww+ܡLJ4q/κjU |i< ꐕ:1zB&DjX"JjE&oK$#-i0 ~9$XpahoR"')mqkJ%L¸˪3m;$h^m!;Hj1L-ѸZ5e܍R~-i8๰, )|WJ@!7G5*hE*ZܤUs`sur7# yE~JTglaIwQ|>LVkG|0"$C1Ӧ3 H[9zE.P!Vu'd5ҘkAMrCgᘜ/x"M3&p+p0@ 1yPrO,\!R(. -ϐޒ : ~Q`R(U <5k:!%7~Qb (zμ_7OW7آLtO9:zSbxMMruFzb:3+] ~ɹ G"t@Pl_<6 xrGF70]yNaKh0cri\ Bp$~~\^xɔ endstream endobj 17874 0 obj << /Annots 17876 0 R /BleedBox [0 0 612 792] /Contents [17882 0 R 17878 0 R 17879 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28128 17880 0 R >> >> /Type /Page >> endobj 17875 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063049-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17876 0 obj [17875 0 R 17877 0 R 17881 0 R] endobj 17877 0 obj << /A << /D (unique_633) /S /GoTo >> /Border [0 0 0] /Contents (get_hierarchy_separator) /M (D:20211013063105-08'00') /Rect [104.1732 546.9 220.0307 557.9] /Subtype /Link /Type /Annot >> endobj 17878 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17879 0 obj << /Length 19 >> stream q /Iabc28128 Do Q endstream endobj 17880 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28129 20830 0 R /Gabc28130 20835 0 R >> /Font << /Fabc28131 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17882 0 obj << /Filter /FlateDecode /Length 1592 >> stream xXn7Wl 0399)`@UfK#2r ]dmԓLUN?fU Xj2pV?V֡>icFWc\1& A>P{ک߀C9]|NYPSuĘ a-s&@}cy\GpGcON/"סFsB7C:?ũ/ 'G|#;^wwZuZ ɻ 2# SXhd[#pOh< .0]!B[p quyČ)YĬ~RneBё(+_yx&zaJI<_ys5|0u h2 S!!1ҚuA]kn{RKu/ vƩ[R2(8푡W&. nN"hʺ,(9/2_nk{a-#q| cQϧ'nI\X ȯ?9JltLV>!X<'IiQ讆ah礮5"Q% EU5U B- ꢲvzǯ"P 5b3Y.S:!Ko۬ T޴|zmnGKZf}jڪXQzs:fڹyT#+|eH3f}"'zVc[쩏v* 6ViFAeuqxE5m=]H=zz6p4!3Sϲ1wN3mUD)Zl9j3$6 ڡ-CV1Y6 w֖Տ_H> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28147 17889 0 R >> >> /Type /Page >> endobj 17884 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17885 0 obj [17884 0 R 17886 0 R 17890 0 R] endobj 17886 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 406.825 137.278 417.825] /Subtype /Link /Type /Annot >> endobj 17887 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17888 0 obj << /Length 19 >> stream q /Iabc28147 Do Q endstream endobj 17889 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28148 20830 0 R /Gabc28149 20835 0 R >> /Font << /Fabc28150 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nBӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O5%k9dP!_>Y#rU ira-q(~S1)/_M٧j gVĉwlV4C+0knT-=&CO4{rCodԑH5J\[-<8יq|mau3ͪo#x#?$_cY>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7}3 endstream endobj 17890 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1648) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17891 0 obj << /Filter /FlateDecode /Length 4928 >> stream x<Ɏ8w~ $ dd: { ̡1'T 9۸IH;/3#%RIiEÿ^R˗֙%%y/,:&[>,x:jޅ$wOƶJ>v(Na(raX>mY}$Ov忡o^cHjMf/. ߖOL;v.Z|(kҡ”\᚞4T5\^*,D3,AXBuc rR_g1CEdl($x'Dhl]Z  @V T6)tC { `]) X/0xB6Fv*۩=Zh\mЎhd ə5dȌV?`av/dk=0Wv5&NV5uJ_ּ8T1z2#V M3;ϹC|9t<3'&kP,P-9ZGшEGxB'=f^a=\.ho0G6%6[\^Jh3y`5<* ~q;&ٓkGu alsOl]Y& 7%YqscyfBGe8Zc-Y͖FPRӾD^ ":V /"Z$p{'*Ν+T*7J ~~ߕf)E',\{½G<M;}PO&ۡ3;FOM"^ˠ'LDTARn ~եΔW?G,R*pfhOB»`-!8?8+S B)ep&#iRkRkZ/|R'0P.n-%(ܬA`|2Z$C+#US43@+ْBҳEG5T?`_:^y$Q`k]:ی =dUI+jIe.cAUSW{禙k$&%IJk6I4g.czdiW"Vj<&ͨjusQ3[cl(ՂQ5 PInbTч`5\*q^0rgJP"B]AՁ97Tsn؜,lWN@۩9ئVЮtQ-ffhZ#Z,j0jQ5 dc:}抮ԑ'ML@ٮ+CI@HNnrWʎyP'%\ݪitMVV+iiuR:-NjPIL0ETםwR'IOԡ:;:NKQ꤮xQ'uA;:Sז~De)ҏxeHoHgr:E"iD@]Q$?/LӀxA\wԱ9aVOOBbOZ- s:>-lk u'踎[l*)F*[R*󒼜>#2v.܎\>|f8s@ɁYoKX>Mߛx"ǔԿv&VgcIi=8I9m29<k&ر'yD<גi;RfjYEa1 |r%Pڋ>hp'FQt} OhDT*=} a~uy\'*|b> ngB3*O? ʘ7{?lNR&[i <.X{ :d\- k,F1pOAX<1NYM/F4Y|k<NQFsGe&!oH7. 8F4m5w:+=·-88#"P#Aܣ|[9q) j9׋1"=Ɋ7QmN[SR!^Y䥺*|Qdc8ݍ\Un\VI-#rM ~HUAm3rl 3ȉQ7O8o~Bn7hά/5L^0ܘ1VΊ3ch:mܿyA9{fؚVY2d A"lbh0G0*Rd i4r4;I4|d&~<;{D*Li[1IK- `8.TƘ*.U)T|Mc2w7/*TЊ@v-]nR=sc܍|B^m_~ynM3e-Wa7{v @y%w2{&;nm"֓Jf t!VB f?45:: yu/HLOD_JWsPorO,\NR( ŋ-ϐ$;H&k1`^)j:!%u4~:$wQJ-z)UodGXX X&zss%?_hkOԔoNHO

1_䒐[ΰ4DYVS[|}tXz"Qj(uZ eBj>\Ee* K_\&#է"n`hoE C óx\"hbOJ^3yl uSz㜊+ÁƳjt`#txnUrR?S_iA!7rYCWnM8rxW$r_˧OW,SH! !`Ӧ; yr'mb~$g0d0Y~cADOP iY+5oo0/)GSډV:sz"}m/UQLGQvx[; |$>B c#ȞG~G2M+AĺO@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28166 17904 0 R >> >> /Type /Page >> endobj 17893 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17894 0 obj [17893 0 R 17895 0 R 17896 0 R 17897 0 R 17898 0 R 17899 0 R 17900 0 R 17901 0 R 17905 0 R] endobj 17895 0 obj << /A << /D (unique_239) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_sysmon) /M (D:20211013063105-08'00') /Rect [104.1732 171.3579 201.5122 182.3579] /Subtype /Link /Type /Annot >> endobj 17896 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 155.1579 197.6017 166.1579] /Subtype /Link /Type /Annot >> endobj 17897 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 138.958 195.1267 149.958] /Subtype /Link /Type /Annot >> endobj 17898 0 obj << /A << /D (unique_294) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmons) /M (D:20211013063105-08'00') /Rect [104.1732 122.758 185.5512 133.758] /Subtype /Link /Type /Annot >> endobj 17899 0 obj << /A << /D (unique_293) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sysmon_reg) /M (D:20211013063105-08'00') /Rect [104.1732 106.558 201.1437 117.558] /Subtype /Link /Type /Annot >> endobj 17900 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 90.3581 182.0037 101.3581] /Subtype /Link /Type /Annot >> endobj 17901 0 obj << /A << /D (unique_316) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_sysmon) /M (D:20211013063105-08'00') /Rect [104.1732 74.1581 199.3177 85.1581] /Subtype /Link /Type /Annot >> endobj 17902 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17903 0 obj << /Length 19 >> stream q /Iabc28166 Do Q endstream endobj 17904 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28167 20830 0 R /Gabc28168 20835 0 R >> /Font << /Fabc28169 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7RVthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMKT endstream endobj 17905 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1649) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17906 0 obj << /Filter /FlateDecode /Length 3407 >> stream xɊ$y H rmLfNmSm-bɭ6Mv"oRߥ +f#?}ɤ_7Z˷} RTM^ye`\tJœRTO ce;{xת@~J!oxX{5Ҹd6;m< 0_asw1x.NXi=d{7cie/xm:X_{jZIұ8L>}̚Sʷ?-ɹr 10-"gpfJ)$f^dq:tZ" 0oUpA (% ЉB@{&έ .S#Cĥ@ -qwyˋ FPc9 "XD7s.ZrZhV, u2qr^iLU:ӶPM!X ,tDnb`x;詙b2(YbT9=7͓ írڢ_X1Ĵ;3ȥGYWx`1*v~7̴4= .kX7+qbu`M]Nm{%]љV)Z@a!h+6.MF!t?̖Kɇ̓?4Yy7h,$eh+?06_Uٮ?5ܤaThKs&0zսO*F3y]3VN[6*N{+C I M3Jv *Y:TZ;zQi2UM@[5j'olzVƭXKa hrS ^af(=(*A yɿ>|5O~V !e\ dO۲"DIM5j]y|-ok3GѓG 5QfiTY"T,J۳TY6hP%`ϡdOv (̘fYN@' ˝\7]st3]6nΪZd_>UxX 'ϧ]6xF1?u&t| m6;_xl2:QDžh`m5eyjj+fNS~.x3tl\,.ƞя@ 7i_HXw$=.ؙ\ފLciV-ryt/q߳O As3-eXcAqm7͓֥j2|(nͦB< olRwZVj3ɺxY|i7Ew.;>PGן!l)MYl $E5Ude ܎t )Y8t&w˦y eLģw"&dHr!FAi@`hAv]`Us0#byp7)_z@wW1܆d#|/]Ɲ1<1ВNq{k).uX`E+J7L{)XSۤH~)~,f-vx߄5E .3^S|r1Cz.Ehigr}x U,n3pO]'-JT6I&OjD1G%%s=u-MsMCSŨKjd8cKꊰr&KcR 3+j#MCUPdO@~" &x&`P62ÃŃ]yLUW{[hW`S^ h!Fǣ2 TGL.i8%WLV1уgwz`zƁCe⍨DO'⽯Q}㲺x݌8?:f 7V'FqdlhrӑhM01:AQ<-x7rIpm^U$h &7vkw "3Hr#e6hOR &^ 1m'2X勂tiƎ-ǥi»zlcB Tr!Lpih.$92|Sa"a"薦α|q 23I򬺧Y\](>fOyr[\^!t"ùiB.`jXUB@;1{(0}FxQa˕jD Х9|G6O~_̺ ̺L`ACq endstream endobj 17907 0 obj << /Annots 17909 0 R /BleedBox [0 0 612 792] /Contents [17915 0 R 17911 0 R 17912 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28185 17913 0 R >> >> /Type /Page >> endobj 17908 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17909 0 obj [17908 0 R 17910 0 R 17914 0 R] endobj 17910 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 165.4707 709.9] /Subtype /Link /Type /Annot >> endobj 17911 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17912 0 obj << /Length 19 >> stream q /Iabc28185 Do Q endstream endobj 17913 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28186 20830 0 R /Gabc28187 20835 0 R >> /Font << /Fabc28188 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶQ endstream endobj 17914 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1650) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17915 0 obj << /Filter /FlateDecode /Length 1153 >> stream xڭWMk37W\X`;񡷀ғۼ8:_:Ni^JH3=̇vefh(-˫:osՓ 9S˺5 Z>=/yn}r~(>7v68|$x %A l: 9NBr`$7Qmld6o6RZ66Tk9%W*xcˮp~] -yhJđMY s>8/F8{ 6BP afD8@Of>oț[ȋ Ϳf]bk}4Lم0ѣS#W<|@v豈yv8N޻ܗ#.YE pse\R7 H޲+ VR8)*^.yX"ub)y@޹R=o,0Fa2Uɀ5֗U7YxSMx M G| +D;7or7&$NG|ᄁ>):d DZ̊sgf?!_&)b4 Mb/gx\s11jyXi9$)coYٓDO^;їJ?&M]j=;K+zsGlzJ#4Ɩ4ᔬgt endstream endobj 17916 0 obj << /Annots 17918 0 R /BleedBox [0 0 612 792] /Contents [17925 0 R 17921 0 R 17922 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28204 17923 0 R >> >> /Type /Page >> endobj 17917 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17918 0 obj [17917 0 R 17919 0 R 17920 0 R 17924 0 R] endobj 17919 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 250.025 111.681 261.025] /Subtype /Link /Type /Annot >> endobj 17920 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 250.025 139.8465 261.025] /Subtype /Link /Type /Annot >> endobj 17921 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17922 0 obj << /Length 19 >> stream q /Iabc28204 Do Q endstream endobj 17923 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28205 20830 0 R /Gabc28206 20835 0 R >> /Font << /Fabc28207 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7rЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7} endstream endobj 17924 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1651) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17925 0 obj << /Filter /FlateDecode /Length 5736 >> stream x]Ko8W<@j&lWL{X3݃@եƋ/ҩLg-Xەb #[}2;Mflo<ɚo}Rcrӷ~:X O>^[4ÔOҶҦ!JK +鿧o~Sߩ\X^}{0WӿIqJך%o(;!< OdF=0/KZ),sҡ(BGMOZGaͱ]¸P~Ax%3$8]cPu``@ doQݼ`'~>8m4a?9W,9dcǀeA-g5ӧ/@O #6J%AF@Ix4z!oO3EHi-Ej;+V]Sy9^3͎ϐ*Mt5S5fz$"VDKF Li: ΆeP b C<6`Q 9p!V*@ܩ/芩[1=.{L>_āc XPl F Ww.WB-5 RhR'}dk qI5(qAl.Q兌я$tClS 3 O3y~cc}rG Hu٣hVb"`i/sPsA%cfh]C60c>] nJۺE#.^jg 0G2MXX#B*i-"$q5b >E),v.fuhFJAL]EX&xsY-UGilDߦ0 ^裐P'$*Re{~{ȰEoUdLtYpdn:]^f~XfqT <VPlilVpkYv*-4y 3)`B y }MEc5l\qdB1G`g1KQBG8|篧e\yWUeb,U;޶N:E<%$*sg}Oyw3@saI+?>Y^є9[$7_g,2=M~Ry6AX)S[-!WV-Uf->IOb[U1rԠ] /@w8S]f]E':=JfϢ7V>.:7vqj'55jJ#HТKÃ8[5\ʾ(NlNhXvv?i݈2FxhIUwď~FҰ ,Ϟ0tL#wښdO뽩#O~nF|ٞٞz lγߴ!uG8C^@tP 鲎Zh4YQ"%_2OvSgn""3*h3W~XkR%OumY#2Rq!*ֆ̺ĨӐӊWMQcЍ̵~@Wgp?p~;Ǚ)>Ww7spn.1)MH1oմQqs`~@h l| _s2e(<ٚV~h|_h_}1 s*[fۿmrsv(̋IA5&>ZCaHm ‰JGn\jQÕ7q31C#LZ Yg VbQ cJ,Tdq PyaP80q낧v,8XQ D5`SR&ogDiGhvBP'kyq]c`\MTjbmrӪbrަ}B츝l ki{X f ,,l4,l n :5>PcRVJꦪlJsddu_d8wpR`fNf Nv '+p:m ']IY.Nja8N-:8M7zxNaFpR!618uTgi NNWIh>':Nj:}m'>u'>ul'>t'>u n)uvBHsWAJ3g~Իsڞ3ԩ9!ޝ3R9+!NΙRjk|>ӠR,Dڷu ԞK@v.DڻTjB~PKJ]uT겅R-D߻n;k*u5 Vw7@>MPnJ}huwTmPWwj^-[&>L\eb^iii )|TTjRu:Ӈ4HA@d:9lz 1ςI pL~܆gO~pv~1ݯ"љ&Cq5`Wtag!^!uf rnb+ ix4&O࢓@q׏JVݩ(KQx ïz5sKj;2 3Lͦ?=0B16]+I> qq>u>LLI|Σ 8[Np xb|9 "1ȏdTjR a(FSGs!BU|Zddm58lzA?2^2c.π u8Ǟ͜pET=e~S %I( 2pEL Dgϖ8>t?bEh ,,٧h3$ K4 gݱij^&(K#lPƨR$;HUCʏΉ$xH AI\IP=LBNCw]@![4ptbˌ]tFl#FHؙ0|( qOQqK}.cW ҺL;`PvJ//XNSuu#-y :D 68+>LmT 4t)(". qI}Fk5\ǭ1|;?> :dTJ>qF&A鸦6n#ijJרKj~i69Ə5G ڣ|ta_':@ H`oSSZu(l{]]:L ܵ; g=X/2TS+Pj{Ugjh hr.RXW-lž+T[4Jۅ'9pd#4"OsS,|,0a|ĪF:dG>J &#җעмF1J-r xSF-Jl@Փ-rEآRtʌ,w.q%NgFW=xkvtvw|(< 3B.ws4>#8i{a:oM^k3t7[iMzb)ֈ2// NWi Y#U?[uWeQLIr,k$U*>̟ձ?O;=9u!>K0mWr Y uTQ0Ly ڗS6sxՐa*^I&$\1/eD~hvܞ!0\1pOBeպ@G4vd[}s_ʏ|6[D^`ǧ%ߵۊD4{o4F$GĭIZX.0CeD*8` 8R?T@`Otm.a`/'wҗj L*cO^kW*6JG興TS5P:Q\ca_nE6w+sqylS${NZsw %"쀔s^2 ϭ/J,.* S&'{(gfѽ(ﰬ&&aH\al?Rrܵ^"j5`Pڹ;Ȏ,qskw,Wɺ'>|v:qjCSZHOIWbe헱PD*Z J@K< 4=ZyRJbtY7N 0(֭LS&/Α,>lXCf{lCsƖs}Nf8j!n7 ?'`pSnS~^pfsN&@ Kf8q㜴YY>"ց>a(K4sZ %WǒO%«s,ɮ:KI^GqEm>TUcͅ `(s λmm3?͘᜜KZ}ik,t[\%2@YOi0V^Gǽ߭wУpK[:̦7$%lBlMQ/p(4#)qNCS%5! #ɏ ,A/|M _Ы+v EK3^HS5 .VRyxٿ $ꖰ#/FIRJזF%u Z2# 5jfe i$C.R[dak T+*lcHUF{t|{3;(R@YlK[}_կ?\iM8zi¤aknȣlqݩo4LHJwcĺIRz7*kO{\M^L[w0_OUxE9%^aR`-:BE\L2Ogx?>&ЉďϏW~/ɳKxu0GÕ^ =*}/_КE2+娗BwȄG~vh/΁nd[ۗYRakN;K/\Q8Hğ8Qk)*ӮKVrQSp' Ge=aQG+pD, endstream endobj 17926 0 obj << /Annots [17927 0 R 17931 0 R] /BleedBox [0 0 612 792] /Contents [17932 0 R 17928 0 R 17929 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28223 17930 0 R >> >> /Type /Page >> endobj 17927 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17928 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17929 0 obj << /Length 19 >> stream q /Iabc28223 Do Q endstream endobj 17930 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28224 20830 0 R /Gabc28225 20835 0 R >> /Font << /Fabc28226 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7o}:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f5{kEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}$\ endstream endobj 17931 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1652) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17932 0 obj << /Filter /FlateDecode /Length 4533 >> stream xɎd9xg4)UReeZ85P7pdfU5@L^wRݒ4v)/_OURЫW~mY)m | F%SIsy_.E/R`؟a~J} tyCi Lsy^;|qP $g' iׁ4VI} @䠅Z:SWz&P$=g k@@}zZBCwؿ;Y-M =>ŰGT~2'gTg\D`DZґd"!#W*KB"$p`;h)[uDvOq|#)O3p\vdl=.cG:T7yyH9tbTC_=-s}iaȘBiE[ڄ0Y6Ny%{/+P{]OJ#yj2 3(sAAet\ B BJ"\Cd$ZCRfE-/g+=ħϢoA4[-~lE{d骟DŽ(]^.!/_,ktEea A 2=EOA-FㅒhKߖ57.'<ta2 dC}`G``Ƿ`N{`@h]lnM N3JZ~[֖L0!Xoӂ [~%ɔ_׺v xw@W4uD%`b3HRI蝢6i(] d i²OuO8G3&3Z=ŭ.55az4FD#@6@0 :-!MdI}rݷGvaY83!Uz3]_@tI{"Ls}a|G5y tL9=^P#MdG{NMYa4銾22MD`h4p{p`pZB=Їmk@$ʭB=@R[rt-W@;9m eMJs9=J"q)ڨm]4\ ^oHep+C%#h&1nzq+dVFN'PD!6 yL)DK:4X@N2lʮOn0JbKcI"ӳkX ൯Ӛ5CTٓA?<~xTъ.l͢J]u杍D!=70bw6{7A3S]н75朥Vf(dܚäKMQظ*q^GC@EzRW7*eW1;Ә5N YKG8E='Dm̆5EEjto^XyV zppkSW9>{U]񘾷5&8p7biC4؊9 T> 黰ʾO~N iup#8eyi6m#@,m ި Kb@YRw['zOi7$7XmfPb> :)nsގ.cH#^*E!p`~nH#V .1&Κ G}gĽgXȸ\4NW{Nڷ5 IIz_f5u6`JnO,rJ+Y_V%]UK|jIahInłb 2럵V]M Mć*q!fNӍ̩9tf'Qce21cvP21^'(%LՀ`k ý0Tv Iݻ Fm).yҟ9g5O8?cvyGkm`9?-RD#T&דGxVe|s=4ŎS})XJLUd&gCmmC6|qYS4Qǜ'tMmҦ'MokglK5 ΌLEY0,D'aBi}*9ES{nʍ񞒆p_+k{:Tp9PxvndtMbFg~~-l/_Աc -."Q,kbX3>wXLFhMySXcw*P=>ڂm:!1;XӲt&l N_K{%~*}f1X4h)2ti8G8؉iu6\%r /ѫU{N; Ky0(c G^KXaU!b.9[x X . @l- \}m+Vv\Fru_C֛XJm:*ɦ!.~O`c7U B޵$݈HGIӲ'IHJE`זB`w@|ϔX x/%.ʃ:\m,~3@Q75za7cU%/U3׹L]nSSҚ{Dy8@| x`=Njq@Zniy/Q]b1Nr PIGq)wRsCotP>]6QquK6g,nWrJ]F1vʵ9QGG/_/Ί/VƘI4jj &h,`)ԋ&8,- OcK2d4x1GP1N-Q,J]x]TX\{ l` -6"ftrcc./c>kw _Fwq[0=U a:/oZ'ק,w5N׫-rkIt$Է 7WMڲJ>~b2'&vY-,IWnu.̠.x.vOEBQ@ ^zϐEFsD!m{İc0GA ص/ٷsK-`w+tog2__RG,9XŢ -좹]Rj7_9 q0tp@&pd~$W?!@Kג"DIe8Tlx d?-Xa~|[[eiXvJ<35+#rйldrsۘ*aZ ni=u۽dZlS Bز7E?祘&H2,GE_jaTFjmr4G?< /,OGsibk_K1 )fˏ1G.;Ť{kQa.I /wUe ۔tӕ;|p\ _,Қkzs῱= WYxTeA ^"bM}̵ͣͯlP}lflce=Le\ w˸!z?t{\cZ/0<]463J DgQ8%7 endstream endobj 17933 0 obj << /Annots [17934 0 R 17938 0 R] /BleedBox [0 0 612 792] /Contents [17939 0 R 17935 0 R 17936 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28242 17937 0 R >> >> /Type /Page >> endobj 17934 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17935 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17936 0 obj << /Length 19 >> stream q /Iabc28242 Do Q endstream endobj 17937 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28243 20830 0 R /Gabc28244 20835 0 R >> /Font << /Fabc28245 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 17938 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1653) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17939 0 obj << /Filter /FlateDecode /Length 3510 >> stream x[I+ـlZFrs0@OA^~jҭF3v%6$vb-_;ũϪ>*5[|d/OˬQ/>g1<)G?I~V29c:/1=A/DzKg 13"~34/5&S)>"}Բ†L O 'iut;ˌp0!h;yv 01xG}(F%NQ;af8IsX,+m {AY"أh^Źj{"*ygU&FFᳪ1\XXɡq,ulȨܖc91(kX&uckє>LdO&8 |3/ ug@ Se/|muI2Qم76eQioJyXo6ƹLB)@Sh]WdL]}ahT $ǪY=NGCI4흍pe2hao1;h w8t'1蛖hC3O?%a+g rmg\Ts]O冔Gr]p! Lnue2V ߂E 2ůr=w$g6/P}N_-ՇQ^9spп|zDFb&a@=?VnBh\uz,D؁^21uRi+keͷ ""zGs1P(`HHC$pѺ~Q}u z@ 1"pl; dYsci  cS[ FZ[jҷ8]

SaZ{,һ6u-%3,e-;?x2rqW#|tuGx:v?Z\ )TSR\S5zxecꫨ[Ž5)fV[T>sQ>+-sǂUAY6{W [&fҥW;jvvxMX`ruǯhfPcXDs\]޻)/eh̡HVƿA"pQl6Ga W߰w:L..l`mV`08{4iLog,L+Z gJ"*h- O:m>)PBF-|R6r2&^q`>#gдDk6qP.799Ws>_0?W`{ԙۢMZ`G*4lHF fWpO5Lɔ[;錷t*N`PLc;dLWY?y!3!rt1PΜ` ;a{A|bs,5$;0 Ϻv2iQS#s5m-Wiu)vbMM0>M5{tBYb^\<&]SW+gVF¿.wΕBGGF'.jCq&<%7vjfw"5e.v3GZ\ Z2>I:QsbLWTcb(6 n峈[-i$ǘ>M$^'!NCs֚m*'HPN8|ͩMR\e^%΍OMo8R\Rd;Aj ݶ쁘cqx}3=`a̹,Eg.u] s+/| ;ɞ|9\ʙy05:-F-#$}m~xߑ'Rh>G}O pZ r&@`Ŀ*ԡW̸/'<Nj3}Oߎ/j B(=MxvȨ`=ng^A>BIwb# MyR2ĎFٮ"հY%@3qYr?~aE(%jX!&3`9x=d}{8 Bk)' Ɣh endstream endobj 17940 0 obj << /Annots 17942 0 R /BleedBox [0 0 612 792] /Contents [17955 0 R 17951 0 R 17952 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28261 17953 0 R >> >> /Type /Page >> endobj 17941 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17942 0 obj [17941 0 R 17943 0 R 17944 0 R 17945 0 R 17946 0 R 17947 0 R 17948 0 R 17949 0 R 17950 0 R 17954 0 R] endobj 17943 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 512.9 149.5702 523.9] /Subtype /Link /Type /Annot >> endobj 17944 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20211013063105-08'00') /Rect [104.1732 496.7 149.6637 507.7] /Subtype /Link /Type /Annot >> endobj 17945 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20211013063105-08'00') /Rect [104.1732 480.4999 167.5827 491.4999] /Subtype /Link /Type /Annot >> endobj 17946 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 464.2999 164.1782 475.2999] /Subtype /Link /Type /Annot >> endobj 17947 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 448.0999 149.6802 459.0999] /Subtype /Link /Type /Annot >> endobj 17948 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 431.8999 169.4802 442.8999] /Subtype /Link /Type /Annot >> endobj 17949 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [104.1732 415.6999 174.4412 426.6999] /Subtype /Link /Type /Annot >> endobj 17950 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [104.1732 399.4999 187.0032 410.4999] /Subtype /Link /Type /Annot >> endobj 17951 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17952 0 obj << /Length 19 >> stream q /Iabc28261 Do Q endstream endobj 17953 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28262 20830 0 R /Gabc28263 20835 0 R >> /Font << /Fabc28264 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17955 0 obj << /Filter /FlateDecode /Length 2117 >> stream xYIk,Gȳʹ/ %74aIgc|Y5j]<%%i ~ruE9cҔ<{m|4Y3FEbg &0>MLgrU0W*ޱ)=C;p:h_1N ҃E_~WseJTF_~ɜV(/pN}c!Q+KALIB[30slϲ1? n:XlJii?G#qAY{G*19i?666Q/vD8"oaiS"oPW=umAb&D ^_(ke$Amb0P8dJvƌ3؅"GwcrIb1 Y`6or>)Am&l5Ts :gvnVh$R5U1'k6b8 )nE'%ŻQF=𽳣*kUcvk lQNjXC47 46?/TFƄ(1ǬkLkMP9#+,'3N P r$=]<%#yr|( FDFz? . ?;c[E<9_ZGzg`$L6;{ՏVx`.٣*\pީ*߭s.|p3~;੮Ezos\Kn4i_KmkʬuX Nhu!uzRۖRvdRJnH,!Э@Ks'R"7)VdBMbwpat=imǎ/50N`Í5`ư؎xYE?Ew(F+~i5-V lCOQNe4ɨ+tZ tim;hlsj/._)ª VSmA9=yf# ' BeW9ٍ^:)YiXyZ{WK;nK-GUDe4oxY9I]fUu@!7 fϲ++b;#+ "{, OzdC[*q4#:F5 sj%+Am{=7hXC|L5-5  {XكKro=mug>Cl{sZooҸcB ~DGtY"9qG{9<235 Oxr{w"w" Dʚ ϩ=Kxw@ -̂&,Zkr&׆6s4bVQ;2%v6ϟ:OCMuv4Fې)ǙrpzR#t}wM᤬3t~?-BR<f5 endstream endobj 17956 0 obj << /Annots 17958 0 R /BleedBox [0 0 612 792] /Contents [17964 0 R 17960 0 R 17961 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28280 17962 0 R >> >> /Type /Page >> endobj 17957 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17958 0 obj [17957 0 R 17959 0 R 17963 0 R] endobj 17959 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 369.525 112.8525 380.525] /Subtype /Link /Type /Annot >> endobj 17960 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17961 0 obj << /Length 19 >> stream q /Iabc28280 Do Q endstream endobj 17962 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28281 20830 0 R /Gabc28282 20835 0 R >> /Font << /Fabc28283 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F2~f| fpYw.Z 5J8.y-xvw7p>6W^vqWsRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵S7 ufg[XLۏ"eH&E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C~j|=B/}7 endstream endobj 17963 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1655) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17964 0 obj << /Filter /FlateDecode /Length 5414 >> stream xڵ>cl7wKn{|Zb؊*C~nm۷?>R۷ovrBiw1ݝu'%O-Ypg+ޕ^w~?۷l_4BmO[4aX_jGFo}5ߔ~ WJ11-VMlds08Wi pw*I2\Kj I]÷)q 4τs:A:=Ofl-CkB M* =|'yQ)]M0(]uJ{Cx2|AeNK y\ tܬ:oXi폿m_AJy[ 1+kz8 1m~ `z #~76¨B@/pH0|eQ`l!@??bm5Դy@O0̺vi`^ܞB-aX48|qۺFdgח)(p #OލoHɦ}(RjЍXD"*>SkJ;%0`%.>$C{!S7H_?؆dpn3  V?׊Y)u\[F8NGrq/ģʁb[a SD_ U5X4[kh~{a"+g?rB'vjwj[{sY42^-;;=q{\/b;`Fj}Z0"`W.cBs2n݅^c%8T=cĵ/,ϛ@)pRFб'}:ݛ(>DJ*AD#MDduE"?fzM>H0md^c`;*g*rJrպaeNs.:xz H OrEj\aMwM!{Oқ鸃*HE <3,-8Ha 7' k,3 34ȑ&<@pӴ n'/w ].z3PB *-5c#vH -Wm[qKjqjF՘X?@NHBQcĉT8 F<*ױ2:8.8puyC*9GH x'z&hqst z[* xi\8@2Ҟv.WZQ[gD`F sRf+MR6Ej- KjX K|zytuRUtTGee0Y]\P'QIrF&?]g}]`ljheҎY2^TX5M}4#ب&)lhZ iqT"Vj &Y;[ݓusY3{c(ׂYu PInbT1[`u\q0sgJT1"B]@l}uDsgm砸rQAAIwZ8 Hilwjawjf;R 7vY-ܤsR wM{D38\Е(;tezsQ *;R w@/rWʁyR'%\\۫t]^^+SZieuR:mNjRI=/0?DНR'"}:CuRwuR??F]~:Wp#PWs>RRکKW52שьFaG%]zI fֿ#f؊*㗔UIs*1'k/o|oն6aփd+W Xu7gyWDr[ۖ =M9ZpGrNq=v{K编:ڒ=nmx\`r=SQU)$avޟ6'P9qjAۻO`L=L3Mr{N`Eg!*d&imH.nk ShqiЙ{0i&}W>\cm\n/&RX|I˸ NM61Ym9L9NѶz 7#p ja:)Dh}Q He"x{ EcScYsbJe؀6AF4%@fUyڨP@0K-.7M,LDת3;t14B H,uk| N"⟳M;sYჩT-&R3DE r k"n E.p:PwWt-L$,/˱_We$8adv|jm#]<ܩix2$AF3~Yaz؝ av$/W@:BO[ä6NE")M TEMZw!R^U/GG\S&g}%&-cAC=&Cg^BSwɭIJ&$8ٻLO6TD2;]au/ Lo&VQ wyELK+JpN X0X2*fK7-737/JPRWb_&1Ea{Fcmbp~09Y . |]ؽ0-D~9;ں7Dq?5USܵD1daiT$ 篅jMH bi ^a 4€m3Bx?vŨO#KwlmUGblT+t?B~|O^&-3Y\g,Ꮤא >]I+}IT}'&2/NS0ځ',ݴgG^ej6Bd4K쐇~<قusD~ H0l9<瀳~>ϴQeq< u9üΖ}'=d290"H)E.4Cw@>'(9[ephwmA'x 4 w\*,/!}{1fЪ}ߣ98 Ǡ^rɓxz3\Nsmq:|9=ʼb,u-c_58a@䶋} PE8qDVeq;]+г%F_ ŬKBn2LBEKrZF6qmA>e֧ &ְ .!|4Wk.TϨsWۘC&/٥=C"M8L 8x~؈~Rnp*C>ҥfb&jv[hq#Ap?hC϶EZvY JG Q!њw0^D ).6`^p_S2g!43!* pifqK0A "yJġeG;Ƙa¨6:]vx46d97q:PJztGUG)w$)Gǩm޼RkNL)T)1{+(JBF"H RFt܋S1=5 4L ި™6Jf .)jPbkp5QGEavtzAM  A-P(f :U! _))Y0#eI'Џ(ѕ/%kAREр xLͨˮp3jPA+ +-H{~.N:-xm )Qà+4"8#$4` MHYG*8A@ :U' ~0 :Y6{*!1;[D*kAArS"9oqt`%6nt@*ĝ}6p7:s)ёzTj(H(Q[Vj.F@ ȣH7Yhj;hF OP{$|໓aC*!i'P;z1o`2gK/x̣mct\\Zt= uJ;[ $g d=8@UOlT;݋NJx `n/lu.q"6h+f]^e]ASw09pTe&Q xAEX_c)~x~q/BɈl}[ /󒌺- ](mQenWrY99da~ʪ؞xf_⇞+H v+p5g{rK{CW#?oWqW:p t cx &? |{*vNTM'Qe#9І N6LL/{R@LΧB4Fj@X8'{j4  rz%wN]n9$T,D͔PIz#QjOLpe:iULF,2BևsDF0w}]*󧗬RE6CԘ\}ro%/_ _y |1ɫf0}]^JފkbIS|µWgEi|޽;&4!1EAa :}cGjuFBySwƚۇ;}jf_-~aCg:(7 0 [N A}{/~?[!Mgc7p8TZ9`6WP<f|b| G/i2i]7҅H8}^L< zܳ|:i}7Bp$1~J`AL endstream endobj 17965 0 obj << /Annots 17967 0 R /BleedBox [0 0 612 792] /Contents [17976 0 R 17972 0 R 17973 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28299 17974 0 R >> >> /Type /Page >> endobj 17966 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17967 0 obj [17966 0 R 17968 0 R 17969 0 R 17970 0 R 17971 0 R 17975 0 R] endobj 17968 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 134.8462 149.5702 145.8462] /Subtype /Link /Type /Annot >> endobj 17969 0 obj << /A << /D (unique_583) /S /GoTo >> /Border [0 0 0] /Contents (check_timing) /M (D:20211013063105-08'00') /Rect [104.1732 118.6463 167.5827 129.6463] /Subtype /Link /Type /Annot >> endobj 17970 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 102.4464 164.1782 113.4464] /Subtype /Link /Type /Annot >> endobj 17971 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 86.2464 217.8802 97.2464] /Subtype /Link /Type /Annot >> endobj 17972 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17973 0 obj << /Length 19 >> stream q /Iabc28299 Do Q endstream endobj 17974 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28300 20830 0 R /Gabc28301 20835 0 R >> /Font << /Fabc28302 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7J thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 17975 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1656) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17976 0 obj << /Filter /FlateDecode /Length 3733 >> stream x[K#ـF@niu^S/>zXh[lz~UQ* %|SU1-C2_^Y޿G}]yo /5IΘ ܄y';>>_&g`? ?J 39u1O2&&|2~p. 9[BfqmaN=\GITGy^9[  ta@+:%z$G^ j;ѳRN> &x~(30$|%Rq|waqU^&dbz\h@Q{QioJyK9H)2S_7dui(?BAV΋M3NWii%fCjb3~f.ī@{pX[.5=JAǒlV՝A%=츨G&c >3Y4ϼ(J}wAfnZ6i3IAd#@-va|ڶ0 H ?'aN6Lm=DfHnKV0^Ded#L3Sr p,1B@AQw,ȜsiTdlgyו5i-z qnH]Ӗp@ ǤtꑍC@2d72\Z}j wEtd~gɻKy"J̾@tA'@0-f_Jy`|Nxo8赛!m$NN.\I욷3ge~̜.&ZlB,<0Km{ٮQ0Qאz;fXe[}DNaR3jj쾟k!hӣYXmWZ vN:,577^fm!eY?ʷST:~e*`uYv\DI(d:QPIok:祻=.vnڂ999sՐpx% ,nEV0t "}v 56bbi#>"m -{/"y4kZj猇jԩj:h|ʑL79%6pz6ԓac1d2P={4f]^'c20$P}-kK+Vʦu}"}|5JPb^z$&֝kr]Z^JRU:sĽU3@@0 lS%{i3ɽaM׈-s\W)v'ѸU<)e A iWh VU mX(8"!p?%,}Coc}Gkp8ޫ!hhit՝Zjsu-Rw-qNL[\WXG\X??0̵$c#oҭ S4ZÓݒv`L0V^퐊E^I36D91ʢz**T+CLYcuSu}=IX k 3-r&aIŐWNkM1ֈ(Z>vTB2]j5;v/nTwj Z,y`.\ZLܕ~vQkKX{2E}JK _K贏~v ﹤K}A zS!DCb:H6!x1>pǩs1G4oD繕V+"i{'{@B LU;dEBрdK͸] > PNXHI2a7L m.} -6IKvhtȘx92"X4ot?Ub끚V gہD5^|8BpipuWHL_4{e[fIvah 1pP"}Fzd4`Β.;QX{RȲ~wųߎ.o1lXv6>!dzmA=Ψ@SWi-HHV T enӻ1­bܣtVӕƸ;Ep6`>§`C,YTO4skHCb3vL4QzzbzGypFr[ƬpZb d4tc1@5_@Lr AFVwt:usP+z]moiDIֿu!wZk򠵶SU|s}]:6)vc`Yp\1B8o78]\1=+ oJ$#]Dis1y1lj|g>2⡢V Vd{WˈJ"?UʬL) =K4!Vk8Cɇ)߃{j 5T߹X'#:kt3r@c)[ Cy!-"MNSJAcsfy< . k/|}Xi(6%)ԉ\p7>hn8hU~è~S `-|4ԀwrZ3>ql;,BòR߅P+WY]\mns ȾhAUcF~;W ` 9f v@#6Yy` q,NLmbng,LoI#wi5Z T% lM ӇN[0'cqBٲI | m#=H5^]G׉۬ Ltɹziͼ< O7BܺJ 'Uiu$Z.7|ϻ+ *yb'nẳV3ԇB;0ex1mގg~OEC6w 8 r g+s:di^WY0 :'L/kIG|ڽ7h ΠUX,om3Hcw>b\vdFIFfaaeRah`qƖ q Q< 4/+ h*,?L4t}YY|F+jCBNf}f'<;ivQ'qkul>dscHIVjF.,ccvьLmσܒᅬ_w }bt#q9&iؖr; ǑϋD&lMy&%ŵG5NJlh۲C!ֶs~K]I NSO #>|C, hqϵEs.oNHox'zS'r+)D=]*gU$Lᢇy:vuRhz2{&^#i Э}bZB!qh9y#gK|O'wǛ7Ar Fs8n^ P2!duBA>U(vBSު$RJDD:x7jVIV{xͽ^F3"^Qx#=d}{8 Le?=? endstream endobj 17977 0 obj << /Annots 17979 0 R /BleedBox [0 0 612 792] /Contents [17989 0 R 17985 0 R 17986 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28318 17987 0 R >> >> /Type /Page >> endobj 17978 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17979 0 obj [17978 0 R 17980 0 R 17981 0 R 17982 0 R 17983 0 R 17984 0 R 17988 0 R] endobj 17980 0 obj << /A << /D (unique_595) /S /GoTo >> /Border [0 0 0] /Contents (report_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 168.8477 709.9] /Subtype /Link /Type /Annot >> endobj 17981 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 169.4802 693.7] /Subtype /Link /Type /Annot >> endobj 17982 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 208.6347 677.5] /Subtype /Link /Type /Annot >> endobj 17983 0 obj << /A << /D (unique_637) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_latency) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 188.5212 661.3] /Subtype /Link /Type /Annot >> endobj 17984 0 obj << /A << /D (unique_751) /S /GoTo >> /Border [0 0 0] /Contents (set_system_jitter) /M (D:20211013063105-08'00') /Rect [104.1732 634.1 185.1222 645.1] /Subtype /Link /Type /Annot >> endobj 17985 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17986 0 obj << /Length 19 >> stream q /Iabc28318 Do Q endstream endobj 17987 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28319 20830 0 R /Gabc28320 20835 0 R >> /Font << /Fabc28321 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7 thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ h endstream endobj 17988 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1657) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17989 0 obj << /Filter /FlateDecode /Length 1285 >> stream xڭWj,7+gf3,3 doz}MT%ԩ%j-ڧul@*odoɆ`O4:mbO/>䇝=0om.7l=x$%C|Yqظ3ӯ3!.~Ck8KK,>LL۬FζNYx)l,?'(ԃD2ӱg.4Gg@8:dn9ccQ"6IPTj;ĴVxeü|j,O/j8񬍰rs~J%ڡzWƠѻ82% f+u- MԌNYb3,\u՜m.`϶OyLy~|C\?A^fwè [3?B_;t1sq1AVDc^hu H ]R)fV6_E3fCzLϏ9GWT|&oG~s? SmGB3Tq)D83pO6OnCa9 spF'7:w~Ax2>e,3s> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28337 17997 0 R >> >> /Type /Page >> endobj 17991 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 17992 0 obj [17991 0 R 17993 0 R 17994 0 R 17998 0 R] endobj 17993 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 351.225 111.681 362.225] /Subtype /Link /Type /Annot >> endobj 17994 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 351.225 139.8465 362.225] /Subtype /Link /Type /Annot >> endobj 17995 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 17996 0 obj << /Length 19 >> stream q /Iabc28337 Do Q endstream endobj 17997 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28338 20830 0 R /Gabc28339 20835 0 R >> /Font << /Fabc28340 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ:& endstream endobj 17998 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1658) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 17999 0 obj << /Filter /FlateDecode /Length 5106 >> stream x\IW@p_Fls0o3E1ܒJdq$|_̢/y4d$Mn-ko:&[=/:`5\B;cӇk%O;ͷ;x㿖o^|SI"`%ho\=\5Z^KGzθWkJ4_~QvCxV]r bjJYBYvpu׋k@=-_1-?t$ڵ*.^sȣ$So)^+R2}mpYc+ ,+T?Bk%ԯ?~-F5-qoZ^g絔XgWAd4_~Yw$}IoP=r@b?xǐ/>#W2vJhA>[峫MʎPT>8wfC̙ߪ|]]W 3|eL7/1T8{pg)BW"jЌ{^&l-zY_ĹX^r4|/[*`sZCLM?bEb&(قȊ@D 4PgVzٌ1CpspG(@SCց3:rU4* F=MO)CAu$Kwd)WpĽ d7N?ʶynaCtz=P?}R@'54CQ6[(YnbG ҂C02O)G!+(j ́L1NMÍ4Ļ@՜,x*"/g…J\ O23BM4N{^ܚ sbrP R=ǡ tƵUP@<\q'vb5q:>RYί$g)D‰.% ؐ4uܐ&iR7IiMi|޻5 Y4؉(J56[!JoQ0b@:ΕvL6QM8ؕ7jTNj;}N$|Pz}9'> @rO<}x:-tȱHod29 T3e@P'OQqTz E~Ÿ";=jui}x|]SȀZ}R_,>87l*kwwU-we4̴ِ4G`q?~l0f쀰FAj;}Yc[W W؛}6î)AQuȶ)+n)qVw=nve>yf]aizWXζ,Je).k[U|7z'$a<(p_QI/(7o܍v]b~)3PSǂзQ*C\$bqK`,#C&Jx54r8*{߈A^>oÅ}h a{Р)7HH$a֬ oicݩnl|6صC[# MJ_rxyӤ0;qdžjcCpXy|35a+V+q}tX_G*qtS{lVX\8dhJ"58Vr$Y,] 4AY\ld=$* p>ůЯ-\ـ]4R悝/^#mofMPFpPdBe!. HG_ŤdJ$^v8?RLxQ&vQ  BeIvM@M#ɂhPd.jLP`1Z`jg'=.Pc@:ՠdQHq걓P';'ϵ ٵ$Zp:<\%Ja$siX.E )JnpW1㐶\`ZmSiA*+h>Q5P[+iPc`Au@ x7Op ` 3#"@PDzG(3t`Zp~Z} ̚#xJe5Rp$ ZN?z P5Asf^ ZX8UGtR%!€އB9DWD^)ƈBNq]pH šP)_\ ʊ] Q7G|q Fx$0l$lL)<$?:h84P@`NobK*ɧ Q^r wQEa ՠCdS<]ʴ Rp%-%TE^$B0K r؂8L5w0T&u}>X02)M--K$,znJjԴoC+/؈f8z^+3|nO8*4#".0 %Lxmai<^aOv!i թlF˩{=+GoOBZ VE7 @5skWbAiiz2^:}Ű{Ŗ Z [盳5h[;< և.+;t__^C[L`PйBs]~FٴK3Ձ#Y9LE!Vo qfƉ,ACRK}_! j+cf W5jmXr C`Clh ѐL/1{ /osAvYڲ+=T yB#Δwu(X(Ԟ8ȕ[:7k]1o[IMuی5h&ûP2;Vg CAME t0Gz] Pn 0S芥*? E P+f$pWf5)]ګx?`(=F|ߊo9|7|͔6HTL4 Ot< |H1V!)+[ofM\sCu Z^.d#,[kz˽"f=ѫKҏCAeP9bYS bx,w|L օԓyv UKR2%IS+!Շ |KnI[;\.I0JҏRs_a/O endstream endobj 18000 0 obj << /Annots 18002 0 R /BleedBox [0 0 612 792] /Contents [18010 0 R 18006 0 R 18007 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28356 18008 0 R >> >> /Type /Page >> endobj 18001 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18002 0 obj [18001 0 R 18003 0 R 18004 0 R 18005 0 R 18009 0 R] endobj 18003 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (all_outputs) /M (D:20211013063105-08'00') /Rect [104.1732 308.8462 157.1987 319.8462] /Subtype /Link /Type /Annot >> endobj 18004 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 292.6462 149.6802 303.6462] /Subtype /Link /Type /Annot >> endobj 18005 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 276.4462 169.8542 287.4462] /Subtype /Link /Type /Annot >> endobj 18006 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18007 0 obj << /Length 19 >> stream q /Iabc28356 Do Q endstream endobj 18008 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28357 20830 0 R /Gabc28358 20835 0 R >> /Font << /Fabc28359 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7JRthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}Q endstream endobj 18009 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1659) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18010 0 obj << /Filter /FlateDecode /Length 2848 >> stream xڵZIoW< P(u` }qKw Y"E>{ )o( 3RE>W`?;..Z;etP/;mbF ?BW N&qV 2,8o@:轲&^'w38k< 6waUL)" j@As'>NZKo;XO|ąK23k#:DH#T Ù'PEOY|YgZYY#zC<๤z_B&VZ4>Aƈ* iGVz\iJ:4j|ҞGI G;֠0 B'tGfhbM};PnF){}C.%Q ۨ} M~\'Gr3uK)R5Tv(-bj1a BQ_12meOOUv,0`^s\k4I[ygyו5i-8c?r4Q'`8&;sll 3{ 9$+a_Y {;P~,lkaK\J%jK|HzIph_" &fn;BSȝެ3'Zc&tWǥY:$-(vZ|nIQj82{wK 1IݤK5 Qs=dknEkuECt4khk^* .ֈk܂IhT]Y:FY+G^$u>I \OR%W~Iin0kf㡫8C` H xCAMO0בӏk 'Z+[|/bnqC0-=V^tjE 5 HU^0~yB3lU_|‚P|sNQ|&( a7]NKr  9p/`[t@c@].9cEVTa5}5 A"a;!pR 7Qæ vAw[H]\gsF˪D m᠈"q%b-(M a/ .~P3ԝxh]jٗz|U@E RV_ΟR BA6@$ӝڨ{wlA-=::K!9{ y; 򾗺F[c7 H纔 O[bJzIaU%x߻ K..؛9Z~=𷼍2oogc%U%B%"&eHy;jD_n`2! Y,ސ*t9ecN6(E9G{2 Zɼ{95Ţ?ઐެ2Tಠ֬V?f?g ߷;wll@/6%LV s'h 7BsiLmjlg }[-x*Suʨ<=Nlpwo#ӹm/B9X6cUKd<>'$PS==|УAΕ.dS;`䠣8Fo endstream endobj 18011 0 obj << /Annots 18013 0 R /BleedBox [0 0 612 792] /Contents [18020 0 R 18016 0 R 18017 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28375 18018 0 R >> >> /Type /Page >> endobj 18012 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18013 0 obj [18012 0 R 18014 0 R 18015 0 R 18019 0 R] endobj 18014 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 437.225 111.681 448.225] /Subtype /Link /Type /Annot >> endobj 18015 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 437.225 139.8465 448.225] /Subtype /Link /Type /Annot >> endobj 18016 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18017 0 obj << /Length 19 >> stream q /Iabc28375 Do Q endstream endobj 18018 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28376 20830 0 R /Gabc28377 20835 0 R >> /Font << /Fabc28378 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n"ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18020 0 obj << /Filter /FlateDecode /Length 4132 >> stream x;nIv8P9/@i,O 4 ]ز"Հ!3c{cIC[m :U:cb?PߟvV}ÖC^{:ӝ>9oѺ|uF:6ϭC~HM/VB?R-d6t&rO`ʜڮq5עcݲ̏K`lYj3>OOwڦ3v+sA%1`r7!3#wǷt(y/2n)9O06Z3H&aGd->R퓶nYc&_ <)1uֺ-Y>oo?QO(}=?COP>L[%M`Solr4_ 52݆³졦- ؛h& v([  ~g,8zlfPҁ}mqiM (Q. ܳ`UhV=_ˁ׊E-[u\FQ;[JQe[8U~:S!u%uo*CPUsJ}Y6o\E1B|{=S"7G=!ГY9{J@ asQJCC:SX$6vjBW_3 T `+׌QM㋏GG4rȊт㯩ʼno%FR 9di/WHb랫v۔|b;BiL('zGw"KQ`$ڻ2Rħ QT=U+fM(R:[݋us2cH[l s[TXƜW.ҙ,y:U `PW/m`՚1]Hy7's P5hU3|N7p3 wdn2 },ds2 w`Ͳ{3Z\yTM2\ ȭ ]Лҕrа@I EsGz9]p7'7'7'κ3椆)}΄ qٛ0 r))Lȉh0ܾ͌fT755K[ ې:h` ɨֿoGݷgP+ZKMtt*T^׵IAIk9 ,H}=^zn[څ|lD(076SxSF6??\hsH9 Ci`;0/,T>PHgNn>'UmVwIY;Q[)w@:SGDl9j h/ܩ-z|ƽYLjO6t9ǖ5[}f#C{72xR&fQg[ :dϝ;M3:8e@ilxB_0xi<[&*y8!6?O2xN<м9-O}Q~7,-B<2b́_EZ91p%҄Hc/# z"&7ER03e'emqy#Qo 5NDH\L{$vvkihJ?JˌWp.k&?7!u䆄9=BwLOOókp\k=R] eo[wH׬7bbmlz\oOw-haT#%x7؉aٙߍx•vT3`Ճ'Z뚯B6wbMZ^5 ZiE#.xk y}#}D XU/:Z%kAUݶP3QlTm>[Gve\G `8A[(uR hO@ãOc‚5>ymY*GΧ2 ''5nV/SeIC򴡱kzI.r!0+/f^"#X:wD!)yvRPwAV] gKFd@E|q+lʆ Egt^t# "БNVf:r}33x'^xe&՛\gj%_z>@Qx 3͹(_nwQ*"ă?̀(sѓ*r2&f)*6I/l3 (3xH׻Lc=U!lgvtˌ&be3Ũ>䶐Y|FY/X^fl,!;XCMQGߪ@]x$o6 c\Kh 0" iURkZ1 2zxCF!4(ŎI$12|.<#2KL՘*H.cILavݚu9Nm]ls\x8ʉ|e ElN>E?h\2L;m]:9>Q KB<ò.™⋾]p?BA쾫BtBgB\ig( 9nKJπa54}c`m!> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28394 18032 0 R >> >> /Type /Page >> endobj 18022 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18023 0 obj [18022 0 R 18024 0 R 18025 0 R 18026 0 R 18027 0 R 18028 0 R 18029 0 R 18033 0 R] endobj 18024 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 149.6637 686.7] /Subtype /Link /Type /Annot >> endobj 18025 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 144.2737 670.5] /Subtype /Link /Type /Annot >> endobj 18026 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 149.6802 654.3] /Subtype /Link /Type /Annot >> endobj 18027 0 obj << /A << /D (unique_647) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 169.3482 638.1] /Subtype /Link /Type /Annot >> endobj 18028 0 obj << /A << /D (unique_750) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_unconnected) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 214.0467 621.9] /Subtype /Link /Type /Annot >> endobj 18029 0 obj << /A << /D (unique_648) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 171.8562 605.7] /Subtype /Link /Type /Annot >> endobj 18030 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18031 0 obj << /Length 19 >> stream q /Iabc28394 Do Q endstream endobj 18032 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28395 20830 0 R /Gabc28396 20835 0 R >> /Font << /Fabc28397 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}^ endstream endobj 18033 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1661) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18034 0 obj << /Filter /FlateDecode /Length 1303 >> stream xڽXj,7+jh]Ղa`,0EʉoKq_BldJҩSRK2Ux~̞T߿xuj,ֹٹC8f.<:Oe簇@!L6̵8s>= |(Q .'. 7@ԑ'C8b?P~Lw b oL!̍1\͋gkcxEDC5'q qZS6v+!gar]#d7BԌdNLΦo $ki! I((j$5dx ~4om-GmlJͺ9Su6PR$ f˶7*wm:S i+$2j֋6'[/>ru13m:ۂ]Wpȇ-sG ysMrwQͶ0'N}̔m#h4{F/CU9b=yosg14 2̍v-D~7[cWH`8x1B|l)fhw+Ҹ_I3fCz0JyxĄt5UgM6f[ͰhmUw1f#+'UnyNDcmQs/S6ST3fod4ު;fD 7)9 x$ij42ʡ]oNjrDI11^mUU^`dvASG$[KoDrW }ܦHAӌFI-̑-X5.?9h 3 ,UC aĐ|1u[ U$n)a`*h?*(^ʣF }zXN4[ {,>E\˲n./"]zʮYaҘꡂ-嚇.R'!#e~];W#_韬Y w<|mH\[l [hjX<2e38Hm~"}9M\/t Mr⤰;W=ۧ`\yc{:>+kH/Q\o~B0\0GK/ i xdOXKX88AQ܎:R%·ľuܗŻz3j<,r7=Z;%:|2'Kp3VI,?`S11ӀSNZ4Lڨ6q endstream endobj 18035 0 obj << /Annots 18037 0 R /BleedBox [0 0 612 792] /Contents [18044 0 R 18040 0 R 18041 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28413 18042 0 R >> >> /Type /Page >> endobj 18036 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18037 0 obj [18036 0 R 18038 0 R 18039 0 R 18043 0 R] endobj 18038 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 437.225 111.681 448.225] /Subtype /Link /Type /Annot >> endobj 18039 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 437.225 139.8465 448.225] /Subtype /Link /Type /Annot >> endobj 18040 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18041 0 obj << /Length 19 >> stream q /Iabc28413 Do Q endstream endobj 18042 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28414 20830 0 R /Gabc28415 20835 0 R >> /Font << /Fabc28416 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18044 0 obj << /Filter /FlateDecode /Length 4083 >> stream xڽ[Ko$Wl`|6I` @Ҭ  6@ {ONuU,VWWjH/Y zx?>~}lҚ~~տ>8o!׷ѺVtݷFԶv7/:_<< ?6Z2x<-տShVӟY&^Y&>.u,K2 ~R0f`~SI[BQc_~N?C?'t26N>Ah1tºw FaO: 1S^p4 Ҹ``vՋ䬫NoaCYlh.`T1mdtlខvQ@LK!ڜ8gr, s-l/"%Zf s z뺁0q #sFT]<[@!S$X-G}=܊i¥> ٶ8v$fv!/8z^6[lN))#ݷ?S\Է(,SA ϣh"łU9r>9XW[Zf]]:s "-*4C\eøxա`xg:Jd-. 0>R0Ykk'I<'4=5|Y0z::B< |4dFC61bb 4w9%$6}".(sn " 𖄑u@5h (Xg2Hl8yVhw~{x5jDW|"xX&]SU~9<+->NBGG=̯b]wEr+1ǞIKp8HQf"52a#N- I@%05V)IϔB%&&nABl~H'il*Ii9KoczҺ'x2BTCs֒+'rH1SOY/& g|8'^dpPd;Arj ݶRbLq([_ޜ<ߙ ӗU&StNm*@qN$w(=Ns/;n>"*[w];!"6!h(|(<P?by'U8zogX0nRP.BykƚG}"p~y$ܟHGlJ`:Ӎ~ 3*sBY\胠|bqR/zZjP( q)?'@[ؓz=q#](U 3}9pϏ`(F%E('=/ endstream endobj 18045 0 obj << /Annots 18047 0 R /BleedBox [0 0 612 792] /Contents [18058 0 R 18054 0 R 18055 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28432 18056 0 R >> >> /Type /Page >> endobj 18046 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18047 0 obj [18046 0 R 18048 0 R 18049 0 R 18050 0 R 18051 0 R 18052 0 R 18053 0 R 18057 0 R] endobj 18048 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20211013063105-08'00') /Rect [104.1732 570.1 149.6637 581.1] /Subtype /Link /Type /Annot >> endobj 18049 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 553.9 144.2737 564.9] /Subtype /Link /Type /Annot >> endobj 18050 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 537.6999 149.6802 548.6999] /Subtype /Link /Type /Annot >> endobj 18051 0 obj << /A << /D (unique_646) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_dc) /M (D:20211013063105-08'00') /Rect [104.1732 521.5 162.5777 532.5] /Subtype /Link /Type /Annot >> endobj 18052 0 obj << /A << /D (unique_750) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_unconnected) /M (D:20211013063105-08'00') /Rect [104.1732 505.3 214.0467 516.2999] /Subtype /Link /Type /Annot >> endobj 18053 0 obj << /A << /D (unique_648) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20211013063105-08'00') /Rect [104.1732 489.1 171.8562 500.1] /Subtype /Link /Type /Annot >> endobj 18054 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18055 0 obj << /Length 19 >> stream q /Iabc28432 Do Q endstream endobj 18056 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28433 20830 0 R /Gabc28434 20835 0 R >> /Font << /Fabc28435 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w1b endstream endobj 18057 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1663) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18058 0 obj << /Filter /FlateDecode /Length 1821 >> stream xXKo#7 Wzkb;^- P6[Ne~?<;Yl[4XC E~H5vTts:E=:QNc4dc-fI6ӓec[]1#,&0eL棍%17V܃)춚;{ku]hWB~z;fy|ؒ.֧v#.d@lM*M'rnVUZ?Ml ylvօUӏ>ikjL<>jMD׏aWv5[C2v(Kz>=c@|; =:E;cFÁx'9NBRѐ- [`XV֌k 獃EǗP A"GDMpk_ v[2\&EZKz؊!k!. El@9@{B` (,bes| &:5d>v*V{F< nfUVsЄc\—˒ʲTX*J:=&òWuIEPRM (jFr5I("RYIg9bO,9ȩʀqRPFNOOEbuNK,f$%h\ݿ@ԓcZ^wg&bpxV%E\$ ¸y&es~GeꅲUuoP(Rn ZT\.Wԫkio[QkL3\{z tVP?0Tg{%Bػm }a9auVL+I#gOT'~Bi b|6QJ.Ռ thŢIwٝ-crz\5xu ^x U jMYCGx]fLhB{,|JCK|X  9mjfFZFK\#_ksPkeqW-D^W@ӏj%.1"m&ZVcyWH[yc@ǶmNfFrfPVSm<3ۑuUS0$zdq9s-R:RTKǵ]hǙDo(\0`V  ˀm,n"Y^,llw(gBڣ7DK$]ӞDC![8 un԰ N2ռ43; PعN=UD(C( a~-ˊ":OO:k쬸IޕDLKjFFYT囼T(+~8Lq!Ӿ5sy&Cve%M[,l&RIgyޤB8%I?/+-Շlϩ^_}Mg`HoPqI> hW΃-%9҇wErM,y]05ԁZP'<>rtOxЩ};6x> gL cu1~9Ve'&āҁ)Tydw](uwG*>*ދd9k2059v-I x8qg"Dq.aӮ9o9~ͻ8.$s?mjFUnXXOZƶO-$ˡpMXp7ky'7§!Դi'g۵yLCxHP ! endstream endobj 18059 0 obj << /Annots 18061 0 R /BleedBox [0 0 612 792] /Contents [18067 0 R 18063 0 R 18064 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28451 18065 0 R >> >> /Type /Page >> endobj 18060 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18061 0 obj [18060 0 R 18062 0 R 18066 0 R] endobj 18062 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 437.225 112.8525 448.225] /Subtype /Link /Type /Annot >> endobj 18063 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18064 0 obj << /Length 19 >> stream q /Iabc28451 Do Q endstream endobj 18065 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28452 20830 0 R /Gabc28453 20835 0 R >> /Font << /Fabc28454 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo7 endstream endobj 18066 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1664) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18067 0 obj << /Filter /FlateDecode /Length 4051 >> stream x[Ko$Wl`:| 43+# #u ^S/zzvzWXU_QlU[myuˋyVǠ,COoA;䐲O/;cbg-kJJ{C{;7gSs=R;+;-N)S??=$gM/V'_E%bή_VN%4+,c,cu kq]U'%{0&1?A=Ke@t^)2I:s;6K9ߑ u;eW;7p\?{EA;d@XԱ.)9f; $ Վe<"?e #ܟ>ik5mIFm@) 4hfUPZ,ƶ~N# llA! =€O B;s>S{4gA? 1ˋdEƃBlrb0.WQ$S7 /!%e:>BXkm*meȭQՠ+E3ợ JmNܤ4b6fReS6 <H*~d/ԻuWziG&㌜5"DÓY+rR^XPY^HFsVv+l|cW$+7؅o3}!oeL!l!cA''"~S5T˲Юm퍪*;vsrMVmnprTXQ?J#^-U߫ɔ1jphT-nvZ]_/,~cyI)4XzwK 'F d]/BX2zTj^ ,7gNpr 0֩SUL}+: n`n aX n-ou\JyT XjNr3^ծ+;NJ,ݵ8m= Npr[87GP[} Bec1𱠗BNT 3m0P F_Zu6Ny#:~:baZpOӫBuzTG jĵA~}=szRČ.%'˩KC:sTOgp,ȔZNrAF2ܵ@ǴwUa} x{)i@-8l`jJiA1qx+iZ{ N#  ca9L\}bnܬhb*9`h i PTwð=-'iHZQP\pJq Q+8:)x^({UD>Cg&ߤr#3=δHƏ,}MA#6WZjPeS-0)ƢYԡW-F;jB(DFz$ f]?5KE<T5epM|N|>υtGM:[͕zxnŞT&]hшl=!$?Ła)1Y1#.H!ހ, ̹A KGs'3MvV& 邐8acѨ 1F -+{ hg?y6\kiSH"DDF4w zTj`56fK<js8Ue!9 FO p;kC] %-n(@tXd~KW扇imډӎvԛӎt [?Tճ|_+8M emSh3zeiBjG_fsjh}] ?N5Hj f@tG譹g+ Fu)V0nX|q4i{̲͙DSEܷ6+mSg:A6y/hZWI\jpپ5 pRw^pַ,ED&=+//+r5 >6=3o zkwn^wٰSPB,6 Hߪ%~ qײؼ66tyzE3xvW-vtvq$yOb>W KNx0q \f]vatC3K"4?SrL&_1G>ۺfbX=e( 3oѷjvW:YcrcFw/YЬ.,/p96W!0N,!|\Ðv#_P F[޵|D(e~Q+VzMhFӵ'SP\qx]Nv]\]F|..EuXC A,2'=rK%}3XbiVI$6-W m2.j&C[OAͣ,goHCf4Dn3s.&* ƠA\P, ;PXoYy]wAcQz+ƜqsJ Gku)vaMOa|ׇT}]e˭xӝL)/h.VIT_5JKSgҹ5ҀQ낧 4tj]J'n%'RTXg#C} A`SQzTQ NJIzT/15/HEx8!)Eܒvne# R$ϽKD3Q YKdȝ#ǔ=Zg\*,&"'dpPd;Arj ݶRbq(K/z[}VbaylW qɸ=*1q\Z\o>m{d.av<]G:]DϷ>Nvg£CQd9.p$ޮa75|`Q C8x'bG+<eyw> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28470 18076 0 R >> >> /Type /Page >> endobj 18069 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18070 0 obj [18069 0 R 18071 0 R 18072 0 R 18073 0 R 18077 0 R] endobj 18071 0 obj << /A << /D (unique_646) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_dc) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 162.5777 686.7] /Subtype /Link /Type /Annot >> endobj 18072 0 obj << /A << /D (unique_647) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 169.3482 670.5] /Subtype /Link /Type /Annot >> endobj 18073 0 obj << /A << /D (unique_648) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_zero) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 171.8562 654.3] /Subtype /Link /Type /Annot >> endobj 18074 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18075 0 obj << /Length 19 >> stream q /Iabc28470 Do Q endstream endobj 18076 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28471 20830 0 R /Gabc28472 20835 0 R >> /Font << /Fabc28473 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj;z{9)C ( 'C9PmтGŶ>f endstream endobj 18077 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1665) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18078 0 obj << /Filter /FlateDecode /Length 1232 >> stream xڵWIkd7W9 4 !g\~|c6~x2QkbaOt ocANjK%܆PcsMt/ا}S+N a5lbtqLk.N/q_jQ4 w#xi{D0< \"g~mq:d5Ѹ_K1zW1JNˏ9G,`S#A;xϙꖅ-GW-Optely.@smsRնRῨT5Wo`IV [DOT^ XT IKYYI.s:BgIm51nC4 >f(ʷ)!6U\h.t}- 1-r*/\t ui2SL(5LoHחaBkf'ɧ qYĭԥc%S\sHR7B$e>+F} Y}7/f"""}KʋыoocBD`=_S Kx8_6#X3:D^!M?o_(~b1:XW.k6Wtv$#t^ӓ B!wgo#6pBGcwr;q;q`ƆshmW=Tx33;4|3 +9O[a'2š> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28489 18086 0 R >> >> /Type /Page >> endobj 18080 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18081 0 obj [18080 0 R 18082 0 R 18083 0 R 18087 0 R] endobj 18082 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 437.225 111.681 448.225] /Subtype /Link /Type /Annot >> endobj 18083 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 437.225 139.8465 448.225] /Subtype /Link /Type /Annot >> endobj 18084 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18085 0 obj << /Length 19 >> stream q /Iabc28489 Do Q endstream endobj 18086 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28490 20830 0 R /Gabc28491 20835 0 R >> /Font << /Fabc28492 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18088 0 obj << /Filter /FlateDecode /Length 4088 >> stream x[Ioɱȳ. \|-'2Ŗ[u5ٔƃԬ-22"JVwGN}-te砝_~吲_^?tO[(-fy{>))y=OfGoNSE}0NNhx 4 fK@ٌ>ٚ/߅f7]VkEǺe ?3?/(0!c0A=KeP*0\ TB2I2rLlJ։{|LzS=q}ohʒ̓Ү[J΃Y 9FjQFG y<}-kLۤO3:H)OiA/fU@݌5_n xEmvw԰8}N`dOF?0G}|sX~`$F?eD7>bE7ll;YXwTk~"r+\4 b/Ϥe#<m}ua >D49˟D f'b0Vb(8.=Úm9$ _jqȱeԨ52{Epfiw: u+ݠx'&4A 8k捫+_^H'$z2kgF^wn`zsbIQCwD:s½yImī¬` Tk`>]#ǠGFydr6:ͱHk+, U=7"[KezC}Ǔ]6KQHf  R?تgz\vmKmoݝ"ec i4*KnU[yC?JnR%)"|XyA"6]\OW{YY bUa-Kj\[| MAfl/"%Djsݕ~ J dJ;Kg`a۷fdʴ9eNv\dA>wdnrlP0| gMc iBqd"WjLcTgFg{Q=0:gl-;Qf:U9Q j!V v&fL!, c { r{p˜.@q!2! s9Q`{/fXv t n 7iNphy̜h2k&s+b7S5Iv`eȭrGrzS2<,'8)'{'t8]WpYԀ ^g>fs z)) D58\aFN1;dTߊ7Q.mm n2R?W"+AԈs- l_z_O;mp3^P\O͖(f oȮ>v|7LrkM 2iî;v-DնL;.h]X4؎統\OxX_??'<4m#ae%1§$NIkWmdwMiHk:9^;U,2l:bgG̷i!r;F xgIfީx:5)by*;`zژB3}fI#0/heB`5- uiXHUXk'{p(< >J 񐷞'D1;ؑz#7l5E+:d0XAhi2$:,%:I~'=-ҫHLDa:ge$muFLxdO]YY#6A] ;P hCJ<,P 0f9?dݻb2x ';=N1"h|=" OY0y9eHW3:ռyŻŚl}E=n4|$ڃRm Oh2 gY|> 4dF͝?O:xN +.nX^'4G|/FDÐ/iGգ)X3QK91 ]7Fo [gn'4$h^ji0lgRԯI}Q[3!;u4Nt;&=53y֣qEqEi'#_'I3~]=.!O h:{Đ -!3)t"l"78t%tp%t28U;c'ZzPc^<0]rh\˰KU D<]+]'\;En1 jtÍfK 'a;\8lkM쒩3*Bطѭ^fr H~v]uM<ve>XG_Ǥ^IR ̟G-?"w  `+(~`SOeVm<&?aNccI:xX|ڧ>(kz^- ;Ӿٖ9ޗ- !oy88F@3@ZyeDdUøGSndEַт@Jedg0`q.@e"Dąxpp (2tqwGj]_J^FS3Bٜ;h긧m7q2F:U-nO2q ,sbB9ݴX]=$8;=#dmX5*E'uP%1ohn 15YB,G' +/ucx̀_lՀr&"{V P7/:|J;i*oѣVD:ҁ[R׉ 7^\]ro|&0`kwԙ[pWi{j qsn&w]T9b(sғ)t,tG,v3{MsU %ͣ,oHGf4Dn7s.&&*ZBfsX f(~) - ##oאS.sԤ1b#y9gpRGZ]@G ǍOc|7|CexOU 0U!By[ƚG}"qO~y,\GJ`:ӝ~ 3*sB {|q(R~ZjP qH2BSؓ=q#]M4F~G0z#"ps].> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28508 18099 0 R >> >> /Type /Page >> endobj 18090 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18091 0 obj [18090 0 R 18092 0 R 18093 0 R 18094 0 R 18095 0 R 18096 0 R 18100 0 R] endobj 18092 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 149.6637 686.7] /Subtype /Link /Type /Annot >> endobj 18093 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 144.2737 670.5] /Subtype /Link /Type /Annot >> endobj 18094 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 149.6802 654.3] /Subtype /Link /Type /Annot >> endobj 18095 0 obj << /A << /D (unique_647) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_one) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 169.3482 638.1] /Subtype /Link /Type /Annot >> endobj 18096 0 obj << /A << /D (unique_750) /S /GoTo >> /Border [0 0 0] /Contents (set_logic_unconnected) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 214.0467 621.9] /Subtype /Link /Type /Annot >> endobj 18097 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18098 0 obj << /Length 19 >> stream q /Iabc28508 Do Q endstream endobj 18099 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28509 20830 0 R /Gabc28510 20835 0 R >> /Font << /Fabc28511 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pQ ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18101 0 obj << /Filter /FlateDecode /Length 1282 >> stream xڭW;o#7+<m"H//2/r%9A3$yrɁw/oyrws8cn:;;#vH̭'Bѹp( o;3=>O֗)uɥ%`þb?#~}VFHuԓ!Q_((?a&c{;f$h~WXow0s% =Wb|.eRX-WV;Q5zuQ=$!nn p[x!씮!*C򁷎R3ج;VqxUBL:5h:"Zf!T Q5UB[8'Yi N6^RL`q v _PlOsN9̂6K!Bb9@\ryV{% cF#j2at/告uC=N4U >y\75P瞲+ V8)*b^y+kRY6ϛɚ{I6R.F`X%'IOnDNN:råedԿaT endstream endobj 18102 0 obj << /Annots 18104 0 R /BleedBox [0 0 612 792] /Contents [18111 0 R 18107 0 R 18108 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28527 18109 0 R >> >> /Type /Page >> endobj 18103 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063050-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18104 0 obj [18103 0 R 18105 0 R 18106 0 R 18110 0 R] endobj 18105 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 191.225 111.681 202.225] /Subtype /Link /Type /Annot >> endobj 18106 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 191.225 139.8465 202.225] /Subtype /Link /Type /Annot >> endobj 18107 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18108 0 obj << /Length 19 >> stream q /Iabc28527 Do Q endstream endobj 18109 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28528 20830 0 R /Gabc28529 20835 0 R >> /Font << /Fabc28530 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nlЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{w@ endstream endobj 18110 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1668) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18111 0 obj << /Filter /FlateDecode /Length 5586 >> stream x]K丑Wl e):mS3Q@eƋ/T|wf'Kb #S"o4;%vxy2 AUǯß`6c1Z8;?廐BӏJB;锟.w5__/_7* 7Fǟ_=yGzθWkh<6:qu8CǤCV"7j3ZGa .0M.cv45ʗX+~Fg)A[LݴG}ą2]M1A4dQzbqLS5V%ЙKaq:K 5 <џp]#QxPSQ8'V&TKaUZԺJ5pwZѬըEh|Zm%::JLEBCatUNmG{_fgv}϶}' Mu3@ۚh%# ƵjͧM2ξ>md5s0F]@VL8i w-%Éˠw_ftF]B.*RJowRF/0ks`?ww9εnG _jRyrBp愈wfo|qZ f[)O ҧb$.㱦ken"#Zhyftsݞ?wE=G{zYE5)]=űq ŸjW`Q E-{w4eo7%;6YR{M}vk{0y _X}W+|8sljuZˣ%ENi-fR+(zkׇJS4Df5M ĉa 9KR׆{| MAf_D%\KKXԻ*MK@R&.ύ+-<9#KE'u F aޡ2,ei+DUCSgk1ń1IJJcu c|LR JDR>g&)NL{U-6[d,(DAi *W6XP D`U]ǫȒ&ocDiCvBPռO.1п7\Jm ;8T=Vm6phdbm2/yma:6 X,ΰk ,mXȳ]EFv5jm 7Vr⦪lJddOu_Ye87pR`jNf Nv '+)p6p].μt-Tf8N=8 wzxNbNpR!V1v T:N} 6Z6Z[Z;I-[8ӹw>@]sN~PPg?>tν\|)P]0cgx)&K@#ѵZ9AǝjJ2nyf@ap B P 5@59 &+ZmPkċ0i% @bu"ۢz]'\:.HJ9 ')MRj}H9! N!5 )pHR )u2nڷ uIOYۧv.CP}j2~HK@J] uۧ.[:S-C˺nF=u;@;.(uۺR뺫R7Jݴ(u:kW%>^xUW%|111w|ߞώvJu4wP;&(*uhTAnMmNP\;b]?ZcccչԕcP'`RNMt m~Ղƀu@5``}z|2qMsQlrQJ+,9ރ:K|l x? т8RL~{pIZSIwyN[4i2jl~uP9ksVBɥ(Iym9Ś1Ny~h3 3.ofo?iT)Iz^ӹ."F&kԀ+wKxd>ɠ=r1QR( XHpLGɢWY,]ˤn<(u4R" F4ЄȀw NZDkCT>xfL>B0"l*i@3e~S %D˒ GŤ`JXIGKzs:1"y }hGHDw@[ӌ`C%'P X' :x$xhR B IU>3)x.,UUN!$LUѕ@]34#s ݘ?M:p,JQI9=>R4Nh| ^+&y cB:k j2zX|7O.8f 77J_FC<=X fF_Kӂ{ ԮR"~Qvd`\g&-_ =P?A71/ZxrS\@z|0GFȩH#G*chINgDʑCa]rX {PaoR_(mg~6=ɎF$#n';dyrMw&bރXȎd#dG 2;ryZ[I@pws*eJkhEiˌ,jd)ɀ+3^8Ub'Ľt5:]+ OfŒ8*Vq6#8i{aXBk-7DSǙCRwG5K Əjmg%qFU6 ?)Uӱc>p:sYbe.5Ss) cI_ԟK%WUIx8)g^0s'2Xu>P׽2`܌#3|q $:rQrrȏ]I=}Lm%w>4MQ";V;hb KA_ 4\Jm"&P5z8"(q xcx|H(^,iv8Eg(#EuTܓ]yڬTCP]hXSxF 3h4T@8pη^$qB40š9pF%߾!)*[of!<yjI@M(=-XtUtDٔC8N8h"ƩH7 RD /sT`Yx5JBUBRP NMcZ)BOtapKX䋄\j4t // f):kMk Z[34Jhh%+-2?ڐcQmY%$&b8^ݤoa^-*leHUFu|RB`MB ͳSujf'R2u-@JM2US5&[)nnĎ́xuwi:)]y4€׃hXn٫wq/^6o 몜ȷmtqb[VN%^a`l~P`y֬B.DTOx㳡]pG'%] .;s_. eFմN ʁޱ]ÑՍ%Lk6 Nq8}}ﻅʺ6>MT.'N*xslZ9˱OFЩssxF>܃=?M endstream endobj 18112 0 obj << /Annots [18113 0 R 18117 0 R] /BleedBox [0 0 612 792] /Contents [18118 0 R 18114 0 R 18115 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28546 18116 0 R >> >> /Type /Page >> endobj 18113 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18114 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18115 0 obj << /Length 19 >> stream q /Iabc28546 Do Q endstream endobj 18116 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28547 20830 0 R /Gabc28548 20835 0 R >> /Font << /Fabc28549 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMX\ endstream endobj 18117 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1669) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18118 0 obj << /Filter /FlateDecode /Length 4476 >> stream x<ˎ#w~E P* m mbнI23URu6`$f&`#r(w¯RŻ%i￈?ovzu R)W~mY)c^ 8o no{<06W+.HϺsekt=^DuR~Ya<kk^?/̈́de6/g'^">m*iM, 9͋,4.cLiHFj^ي32M&̺5F ˒+rګ|=Ř3\Ԁk{Y3VrоNG tNںCOf4N2+au_HsF|{8M'*qlZ+V2+1p4|FqC=d^tVkL,eg> 0c`lh=L:(}GRq,+m$X+ J,(d&iA!)uyopT7q13byaD-KT2*d\~A7IAG^5n4\YkZLX>^횐xHP'LO='}:TAE>?`h\uQh rSqd*>̽.geBA୻kV-yd9kG`j4ҠkcF:++L̻t_G2ؒOZxmer|jxN=SѬȶu%;l2;Gj*"N2GW܃#I _vޓ+3&\dF5axMuњCHvM:Ou ͢_y1tׁyb.NF)3E 6 <5 $5)7kG Q|9œ=Yr̞a pu>N7Wl-mg)R~-&e2ei#n{T`ij3gbNgHjevNm<=t$?949MΣMSMoS6?9 @tJt2yV*g>I5a6}wmp\J|9ґy]ۜVfݼC{d<íjwkzZU:U*qAg2+Gnt2ڤs̵spom*z[єC[\I:c2.k=jJGXgPY9QpGe~;DR[|ԁ&i+ N?qe8 hNgQ@B%_4'#K/.(^_ qpяdhsF]eрOZC DH$̶f7U|cxqr" pS,7xM-Oqۮ>?usC_4$razd q_ہb.aD@gΩhTnPdpM^>HztKd׌.PXqJ{s{\Ї3s .Vwa] U 9L3EÄ}Yû5qy b[>d1ևVĈ&[G:o-…GƄwF/D t$6@򎁍؆5Ȑ[Ub.cp1HN$ԧ; f~VwEp-Uu;6D8څ! *lwtI0MlV%2nItRa3N?@FEkZfACvA:`[8L„ΥGXѽ]Ƭ7F?p8m5 M7 YJ{obi <uaJmQ1SHl 0 laksQƉsަ{1B۸)t̯ L!R?VM͛ٶ̣c)/5JMTN~&;௽⫯ C 'W6f1h}ij5z@3Y_Y Z٘1ǹ1M`}[|__.#XPgICtc9[}7uZvE+3ylnAf+>d^ȱ$ #ˀWg2w`cU߱6t~+n[Njzc?v6`Fp͢0~Lփ +mjs '[:Ty ó]܅M.% *I;Ey-+Gsg0o5KC ^r$îc:R!b0=y1#|y~JuTgl3Ya'=U aB4oL{n!Ǯ,$/`[*-VrrI SCMbg.&N\P{Ĥk%lޅer~]7$^]lDAef^<d` )~7HKXYg^WR+;1MY+4++ޏ#~.ᛔM#9_>|;'euKUk|b=Z9Ţ -K rΚS?N.3OXyݟ* E/P dBDSb^|)6]D? أ}.Ylv$Gr5Nb n^w@ p_sjK|cj3Ipo+-aTֆ qN 8m}"*#ϣsێ\ .Chfz+1)VH;d̫hK]noD_n^e.߯MZÛKm8 @o`ö.]S}/4]{~Z!T?5cA8x7|BYVnD_/~It.WJ u]oHFٟ X7m]9(9 endstream endobj 18119 0 obj << /Annots [18120 0 R 18124 0 R] /BleedBox [0 0 612 792] /Contents [18125 0 R 18121 0 R 18122 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28565 18123 0 R >> >> /Type /Page >> endobj 18120 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18121 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18122 0 obj << /Length 19 >> stream q /Iabc28565 Do Q endstream endobj 18123 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28566 20830 0 R /Gabc28567 20835 0 R >> /Font << /Fabc28568 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pєӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18125 0 obj << /Filter /FlateDecode /Length 4393 >> stream x\IcWs1@h%x&'C~g HķkE?,,^[K'q^>ߵA*eW~tHJ]/J/ec>yQ)e>='墣OyygקSy=w0Uੲ)dګ'a4Pԧ%#ex+U/@f@N׍beE( }0'LIh^ʅh kEV>qbH'EiJ*c*%fE#8٣Ħ3aaẑ8P6᫓>pĪBBiR[03?_'!B@UƮQ:79HgSj'B VϚ@qԍPju*6>+ }IF>haӼ!xB!M;@x p'p[Vhţnqy[=nQ<+F0խ;J]U3-JAlwX32ĄW;:xjF*ii]΃q?c7(H( j"H,V΁t*ѭ13{,MwhK{(W87^E= Tl˜y[.X=ucyafN$_tq`aҳ)DmTgٍ$F( c 4ޟ2#]^alUuE ZiS>镺Cǖ=Ӗ>`Fw]+1ꌰ hNe_j^݄={r{>;5*ݷݞ|bO˃`/>> ˥`ӜV>.~J[9lؑѸ9p )5gOO87RF0 V(i FV"¶?U2-Cw12(^V,o[ol2c2V;覒B*&1^ˬQXpUn(4D&T#erYV;"*_k޼5&lybj&H+{uYTMP| %V}S/$ST4w+bU\N8!1 AU_[Oѥzժza+^5n&duh(4{nu!+(JCs ""nq]kS5oC1L7&9#8ic& zcR@j [oͯk-faWKGc7-4(º4)f zph9NKR/XbgM+mVF{VXWmطžiFuIxC RWmf@έI9J\;0T^)$*iMW_k#'`bu_>ڶa&Kv?=XV\"Ĵ /t_?f[#nˌMdΘ,BN5q'z /`+#Ix{"H\E+A@_Jxkj9$x2Fo*O ,K#^~!O3K?.I3xh@B4~B`ArgBrzWe1b% HhlB].ۍ ص !T4.O~kdPF OQm. HA*.aXbLL o30(jCe0z d~S۷㙕:WcJ RXz(Wy#&k89ViKMf )sk1 qY ʏ6UL4B"x̴e7-AhOYGk0<i=Tٯ` 8tTB$Ą?PT#=dLozл2 ]҇۹iė8"R}" YP]`;ac2 ¢9edmqSVEnt*xˮsYLi!Ђ*\>tc! ];kqeM =W*Tsjc6.zw݊ٺQǹ2jT)8֎b[Ͳ*s7:ܢqVjۤb]/iAVkƢ2~6'PlyMCxfHQ~S$sxɀS.ֹSaj!̪b̓3{վn1{ꮶV MhL\=pQcxjy9ۑұ23lUˏp2y[mPOz=U4oiJ sE|u,$}xUӪmÍ^9dtRYmK{%n*S-:+MrS}XΟD6uxUOmWJCb2^oI3Zf376 ;}7]o}؇piϯ>웻Vbdb"Y͵:qkV8M}f8Tm淉ڀ뒨YHt(*I%l;$| !J.g#O(VNMNnZ~ki8:):ICۖpR|QA&V)O痌ihLlMovNm x( un6?JaSi$ޛIwN7N7L?N ׷{8OƓ{ =۞ît<p {!YŎ bG/{{e.df\滆lۡ  5V'wHj)q=`M d҆~Zϛsii O(^Vtp급1' ڪ]eN޵nasZԒmtQqi;XcN+u_HlqPX0ٛDOM5`#ҵ=IOe ӳCS|&cX-AԻNbC y;cDO7Pc?mDΨWI "eutԫɹr|yJ 7^2ߤarOyiHZ̲U1nN; iSA@So[ln!6d6Ҁ 6޵:@Ha:_AȭQ7`7s;ta>8l p J?2#Z_;H]&a`Lݰv Z{ ڕαH /V7n>Bϡ|?;_x͇FxiKdX4E}?_G˪._7&::4tt@.xd1݇HNFèsֆm*HQ9?JTM( ;#Ee֍Z _LcwA{afODߋ э1V> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28584 18139 0 R >> >> /Type /Page >> endobj 18127 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18128 0 obj [18127 0 R 18129 0 R 18130 0 R 18131 0 R 18132 0 R 18133 0 R 18134 0 R 18135 0 R 18136 0 R 18140 0 R] endobj 18129 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 410.8 154.2562 421.8] /Subtype /Link /Type /Annot >> endobj 18130 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 394.6 145.1812 405.6] /Subtype /Link /Type /Annot >> endobj 18131 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 378.4 149.6802 389.4] /Subtype /Link /Type /Annot >> endobj 18132 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 362.2 169.4802 373.2] /Subtype /Link /Type /Annot >> endobj 18133 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [104.1732 346 179.4682 357] /Subtype /Link /Type /Annot >> endobj 18134 0 obj << /A << /D (unique_651) /S /GoTo >> /Border [0 0 0] /Contents (set_min_delay) /M (D:20211013063105-08'00') /Rect [104.1732 329.8 172.2742 340.8] /Subtype /Link /Type /Annot >> endobj 18135 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [104.1732 313.6 187.0032 324.6] /Subtype /Link /Type /Annot >> endobj 18136 0 obj << /A << /D (unique_655) /S /GoTo >> /Border [0 0 0] /Contents (set_units) /M (D:20211013063105-08'00') /Rect [104.1732 297.3999 147.3152 308.3999] /Subtype /Link /Type /Annot >> endobj 18137 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18138 0 obj << /Length 19 >> stream q /Iabc28584 Do Q endstream endobj 18139 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28585 20830 0 R /Gabc28586 20835 0 R >> /Font << /Fabc28587 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7&ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMe` endstream endobj 18140 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1671) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18141 0 obj << /Filter /FlateDecode /Length 2643 >> stream xZKW ^ !i sٿH[ %RdX8c@RŴ>gR4.2^8g^?oSC y%ZXNƈ|Eh^RaR y+͏GSqO@z/G2:,[*1(_0Nlj/h/-^ ѣyDM+$tLs B|tnй!'$Wa9=0YK; ˌD8ZCA$B$떫$Jc GSDZehilofEX,M־4cYb zZ%ei"7]iM+Oq mg]/ӯ5&Gv d7/.0`~&g3.Ď̵ZMsZ ba矌7_oP Nz:,yFXWmNStY}/!ԏӺ2+Da0#\/Wb!I`F#xνsAVA>pA<pL,+|d`uJR/MS(?{@1ƧBlV- MOaN$#xShS|Vkk<eCH2;@k;51ü=xD~[,~CAE(qqAh ha@.\ܣMHsYPn{$ 9o#bq?w6~,:]?SH^9p08n̘h jr𞞧y:?#)9k"<о}Hb+,H ebn?ZwiϽR/=xOZ[4*iDI"y ֶ%%gy_D,pe-X-֏Sʼn5uY.0ڪVrk3y[wIi`$Pmmzi:¹XE9d4:́=P׌Hȍw@%4Qg$W>i8^˻{s#9ĉ>H;iN)B||Z.\JmKJU>9VM#-({+5-|Zܦoٵo\V~@_^?ڛmsGu؆I>aeN.V?T2EE ow0էRy _D~ : wgTD :&SjH59߱)4+_mFwPYXn34N0;ȸCt߭{U;ٜ9 iǚ۫~l$K2ui=[XÏr W6Em rW䲭b odgVf;2a^W/ʩ]#Orj痒e/Kn[ t+ed޵H[(@Cp&O'B x} Qfi8i鵝}{oTڈMሗn.2#/E v}3Fer/Q5< 2)#~E:Zt~ nu$HRj*5DP?IRS.CWdB%x82\:B*+|P\嬌Ux?a3&> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28603 18149 0 R >> >> /Type /Page >> endobj 18143 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18144 0 obj [18143 0 R 18145 0 R 18146 0 R 18150 0 R] endobj 18145 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 422.025 111.681 433.025] /Subtype /Link /Type /Annot >> endobj 18146 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 422.025 139.8465 433.025] /Subtype /Link /Type /Annot >> endobj 18147 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18148 0 obj << /Length 19 >> stream q /Iabc28603 Do Q endstream endobj 18149 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28604 20830 0 R /Gabc28605 20835 0 R >> /Font << /Fabc28606 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pIAӡ~1OEʚ 6\Hn;|/(h&c I`2(.X5g$𫈺ʔf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18151 0 obj << /Filter /FlateDecode /Length 4406 >> stream xڵ\Ko$9rW@&T]m `ޙ!wʔJ2zJd`D|d2rwm'oY. :v YK){U11=3pJYkuQI;rw8#J9v*?}z]q'_t4X fIkGf1 Wgd?~SN%4+׿<<}\5Au&P=I~|p5IHz` 7giVGrvI.ilF2@%]nߣ&.p- ~9]Q{Ae.Q{x-t" PDhB)ŧl,w]Rr+d3Lճ!Zǟڃ,]ƲM?ӿta`4bf} XPg4?~NW ^@E0 p>랸 9r='WpuլZTMUi f闐S2k:m}Hۺ 40US $]?{*,Ndv͔lKt8}v1%m(<`;m&ܐ[\q`2To+[Cpi[wګ Rn(aWٙVwLCdiLCL hJ#ܭq[/`D ٢"cDOf́-StlLQW 6 '*BfjϲЮmʫJ;wsrCmނNu>7H9p?NE8EUn}]Yj-=w> ?V~Dw z"caMrtrڞqx^t<]2mAS%h~G++ظr =zQXcoq̦_Q|N<+i7JSD;pei]YFpQ C50Ѿ0D R<2 w8(c0+XRũU}I9Lg u4A#uƽJXI%8.8XA;B*йeLuz'z%jvXT/A.h.TcChΛ48 -}I-8azrCoMVu(cpۃ`aX n٭n 5XVdRG(63Ud;V  w7+Dy}w-Np{pr[89AOӱNn '6gf8_?Nj$8׉Iu|fypR8):}Ԡρӽo?'j!vE$:R1[XKIi)'S02hd@(WZ#atnC%4*4^7 g%~z_C#pV@G _ip-~W^E9(4|r?gHWK=]ODzD g.4p|Nt/tux <~/O=Y$s|F W

U t/Htj"C&Amu~AؙLm3NmU! \`2H@#䳝\(u@|k1Yl*;:D6ހo?7I$qra9?iIaM rSVvnj3tCF~r Y̘T Q5CzFUL-]ЦJHD#ik kδjȔu#bQ[o,YA mJ˩&=Ь-lǷu5`g1#9ÁFM[e[ fx\/7ה!:5en|0PcG[I?a`XppX2[r3ɮZġbS =4 m^Æ=˹Ad5vRˉB81uRՑ@T*L f=RLO1nf5/qM6n<|j3 dS=TpP4Rl/܀%X"2n(wte*#m8F4A4ݺ5BS'h_KZbٳ!CR /s&&s1ga}aiGFaۍ0Q>)K{]m\ 0})}^qeLk,/Fp@C;I"L53r; ᢷr6~WLϛyۈWؼB`Odmo$!mA9?6B̮+ &vȥV)Rp]O^P&p0 ԃ!05ˋy)+JHy?(o7 찣aMZ"`G6^o2 GCej2ςִ~[mfo\a-ڒzv6d`[N:I,K/AKXr\7:+^!;%[8?5A|] } a ۺdXVfw Ah9L[_z8Z~pFXLKyIW< 3/77(}{fz/^x#<ȸ* o7}oK.}7{8"llη~i9t/G:V&ޑrkBɳGԍ7KթT]yhwSxܝfX۷Bt7;|ssCIJ`$eʼ7|Ty֦]%}~yP0]u1S{O4Y ,.XFL:8 | e.z2VN¥83N1A 3X bpͳ,/HCf4Dn3s@ sP rrKlD\N%2 Ϻn$H r[+6U`L<7k:1%7~8݆}4z~;Ƨ>j|}J[lU \f=eQ7btMMYT􉌳?U@:WF:PFnC+"VxɅ<*RıI`S"%bbLo$pƷIBi}> _䳈[ʹ d1}`݋HF!9k6 I1},܋ ֟T\ee78^drTd;Arj ݶRaqx =#doM} ӧP, a4.=I,"'`n7!Kj/{u\. l|7EJ7W8YEr9 Qx7vY GOK V7kF|C!ϼP^˳|}|=G~r}_{'_ ft FsXn+*e'ro% 鶑~j?ij:|vg ҖC:'9fU(o"y61uu̳/N뷭W }Ğ.U÷0S9Mk|n ۯ5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28622 18160 0 R >> >> /Type /Page >> endobj 18153 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18154 0 obj [18153 0 R 18155 0 R 18156 0 R 18157 0 R 18161 0 R] endobj 18155 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 518.3 149.5702 529.3] /Subtype /Link /Type /Annot >> endobj 18156 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 502.1 154.2562 513.1] /Subtype /Link /Type /Annot >> endobj 18157 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 485.9 145.1812 496.9] /Subtype /Link /Type /Annot >> endobj 18158 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18159 0 obj << /Length 19 >> stream q /Iabc28622 Do Q endstream endobj 18160 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28623 20830 0 R /Gabc28624 20835 0 R >> /Font << /Fabc28625 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18162 0 obj << /Filter /FlateDecode /Length 1971 >> stream xYn7+x  99ɱ`SٳȶC$ťb?k-Nؔӳ}N.K/>F>}\l YSs A7jmY#Gx?oo`6ޛJ.AV7h zh[2t棍%[]6xI`U8> }yom񼎙n @]+L >ʒi%t[jL:ZLZ g-}  ՚TS).qy((KK[ٴHNw5uE*A<.~XG~;Uw[-ex?)Wh#{<)jR\4Z3H/u9C 3X>[݆g`s&i04$>!E*ԺU.2f}kw` 2RKTBKv./Aőh|KM+Ӽ3٧ݼ9;de2'.B4[upf>HEN]YlB,$'Y[brXEI˟O=M 9A :>VWK}>@_0`?0 v{8z~DQa; 2`d0x{HaIiF.\Nd>DPm'AD@x\E^*g#$;+"JLixyqPX]$w}/7w7Mmqވ_CũM1'Z79FI(CDS٠'+zHR['6jXbYr}sW)wkW%x<իVgʹ w:N8$&Lg-d-pH|r_B o؟Na:am03oV']/(YbwS#,ZNT+vy ɛX8Rޥ@~;ŨVxcH>oJZ (g*k.mf(ҳuK*7UY> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28641 18170 0 R >> >> /Type /Page >> endobj 18164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18165 0 obj [18164 0 R 18166 0 R 18167 0 R 18171 0 R] endobj 18166 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 206.425 111.681 217.425] /Subtype /Link /Type /Annot >> endobj 18167 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 206.425 139.8465 217.425] /Subtype /Link /Type /Annot >> endobj 18168 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18169 0 obj << /Length 19 >> stream q /Iabc28641 Do Q endstream endobj 18170 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28642 20830 0 R /Gabc28643 20835 0 R >> /Font << /Fabc28644 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}>h endstream endobj 18171 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1674) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18172 0 obj << /Filter /FlateDecode /Length 5109 >> stream x]K8W<@j& pe9[Ş۽T-`#K*xf vDJ #cI_gſ'5_/P;y>g!dLOgo> R h/>L^j!"IU|ΗKd|zRbQ:EԨI}D>Q4 q!ϧTq HAy|\ ,I CASYsEXfTA0I7j dP"[XB]$Vwf(} jrfwGW~2m.?ۧ#f+㨊@Ü ¼i:֠5|,K'|x,e*噛m&L",!y.=nTpVz/9AxcO)KAH1~L@~3YS y>W9=,B2Ͳ-,۲Y~Ͳ-,,ff6޵Y~Ͳwm_klf6 MR'CMCRĬs,M(t(O4lP\XezY4޿nLC#{_As&̺MEs\ԶitBc3b[<)6N*U ڊ %oCy,"R fp5#?\8(Mн)ߒ5= ~FőVsG$:a hJ׺^;U︜ dnGϙ0P{d;jC8I;6 i'lɹQ nF?o4кͶQ Rk.&a23!!&F/7lﶙw~lj5_j\ܾoLnx9gK|uIƬNmSj0rQùu=TO92G)QϬ?a?/epN5v+LR"O4dn.5gk@ʗ퓲J ]!dWÀ.Yuto)(]M/bQc1O{{VYyOU驰DLD]wEQh(~Gto"AWPTs̑oyUU7_woq xY⻲ O|3fEJHXFpoT+dWl;IoWS_c(hd Yz堘AO3EbC&U0G"ZC^ {Mй5C ,EL|DPdDgP.51UU(-RYh)S<aIn@ Q]uzhܒsXS婵pBr<{H9qfjg /{mg@}kh2ݜ$Pҵ5za/Uio,ܘ’mk0D,__}3 dyJ7gK,dJl MydN6H;yjRljrD@>דFiDf"WlU_pK`9 ue<}OܛJin%3v!\2t E:=q%E430Tɻ P oTSTmP̋iEDbqfETOWT:.HyHcWA*R#xH1!5FmbkH[!Ŗk!u;ېbLCؾiK>g꒰휆P>j?%P{ .:˦·}iewhǼn(vy%ub뎦zo<;i^w (vPy{UW%>^U=H|}}]0޷瓘bwMTN;؛Z=ͽk{S]{S۠boI Q4Z=XH@$A;Tz،{01C`27n2櫎k%df7-QDܲM|T9*~'|_4W&U';4:|;LIu>f70%.%`Y_Ʌ̲]IKKVdUML^.(-%4~ACړ;ڧg7,&a~vDh3 (ll?P'չw0EU(Fg%pqU#rxJG>?T1 g e(6f%e9PZ3u0{3dCJkRҢB\a%ᏏLxhMQdRGV[䲪r(aag2OI @~bZDK9I%,}Hʨb( -@*g$ Ok{>NY,܆+YͮymkӇN->7d@fvX.ӕ<,#UM%~:-dפ3:a}np! ɻFGd̀P/'  Cr>ňD:BI Z2 _mo j?%\@MXh /( ֯ͺбyIo9f0 6Seu'Ũ阄K"K}2y[;)b-+qs s/3n&2TvIo3.r1w;T HU4J_)6.\ywG,eRxXځwnC,+jӜ. R *1Ǯ.[r\Y.~ƝaK?t&4\|fLA p]yJz'^N0Xr0$Bfe9V/Re, 9:hD7xp5me V˵FK95O2!z,ߊ -*b)%S.TWǸx SgN08X{r^|ҚE:p _9؃ .3*9/&(Qؠ)¡DC&f^ZN"_jb&i4+ ?)C2nc'zvJ7Ωm}SzJa<[2|/[}-i =d Mg,\M11eqk I\JC!tlmoqi$6R$ъinPŃ͒j8_,mE$貮!uxȒd|2ޞ(Y~KM ><1RX՝p>uN1(c\qVs*.R kea|lXKdQA-긚/h.2y5iK:9Orv%+qT 2EE0Ł؀̩L %Mr+M24v6KJ%UB2c}zOZL.(ՒV%RAR5/(6 x.,fۦ*g%YR)#OYxMi%U~J> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28660 18177 0 R >> >> /Type /Page >> endobj 18174 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18175 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18176 0 obj << /Length 19 >> stream q /Iabc28660 Do Q endstream endobj 18177 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28661 20830 0 R /Gabc28662 20835 0 R >> /Font << /Fabc28663 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+*5;x~?va,6}{`B V&́2hނ׮nwwk mw/g+RIE\1%9wr @L$V-T0EH(.$c"KS"ɕL jE3D,ƵaPdDξ@lIHBJ.\[-: ufg[XLۏ"ÐL$3j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.QTfpF[z7}D endstream endobj 18178 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1675) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18179 0 obj << /Filter /FlateDecode /Length 3546 >> stream x\KW 0nr[& [my%ǦDWůbOOro_>\5SxIп?~coӟOzrz= n>O amOpYx!BIt3;1 > {IA@;g:L#reDrt 0E}2azw'Z\=5UtLcﻈMAqRZRЁYmt?ע}P=r7Ŗo+ʪʺDv,#En[M. ϞWrtB=\ŢJj*^ pTU3]ɷ ?V흱GDn.M NRsa^݊t *iiA\Dтt'-K B,KBgt΄pFj**f ~ZfhP+}"N:^w=#icO"<#X[i~ģ^ofԡz6 Z3LJP'|/ڸZL~t1fh tFE@HzOd0p68v[[w!> @}b|LbNvì8/ԴS Nl:UfEI1}K3ʪRp0u9뮡uDҒܙI~(}:92'I@6VN ?ʍ“[}:BY$ÝEBnwK5|޹4u2IT)H6V EFXWEV XV Qhӆ.A Vb`DSвL\[̰~a^(t,(ϭO^6Ý6lp>/?kXps`a> S~^\P~bI6Nބ*"n@YA+XNk$X`sH}qčXa#VMBYbW@ z Hcj9SY]sE8M:,=/iAbX♅{հ#@l[[×4U#*<N{xSl-ƒ,(|$Z$llF -iVU`qnQ\=!>5 $LY=C<Yl6b; w *NS3wѓI V1j.$CdGb23e[`ˠFi]̱>H\xB<'P%W/ox0x X X *h`.eZc-t/3eW*>~f Co˃_!^sws]/Qb-f:g1U8\GInn˄1a$DPRR&6mjq#b(GQ٩Dr!N'B S: 2EۢsDJjU)GiØC.6 ٶӴBn}+N.wWS6Vf{%Ѓ+T* ,vJ*QS]ǹOSw!gJwad0L M>1_>lbD.f`vn1hK=8>FMfWs @u9 ' Vܐ{5'% GvLR; D"ϻ A"QIM)Q2z7 Bjli "f fdHc\cwKݹgܟ\#K 7 _Kl%qMqXT\φq|&|%N杇LFfD>u{Ɯ73:\~ݪ.#|}=q7e}Z]^Yebq#sca_=tγ7lΩyx tn'Rh!b:>J3bu-VsZ7x(r<(]%\7/"2]VpoM(y~=EK9G~WG:* 1"l>o\r501ttAL;)Os럦'ͽV5:a;ڂz7ܤ$ȺA#jn垎 ]:tLg5*NIӿ@eˁ3rIi` !;ōOp~YF] Aݼ|HbBWX7cFJ5 +֎%6@*€YzxMWn_Rke:$u>!\dLsMUӬJ?vO*.2.wE~c#6O!MHXwמΌ#L]ҜwE"MnQOe/8D2:Tzϥ4PF ٢2>a#&G@9xM_}Vw.+5!rSRjgDk8?݊(4Fe]kS*_ E,ؾ}+XJ7(^-&β6&\Fi2 U ,fTzsO6i+ '5`\RZ_%_%> \gd: Ku:pOm 2$y8BpHeL=qFry" T:OM:o`\ۇ!YԷO:rcn/ףL{\3 x΀!b TsBYIKۺYixI!|>J>a> >>ViZA_;Pm?};Jfi< 4Kj!sVbI螩G_ڴf:1Ky:h4XF}gStChxCYu&#/J܂zj-@jj>+u.+GBZ: endstream endobj 18180 0 obj << /Annots 18182 0 R /BleedBox [0 0 612 792] /Contents [18192 0 R 18188 0 R 18189 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28679 18190 0 R >> >> /Type /Page >> endobj 18181 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18182 0 obj [18181 0 R 18183 0 R 18184 0 R 18185 0 R 18186 0 R 18187 0 R 18191 0 R] endobj 18183 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 333.7385 154.2562 344.7385] /Subtype /Link /Type /Annot >> endobj 18184 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 317.5385 145.1812 328.5385] /Subtype /Link /Type /Annot >> endobj 18185 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 301.3385 149.6802 312.3385] /Subtype /Link /Type /Annot >> endobj 18186 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 285.1385 169.4802 296.1385] /Subtype /Link /Type /Annot >> endobj 18187 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [104.1732 268.9384 174.4412 279.9384] /Subtype /Link /Type /Annot >> endobj 18188 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18189 0 obj << /Length 19 >> stream q /Iabc28679 Do Q endstream endobj 18190 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28680 20830 0 R /Gabc28681 20835 0 R >> /Font << /Fabc28682 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7f%thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMKl endstream endobj 18191 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1676) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18192 0 obj << /Filter /FlateDecode /Length 2989 >> stream xZKBf`{z5>,{5f ];^ʊY_.xOY. :>\,?/|]k`36<]%YVlUW ̓v^]t0>.pل`}PNhkqgИ=Lxx$~IÅdL N\,p=pD'<}H>\y%s*R{< 4w','{P03mĊlO!ջ z]lXcM654>@ֈ& i6zhIz4j46e JKwg{V&)~t` /L^Iwd6*Fd4*KZ>l="ཋq-|Ik5yx=*0n{Khb"r-L/@/ίFVPE5S~bNV~+^/;?e آZǦOfWʒ=q0ԊJ\(+ֈӼ*XZ6Ԍq.ad *`o*SE5u7 ␤9OuM~ B ]*^H{ߤFlND+O s5DCVlPqEO Rʻc==֫Tb^mp.ͻY;fN̓u͹Y~uTh r YYұF' 4o"Vd*,8h\Eܫ?mAqj@m=h5%Zi4 fx*cR2!zi.;n?)̾- .^qz oTlVT2$_ 1\}f:sE'Б(lKn\XVPJ}l$B~{'>݄LSW?<^~=O8@umҦW.+=/F2TȒ3@o\Obr۱ޱ(* Qy=PAeOo%,d|'(ƉG~o-Q] UҰ[%vbq"v?$cR|xb;Njz_Aʺ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28698 18199 0 R >> >> /Type /Page >> endobj 18194 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18195 0 obj [18194 0 R 18196 0 R 18200 0 R] endobj 18196 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 228.925 122.692 239.925] /Subtype /Link /Type /Annot >> endobj 18197 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18198 0 obj << /Length 19 >> stream q /Iabc28698 Do Q endstream endobj 18199 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28699 20830 0 R /Gabc28700 20835 0 R >> /Font << /Fabc28701 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy45ۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18201 0 obj << /Filter /FlateDecode /Length 5067 >> stream x]K亭Wh= tUO I"jnN../09?$EIUvuE̜[LR$?ljOx&L:=Z$MۯV!ߞ>9gagqೋuf;^B,^JI~Pk't4X>8?iLgzr7yEMm7:N`b6sXԴYv3p=>+j??kjs1NH?;YPD.ϩFq|V@gZWnr}x1 {ŦzX/Ƨ\ie6!f>9T$M!G`s;LƵAx+ :4U&]z$.7ԿO4 jONƓ7q4"$t(fMתj׌ڱ'چ9@>b6>At#/@>爱c`fpmI`愨n C='XKp?`H,@ȴXi0B.?=y a^/+X .Q;'tqzjA$q|Y5vz֕Z_hЗ/ΞPIkr0)8am38rO=)f\Kyȓ{N .%*T3si8 ]Xp*Z{inCz ;5D\ܜ G ΌkBO*ƕnWԺ~S~ӏMmM?7cSD+aD+ Jrd #:p )͎ifNdZa·ƩR bpq)GϓG}WulNH{JHG: z8Mq:_RXNg~`1Hddct$#;]Qήd@m_9&MRQCb =g|0+~/#bdOGesj?eSwmևҕEl #/,+;0ORJP. 5k"!y1o>&:4\/e3cͺ2>fχF>#{)a̻%I^:gltS~Fa'4~D٠b򞘥bouw0`,wz-_>S~LbO},jG)xY1jWDe?\@$ 5!;rucDx`8Jl743nu%Uk2J_PMrK5PH<|nq%X1h61:3:jS,RR_ՉI-BwEFL ^*(nFrÝc^-^*U2EV^[*w-#0={3D>t>1b,}B .&#fR/lR%BgIjCGUq_޹XY, ft/~o/b{ل@2\S[bQUfB\E. _%e!΂"#i̱Q+5ת,yflbtڛ*4))جB@b*sŪM"jpG*d+QNL6fX:@UOYc׳*%\&\TML6CPp)HXhw>4vp Xr`3sXZ,bIlְ"$7K*aيQ(b_]WVN [x:okOt\%GՌk'uQ8ވ6pR-}7pQU!D7sQo ge<re 'U'fu詒i'(Cdӄa8 &QbtJB2V?-7\ N^=(ՠ6 J-9R,FԞ;@vY;ӏԍY~h֧n>>ӏ֬O?:S7g}Jݚf}js֧?0Sk}OgA,J[Jڳ:*s)TjRj7~P#K=RǖRA-J[J(XP TM1̭OHiPc.fCg%e!U0` <˖:yL;?e!جˇ@VG*!6elsov+L$\`/յ|!՟K:XT%f\ZyԮf? TͦgJ"s>R=*5P%@QP;mJJ?* *8ԮW+nT` @9z+Df" ~$gCނT@mP}G1狓74H]D m9CU =>W!Ġ4?! LC@H}uw-C!^_qst( IPD:u1>l0₳]?U81R~5ZQ-4<S`(]ߋv( <,8>gO"ǚ Jdқ٠bgu4t/WQVmInH  >=mʭdʉ֘TY#Je Ekxt04#Y%RnX DZmwᩦ:-'כ>{ r(_wG*gYjqĒ,ScPh`ĜeHE/ iQS\i6Sz~ֆt$fkrUh$Ф`Wy#T`O2\:n~o_EⴔD-]!$Y K}ty7.HEİ5!uXC/B4)8ݰP:I[<{a1-C~d"aRWVc Lz$YZUNhl0"o3I6+lO0备x_vب i}ꏎH5е=D!R(c;y(JXf- ۥT&BB:Υm5WKn%Fy5W^?|eՓS7m8{si:*iBQd2RS(2&W$0g#-|"noMR`" Z9dFCf 1| T0uuaHМ758|X Lp3?+Riwfpߌ@Ƅ* 51ԛIJ8ƶџZu9ʸp<|NWx5kAˁ>@oT<}4;w d5UM^NNfNMXh9Ӈm):5v |XΣtK)$pKcdxQ8I9ԦےsKhvX2- U =S'}3\εLD9.JV1U0]< #BJfXD9&÷˒jQa:7e5Y, ooܸ65leH]F=MvUCwf _}U_AιiN;qVVGq$6"P@.Rh^Qa k+va[6ʖm]}ճЋ ׍P8g0 q B5aj9(0-K8 ||?S:xWPfdJu%Ō(`F 7C Q H.KhK4Vr.OL(4f~9WByR?OϢK!6ItSYYƒ(Kp/Urd4S|b=~NN: endstream endobj 18202 0 obj << /Annots [18203 0 R 18207 0 R] /BleedBox [0 0 612 792] /Contents [18208 0 R 18204 0 R 18205 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28717 18206 0 R >> >> /Type /Page >> endobj 18203 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18204 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18205 0 obj << /Length 19 >> stream q /Iabc28717 Do Q endstream endobj 18206 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28718 20830 0 R /Gabc28719 20835 0 R >> /Font << /Fabc28720 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`-dЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@~ xp´!bd,98`s2ҫcDA ^@wP&O;2FKh8JF;i z7}G. endstream endobj 18207 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1678) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18208 0 obj << /Filter /FlateDecode /Length 5654 >> stream x\I,9W!/<@jA9LwQP5iwXrYH"#$Ld|mQ߉B돥֩%*jrUhQkFZ}\Ys%a>KOO kxMlkJR%UT&LI+N?ѫJCIU,>J*fN֮]J]ZN|'E Q/4?G Ƞmhv4L ptRdYU+6N_ekL ]ϯRjeQ v.q@Y:e_?ނbh(;֏_@_zzy"]x*mqrOp_];S#;P1ձ!̀e11ؕY0K{s(<%eq4*ezFm<>΍z1V0y^emuC z-3QZ1&ހͪ0Ѫ>QXzIg-I+f5Sk")IR%[H/O?3%P3Yp:V+FƐ2&ߔ8ihyJv"*_÷5yQ\Yǁ ]ziٓVvg`Li;\%DĖ?/[͌f9wfeBTw2 *\dՍ`/\N&H~ggg|.vA(4aB;9cIz*"M|A*ʹӽYCG'ʧۯby.5qaHEwo[ UF|/T0iԅ 6E,-KTZF&\ν;m 'DyѮ3,k͢hЌL"IyN!a9/ 0vQ@KHVʗB J UNП=A?G7^:g4چtb4Y&-~(Z2VS5A_% $3TcR(obW"EmTáN5P,0'Y u,jy&eo4?ʯx3=tbRIeY:}b';aX }C #!ZrDMHv2^ 60:ET@ZU z}&#[N릝eB:l!%ʅijb*H'"V#+p4 B("hEbPz5;~(k0J9( /OK0_A,ડQ{ m(∅ OƬ&X<';t=5n6ĀVF2:UJ5A(1b5#/Xp w*1Q[D.gCY"X,&Ң2GJOD0T%)h K8^H钸 ]-W.P0!`HɕqO*^e5z:TzUUd5Rc݉6L gA[s zG+sOٜDSN3{@Y׈j1J{2#UAbgRs5ϊVKJ9,rkۃ^(4p 6 }1T+[IdQɸ"8>sdI+1KP 8dJlɔ|OS>~Ow+-> IHl"l&A} Vdz ќ4E&H́rZ5G$7['_yG_A:bfk YHf(EZffƕNΟ֪>Vu%$b5hLnҵ-IT0OدgІgC~*sݖA+m(iIJ]hak\NFlFrk3 IE٩A|S^n.zIZ :: JCsm"'/0L8#{čQ}A)Pղ"XmxnB{n-VAAA/"si6\F1ΉۈۈK.qѤN!0lӎ]dxEIXH@ITF=t}qC%2g$,ZDh}oþ=1>{.V0'w,nT;ďNi?pF|nqM+렊~S,{ہ1kG{]9V&B;nSLJC .g5ms1ύr2L|,쪾9J]N ǢyrCvoyr<Ն4CR!k^9R$WQ82u|E),\a vbpzUwX059 URykOˍ1)Ѫs2[ j51zSݝs(;:9-qR >zWy(s>^l w 1VLpQcMfќcO_;IFiDfc7P[. /i|Kdu+ ]rTf+ҭKbi> vQ* Mr](n9[%HI3pFqdf¬pq>3uM~.O[nNrήzMS@Ӗ*.cg<aTCɿIǾ8f Gq)U6w{p'O7e97M`THgrMMrMh @ \h3wG4|q쇘:Q}†|󽈘8]k|/wΑb/7m+9 6z !O;fF`|xm4@? 2\U&yM\AJ~zRCRLGS&OMØK qTj< Ϗ랼݊➙<(KmI^6~:@elIv+ِsglJSݛa;Q[EwdQ.#`Z,*w-W|f4yi KIS4ii ˘ >!Ma٦)),4 i >MA|B2)OHSX44(MA|2NaQ%ys6EI;u ޓCiՊP2Fd4 F)]P5^TU|g0mQ1t% ])h:XA ASZ8b"[/A K~Uk)M_Pж^V+)zŖwb(R2WhҠIk낸8j C#e p]I 㡻DkkQٚ rtAE/h1blW;Cdt<̙[?l2]T\evty-Sq-Lŵ[˻o L2o.eJ4&njA?)g)Vη]6#Vi㣻y.QOvXTr{ hFwm7ȖYdAoyY]: T<d^j`qkĠᒰ8#Mۻ-ry` RJ'uHV0qJ44V1),湗&b8LU6EE-(曃OjHK =yHlD9Mo)usga-f`:$#$ i4DLfhsTmVJ$k6G ݕƚ^dDk5u;=z3ĩԛ(TRe&C&ŏ ]2j`7j )fh_.Fvbnobۇ!U]r}:(Qv׀΅ Ɋ@wn=^yFUˡЫu^)~v y;h3d|gQdzںH(R],1qo 5ZosI&a{#. z3{h׆a]NIu,~&25%ls{Y*d=5= Gz7u-9.b8jońz[zCK:_4-Y gmA6װrQ_kꐻ [n@K|PV=č4Q(9e&߃۾얌KJwD#WWFϛlǷ&EM‰6;D/e)GO2d|ݥi9G/yDG"cr5vduy~[oٚ_w_݋ !U,/896Pfg|oFv (~[("9Te׊iJ7T.{Om/JNk!eݑ֐o[\ ת9c Cd'ʉ@3cO^-[K,îU`wř̗g>&/_1JsWg ",БtOu0sds;qJkR]ج]~eWZ]}l0so]se,³&C_N2&ƫ_w# Raҙ7nK=82O1Q=#P&QVbԈa(ہ*k2h5}%qP^!qHwVwr[oH(,2^PZ!yrXe ?__yiTTWr0V;9zdԖ+D֜&job{"WrV y\8G1T!ro<ͳ8iؒ gS2 \^JyqXwKed.2ZJe1nW)P]ZϰdHiґK{.{Q>WX'RY+t`DϺdB/6X^4Y%b1 ۾9їIdal{+7t q&vN[`vԹJO 4!=ݡ}Z(lx99F~|bCYNl^[A0_dbl֗(`o_@ٵO\ny~fϭӺ2ǐxG"gT !Po 4q`Ea^9&$ <$|?S ΋$-'d|r 4* YzQY >4 &(gmo~}+0Ȏ|f!ȫXʗxz%]o@OSAM%@UC摟@Fh;^$^yȔC endstream endobj 18209 0 obj << /Annots [18210 0 R 18214 0 R] /BleedBox [0 0 612 792] /Contents [18215 0 R 18211 0 R 18212 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28736 18213 0 R >> >> /Type /Page >> endobj 18210 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18211 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18212 0 obj << /Length 19 >> stream q /Iabc28736 Do Q endstream endobj 18213 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28737 20830 0 R /Gabc28738 20835 0 R >> /Font << /Fabc28739 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7QthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM^ endstream endobj 18214 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1679) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18215 0 obj << /Filter /FlateDecode /Length 5364 >> stream xX`pkv)>haF>=hMvZ7 m|'p AW4"m^u&-Xq)`@|Х`\O^6:p^m1 ?K`s}ʟ܏ /ߖctZq% ;׹S5 څ@Aty\/hk3yqQI^,&̠X_%;w{G"um~ߝwl+<ڃ{0XIQ{8r #>= X4?=r=Q1Na^hF4 3iOU˨s?ktϕO [1ީ@C2dtBt,HUYh9T̀J-CNκ5:A(K2SMz6E~9 pRUͶhi?˴uR@#1~c%s8c%H10Vј43A:7!5h/}7@xpRj ,3yl.fsZ6 d.C4X=AXZC~T? E/]tX]ZE^$i3U[&we5jn}ClAH G?R/!b?^֒z/,,Z~U9I]&XEyoSexѭ>6M@;&5S"<yRF7z#_GK,CeI<t!NXGe"%9u"(gWK0G˂!i W @N^FWGZfs"I:ŁQ ؠ4x١w)R(JC850!v, Z2~7vu4uhl4 [mưd֌Mx +z`5" R  W&x8*7u'쩢/{ėqiz$%@De }D`-G١Xp½l3*bwCMW=T{?f%-N8Uc$aЁ҇B9I:řc#PCt()x^u2eEnF%O[wָwUS"m!J2I(|넌˸hY>1譸w:`i{JpGE^H(a5(9Syo)[J`@5%,6`CZ\Q؎%ɉj1z]\ox8wo'͊MδW6 LXj>USZ?V4oȺ+[AW[̄i)orK <1qD{nNCpD#]vhf>vPG2`v8>ޓS[nSx6;>6dq2ӀgzOC[wbPOt "|vN5F?v0@M"AZ#MaqkLhhZl7$oGN,=2^ C'b Q{l +LYHa4\8ocͣL%: yiLS6|Xv] 9Q#i҉o- N]EdKiuxкNE1:b,{e )O'^Țkȵ'#%sA#KH/(?$rC2К1A7)Z;-cYPp;Y@'%X5m)E$1*) U"+ck`X \1X}WmdԇtB[P[QR?xQfKY*e֟c[ f0WdN&!ZLu1o~^khԼ/k,r-aӂqjWe 2ǘ~v9Wg;2dm3;sŠ$J\6dAOEX+96rEUjCB{ʤf v`G#MR<:y\smzL/Oh7dY5O }g{LmTYqb6:6o8$)J " n-u=6kYE* Ye l)LBPsUb׷F `C &]VsDIbP([|r Ǩ PF-,<Mtt1~s3۲245&p6ӦQoakAmڶfE+@7,8γjhv7-"f 2+{Dd2 <KtDgJUe" N-J5Zi y7מ.'hJ+-d!@UHNύtL%Ԋi'HE>dYl>0s1v(`-F6"Y?v+ݵbw.}&ާn4 Vu\i1Ů;|f4=]npjOLLgcCpO *ϳ]Ѿ8IN86OB5j%dz&-w9:!XYEL'+35pm݁N ~q|2gk(m!nuX1ҙ@w.<а^:a_2]Ntf545q!z!N69 Zuk &k8鈓kŏtKW#/}+GG=<.v=I /1I"%u˃.աVnd, l icchJ޲i>+l]ݩߗ3s Y/Z B> $GNow9IF;Dk!TeDJYi mׂK0+f=>]9a T] $[j7^WRo[*wJBwvU98w ~+bĔRBٗ7ȡ[EfCUqЈtqKrG]\)MAQgΓ7`jVMai@Č ',QOp1*ݕW m+YY: wk]@8TK[nW2f|hv -xWENRvRPeX3 ?ŜtdrRmy9y(w+RgDDRsݶHt^y؈&^F&myWV4M9e2jK]ywN5H}eԍ R:"{㳛pͱ|Yw mStᾦ݀lN޳ex7U~VU?$́Mle`4]чj<7TyzWܸYS^8:C<5)t6X^Z:~ Ƞ ^~Q vtVQzI+ݔy s|s |B^m_<]3e-o9@0<դ49ԩ\Ob7roSV~ &bmkaEӄI^Dutel% Wn\l(c3GX]}0}+2?"y, pDWN;E=JpK ĕfg`RG J]rA.[>>Yw7rCe"_ KB7!j7^eS3u$% @WdB*D|E8_+/zu/D)q?exjmWT?g/TZ Ub14*ՙBЇ<,i=c=Zrai-UmuhZ;&h zOekVx>ȖKdЄ3)΍η8b\Pc, 6!۝~WbHAᨅ<~U Xt3hNW/ mI5 kE[^ 15P0cwUv(׻oX>=.[0oPƢ'_z/'X[Ӈcq4_}m%A:8o=`!:햀Q]$l$2I_gB|gx<~HgyTZaCslwx`|^xTR?EDC}̫DP^ʅ'=TvjMbfGC`4+F}FxP%V0 Uc`̞g#ΕP ĺi.=# ă%wδ5 endstream endobj 18216 0 obj << /Annots [18217 0 R 18218 0 R 18222 0 R] /BleedBox [0 0 612 792] /Contents [18223 0 R 18219 0 R 18220 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28755 18221 0 R >> >> /Type /Page >> endobj 18217 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013063051-08'00') /Rect [178.99 332.7346 396.03 342.7346] /Subtype /Link /Type /Annot >> endobj 18218 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18219 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18220 0 obj << /Length 19 >> stream q /Iabc28755 Do Q endstream endobj 18221 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28756 20830 0 R /Gabc28757 20835 0 R >> /Font << /Fabc28758 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7Tӡ~1OErP\1%9wr @L$V-T0EH(.$c"KS¯"ɕL jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB3Wuœ}"juZՇj<r8knT-=%CO4yrCod%# yk|\Zu8>϶fh^_ DQl˜K(sh^2 'LsB.&s.^u#UR,Wm'@eݝCr\vhwe™ph#bwoeb endstream endobj 18222 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1680) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18223 0 obj << /Filter /FlateDecode /Length 5559 >> stream x<Ɏ,7rw~E4(4zd=Oy |;6˓R`0;s3O^olo`ousތ޾~=ogMy،~h'shCP_묍+Zk}p2Uص]LJ-(}:G#r~J8v؀O8 >$/"p퀱ǹw"UhA,K71. `ɰ?_xi,5^&ۈ >DL8|ڑweB? od퓧f4=?-\eO6[n>=21*':Yb `a'~Ҥ&aTX8pieOƖYV+K[B`,Ȕv`}dNݥ%Ε}Nĩ_jگc[ r7I݈#i!B+ dFWl{nю h"Р-{W1l8#o2WTWQŋVNJaK2" 2` S̀x(T!D  Yyizx['a)?6/?G@^Ywy1IpQX*jTaNp"Vٷ7u~ݠǚՃB4IA!AE]i3S^g2rW}-T0b@_X{hX{yC4Ƹ?ƯU{$O]n3Vx;`&aݳ%wgT(vϒ,mUo1pHY[Dϝ\N ]ڍXcaQ<'Pɝg4GX3hw_\Xa]P6yQhƂ\Nj4̀"o O+])4q\ ʭ5墾|UewŖ]gz_oalyg_`fX_i@Lܓ{aS^PnSw nO.Ś ZA cuХ+0m:y02T,ApadJ߂L ֗QoG+5Mtл7 m]pr-ۿu{.Ե^x)z'd@xPxVHP)l Eњ#a&msy @Ft|]}vqU726ʂ%V4c.ܣBY3vtlŚ?a8Ğ"Olpt$ƕ}M t/eƀѩF/ \NjEkDԼЬqY? :y$gσKQ],P1RUBiì o:شf໭_8O: uɎ$řMU%h- 6#x@^mθR^ʶlc1nL}k ˾ۚݹY6?V;vES7xxCyɐ syP"rM iYSyMr= Dc#dŷ;r-eXXgr$gѓymj֨/'{&뻿-=(b'a.C 5&Cvq"}t9 ώU ] 9R3(7Kj#Ufa l)f00GԖNp-5iCuF;[Œ|u֐{A7LV'BXigcʰaڛ8h/ރ%<G5CF (pZ 0[` `&qOJ# 6Щ <l9@I)R ~5-$P^(W0rc*_ &db-m0J'\E8 {#ˢ~]X0t2dX3pe9u); nOyA PQp%1Oh ^ ["Ӵ,``+&y AM&8Wz`7(cqA3gx`z;"1;A`NL+"O|h+#_ntc+wΊ4=#b!1#FuOaτEŐlA> ^6Kk"UkWaHu] S+e]s8guIlSf\{. l%S,bh O{ ;ؖ2?;- "?@PO4{8T:s!H:ѪYQyE>)"c++mؕ0asӨUFuiPGY^VF}6+F>,ͅ+쒃Q _Cv5G4S$u7u=8f-m}l }sڨoES.^CQ}8Ә& HN_: qjx@2:։/LFS̎~(!AR|Gd.lK[3@+_ګ<v,%SO_F$1]J&Pow7j>.a֎Y(C]5 zus&r@]I'^R[=8t5|=Xz\8ӌܖj;gkW*r0VjVʘ+egIjlR hܴZc26, k/#kRUզďRT̀aTY\o&M|wACMnG |*flDc)t'(K|ҾԜ#BayN}-Nj|#hЫ9|tú^d .00ֹ6<c0:e9nz i<),[gthHynn9(,^6N>[/R}ni;%C47|5Yx$UdDriI$!/ދO; .-{Q-GE+^U]=l F8HoR0wt繷dn)⏕ݰV!SP͟ y[f7a ApXSs-רTTG%?G)0Yqæ8Iey='S'M/n)jHa+{u1UݬbS`ч9DXs5 N>VBWVW|qLs 0zjAen8x)xGW8|ZcP'5gρ<*S˿,3:UDj*MLRz*O>/iJ&uV45_%MXUK)a1^Z^O>Av\jޫ iX\¶^p9~;۶0 @oNh0?X6r ;G,SYkh3GK6U %m~/0T.SEwAkʪKhhw\*a|$ofoZxY),W.%J~O'ߋ8vp/\NG'  8JBy]!V@Gw&BwaO{kDЪM>JrTvnjv(KZۘ0M _߃A^wA;[qLW5(hw Aojq1?dwEu iל>9G@#q+nҧB 13*LV.mH:Z RX5fLJ|Ǟ#NU3nS׵U`Jf5a I_mnb ?NTjjjy 8DӡoݛɨÇ1Cm*+ωPV0ƾ5m}vd1iD#ŹMKZe;@R+mɏ-+`my!YT,ȥyk",Kb @"#Ԩ׀ԉh+_ٓ;1O?F3KxAmV]-hĀW`D6??:ҍJkz:?{0S@}'! >_3f |_SE:ˋ!gl7x3g|83gqƎŻ-Slē7'\o'aA l_A(VQf{x8Kwy~◄tTJF XPg<0=ԸBp$ֳlpk@Y endstream endobj 18224 0 obj << /Annots 18226 0 R /BleedBox [0 0 612 792] /Contents [18233 0 R 18229 0 R 18230 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28774 18231 0 R >> >> /Type /Page >> endobj 18225 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18226 0 obj [18225 0 R 18227 0 R 18228 0 R 18232 0 R] endobj 18227 0 obj << /A << /D (unique_587) /S /GoTo >> /Border [0 0 0] /Contents (get_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 101.731 178.5717 112.731] /Subtype /Link /Type /Annot >> endobj 18228 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20211013063105-08'00') /Rect [104.1732 85.531 154.6302 96.531] /Subtype /Link /Type /Annot >> endobj 18229 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18230 0 obj << /Length 19 >> stream q /Iabc28774 Do Q endstream endobj 18231 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28775 20830 0 R /Gabc28776 20835 0 R >> /Font << /Fabc28777 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n࢚ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18233 0 obj << /Filter /FlateDecode /Length 5052 >> stream x\I%ȳJ$P(g@71ڀ5/"d^[Bc,g 1f^^\ jrmlHU}K%Ĵc(K,o3_6&.sRmNK)jRku]/wrzkB;C:]LH~6.kEgZ*P_S Ѻ`ReR(갖N5fM֗fـӀeJqKXuޔh.8]ey%icJmXRd4pE"ZWJa%C eEi쀦VT ek&>=~8R:z0auГyq]MdNJ}+\1Q8Ou~ˏ(_z*#3kz8G)gOMR]A``~Qe$u`*f 2P3V3w:J_KQ@{ghoLQ^BV,htP`ȒtgўIĭӎJg[5,zm\־^f0nm~!}) 2]eԣ\.u@1 *rshQ{hVv4>a+( p֝\I,Dm=Z[j= z6:O7Yupr1nWm?m6 +GTva8Tk9J/kD^={-PE'  ͉L,s wq ioTc #>> N/$!iv?}+o&엔Xh5`-zx2 R!?SgAb1e$<)ֈ0#jA0ՕuEVĜGA?>oKCNXԧʨ$ YxXV62ʖ2<*H6EOFWi'Td6^dpz|! "f7F7ID^0 ȻnTQ UmfPNyWz X>kIJ5[#uft(uÍR4hU|@R9:ؤX(F=I5RQ2ա嗣1JԤS)eo0N"A&B0!?.s {P򝥟(u3=n0ZU=Cܪ:OyWn4(JR6#mI~GQ1^Q9` aн¥O^ n8{s9J@L&z?K6K#ތvKJ G/!@"61sOn0Hm4`tTLUܸŕOz"jݝ6BY)M-}-$;maE$o,3K1YbW}Zk^@ӏJ-Yױ?s !O|_8֋S˚-eX/ۧh0~8D59RAD֐H.ƀKYN s/x~u Y^`݁-?iʍ.5C#qSH(z[5_ZxŰ\g\Rٕ1TVֳ̕Pr: ĤZ`=^Qh}' ;ߊPwJ [y eE\Ԗ YG1";+DHynTՙ 32vE H%qУ"^iR|k LDO%&CԯjӀW=7PO[TNMd'zy `[e.G*F澇n׈h wj|I[lF׌U9RnϨwQmZR#ߕ^)gSPv_+(KeAEiBnIFގ=4$1i ,8DqIb|?q%X_vkD sT'l@H]JJ}@a8x)7j=kh)vŭa-ųb>w4k"ji&,]E=WQB3#>- 7b1 ]`ICT*KdXkD= Q1 yǾF6z'LYw\''i㸾PQN nĆUua <5CC0 ;u&^1UͼL;N{(p>ؙIXs\B wO-.34[=]o'7s&vw1V*t͙%u4{swjLh%ĸt8~'Dd箸\ϙ:F$iMhy_o3 Wd6Nټܥ ײTj 2O !Sxe3Ә8:>Ka䔸hTWKHBΖ MĜ2Ɉ # '鯜؈*k:%9 ŀtMB!F S|\Z}͒h dSRVE\@5)}E)1r<#EJO鿸ǾLA"P]lzU}huar4 3FZlU3!;U^QnzU o$Җ{YʷP)8#F_gG_C&aVX =*  2 SkRt<uP&۬z1r3ɔ0%cHNPCzb5KLT +nFMੁv+9 ?=sˡ@0 3WptS&/gik=dŅ !CL N8 0KP ,Vo|zHLʤ.KZݲE$0P*:IC8hKS=+VLv-D:NNpKy;( ػV HbP'Bȩ"Mrk&渒뀍lVIZō`m0p瑐Hǣ S 21EE<, Q8՚7J*^,{\7ȒxHNo iP%9H>{.gZb.AE^L&`[h* E#DҒAg7R=\\U4hكC׿YR_/~"@b nn{XSҕ6X-ù^^bwK,RW&Dž(68ׅMPMo`Ap } LI#|,=,lc'~[iy,s`Id9wQ_^~63ՌYRUR< ;pۢJp .ViMWYk\3׾o}}T|?DJYd}~U`pO -y/&\>PK5#Pp6mQ 3q$ 3=C*M6K%~dRcRRO>}/S YDJ|}S(f0iFd-3|@NqH}V\ж%1 _Y+9a_gH%FHGY`g峉5F{.AH&䏭i#t[TUiHjۺ ^)v&!ܠAe`^n[=|hl B^0ΛCLsI>8ǚriS}I#|XV<Ȥ" .3vr+AE>+صt8kt|3# Ku}Ju,",t0}`G^d)hće أ_Or\8diP]`hZQa?@y:=ϝmuڮ( 8r~41mE,3ȫCȣB5N3mހ+sp@#/3)jnzaf Զx[}oSaW::*gҏ<F&ԏ58ur-8u9uNv| 28Iw⟯jf* *?Hk% ZaDXʳLco,\X%!x{AzIM*FB\vW4TWUқC1J:3^RskY.N`)r0@riZe"}Ǭ}b݋ɸ‡16v&Ux>%6E[ێČhU o\޸Vz,X mkܰM~m]k ) moRE" A="tΤiӃ(WSYYɜ$0%9W$kOO.G42Cx=9臣U>-Ը\s|zVyxr6|b@%}.cq< Fi.oA8'`p /|SI(+LJ+=/ABGz,Yz]<Σ>c'At;4 [f-k"wX7pyoehtRB6V:$,YǦ)?M'CΪP/'`XE^f~"ΕpAmb endstream endobj 18234 0 obj << /Annots 18236 0 R /BleedBox [0 0 612 792] /Contents [18243 0 R 18239 0 R 18240 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28793 18241 0 R >> >> /Type /Page >> endobj 18235 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063051-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18236 0 obj [18235 0 R 18237 0 R 18238 0 R 18242 0 R] endobj 18237 0 obj << /A << /D (unique_619) /S /GoTo >> /Border [0 0 0] /Contents (reset_msg_config) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 187.2892 709.9] /Subtype /Link /Type /Annot >> endobj 18238 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 153.7612 693.7] /Subtype /Link /Type /Annot >> endobj 18239 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18240 0 obj << /Length 19 >> stream q /Iabc28793 Do Q endstream endobj 18241 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28794 20830 0 R /Gabc28795 20835 0 R >> /Font << /Fabc28796 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`-ӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }')WJIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ 5Ժ@AC5N tweBC (cμs?`|۾{wrf endstream endobj 18242 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1682) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18243 0 obj << /Filter /FlateDecode /Length 1204 >> stream xڭWj,7+jh]=[-0 ,3 d7qEn8ė`RSꮁwo7h:9Iٟ)p~]:ښ3ߞO3Rxhls%&8= #3$Z8 ѹ@Qt0<9:)ss3|Cܒ /\kԅ-޺O/ǘ)zvp0ot:Po}hy8ܖ#.YEl}F;w G1CH`8x5!TRL7oV6Nf̆bRS@fSufo5kUam xWլՏoGUyz幌AEGuzͣJ5JRM_/%o7*.]2ZHv!䘩µM=* 85Zf‘e-8ԒΩFc@Y&b"C[,'|˭\3n5W1VK+3G:SA9PARX6bhJp)Mb$qK]N"][ɮZKjb׶z`{nm\HN/AKف>rM{eMtx3ܦ""}էRc&lNv)aKo#e?|6~;MFC}n,Rםx"8z؎Q`,/q]c13eX}0FzҦ/G00Goyi xdao>L~BGsqOwq2q`-f ތ/*OcHМ'[^ؒYkiI'\ sWEFjTk;$ޓI>ї|䐆.(4"xS aZNbEOaĘXuayHE endstream endobj 18244 0 obj << /Annots 18246 0 R /BleedBox [0 0 612 792] /Contents [18253 0 R 18249 0 R 18250 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28812 18251 0 R >> >> /Type /Page >> endobj 18245 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18246 0 obj [18245 0 R 18247 0 R 18248 0 R 18252 0 R] endobj 18247 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 135.625 111.681 146.625] /Subtype /Link /Type /Annot >> endobj 18248 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 135.625 139.8465 146.625] /Subtype /Link /Type /Annot >> endobj 18249 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18250 0 obj << /Length 19 >> stream q /Iabc28812 Do Q endstream endobj 18251 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28813 20830 0 R /Gabc28814 20835 0 R >> /Font << /Fabc28815 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7*EthǬ_{9;u SJ* ,䪍(?wG?{`f ZnFRBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪGFx|1$ɾFxy /s"r,̡dXx<30́s@`K 7bNFzqHB>W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?>j endstream endobj 18252 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1683) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18253 0 obj << /Filter /FlateDecode /Length 4451 >> stream x]KW@2,؞6rrT-zH,bU}|%M~&5|HWvzߝ W?R&զwfp?yܙ1YJ4񞅻ϜiOiletzjR szş*?S[a WWA7? E?L#<R;*9 =S3;s֧z!4r;NبT1(b$wg<+z5ZoLxoG9Sb<< 18#0R>\X%1c?99M9!倧=|MHЍ%aPZ3J&$'c%^믠).ǰן/?*!apJP<@:՛QU]{W4$ $='(,'2u xB|T'SOB8 `y{*`^l0SY*+!a iCҎ>[=w?,ݻ8T5 Bۚ-(zX)" T9kԏ 1EƟslG@T8VD-EfERl OEUv)~פJSzȑ>n(ĂܵM$M1к͢Gz-Mv) :F) ] ݅]Ϣ+7 t+t-QVG:;;;;;;;;;;;v3xwxwfܱqWpno]I?Ě)+!rj-8m/ N=?"Zq<;Qr^f)؉8raNQ:ec}QdzAc%,vV>O ^Ƶ钶RI9SP~˜i&li=RcGR .+xOiKφ95Rj{t6 oԱ9y#ݹҁ74 Nۏh1ZkyYBU٤i4qCB[nB^uG>W؍ޠ[֠^ x%?o!Ζ;pr=d"Ej?XsګS\DFll$8 ++bo!&8ȑZ:C 8q bs#㞩Wp]ͫ*M;!Ot ͗{+oT'-=o6Pe =RGjJTBf7u b7W~ sof2mof_ovW^x{VG_1 pOc\Vcq`jwI퍺߲7I-G4.l=ojl3[AwUv¨O^ǤS߂jމT_MscTۡ [ܞܽ䍓CVfإr}Ll#ޫF;eTbu~Uqv[+aAy7Hes1QoHZ)!+Y4`p3fs)L Qeڰ́6(7=wp/IY\,DFUPs[q/Dxd?I1T3ԌiEb9FYeRF \Pi͗hT@J}{c3ANa^.-`@bT`*F g)P e镸Y 0`Epm{ȂU#iR)̗ZpPJTNI^t?M6ѽa`z9Xmja$9`/KT缄f@0y H\Zj {uXnlL\̓m05ﵜmoy *#8efmh({N[HۻPX_%~uR@N^C8f"JR<6!HqJtF K4YbDfJfʄ9 J (! s%- *4U,Q9-Q I:-.q r s ԯU8a YY8Xp[m sWީJX r #,T _*`< X,"P(XJ6+X9WB+E @jH-tѺ_e8pbA+8N*'i Nj'5^pb5a8Npb^+wعlt?8&ƎU= {N[[in[iZ;[zpP@=P^EvށxzixƜMbWwB]3 Rœmb@@͙ )vq6HKs7BΙk͙o*g!j;ض=b[m\ *u!j3b{[*o!:ؾVw[auw*vرւ&&ء6mPWw;l^P)Obzes+yKL*5" bP ^UȸAyKh^(ش@U|"+zյ ٺ+򕉠`"{W&.8D^5:{ebȽ+ⷂjuX T8TN>+78;!aqpBd͈E#Zg%gKfKl..ҤOIDG<EH@-|֟oAE0xOB{k>| F$ Qpz) $V.h PWd,h)LϤ).ÑDSy|'xZm u%|FRsdiD8Ad3#e(Kߴ`Ja@!RҮ \ea9Z -o|Ը$Ò Zr̐L 3ҷC TiGsOl?Dŷ C@)bqf?bmOL:zQYh婡sJ- endstream endobj 18254 0 obj << /Annots [18255 0 R 18259 0 R] /BleedBox [0 0 612 792] /Contents [18260 0 R 18256 0 R 18257 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28831 18258 0 R >> >> /Type /Page >> endobj 18255 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18256 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18257 0 obj << /Length 19 >> stream q /Iabc28831 Do Q endstream endobj 18258 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28832 20830 0 R /Gabc28833 20835 0 R >> /Font << /Fabc28834 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f5zkEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}D endstream endobj 18259 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1684) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18260 0 obj << /Filter /FlateDecode /Length 4243 >> stream xɎ#ίـ 4R 栁&'{ܗ*-=ĞZ,_I?}垠FS/LNo/Mo?2y딢oKǹ 2g /D~*R2u'*|'I 5pOQ)'eZ: n :.L"EcBoJf_ۯB?û@]/ʗNy {eZY?psf,~ˆnַu+Nm[NuƆ,#YT/Ը@"Fۘb>($?gqݬEdp,h|*HFSvY7kGF="YV"uRU[*ՑVރ.4ވ$Q5c MZ1HHc-⢮zBP,R:^թEVuOz0x%)0>}W8qr!-3ZϮXaEwT/B,.Ҭg6S']e5O:ByROv 7<z O1Njx5Apvm$,+Q"5"<O ~, zK}ًٯ-񃓁zIw"AY>5p҅-U -TB ^GEPm/10(.f]ʯYV6,#疊!FsKtbȘnhվAS"5pkad8lBxff#s4edd&5 c!O92ՐHgiUa\IHPeO:\ 9p%Z6o8Hx*ۊN}بX1 ųviVUq孜Fm!r~@B)R2їmIƎBl%9/|%kA]:Fl諸w+[h]]$ՔCɕB9]JΥjٶDPu[…xUlIg0Y+[uN8D|ܱ/R=_qmr H,K1,,f`t?o&ܼyd])6Ӗ6K#z7wO![5iJ[k}mK.s ufSg]sbr3v 37*^y=QF?+ O\fٷztql\@5R)瘐*{loJ0b[VC{,l(81d]7:Ia2#u@8C%{q32f?F۲rr N|O&;tj3k4P5sҢ;s\"i$j#nq@PI%ȓwl`FH~o QtG칸U*HFf^gx+,.üohaV0L U&龬mFdSGta'w cNS bQ1mTXUEGzYfvOI ޽{]827:ֱ c᷶vฝ)_ڷaǢ6M5naCo!0"5˪YN@KˮÍn%弖ZC[îhǬs%K9, V@.̵dkah~fC D9S7{)f[b8QUa x`%bqM}[M}CBmrPba* 3NQCގw1뵲vIjΐd}0*;V|šWfd?{s_'F ZwG/kܹ5hgϯlf"-Q]}bgZ_ HZ:+~H{_̣P3M߈n9Iw'k k"˳X2pogOCbΔ>kS,C"B1.LEL~ry$H0#]u =& 6xR!moU6y@`BzzMWYD9zۉ2|>Q~<1WΑQ!)e9~}MK5eM {zSOuq*5&fc/))!eíc{Zg\>WN g,v넣g'Y67ꁘ[JCzm(ܙ%׭Ov g2]~Fth'(Oi`r4< GFJH۷ϟ9>Qƒ8C@ R:sB`%9h ,xπB^Xyy0Fba# kx*Šݰ?.B_Ǒ>&p>]{~MH#7I*RU\^, И9 sn@<ÜRmmʺtL@,}oX[]GGncp%_{^?A8]$3=a _׉щeC[u¤`xMf٭΀ԁ?5.cD/Ks&Yvʤ?ƛaީq t?ʖ΃jyr*+z:h })t 'S׃37]~ -y@oIJA0lmN}_O=DkBދ-h9K,j3?W:ao{<5hr_7= mGjJp ^xx8nZos™a+ @=FNn˄3TJR FJ*!!v?ɽ20cE~)mUP 1BydLć6c ?SpwH`H:&:wTwwGRT$#Njpx0ksbNƼ> OfOx;-]XGtmH3a.E~t*k 9*̔?`l/AxDts;Q;!2 &B\4c@yABza<' 8w_bVhEg,#u*]FkCԿ$kX.[ ެ06f"[߭3=nBUOcGUFRxܦ-`TDȷ$Oáy&?" `Y0k{[&. ΐFjR0QubM+XL@Lݾm6rH?h}%;ܮ.  AҩuKB><4LwSېbfN/> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28850 18265 0 R >> >> /Type /Page >> endobj 18262 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18263 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18264 0 obj << /Length 19 >> stream q /Iabc28850 Do Q endstream endobj 18265 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28851 20830 0 R /Gabc28852 20835 0 R >> /Font << /Fabc28853 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7jbthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMKn endstream endobj 18266 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1685) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18267 0 obj << /Filter /FlateDecode /Length 3609 >> stream xˊ$PJAQU}mŧw12̚SR("o)oB/w/ oIbR6x!Jc7^Jmt\'^'*U2Z?Ry_bw; s$xKSaA1։ yBHN#gNJs3hm^0Rc;dr׈1cו!`YUfl6NEj|)tw~"дYig 16\JSw0f0fpf~3Fqy]ʔ*{Zc d̋Dp$dZ|Ԧy߶qu4Eי wxWMt <\plv+sRVhfџI:2Xh0q&x:0 _%?3vM.4B*tw).%xi&vXL e6X)^PёBK%^T 7LaIr%%j%'MKݕf#PsuU^A0b)@v|65*Yz 獪0ՉKJS%QD }R@JǶ6WP9 +wd>cѯ9,GMq1śWRiD6uspsXBۥ{w3n8K6tڀq !n>bm2*/ h8kren}ˉ!Pb6c oV<*d j:I)ҐZ$,[ܱj{e8{5`8Q- JB <0V|NvµeX`m:{}j&ЂXb;U[&I<%걮LppB6`ոUw`2`qy>7z ,`d]U<_ՙN s %;:i `Z, dRlt!T,Tx;Pa-tbtF;t3s}b ۺnq\NR ,3_ִcܧ,Yp0򗑾)ݙjYJQ`bְ6XwI8֨&Bj>|\o)ۄ[h}*?Lu"].p)\E1]YUwYgMF~1 *&abiXVVvEXr~2Wb9wE xIr..mf}^ Vf0 v#SX?utJNvw֮z3]&?kl8G贠CU}kJz_tlP:J?Ing[W>nHݹLT' U=/> 3n_I ?Bx#lxvA10`TUPBθmȴq'. oKC6b¬5>&$3[b'ۈ-P?g hAmꝖꈆ?=Ad^Ԧ!Mn(/Tp8~F^lg *(֑_joC̉@7#V:~F; U"Tjw_OېA XI3h h' fOd;-D/a aֺJ#aE3 j"<6^jeMl$vRخ;I7ֈE'؉ $bҔ  ;-lH0\YJOxrƒO$w*dDڽvZ:5a݊1Ir _$Yab[' 6tnBEb5q7=*|bhK X"r@WO|֚UKrO;:u |Cy1#6_"p+A׌-&[g86Ҕˏf=]kibH*% WjV"Qsp)L7T{"]V8q@'fv'LmGX2M4n}[Sz1MG&/ XjfJ(Y׷LhMV'k3) S㽮[&αFjLF42&ە,_3[͟ۈ~b}1 yWNO?/!ad AG L} ErLLPun]h?(.Z"#E %6}TG%ru~xYE<84)}\Çx! 3㶱0Q'#$|9?;jW>/k4:A_.9_߮!4#DE%pOxxbbYE虭G_>/bP]ٺb^DMZ"V>D3%}Mp`SYgcaaLXA?mBl>39.$9$7] endstream endobj 18268 0 obj << /Annots [18269 0 R 18273 0 R] /BleedBox [0 0 612 792] /Contents [18274 0 R 18270 0 R 18271 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28869 18272 0 R >> >> /Type /Page >> endobj 18269 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18270 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18271 0 obj << /Length 19 >> stream q /Iabc28869 Do Q endstream endobj 18272 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28870 20830 0 R /Gabc28871 20835 0 R >> /Font << /Fabc28872 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`BЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]h߄}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18274 0 obj << /Filter /FlateDecode /Length 4744 >> stream xɎd޾B-e7 43<9S[IJ-uxF#qWU*z}R?3~O_rNMNQ)I*_/ fRrqM_R($eG/iz Yt0sAJ:>,'o44~<%uҺRN>MWǧ)wgA"ͪ3LaNz^b2d͙O0􍫚 ~D83T.d#.ὸОufs1 EG 6W AZQt(5g0i Fl7 ɍ=v @0D D#J#!l\R`\x$H:,ƺN~t3# :m ܂v1  @\4@\ѳ3METO|MM4ݤi(fԓH,jE5 ʿϼveIZ!KbVQTkRIZW6W{"Q? -BYm,$_GA AI5=.3kcmJA1&VC^">(]@23QG*EUM%ߧV=Ž9=MQthfӬBRN+(pp>bK[M麟OŎ~OLAMp)6nZH{EV"?bBnWtUKTCDu] ,n5y|aZO(t)bW0vn+ ۲.D `k]}UZ΁[o1.C7)N§7XXF?O Ay8$mH_RBҢ]ؔMA;NZY?=e^TB43H6lm}A' KQr's2-i<'9!8| niNHTf_(UPqU3@5NoMq)?[4Ȃ'fP M"̸/tN|&9B(Me*VкAD4|ds?7%&~ .$TSr( ګ9t=Y_mDPP [%/[+b7憂l.[^֧.lvx*;sL;e[{(9SZF-dDt AmtbWc ڡx5p(S~(KEqT<I,Kc\5 ]'JL!j4|\م,3Y[ k0Ch3sD!\-9Jv5G`J3D WU:+y0`y / Ž] DJ#R{Ic DKRqiPq&;KTÓ"Ha_D$"Դ3r)aEzRs+ ݤ9!kG=ƬpЯ?ifR4% MFS!  E1vYaJ =G|Y\8ϽyVDWSJ1IS;EYW%Rߎb6&k 9Ǩ6!5 2Lfg%ZQԒh pq}(w;ԃ{pf)#(G|њx pn#01&eLB ֏} :N)p*YU.9&oY.x:X&C[ۍAhbSa "R?m-&:= 'F^.AGC Em\s7,DM Z,o,/@XLW \> 2gP|nHN& {kW,DE@i;1Xg\ud(Zzuԁ7v)[&>\h6%C!"C*EHWHd3AZiN'Ċ:Xv`-E zdN%N3aGw5smڹrzؾ,s!! aEݤd4e+8a[X#N-a3yh}edVfʉT}P6塙 NYT8MR裶;*TBćfi7Mv2*黜tP ,ܬ*W2m]iH5 XU`u;ܪ_#xM܎ʄQ}3t5\ve촫l8.wU<3Hc\_o@&,}S4nhJJjLηNhɀVSmiR-O ]mGyK;ܒuu\i*ӎNHH_^.%p&y_qɀ\9ٯ?OudpG&O0.o wȆ(df^QߤKwme'<6xDMpҤ[dc'DR1EX ۑpcB&)j^P06w>:YJ:c$>FXtCi+{=+ 9yH3_EmȘGL SXs$$T?(uJ۵d Eaš E?֐Qw7dX YKaoXrE~ef 4ؘP%T~H:1Ÿ }yw2;Y|-2] ÂMcE$*m>Ti^_Z[ĮuZ2XZ, (k1wK8!Gƹ,ySMK]PVE i%OıwޓWNbWs1\La>Rj FR3G- nsB-q1iqK[ڌG^~8Ї'e0BBor:]A&q ^2uix>n~ < vp8◗!vy 7ϓҋ^tp4f3w!X B=Ÿ֫ Zh6.GׁC\W1[̧1ȋ5;du߫@}j~sZx{e ̯/T;K '_6 ^#`D/6z6_Ȅ|KBJ;|?3OC^։aVR: F ϺȄv(bz&E4A$O}bo88', tdlW[Ѝ.?u D?qxPHm) F1$xCL[AW;A.ՙR.뒪sh!~OD9fE:wd^Ga'M>踮u2 9Z/Gv s!$^GFU5S򶱐)dr !`7>>!LL̪ ?q"*S&D9Ӄ,"A<x;#_ur&,fg)CHy;"V>L+1J}|>> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28888 18284 0 R >> >> /Type /Page >> endobj 18276 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18277 0 obj [18276 0 R 18278 0 R 18279 0 R 18280 0 R 18281 0 R 18285 0 R] endobj 18278 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 169.4802 686.7] /Subtype /Link /Type /Annot >> endobj 18279 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 218.6612 670.5] /Subtype /Link /Type /Annot >> endobj 18280 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 179.4682 654.3] /Subtype /Link /Type /Annot >> endobj 18281 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 187.0032 638.1] /Subtype /Link /Type /Annot >> endobj 18282 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18283 0 obj << /Length 19 >> stream q /Iabc28888 Do Q endstream endobj 18284 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28889 20830 0 R /Gabc28890 20835 0 R >> /Font << /Fabc28891 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶXr endstream endobj 18285 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1687) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18286 0 obj << /Filter /FlateDecode /Length 1270 >> stream xڭWKk$7Wh xl!7@!'zCv!R{F]%髯w-B]>ء6'<|3dz-DRc @jz O9oF&Z-Ş܀OțOY0q7ua] |2^Lم0 yN/*b^_wy 2V.MqLkkAŝ(qؿޣ w#H`8lvp%TR"Yژ}rL@Kyb݉a,Å4qHSEySw9qt%k%S\ꡂ嚧%iI#n> ~ww..Ud "xfO8+}>!Hc;%t'Uxc{:]9HQ_ `x]GM[s$np> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28907 18295 0 R >> >> /Type /Page >> endobj 18288 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18289 0 obj [18288 0 R 18290 0 R 18291 0 R 18292 0 R 18296 0 R] endobj 18290 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 128.425 111.681 139.425] /Subtype /Link /Type /Annot >> endobj 18291 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 128.425 139.8465 139.425] /Subtype /Link /Type /Annot >> endobj 18292 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [145.1595 128.425 176.0035 139.425] /Subtype /Link /Type /Annot >> endobj 18293 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18294 0 obj << /Length 19 >> stream q /Iabc28907 Do Q endstream endobj 18295 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28908 20830 0 R /Gabc28909 20835 0 R >> /Font << /Fabc28910 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI^`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶ff^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lMM endstream endobj 18296 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1688) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18297 0 obj << /Filter /FlateDecode /Length 4903 >> stream x]KWle%{ f{6`&?Ud%Qݒ'bf*(\^Q<$I;;φ+~+զ5{º/2Ce{u*Ft hfESD{. "Ci'ZZYF_5:(έ0?@ %:$'3,-m7vt܎]/l45\1\" lθa? >W€^8½Kbe1SJqJy7U7H 20ł᪨^ 3d6eYd»a P/+4O\o Ag!-T$}= Ɠ'N)"5܏"0?zKc;- b;.TFճ-;] @XKK* p'pQ^ )i5PBhXqԺ2b+sol_A[tMR@<Yx:^N:U&FHS=A_KdR}'jQ A@W͆v\gĖl_e[RaRo^) ">- ``7v/_ۍۏD./C0ΨB"8V1b|( ˈy;2=0↱1zRv&vc' 1Ɖ$ԩ,+"0X*aa&>K8E )q<3S+JȥQQgR}C{9Gkl=s<>?^#$^C.C.²O?A6|Qɀ5)>v;`vԐB{*|a'TƢF'*Sdr4*beq},D/\'Ҫ MaA`+,1xsOε1&vlC 4sUXlCLAgGbB結mI+RR¡MN3҅yԻG>{\ֽQ쒃Rkt"_cۈQWϳn2 [%!ʩ1vS[˶xƈ6vb'?sj|ۤzym19M >8Tllm+" ߌ-7+_>l8e@yj䧘aᅑ9(hcEJ~YGd>10;mWw6 <^7"Xgt0+L6]xyе5ƒU2{^GfՋswyS}o[[eK:|N~ݍ*8|ʐi%dm; }joˡM  >7iքtlTliaoIAw7-z> /m}@V|́E(W]U.yI_U*¿/>Pd _QEf;4T^uW(Av5JMe*wsG*ZWmyXLYYPXADF|RP_HJ`C ԦLDTg&&}Mt,~'̅01EAb껝2]>YW%*#$Jd,A!ۅ-˞qbکs>KIfmp(Dbm2O^y)a:X ,P ӂ)`aa X D+ D,椒Vb䦬lJdZ23].+ NLXIdp2e'8)N ŤMTLqAlP%),&@;5TA(F<S@%5@ 6́a.XMV(ʏ$aU0LlbD$[$+I.*{~Y˯>Ntxzi RRjLbb~bUL⎐b bK1ݐj6H9bSH{@2X3w3غ@PA *6зTr?TlE? TlM?TlU?Tl]?vynty\=9Cϣy+~k *vs *vS7l7l|.T =;Z\aF3YO4$gFsIjKO5*4JdQ%5l S65=u^ڱVTy/)T;+z*vڳˮTyj:/9 PΩGXq#PT<k6Ӝ TcNUaN5Ü*vۜ5ͺuN!Pq3Ms69UTlQ&|xw ή>}ާ) 8͠ob0 $3IF*dM$BXFtc7&1nKdiӍ:Bb]сUp+X|Dvxf>U&n?=T&4(}]2?0~Xߋ3,}tJ {}(Hw2H*ٹ!#q2jxG6Pv4aHV1/0x [- =(==X#n +{?8!߸~jDi@Í8k'TY$v]{Д4pRxC-wtR<^Dޡ::5³kֈn ώ*v+}VTB㙷bX%tn-!:m8WAH&40⁖x\>D-vUm٦p:m(*XyϷLZӑtDDVZ&0|x> 1~vf~ϭ:}'l'c{,6٬} 7|›4H3su~ъ'Ϥ-3\)Uo>M@/`Vd endstream endobj 18298 0 obj << /Annots [18299 0 R 18303 0 R] /BleedBox [0 0 612 792] /Contents [18304 0 R 18300 0 R 18301 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28926 18302 0 R >> >> /Type /Page >> endobj 18299 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18300 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18301 0 obj << /Length 19 >> stream q /Iabc28926 Do Q endstream endobj 18302 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28927 20830 0 R /Gabc28928 20835 0 R >> /Font << /Fabc28929 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18304 0 obj << /Filter /FlateDecode /Length 3905 >> stream x\K#W9`fu9>mb8b_-5B+],z=ϋ^;WfRŻ%iʿ_~˟nzC])Dk?(eR.)|{O>U|LH#!._ i·=.#<2_b ]0E{S*Wzbr]و./_,f!;lxaCH﯂y I(eu V.B[0<_a3W0LdFwQ6x1$I(.PlSo¥0ra@3Mþu/'rUF=%qJkI0hĉX2HYAA8Y,yJmcP19Ať*;U"8jeQaOE!pJE*ڞ.r=1FjǏ.~^RЪZ$Cμ" DO|qԾH_ӀjV.fʹʬ>U Lb< tJud U^xU`]s#SoJ,hnA{xtwTq=́7i`m)ʴ&ͪ ʸ@iV•P\M`7xw@p7*>zoCzm44e}-*n,d2'o@u߀-kKTi #0h]VX@("*jz5 j 4˰(V0`/ 3fa=<Ra4[u 'lrdT)/垺ko_~W_h%|>iN8"j vbρ[E_8p㾕Ŀ^wcw-!a`:`ev&pDmN Rt Xם2`Z1Qhm|7]MT= X h$Vh(;VR'b2$0tG"h%,q +F|A<^kaM G > ^@5k6#XV4H(4ňgZ^2 H]1t+Q:vj| 1y!Ѐci%%`.u }z5J]\05ScFttnĀ-u q3Z!Vdli\1[ QWԗ8!HV,NS7؜;'e=D IE"NcBαNײЪ0R\b^zv2ʬ]7C!# +y yh}%Ų_sng8=d Jҙe7ha֭z3칧S`vgLKmOM9xX-w!uOFŪ>>ߞDZ CݣCB! x3v52)X/ ;;1R֘v&m~qUrI} Ĉϓ Y-ptLlN Џs<2qy糦+4HY$7J f7Y{@[63ȫUsٲEK` %\zҎ l`Bh薖υFD0;5eCwTs<~eRdgRDLTC5N8^Ŧ!Qo0t=@9{8j{аN3(PB87z@ ,v;Bb5ݬDR8Z($ YN#4ȸ #`[ϴac,rnxJxwHw#,:icZIhS1pܔcqf}*[b''68fxA-^-. u1~,obeٛTzMɁLփJz46(bp>Yz]uvƼ7MvpAjyAŴcd0UI|,Q|O,B\q7%nE!z.vm&NC-aGb 橗xwNfo'=Ui:/'!k8em(R(v3Zzr8ԧ 7PDU;)&óV&Ci&4 A r2E{".8(e pxrrf(G'"3ү1.|a!Dk6^{?4]ԕw#V#lσoy>,أ/-p,]*!\4_.k]ҪzM8ScBGc-FNL]KUs[bQRGZƉo%ZRıʼn ZܢLôRK[T|{p,/N2\^)<}qF7i)|Ԃڕ?to":XZlCPecm~b*FG[7\Y8/T `1ul71-JGp/7n~=NL{siǦit@qf ?D o'Kd]sJeV|p: q4**_P7p\y\/ >>ܧ{*U>g/_[Ovp28.@ $XeEH3ǝ7uQZ|)̟V> >5" M33v "*79@D,I\ڿXAkkW RM[2/͆qC~)KU~t=gD\"m}[=djm/,pֵ,dt<9M2ގ endstream endobj 18305 0 obj << /Annots [18306 0 R 18310 0 R] /BleedBox [0 0 612 792] /Contents [18311 0 R 18307 0 R 18308 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28945 18309 0 R >> >> /Type /Page >> endobj 18306 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18307 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18308 0 obj << /Length 19 >> stream q /Iabc28945 Do Q endstream endobj 18309 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28946 20830 0 R /Gabc28947 20835 0 R >> /Font << /Fabc28948 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛk endstream endobj 18310 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1690) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18311 0 obj << /Filter /FlateDecode /Length 4045 >> stream xn$ί賁a~@n`mſb/Mkw;6fdbȞ~Lڧ'捻K/>^Y鐔.Wo 畺*tޠm˿aˋ,9J/8${Ŀ uҨG@h/"YTx`anEa]eV++& TDf0e$`*x5Di`#LPVgDƒ_'19v0sƌe 3l#wZCh c}dqQ% /codA9[?ۭ͌rey])Dwn/iCu }= bQ0yDV;X( Ob&iiP TYXkd0|Rb @O{d6)T'|0H]'TdU51r+Ǵܸ--|;iODžCU.TmHî]TQwA^EUcY,qd#Fq-f(. eE f@=qW;3i[R*^;4 m1Jۺ+ :)]9P \WRDp}j*:)`wܒ>!W=sKWaa<#8 }91̬" +5 ,zt9ƥ>h;4w< 8S2[AwnO5OkGƲ)Υfg۠Cjز ѱA]U1_Q[,}8MTQCZjEQe5-{!r.o:IJp9HOe/?0Iw7pq0~f6no= 0Ĉtf;^lozg{=:eȑ̆L߇ZX0Kj|b ʘ(̈́IxqʸװP,MK`Dq:pDb_a80d [6liWn >dEf3LQ̜X3_q s*\ȅ,DZ~XŢkI7_!.ςFI&e8Tx6r)ƗHOMRZJ2,_t*SRu4^rϕm}x_޹R2 An1y׍g2F@$ǂfve D_{"/x~#"?Ik&pCHAp. 8@4ge7UH+*q?>SĤsk W Wz$#Lp$34HX$5u endstream endobj 18312 0 obj << /Annots 18314 0 R /BleedBox [0 0 612 792] /Contents [18322 0 R 18318 0 R 18319 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28964 18320 0 R >> >> /Type /Page >> endobj 18313 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18314 0 obj [18313 0 R 18315 0 R 18316 0 R 18317 0 R 18321 0 R] endobj 18315 0 obj << /A << /D (unique_605) /S /GoTo >> /Border [0 0 0] /Contents (report_operating_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 555.8 240.6777 566.8] /Subtype /Link /Type /Annot >> endobj 18316 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 539.6 169.8542 550.6] /Subtype /Link /Type /Annot >> endobj 18317 0 obj << /A << /D (unique_520) /S /GoTo >> /Border [0 0 0] /Contents (reset_operating_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 523.4 234.8037 534.4] /Subtype /Link /Type /Annot >> endobj 18318 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18319 0 obj << /Length 19 >> stream q /Iabc28964 Do Q endstream endobj 18320 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28965 20830 0 R /Gabc28966 20835 0 R >> /Font << /Fabc28967 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18322 0 obj << /Filter /FlateDecode /Length 1745 >> stream xXIkd7Wkh>=_oqa+x6&U+ Jl: &\Lsp<0JbeBOičvF.xU 3:4ؕh֗JfFO?ۓzf!ZR.^h~CП)`5mZmoeu1m/VrOGOz~3~nME4 ĉ$6Igͬ6E>^`C`\ .tZR+I_IƤERmI]aUF0{ k.'p3R# ТDznvlixx0vHTQQ[0KֈUuJյP8NHD:'օEȊAx1X:u|=x w[)f1Ń(<*. .F3:Bt8@JNĆ[&xL. #:ss!=m84:%RTLGi^ZC ]i$LQGY&4u[m/׬Ft(t S?/kԯSٟ8[jcjyatأ `Q#tjAƏ9 S!T䯏HŒYqS"Xj"^ΊX] tXCe'\DÈbu֍V}ytUgj LkŬλC/3y4 yQs\sf͗WʫK7(/Tfzm-/QzC:O-jŤhPIkszӘc>nfFmX^{XsTkavW-^@j.1mpcȒ)jݥFN1j]BiCS Тpjo]3"V(0|Ռf J)ssbLR޲Ӱ\TT#2U-3Ҷ~3XuZm;J/МL* q'CYI]fWu`a7mʶeO(O^U/ofVDY C[*i43:G5"kjT-t tnаKxj^jcOiL 0U~lٞO[煇09eDu 3 ngwaq ͚[rm+nVFq55Qb6B)n\{ XSh"Lal2/XKsB)Ey <>(`#?vdv~oV/~ԠDT1KX YZ[t<ԣ-~Yy==C&Gnt0 .-dnoMQug=Cl:f_gKKoy āҁѣ0P,2hBm[ܾA&ckc O682CR׈ z֑؛븝a92־ΊF8F*5i[$֓WF>lj p5ƬwYr{$=>ƛprMCzHP A endstream endobj 18323 0 obj << /Annots 18325 0 R /BleedBox [0 0 612 792] /Contents [18332 0 R 18328 0 R 18329 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc28983 18330 0 R >> >> /Type /Page >> endobj 18324 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18325 0 obj [18324 0 R 18326 0 R 18327 0 R 18331 0 R] endobj 18326 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 250.025 111.681 261.025] /Subtype /Link /Type /Annot >> endobj 18327 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 250.025 139.8465 261.025] /Subtype /Link /Type /Annot >> endobj 18328 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18329 0 obj << /Length 19 >> stream q /Iabc28983 Do Q endstream endobj 18330 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc28984 20830 0 R /Gabc28985 20835 0 R >> /Font << /Fabc28986 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=@dQHL_%ee8>϶f5ykEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMx endstream endobj 18331 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1692) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18332 0 obj << /Filter /FlateDecode /Length 5747 >> stream x]Ko$W@M& J{=c}G|05=LF2Nr>A¿^¤!$x6כ־jf9σ?-|.4(nOᝁ;5j ]j}Cq۟o;vभ7σQ&Uɫ/=<3&A3n8i eiȒyNp@ҿI} ] t`!Ϟ;%< E1hi^0P B r}'oSx6% C߇4/)ИU3 @.=vwˠo?P H 9|{A._),_=={zMq_(~~#9?Ȉۇɏr@~GɥځlE!$ 3Ai~79~d^&uKĺP^m^g|N|tCG)<N4b}@W =z&˛ms]*4g*NJI׸>{% Y^?{jTQ? }Sgc.V Vzfnh.JZN=6 X699+>gϬŷR*A*(Ju2cR ZFߠk(KF?3M+[9c8#3&xtV$Q(.vP})Ge_oVt1iȔܰ\F5-Siv Y**$:e'J!*B;a5v<noEI_\oCSgUOj^OecF*>aՆQMt*p]̶gi낾Tn8u(:MqeS,ß4>f> I;LH݉č7 42srJ:mu.ڸ7}a7R#:>2U!/_-}z zSnp<8&N׫uz |#6JkX W߈-+ĮH(5bj7IZR jy9RqkTm! (lhu7;M3y+OsF0SmKWVV sҷlr9&qPw9sp+G?uijSր)n!waa}\ciM1u9}^@dFQo$t/YJ>g%o)*x(e$DcӰ MX>i .(&lsLx)J"$!" 2r -[) *+7ߤuOyb;>3FB8`0T3+ulC8dVTT?UsKePcGPT}r sJ3Ov0S3_8K&d B&8s^` QTfДYrA舢HqH e# ތ\1JD\>g&)NL&:[l,oc$"-"*\o`AEU9jr"KV'W5įNB 3f:@?(R9aeYy68q2YUU S@, X gX5X6,t B"#56+%erDZh4[{5.+ N]II=N.ps89ĉK$z8 WIpn'ig&p;7un'k$p=o9τب.بXn'1pS+73ujPw@uN׺鎧;өzw@u9:r13!%N_)Ře__)>f~֘Ő__)՘c@J[ *qD~P}QJ왈:TbDnPQA%OD8g"jyQA%Λ: *qD鵻vݺ[v7ݝJ`vwTݵ kgwWA%]jvwTuPgwjZ3LY+S܇)QPyMjԁHA$ R` HxlF`'$lh)+G'h'eZ(׎LTi׭몠F!$: ?\ ^5|˱կ7;͓Ҥ,mNw|T;br?~ovEMmƝQ@~]}a*HWꞩ*[u6΁aq@LWz8:BXK15#8Np7wl|ދ l%)@87x@BDSGc.5*}W3Z#KрP2P @V vlD_&3@=@',((B#@{i!8ܥ%A6IH8Ο^&>_"Y }zxGOp`7u@`[@{C~9PrFVPhYIאqT(YeT(x"A䎁<Ŧܥ1@!Fsz}ubY<$Lv"J`4BuVhB]dR Hs 7am*IoATCE#ڇ!pz LL*)+fwPoT1d,p,Q,}܃ g p8 g61?;Zyltb=%Qt`Zp~Z}<fX ek`\'bm z9~/&^ZTp4 J@ ^1~eW$rbߥ4 1Xɥt#6ޙ@F7D#vL UA>dj)6 1˧КD*`%Pvzߴw_;iNS5e!-$s7*tpʔ >ڢ FBn|@-"+oaOM` V%!Ot 2*HZ1mwF&AiRI-l`يWTUnK~iiVv9쿽Ə5qiQ{ڛ@y_MGN>7x蘁@z a|OhhL < B>=ҡ? msE{hyTLvYY2{*SnoB),y K<9U"s "sVU@E? ='H[rCa\H_V\\M5ʼxmz-rl@œ! |ج؜S2cS4x{sLA'c+3^8lUb ^|Ǝ'3s>9U`*MȚjy8mM^"oLǨHc5X?R@2Sce.%ow))\%yiJ<ʥ)$gad=ǬV<.$& FXۡ y ͻ@k[Оe %@omegW R6>CNQ1O] \ 61N&oϊ̮^9t*8 p T\NQζQa^ PNδ+| g<஗MkHM8si* 2c0Mq-Vȼ=ޝ6w -4jg"KKEp`fNB뗾񕕲YK|>iř*+{9ƮO mfj=)*,n>tX] [mqװCP)Ǽ.JQ"wq1h,t(AHX8 ]<:sj]x2kA}|y6smqےn[b.p)ld_j=I;&/ǂ%\i 2Ui87B _&L#wHAC\~qhEфok MeLsI0-&ͻ&8h"82*(l{)S4UHW^6|2COIj L`usX랗L fJpPr 5uM։&T%+fz2EI>,& KJ; Gg Djrʐzk3Ejv<i;Xcqxl>A'=*uuzZbSLV& f;ܙif00<҄Q G͟% |}_{>R|P͠c>kÅ -*_V@gV4(9ǿn7]*qu.èfjNʻsE5u{ޟ:o7n9^kMY'rʼ:5frpT<Ԩe #y"߶# endstream endobj 18333 0 obj << /Annots [18334 0 R 18338 0 R] /BleedBox [0 0 612 792] /Contents [18339 0 R 18335 0 R 18336 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29002 18337 0 R >> >> /Type /Page >> endobj 18334 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18335 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18336 0 obj << /Length 19 >> stream q /Iabc29002 Do Q endstream endobj 18337 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29003 20830 0 R /Gabc29004 20835 0 R >> /Font << /Fabc29005 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18339 0 obj << /Filter /FlateDecode /Length 4438 >> stream xؽR([LMv2 rqӷSS l]]N|׿W}@Ƹ4YWhWerocdLOj>gibBRK/g7Taϗ'9UD86<8x,!?M1} M]lJX!vy>M_F{KbrIv 49Wz&Pd~ 4%k?w޳ؿ;Y-M*?:g6}3fmy9٠}\\D@."QlfH*gJt*t,Bց$(.z4UIiҺ#t}R[#yt\qX5z\c%ܻ2w(?ϼjiښV^HtУ iȢO_J@ R1-?*5޻x^{WXdOR]a|.j`W.mC\!!THټ%!%tAF-Қc[q5ːن#,djç)zmK G6S8o]v☔uH9*:NOu62JI:;EO,T쳋l;A>ߧ 3taq tC}aG`sķ`)N`@iCpp gSM?Qj'L?~;=~{paӿ4Wϯv Ew W,ud%` hr'r~l P 硌Ѓ@39s`a|ٍS[X|BUNcO k,š4?zevU;bpk$9I|yDY?EPu)c$RЙ從6Q 6NݠA*ؔ ĩhR]#~YT5bQ'#_K 5 A#B(`e ~4&Β>ޡKi{ ~\:nBeKQA\Pj?іhng+XH IDw ]2DCВZw+' LjQyÐ!H]EX_QJM(#̄z>.:G]^LSH 3t)*Hd8Q D#NDDpڀ`R"P6uN>CLk!0s  =ERv< }=e%AAYlX,ĩEC-L:rn/;j(h7e: ,svSiֶg@]wGת ~R Q*j_}nN_-pāZgZ]gi`m5a滷nԥ'특XL 0U\Wi; *ǂ=^hwhF=$31)^Pcs 8Q-x 9_yyTE.nYݮORoK:z-l~ų2p> j_LżZv =A-'~/jo6l}OlZEm+:WT=YObWH֬Y}ZT\cY?qYhnxy~/[Q\-K]ز~2YgS+ߔ!OQ㐶۽@y[<Ҷb%Vf ]r@̱a$ |RCTPgDpw`3p" 7xrJ")׺GGrk ־_4fT %wܢ|mg)𰺯7Y~*G؎4ax~%:KRbAVGE WCgYyP6.vAݗx{^J[fzQZ,ZG n4kF^UĽ+,ej\ Co($,/%ZuZs:k H^;G&>o[16T!Qû_tX+%$J K2I(-d/"qi]ڊ|IvȊsA*TI;-fyq}U[CyXe5LP3sڮ{:11Fkcgߋrk;v@Z2c@&~.mWm]:VmZd}*!+'xh f:'J2ncIۀJ{ {)u`fx;|5;NdcD@b>&y| lX>}&AIב˓q.ۨ iw|> (YM]EGmZe~,Nq @$;\m6*/N *WKb-ɵivqT-^ŜPl?cÙLkg+R+7#FoJg5)yRdXZ6|VWgBM}N+S9}E1.wi7qܢB-%=-9뻓-1n\%^v}utOEJk_eӪ6y `3G\cZ<]=g^^)C/_Fq7A_qauwr ֪8pyӼ(Z8/nFA˫RX{xx-Gܳm:L SSk\ q|6T+\~R&"_x  ^>ӎ Kt20 3bF7hEW*:]<\m1\_ O@2wu[a7{j QfFu*\D%8_$ʽ4]%:>qvT6c<&]#TVBfFCVP2CPA)a "`6^3?>_}c+G9 ] x|e c\ ]K [0Mz 8b,-`%_nZkZW[qj}߄]FO  ȅ,ZB2n55"`sbtA_:em\L<5?Xaj}AZ~ЅέJ,Nqg7xbbp cH`d=4,/nsKXN[Vq[i#w̘M&h zO布^h>xΤ&h5uXG  H&cb{ƿ 9giusܛۋ~VrdeLްܻ s.kSz׸JWҭ9f 1f exիbt],bd > pkx8hNܘ3-Bu Kg[30bţRJLXs !Y^.iU"D:' BD9]IC"o=A;vĶYG"^4~8rTj26J<3TO6!["O%f,tS$`<{3: =x}gf82.0XHGWq endstream endobj 18340 0 obj << /Annots 18342 0 R /BleedBox [0 0 612 792] /Contents [18352 0 R 18348 0 R 18349 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29021 18350 0 R >> >> /Type /Page >> endobj 18341 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18342 0 obj [18341 0 R 18343 0 R 18344 0 R 18345 0 R 18346 0 R 18347 0 R 18351 0 R] endobj 18343 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 266.3654 149.5702 277.3654] /Subtype /Link /Type /Annot >> endobj 18344 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 250.1654 164.1782 261.1654] /Subtype /Link /Type /Annot >> endobj 18345 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 233.9654 149.6802 244.9654] /Subtype /Link /Type /Annot >> endobj 18346 0 obj << /A << /D (unique_649) /S /GoTo >> /Border [0 0 0] /Contents (set_max_delay) /M (D:20211013063105-08'00') /Rect [104.1732 217.7654 174.4412 228.7654] /Subtype /Link /Type /Annot >> endobj 18347 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [104.1732 201.5654 179.4682 212.5654] /Subtype /Link /Type /Annot >> endobj 18348 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18349 0 obj << /Length 19 >> stream q /Iabc29021 Do Q endstream endobj 18350 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29022 20830 0 R /Gabc29023 20835 0 R >> /Font << /Fabc29024 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_R!*p% d Z%LB.FPBD1LS4$dqJU$z(SBD* ^Sl\9 " o=kDN{r=C1ycXtlP[QS)hG Gw<7!& gVWlVbVwRu&[j{JLh]}ID*)sZ ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18352 0 obj << /Filter /FlateDecode /Length 3192 >> stream xˎ#_1|?A#m0@O`6ſzn43v6^h&]*V_US?gU X2/YZ5Sh?퍱lt/,/tP??P>{c}5Ƹz''W]??w1&^Hq&g ߌ<']mڼ$%A])@"g 8癳F*;1sNOr_{y+QE> ,`b,NOb~NaZȳS3R9JJi#v@;WA\`=MR TN8 ¶h  aNڛZ F61>eI,Fxh Љ-+mC%=:lTP'2a5hLB@Zfa 40niݙ_/}nUWf#9u_wKt1 Fi(ȝ;2hFL~+CY/+b|5R$ 3 Z/G ?BW+`٤>>`Zm&zVtFH Ld3oܿ 0u#P^K:sc/0u'ʨ9TBnb m "F ߽|syɴEg;:]\mṦ'3g(yvAJgo>UnC ` p>f>A(UMerg-ZUnW {jU 7 C 3qRR :p K֝ڛ냶P"T=yշ%*>:Z/D,=)lG|Cq>`7b ZH5l_ wLhrʽ0\ & {Ih}I˫ Uj?Bq\B 何C +1i%>?%%"{zS2wg.!s9 %^|?y*ANMdn̫':W-o(U"E== Ͳo'uq_ &(m{znh֢iHI0S#IJ_ 5Sjmi ABpn 0"<=Kc:bݛ!V oZSsF; ǖϋk]'f"C2 o |dse֛K[/d;@ +qVF^q:x^%rQ yO* endstream endobj 18353 0 obj << /Annots 18355 0 R /BleedBox [0 0 612 792] /Contents [18362 0 R 18358 0 R 18359 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29040 18360 0 R >> >> /Type /Page >> endobj 18354 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18355 0 obj [18354 0 R 18356 0 R 18357 0 R 18361 0 R] endobj 18356 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 406.825 112.8525 417.825] /Subtype /Link /Type /Annot >> endobj 18357 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [118.1655 406.825 174.821 417.825] /Subtype /Link /Type /Annot >> endobj 18358 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18359 0 obj << /Length 19 >> stream q /Iabc29040 Do Q endstream endobj 18360 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29041 20830 0 R /Gabc29042 20835 0 R >> /Font << /Fabc29043 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶb endstream endobj 18361 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1695) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18362 0 obj << /Filter /FlateDecode /Length 4531 >> stream x/QJT[t*\o}Vߋ c«r31p5¨ 01L1ԉ Qx' ^%cA 2߰]سd5~? R+Dm"!ua 8fgdD,.Hٿ& y+L_GX ?A-_?>Әv (q<9 Xk;ƃHL=JCe h݆avqޅ^GT*viq.P#YiɆD;@0awtyICg@6:H81 ?fC5t[3',M/uU5#bA:=csKP a<ryy~uZfVGѹ- Y„g]rQ*uP YقO:2mt[*YZW46|R(BQ0ÈiX'&+*P??wm¤7J9)h1.ʗlDf|V:J@\hwV V@5d R0F*:A-)G*M ,1ƑUKW JZ°DʖuVz p܋RV Ƴ< br($U1&2'D:[]ʂysypn aaPg& 7}ؠ YY2krظ# ,1 EY[`rB)^'zG d`R&E˜TcBtmdrj^`5fh5-qoZQԅJtT`J:$>D e?"8.Hۻ{ұ XJlg[`gw'cŘ4F3B҂k]!O6X"8%K5d޼V|oX[ڣvʈJl:VZ'v`"pTanCFV+sğArjTRx @@Zh #xU<. 5=7GUe.G߰I[MVE!MgN*=t"=Fu> I ~K4Pa7Ckj!nȷ &GiVǯjTL^J>;sr"ww:>|+)ނ %u6Y[ Q7˜g4,:.g\ج't\XSȅ:^Yq[%/\b/}HJ4Rnz60bfzҐˆcWg}n{a󦴊 Sг[Z_`7͛$ÀDP{\J^tD9i R?4QMv"&OwZ:o|밁y!$>C:otԜ-< !2-gz#QnƯ)O`?^COփNIRճ¼s 2S^A,~ZoĢx]J H&Ѩ1syQ O{"DA6P '/JC؎ AnjԢ-:V &c|q1O\N/w aS.]A l®c˗J}sy5# yF^~yY3yɇ@ *0P>!qB&Px&ܴ$ʭ N\OIJ=M7m8𬥒,ͫL7O^HLj!.6(]{qY\dq'&2O笱$St#S-hcӶG 92] ܓ5{:-~^ԡn>ØvO*|}IkY XF:Im,En5 eSI,9ScB"|D/Es\ b|5xJnʭ<*DkX aپZJ*e_TfT|{pÅ4}=|JB6fx"̯(xϨ>qy7x:Cc֚m*S:Jy*-{SSR`s,E_*lH&_ځMv^* ~G^E})n cs]_7NRZe{yazqcV[hp7A3۴45S ]xmz~~(>đSH!l18?O f!!> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29059 18371 0 R >> >> /Type /Page >> endobj 18364 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18365 0 obj [18364 0 R 18366 0 R 18367 0 R 18368 0 R 18372 0 R] endobj 18366 0 obj << /A << /D (unique_479) /S /GoTo >> /Border [0 0 0] /Contents (get_package_pins) /M (D:20211013063105-08'00') /Rect [104.1732 302.85 188.7027 313.85] /Subtype /Link /Type /Annot >> endobj 18367 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 286.65 165.4707 297.65] /Subtype /Link /Type /Annot >> endobj 18368 0 obj << /A << /D (unique_183) /S /GoTo >> /Border [0 0 0] /Contents (write_csv) /M (D:20211013063105-08'00') /Rect [104.1732 270.45 149.8397 281.45] /Subtype /Link /Type /Annot >> endobj 18369 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18370 0 obj << /Length 19 >> stream q /Iabc29059 Do Q endstream endobj 18371 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29060 20830 0 R /Gabc29061 20835 0 R >> /Font << /Fabc29062 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١PfpT[z7} endstream endobj 18372 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1696) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18373 0 obj << /Filter /FlateDecode /Length 2942 >> stream xɊ_JǾ@QPKo2 > sXcL ]X2+[cRfDF}6\Y9c┡_W'GOVc`,DYr4r6&;c YcRx;rk=ψ{R&1%O38w* >ZMa&_GN}rL Fң oY7^"BaShud1`AW$Mhwԯ鯠FkDðx lx0a*l> 7u:y.4LQIP梘<͉; qт(؉{d,د5/e+:U3 p[8!Q8yc+98Dg \ mF7^|fܳ0I_mļmWsJ7"Fؤ4(JZ>-x(zk> 8*Qo/+W ! /|\[A(2ˆm:ŒbHrg% X_(hQ|տ.n5[PqQSS n u30H֍[{i NE{醃Ԟڣeh Ȝo#qppzU_vpg뤖CL~SDW%|)Yq:X ̄u$L$Jέ~q%HuNz:]x!uk;s usP Mvam!@(a oGI֦42p;n?,u  2eԨZ~;+@BVEYXm7ZO@uXTk: Gp9Z[ E!s~75 ¹m v&qOܮ2'c+\J>bV}pqp/A6)f& @p))pR|NIM^x誙 ނfsMsFUѷ0đdNd S(E:T7(bd?v [ )jO38Fu%n^KovMW?X\٩V߻<(|AhN_{3$dS(!R'M%nfߵkǽjtF}7]( bxn!L҆'Bp #<_>iR#y'Otգ8*+X.f0G{0;8jQ_ )apĪ㻨|[;anf70,Q xxRsYL #XPe}G,T? m,T>_ }*~{ }pFu_@g:$+vΘ8Bx Mu ;0C%SkzwK $ͯճ>Y߫hfK{E;"yg1;m '2^7]>..ԟEucv`.8+&z7P#Amx$1&>y^Uڊ.E]X 7%OL )8͙=p0?pT0 bR7Ľ*"̕*V& ,H#Ukv3Js{)+Hiq5$mo |{N X8r+0B>t Z &`M}6KLV.Sų6dATtTWo䂲…uX]z-Ye<֟ BΐCy(B2Z$DF/*’)Wo|"^mA<2U3u/0TPnڜ3P3S\3=t>H*N4D,aZ2Kq ,gO(ˑ>8IwA;%d57,+_K~'"zfVYHsj7,f z lG }j >b܌8Wee<OFJ[v=KUkK?Rl[ZfbO놨v%O~D2Mf@K >ˡYމ'y@gF6PbfI + ;+2B}Oz x8h<4mNt28rT^ȶ1YFiXڈ)`G_LCO IBpn 0"z{@,7d4<5 ;*'IPN8|^ ]1 M3|%΃7aGd@ +Oj m sFd'0NIax8dIW= bV/z? Fcr0-5\v3U|36]c$'B7d7x ]}ݛAgBc}OګͅtҪV m9!s@ga.W=G<=I d"y PAefqꙨ2^u~/9ʉoA]UܰY%v&L=b)7IǞx.&B`=́1_Q Sk> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29078 18380 0 R >> >> /Type /Page >> endobj 18375 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18376 0 obj [18375 0 R 18377 0 R 18381 0 R] endobj 18377 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [90 369.525 201.1385 380.525] /Subtype /Link /Type /Annot >> endobj 18378 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18379 0 obj << /Length 19 >> stream q /Iabc29078 Do Q endstream endobj 18380 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29079 20830 0 R /Gabc29080 20835 0 R >> /Font << /Fabc29081 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7 ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?$f endstream endobj 18381 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1697) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18382 0 obj << /Filter /FlateDecode /Length 4343 >> stream xڽ\K W+zLO͉ e~ҫgߧ'n}};+Znr/$P KƂ$˒_4G(|'\1.%DrKXIO J:H)`ArfQ~|[jrB)pzN0x63 p~v|===(_YR$X 㘍769#*kn Z}j`/!קPkQOs5a]/eh+nCۺ 4:[+\O:r~hг|s4[ fl'bQ&D ڼrsJfn d;p]Q<6}w'Wȧd8WY\E]NV5uPP {iu0[~0ԕaxoj j*P}5nq%؀! hDf ֲ5e ib^<5;%z{r~m܂sZg nەWPXȈÃz) ^wGcI3z ӄbDԖf&͈ZZatfD- F H jTnbCT |&Z*.[2*^T+/n10OB< i cכŕx48mj@jYa2X , WX=X:, 9" b0c˪Ԟ'L َ 7]v% #sFy@- ᢙҷpތέXy3x:?WRǡ'/9/oU]_[Y.ȇ>!?ʊ*vqOU}Od9>hZOg^֫KvԷTpk:ӧ__oFbkk~޸rs{IH|Z? f]YC&JN](uRDHfb:Bu v÷zAQs:°x"k:.so8`F>* ~ KgZ=JD&[>?ܥ/1Bxɯ8DWk(,? opY .# ۭko!֓oͿ–cNu/8"{{W A{%&7X:&Z+{}HEFH*c,Xuy7%hೣ=8bGUv.TcE6_q_J&%4s;93A7B֎~ٶ.KGCvXC8!hIj?vPJ@M|\PSPO]XL- Mk1nhUOөRץ:\ ÕN([H0'?j5)c߈օ9*i wUc8(JVy82ڣh}bc\|pf98t M> 2Ai#C4= ֔G[ R!˓5*:^ѩk㈖()4\72FXHb5Ajql|ja=6D\ \4پ뒪owM F}W%nӨ(Z+Tah1rN( Bl!t9_|4|g~;Zs466i}-VnyqykR& lP!)O,\D!o;(aL͑= jp{Srg?> dpj+HeR0ZwFqbu,#Oh%$©^szpC \O4'=\ 9JveN'jwg8Fѕ[]h;b0{QFs[扅I^O>:fC^Ӡatnֆn9Ole5;7Hq7ـ .oH1>U4ϕt%ў#:'ʮ!%h )یV!O|C5D'w8IivX]G$#fBVzα.>UΆܱ`2~DFI{f(9T׆1ze\|Cɐ Ǟ`|Ogtum77~v],XG& PckT8+4IQo?jn~u5ߍT`8Uʩ*4tk2g+pڋ]MOfӹO%ɼ[}H~UTsXU1@(;\EV#̭ vD d{ѭj*DB;'R9 5zRPԶ3Khxs)m P-0+F\ޒaK%zX.gy[otC9CH{+iE#ͨk:q>s}7#ye^yneM3e)Wi7{ qqn$]TQ'SndܿmXH n{S6y({ ]O6³8y @Xt0'z[Bfs'ⲏ@f(L+\eau$Im4}ByZse⑼X9q.v. 6\|WOآtX{:〛 oh.VIԔoʛQ%Ε(b|or@C+"'f %he"5j2WdNѾĹ&#EՇ& ~PSdoE có_&{or/˼:L'JG;F5_8Gْ㧳ePC7g/_ٸ !Ü"%l~tfYKWϵg27js GO)6~8`ĝR@y8x403>dzy~,l ,6(tˍ#%Q|Eu*z3~ȇGG~¶X}@T#l_B=ȣa5iGt]\y=K"P#0pV^{=d}D(3> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29097 18389 0 R >> >> /Type /Page >> endobj 18384 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18385 0 obj [18384 0 R 18386 0 R 18390 0 R] endobj 18386 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20211013063105-08'00') /Rect [104.1732 78.6809 154.6302 89.6809] /Subtype /Link /Type /Annot >> endobj 18387 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18388 0 obj << /Length 19 >> stream q /Iabc29097 Do Q endstream endobj 18389 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29098 20830 0 R /Gabc29099 20835 0 R >> /Font << /Fabc29100 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18391 0 obj << /Filter /FlateDecode /Length 3385 >> stream xZY#9~ׯ50]طY aاY<\mnʔB/R~Fj[*V~"3##M!/盓ȗcJkxUA|'yH:NVp;Ic'Ya>W ;Dg~\g#~Wm\Z[S<ʗ('_~ߙ'9 H0$>V:.#,5ɼI~p21C'i1a) ` ŠN#C_m 9NL2@IX]xV( L2IYJ8%wknohrk?2}z0MS>0ffUj6-* خ3vhHYY7s8p RԒ": =6hdy@@ڇ2PIhm0:I uࠓAfKIѸ ?&mcܹIK4jBaH/]VV9_J-'d/I ƽK*48P Ane'A_/ԐCuwk`Yf2؅Y=. >h4Du;)^&Ҫf .$[XGyݤzs<*lW֢dKx̹*|3-AEr oFmκK{V*hu NQ:Li-˨1!jp= ϾV/jOaDGk A9j$0 G*WP2jpѾ%E;i]).uL|8;Aj l/Qۆ+h+ka_ǫ +Moɾ j5we#Uz4oq4uL؜7>k[p SU3n}BTQ! {lzVO KMc+CW)ףEuIAPdCʺip ZP}TrvT PMF5EJFƾ$Uye+ny,>g,*څt`)*EGg14߱_ZQZւG5pa'`fa0/ƉO͐'~vez4dџKvN{(3mP晔wjսt`ډf~חzPH1+Z03ޝEpJԃVijE|w_|0x>? I|o1/MGݿ3J,Ц$/8 Tc\:6'YGB#1گnDN&1Y,1N)VcgjXʈkwR83Z8K2$ Y{8^H sPrWvAwjzw|!\/g[Th: E9*<PS_| !J{4hx owy-=L L6 N/˩Jz-/ ,~%B4k"E!;qmyw0T/T#ڌ=HŽ_W4,^!UU&ef3@}2h@At҂HHl[>q.w9[Ü̋5;^z])u涨r(~Cpڙhsp_pׇ347aaO_~YhlJlTeB0m^c劂&r+_N "'?j|bwe4kNF,^>nA8}f}&~a_lLZMEA{XGJTv2L` Rޫ-p'{HKT1#u Ӻ&.a9Ґ%_A??!L}L0 OОm"Q5@:uE `bd\bޒ d=~\[oXQơʶr4EmFtl0sĩak!lkmfn-&DVkփC4S|siܬ]̭9٧= Vz>-Mۆ U64o>ĹVKAJqJ//0CI F;)կFJh.+׀;&Q=p'f 3.@Π euk[9+̕JqqC#eМMS]+c6ʰV}/5e6>Tj?۲9RZLrxkGΥW'31iv+ߖ,/CsN 3IrݐxEҭ|p Rwʫ{.D5p8),Ra56X:ī5!Mc#5͏UaEXd;EӘ=d\ *p ^ZTv`䠡"! endstream endobj 18392 0 obj << /Annots 18394 0 R /BleedBox [0 0 612 792] /Contents [18402 0 R 18398 0 R 18399 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29116 18400 0 R >> >> /Type /Page >> endobj 18393 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18394 0 obj [18393 0 R 18395 0 R 18396 0 R 18397 0 R 18401 0 R] endobj 18395 0 obj << /A << /D (unique_579) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 153.2277 709.9] /Subtype /Link /Type /Annot >> endobj 18396 0 obj << /A << /D (unique_580) /S /GoTo >> /Border [0 0 0] /Contents (report_param) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 169.2217 693.7] /Subtype /Link /Type /Annot >> endobj 18397 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 163.3477 677.5] /Subtype /Link /Type /Annot >> endobj 18398 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18399 0 obj << /Length 19 >> stream q /Iabc29116 Do Q endstream endobj 18400 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29117 20830 0 R /Gabc29118 20835 0 R >> /Font << /Fabc29119 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYGFxaH&E d_ )2̼d9 M \09 `5ǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM1X endstream endobj 18401 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1699) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18402 0 obj << /Filter /FlateDecode /Length 1194 >> stream xڭWKk$7Whj= 9e`Cq^ TjM4Xu5l<~"EK>f3ͥVѭ>[3;o>I\LH$Ȱ:J_3]I{S|ү?~?ԋRbb5vXka1AodWÒ)\H0֙lL#gQBpu'>b<Y"d^l0OΙԷh^deldMq_^ŝ q=֣dG `86pɛXR԰Z6Fj \Eb3=@KLزxjo4Y Ye=vӯRHoPeiDњQ1SAg;78> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29135 18410 0 R >> >> /Type /Page >> endobj 18404 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18405 0 obj [18404 0 R 18406 0 R 18407 0 R 18411 0 R] endobj 18406 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 437.225 124.1605 448.225] /Subtype /Link /Type /Annot >> endobj 18407 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [129.4735 437.225 240.612 448.225] /Subtype /Link /Type /Annot >> endobj 18408 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18409 0 obj << /Length 19 >> stream q /Iabc29135 Do Q endstream endobj 18410 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29136 20830 0 R /Gabc29137 20835 0 R >> /Font << /Fabc29138 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`#QthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18412 0 obj << /Filter /FlateDecode /Length 5448 >> stream xڵ<3)>53<>wF}UxCHF#7Dȸ;nhbB!qh0@aNpm<ܬ\M-s;,7UDP=οRQD ŗ "@u =l9@LGp+>DfK M\~ߖ(E0@i!g bp09?~YJxH/+*W/u{jM4;@Vf`-Ԁ|O )B(Kqx38mn|mm:+P+Wc-3V3̮ 26h$k[᷅b=ఖTo+[CXCn=eC':ῡ7j F 2n DhξAZϘ"UσY{岙rQE zd={ I *a 'd-..a1݄_t2G*Qh2]h>kvtAAT/>TT tTwaOSu": D# dM'~9rMԎ%]P+9whP ;r\dQ=Zd37/qϗ3yH'k-GaY#@ޤϫ<$Oԯ{ay$U,Ώ5n(&1l7#W脾y@Q7JU;ym2MQFpQ4ꋀ5}e`05YDWC$4U4J=ToVPGIxPGT8 Wg+BYf$6o`թT*sDHux'z%h ,;.,\h}ĆQ88z>>cܩ֠F:5PMfg/n|_b[D쾭?[r?9\jO)OBMzd5}- 9 R_:mo?JiXTk(0Avi]ޭ]}9YpR=mC0_d_ۯdۄP@v:ڐagAp2 Ճ|:rvxSŸxVظ m9h%l<c$N|EH##8r%'S|oA`oVW.> dh0\ 3xRFF) l.'WZA:#L1/ӸU\rHBVtȓ&$>n||9n(x@v.ɂCE`5 *4 W0˞4&,.ڰ?#_Ae/izJq (",ȩǖ8I٢~*(O auD)Q/ ˩m-9[TbbL /.AaO n_GL=nH x7ʸN[&PAlHam͖`¦']D /:&D-6\ hR1%BNGtspw#_Fu`CeIvK͊$?VY =f, j^] C՚7u@FSϽ!pHR<ώ/`d-x| %>À aHr #(( D^Y`x+RH0 ѥ?7xl-C:,^A J*Җ?RjqPbkȆA*avtzFf7`Nju݄[X.8N~/IFFB˒NQ k_Kւ{ XK]t -GCK6s7 jPA /=̰PY2LТhPm:RjTaPt5'"] ucb;%34Z N%_0 /=, )U5RFĕV+2. V `6ܩ'L,xV-pGE^V$ZTk^.eFD܀'J 2ShoZ ˱q +XTAp[ qGHR = Ov WLxOj dJjԔAw˯lf6C9#$#Zx ť{L#2|E _ 4*S=+{7ms|G^wddjdI3=C ]:N3~ȝ *|}j\~nx'4 Bk"F^fIA)$ʀZEr]!ĉ5Y+LX`L ؜y8Qjf5E0jnW c;m)lf\Җx` ;ٷt9pCShi£ŵxdOB,c ?r.D@<9AK(qOՃ("+Iڍ|V?!K=#Մʃ#LI0 \ogg a)Ӏ;tC u,RZQo&OvT;CTȥq9F1ĩԛr B/ M[`H=nA]ziv5(.CI,$Ύ _qܽ+zG_! tJWdٌMG} L׺wo;,׽#_uW|ʇ,\+;jN;Kf_5){9ӛ!&%oR'Hw{c}/NDUڅY\rbATG7￧ޜ1 ! +T.b[q5O{S03sh3|4tծ]|'-L[@FF/;;.DzP=vLB? F`VR_aXO[iI!fM[и{e^/ sbqm'䇘v~ºlp7 x?\beuge̘LB+9:DSƍ|s2ؚ.o ţt?㺆}==1oG8Y7!~lMofPEoާL|/I,}Y Z>.Á.CV6.Tc.53>w˧*,mNrEjpt 6?Sq~!_xm{c450i%\44)nV5"Ӽ$(b,nu'zJ@ DV\{Z=] R!]đVhCz%+;d];+3G+Bh2VZv_}9H j4BZH{"<"zCA_`@&"ÖeZWQZ]|6Ƌa;_z|vjA<3A wK@ s3Ψ1mdF$l>J'y"ewB_<@u\R(ᗘ0$JkI/3#JZMN9[SZl!;9jC̖$=X9|KDrE;j|mtEi Gt .7`l:b\|B^_><M3_e)bݵbx:C@İ9Zp>PEGq"flRCͯḭmAM;&g=)WADXtevr?O39i]=DϧHIuw~UHv5j&0QAr3i0гs3Qv;RS!GPx#Z 9& k.3e.H52ןfuFzbj?fŨЩҁL(ȯ- {Er{78Q& <̳Z!XSb4$sjEJ\Ee* E ,.4qAvd[7wn7[僢!Y> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29154 18424 0 R >> >> /Type /Page >> endobj 18414 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063052-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18415 0 obj [18414 0 R 18416 0 R 18417 0 R 18418 0 R 18419 0 R 18420 0 R 18421 0 R 18425 0 R] endobj 18416 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 389.4384 173.0772 400.4384] /Subtype /Link /Type /Annot >> endobj 18417 0 obj << /A << /D (unique_481) /S /GoTo >> /Border [0 0 0] /Contents (get_parts) /M (D:20211013063105-08'00') /Rect [104.1732 373.2384 148.9102 384.2384] /Subtype /Link /Type /Annot >> endobj 18418 0 obj << /A << /D (unique_553) /S /GoTo >> /Border [0 0 0] /Contents (open_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 357.0384 186.6567 368.0384] /Subtype /Link /Type /Annot >> endobj 18419 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 340.8384 183.5217 351.8384] /Subtype /Link /Type /Annot >> endobj 18420 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 324.6384 165.4707 335.6384] /Subtype /Link /Type /Annot >> endobj 18421 0 obj << /A << /D (unique_568) /S /GoTo >> /Border [0 0 0] /Contents (set_speed_grade) /M (D:20211013063105-08'00') /Rect [104.1732 308.4384 184.5227 319.4384] /Subtype /Link /Type /Annot >> endobj 18422 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18423 0 obj << /Length 19 >> stream q /Iabc29154 Do Q endstream endobj 18424 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29155 20830 0 R /Gabc29156 20835 0 R >> /Font << /Fabc29157 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=\ endstream endobj 18425 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1701) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18426 0 obj << /Filter /FlateDecode /Length 2530 >> stream xڭɊ$y HrMÜjcL2iZMBz,M[m߂\~~mtd?I1hkqԧYKq#iadi~O\Z|NY?36 G9yǼua<ȍEmK.F{ :LƤGgq6!lUkk1Ɣ@a e 7q̽+0xvg9V@u@0^N$2Tx(','UٍuLX;7س ְԼzA kɦ&_'Q"$F4GFcMF萴@bY >3Y$=ю%(D^XD\qwd6"Fؤ46(JZm-"ೳ1͇|Ik=yX= 0n[Kb"p"[^8 mpmaĝ#L3!9K=PЦ8[jn62&8TߺyGL$Fmx'ᢳftAꖫp@ds:ERslkMg=([V?wEtbz1RpSf lczoXխâZpG5.Xۺߜ{--S~YF+ ռ9~"&`kmŪ< NO&Ca?UǓTg)}]%9oobqůQ)98B|R0lJ9E٩\eO@\U +a.S2HTX Aס! ɖM쮢F/`+BĞzn9mM ]pif*+! Xs -bhd-¶ϣ#S skJ![ 2^u{G41=( ך[9]DRMe@#zUisG+"m8,~xR ->ROquƠq __ZTR z`wRGť`>_5ĕ_7BzCѿ+8; B/n%%{yIl® ՝0 k$M#}GN>~H#Tl{tbyfeԏ#{պD`D߃_֠}-VYZmo;=MA,iJ!(#oU=rt) bZ0:7^m]/D3,jtvm&%Tp_P&+WRųdAX\3]UtVkUG2 q+ lVhHV}yu+.+֛A5a=@`j;hE@ vn3>5Ô7īkϻDzSLm]]X`G.0$M7mN=|^*b3O=tڭ}rHjS ~kB(´e:6Yo(w#"k8!pڀK̏@[2[ %WAJ{IGcMYSCPMJѯW&]fBEGW[de<^##[; -KU[K?Rl׼`q-і< WeèA<,uK3MS#(b$cyBeE% 흀=xOxOTP4. "_-BGZJ 6=]dLftL3MIR1Gd=&׵]JZXZo{7 2@ 4P |=0;f;f M Fs1;=*̠S^*3Wc>m:N Gz5H5r:w]U TQsjlfہ014qU>cO|HDj\ŷ?i8 ́1Q Sk܉QF~xݦy堣X% endstream endobj 18427 0 obj << /Annots 18429 0 R /BleedBox [0 0 612 792] /Contents [18436 0 R 18432 0 R 18433 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29173 18434 0 R >> >> /Type /Page >> endobj 18428 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18429 0 obj [18428 0 R 18430 0 R 18431 0 R 18435 0 R] endobj 18430 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [90 363.225 120.844 374.225] /Subtype /Link /Type /Annot >> endobj 18431 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [126.157 363.225 149.0095 374.225] /Subtype /Link /Type /Annot >> endobj 18432 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18433 0 obj << /Length 19 >> stream q /Iabc29173 Do Q endstream endobj 18434 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29174 20830 0 R /Gabc29175 20835 0 R >> /Font << /Fabc29176 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 18435 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1702) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18436 0 obj << /Filter /FlateDecode /Length 5560 >> stream x[٤`M*6[ؤ`MT<{m[hc*uZ˛ }yEV#u?!ғY%uWfyoWfdbCWm/6>;\fgJ=-WTZ>ʺG*  m9c=g ] <A=BZѽcɻGR74w)M.Su^Qʭo'ϟIp`ϙ7#>WO60|I~@nӁu]cz_/Z\R!z)X4DX";+t!O^ڏw<5Ug8Eԁ+EQws {OyJ} 'rVgEYb+.4nArYeuz]:ե.{F;x<+N4DAq$i4*ʳ u^ (i~7IZ"vEiiCb/py|˶||&G1M&+SA7󉗼}Zx,&miU( Wʰa6FA~FbW C*(Tz!a_QiBU P BKV iˌOQ; \(.`Id ?6WZ\DlWVNE>~'+hPH$l̪A6f.QP Ј@tƣ PH8|`03-8.R]jP sZL7h+a,d\\m&¦5HK vёKWiţ6[[3gDptD4)LHa) E,QNXRsLE8cdVQ/ChRJtc.OcP~A) AiʤԻ.S|#.zrԛ.˧]>qO]rG]>1Ro|c.pԑwU`Mۨɞl魥I]cG 3 Ύ\WzIW[2Ƕv˓–K0cSӡ!Y`HJoDB :˂b=n2Jq&7H3:<:y N-(k eΧ y1'8|$ݷߦTv̛w[9vk?k899GSW1J#$-G CysN_>݃/rF#A: wZz^7Ü~ Z]`^b=H]t`;֞M݉'x)gI$n@HdPjtYMwJ9'_`$ dŔw;C}NaR7$4&ǕM=(-fF7ʂ}oŘ[.<_WE` y#a> t*EuNdҔskXxLmwiC@gܶ!d*8܋R]{6O 02̛֐ ݂*t`*J{G <5BEEcv|zJZ7Qks tG>zVC`0(PIcY jte+o'hAr\]tA$a79E?O6#3+i}[&^ 9әBR{|GGtM$_7^y^ގڊF7BN#e8ݩ&s݋Ŝ/Ōإ*YR˜ k ݐ&Cw- 5Ww6x-62Y&FT ՟-:6~){ Ӵꅸ)5o9"D{{' ՎʓxZlya?Gb`/9JK{zlڣ?<72ͼaN5{VK^v'hb$j߭w:]UTN:^e} kF+>(pAr w%&LAq *J_1b]#}Ǭ9.f ^;eޫu%Xܼztre9$p5`eXvL. lC[V1\/o.ͱ5.:a P+B)n-~L)^\S|FϵDzLmE\GrOc!Rlo 囉QfӱEOi 6&}#Z;)b &s7|`Ef2tQelq \ 0f' H`+$ݎ|'cʧQ>uMg2[ h!`xCX}( dMUY/pj0+-1 O+ԩԹ5ҀQ#|t`]gEJaA>HSI^ٌ0b_[$d97 dUJF sÏG۝=0xN$-(??a$.቞H'y<$ 4<.}醿Y23F>f7gd4٧ѳ|1L5<;a8 4oiZ# endstream endobj 18437 0 obj << /Annots [18438 0 R 18442 0 R] /BleedBox [0 0 612 792] /Contents [18443 0 R 18439 0 R 18440 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29192 18441 0 R >> >> /Type /Page >> endobj 18438 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18439 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18440 0 obj << /Length 19 >> stream q /Iabc29192 Do Q endstream endobj 18441 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29193 20830 0 R /Gabc29194 20835 0 R >> /Font << /Fabc29195 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMK` endstream endobj 18442 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1703) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18443 0 obj << /Filter /FlateDecode /Length 3731 >> stream xn$ί|?Af299mſb#vuf"%FjTUgF/G8?˟4F>vhcrN>zCgiǫ__F3\i.$&Q>OZ6>{|G'ojqʺP 6À> ??E2LL"s w2d!6|R1 ~|W?v>s;WQl;~.@S4VX @|'.?d$kM19$A*,UyHc"!g7q#!m#߭d44T~ک({POB&6DN4FF8|+*r/q<\h+pS"ܝVŢ,8wh=a#ҩ*ޒOa䪒ۙ?6M]aw3iKoƧesB^2Btms>N©v7N1;Rίizv&`/ę>tw:Fu\YL&$ı dbT6c$'gҽ;*Bl* 1jWm1 cLߛH%]kKoEr| `qwEQ0w@o:o-;BF^s[ ^)e2jjr(cO|d(Z{_HcJUHhO€tޜ+Sxf wWxm[a]XԵ`ޥM~V<ǚn6iStQ>* p,0RZBBiQbܛ짱eɈj93hVEZs,]fx|č·l :pYY4렦mZA4ثL\TIM\}BfTkMʥEx+ @ZɫMN=){֓\\ir#,k-c}>gMâ =;Yr]gDT{Cnizs57&]Mz\}r:aWGYnWZaX97X"p>ޜ6l&7<СWv 6I$b@9$L<3S|Xs)XGC"=y3 L 譩kom%#+LX mDb_C#6ʋ*)n9ؿV&Zi;[}eLP|elNֽzj-Tmz*m3-@:o;b`9ߵ?}Ya:0_S9hC1#M64 {<-[k7ǟyv77po dK9 aW -,,Pp*O0\ =CZ?l(lđމǶȮ?^{ɦӔ/.r>0 T ic/NKSD Nj#TԜtO|]7aȉxK+gC%xB}ַ/?_촊_Uݜ~E( hjEZ X$L `O{&n2sWӽѽidb+B5f6l^N.8ۙSROO୛hA?uT *ـ"O? NT4kLN]Ș "EÇCvU<}2w T⑅FU&ꔑ8 U%2u _dR6_@K!W;x6% :h:p \\17c>_[w _g w*+E@;=5 a6놋_;x}* #RFiH8Bl }m2lp0YO4U*?BSŬ[Zd08Hꚰr!K~F2ԀV\oN황_6 nj" :K<[@nFi=?]??as v}_{[tAj'9owx 3wJxv@stC!Cqa]Oa"ʼnmaQbljyZRҷu^}{~Ĭ󥱐[n| `ʾ|G6O+vn.P9h#{w endstream endobj 18444 0 obj << /Annots 18446 0 R /BleedBox [0 0 612 792] /Contents [18454 0 R 18450 0 R 18451 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29211 18452 0 R >> >> /Type /Page >> endobj 18445 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18446 0 obj [18445 0 R 18447 0 R 18448 0 R 18449 0 R 18453 0 R] endobj 18447 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 432.9 156.7257 443.9] /Subtype /Link /Type /Annot >> endobj 18448 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 416.7 192.4702 427.7] /Subtype /Link /Type /Annot >> endobj 18449 0 obj << /A << /D (unique_519) /S /GoTo >> /Border [0 0 0] /Contents (report_power_opt) /M (D:20211013063105-08'00') /Rect [104.1732 400.5 191.1777 411.5] /Subtype /Link /Type /Annot >> endobj 18450 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18451 0 obj << /Length 19 >> stream q /Iabc29211 Do Q endstream endobj 18452 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29212 20830 0 R /Gabc29213 20835 0 R >> /Font << /Fabc29214 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18454 0 obj << /Filter /FlateDecode /Length 2110 >> stream xYKoW)^ lƗc{] Y*>UU7m@\~xҭdxtZ}uh ZMOo;c\whЀymBc?ޫ mS73&^mdLv21I' ̼4'G_aMj֤l^̱K2R%AnT_V#`#|nYSM#=*Wp>Q?%P "Q1ˉ!z!GWg>iUaGu+!'>i1K5| 1(éJ|O,~3Īcy,3JF]H?QBЎ/'0XAlN}av^=sn_*R!ֈ*z2չy9{I&UBi5A#qH"'%*\SA?> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29230 18462 0 R >> >> /Type /Page >> endobj 18456 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18457 0 obj [18456 0 R 18458 0 R 18459 0 R 18463 0 R] endobj 18458 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 437.225 111.681 448.225] /Subtype /Link /Type /Annot >> endobj 18459 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 437.225 139.8465 448.225] /Subtype /Link /Type /Annot >> endobj 18460 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18461 0 obj << /Length 19 >> stream q /Iabc29230 Do Q endstream endobj 18462 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29231 20830 0 R /Gabc29232 20835 0 R >> /Font << /Fabc29233 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 18463 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1705) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18464 0 obj << /Filter /FlateDecode /Length 4197 >> stream x[KoHryRj{j{0$ݗWHTꞃDf2_D,]-,/`?P_Śί䖯o?q9Y w>׻llsJBV*=7Л\] O_-_|UI(]^}1rp?/T}k,U;\),MOYi%3KUk]ʚt1p~F3g)<* +,4b@◀OLT'(' ".4 J1Z&>BgT*!SDmִ`-m2bLeX@ˮ>QmO >$mH~W(x/0 _ }zO Kt #o> kV] H;m%8l]iM^VSj}ny2V‶^hXON A,,2&[QKFFl(3QTӮXSUl mҗ5(?שNq']ƅ}FL{ H'$zsgFQw`SozoIn="'-&Бu]j#^M@'f aɠY/^L[{k\0`[@93=[Ɔ.In5FZ'yY*'5nj27f)~v2@C= CF<ܟ*嚨?KBڶwݣ"ec@.t~)rS{UyGPWDTED+8 EuxBmAں i[LΩK;?֐lBzrl&fz s_ߖrHON%L(e@;xcQBQ7t57; Zȋm]PQo2R W4W$kHо ^LrqK8URO 0'"񨡎q WfL,&rB:eAS TBgP학:ORFb5+A ڻTcClIipyl>mZjMjQ녯\jo3t"4T'`jڬ`|23,!c :Pyπd"h>Zj/j=tTce.o+M\ОSXr F}d?mߗ1 qgXlYa26X , WX#XmXHs=EEvĠB  Ԟ'L َ ;v% TҲAH;|>zz1n dE5&~>H`4G?'~qRH2qś{}?[r?%\jxm^d+Q1ײ!H}9϶zXKG'n>Xe.+Ai^{ mXHRjkq~5kuL4%xtLfX1i+V^ 筱*ywTRd 9Ju*G26;rϼY:@JUy;mI3$V/ݖ]ˣ2UެXm:Kxsg^ "7;^Aq'F܌2O;!;0e2wP@'pOALw(P{Jj ϲERKj DAHQtg29l( Zn ?*'׎(EXpު#<ۅڢlCPc|.? _uQaMU" >/1kRqِƝE{٨*[ՍkViBC)Kh%:CF![b ה{&AIM]m$q/6j(760tC =ɩ^ȵFѣ46L[^x5#ͫieY7T;$5gdQ8t#8ZxN<`Kw`ݭ6rwYy.Ho:wfTl½ppDGA4>A=o$:Bԡ4P1&?4;*C2P}UW܈i>coYDYIo4:6(v1hգñ= :ވ8AD"D5DAg'wW= OH܍W};1ӑ0ڬnLa0 0٭ĺ@ {w3Ks-6_PfSH\Řw=29[sd 5</@pQ-vO0a>fbλ5ۤ-Q8׶08k}۷Ql\&5\C:ݜFT{LLx2WMv_5FZ9U|˪>==lQdckt||`fӘ'ÀάGz0KX"x]ϭ˄8~S$y;|g )k̘\Þ/HNPH#өPcf w1gXP6ŭ)`3 n/x` w8 CvJ]Zys\RGZGmGӃ*Ʒ|`|ׇT}]ecxXa?\T)kߜj7DEџ* *]-/P7BC+":_i 'yYg#S} O kҫxyv UJ3+ A|K"n)[;ܑl;{Ψ5:gغR!o>\E:e(SM;X\xmzCee[7wn7kՃ!Y<.|lC"G9e>觯t x& 8's#uZoO|݉| <1_?,J۳, p2 fKw3\'+jE#t嬍~B?_qq~$RyXnpȨ`WA;3>Ҫ~ / ѳU;CQ m Ea5yy> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29249 18472 0 R >> >> /Type /Page >> endobj 18466 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18467 0 obj [18466 0 R 18468 0 R 18469 0 R 18473 0 R] endobj 18468 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 620.4 154.2562 631.4] /Subtype /Link /Type /Annot >> endobj 18469 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 604.2 164.1782 615.2] /Subtype /Link /Type /Annot >> endobj 18470 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18471 0 obj << /Length 19 >> stream q /Iabc29249 Do Q endstream endobj 18472 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29250 20830 0 R /Gabc29251 20835 0 R >> /Font << /Fabc29252 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18474 0 obj << /Filter /FlateDecode /Length 1570 >> stream xڭWMo7W`7e-:E!/}3Crm9H&XC߼~V'ǬAKC|QNjxZ}y\4Q3GI3ƅh٘`zǽN/'aΙ͑^/PjRK*us'@ى9埁cjUy|'>i }<~:73eŽrv' I@l8|ۃy] ;fK3Zh34=<|&O{v-DX_czQ5DKM ym_`# $ڤ_ҏg9_"A6 *լ}M3 _?i//q+؝'^;Cү$-tXp/).^aDi_T߃Z\b1 rx:9ى {^6H5΂tXl|x-'[ӜcUO@{@_M# )ȨDɹٕ6't5Plxܧ\ Aj| pۄ~IA|([_C&\uu8`tY@">qsFR|tzHdՋJ!/iZ7+8gjjɅGO:59+2U?D-RZgb.Њݥm Te2|ߐW /Zfாua[.(ݩ3D=J8r`19ZdGR_*6lV qAeX[׫[m=nzImӏjșAdʆ4k?hFmHo**yXcBmLfߎ cu;WU#1nyrӕsl4#2Um3UL}[eqk ;I^ s$ăt>fe%uC17-_ m91n]AbB3XiWADTq 8uDC45BZ 6{"]4 b/S-J2 )̘M͑jٟ*UcFA+OGIЅClj9;$=1] 8miA?}!=$sҋ endstream endobj 18475 0 obj << /Annots 18477 0 R /BleedBox [0 0 612 792] /Contents [18485 0 R 18481 0 R 18482 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29268 18483 0 R >> >> /Type /Page >> endobj 18476 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18477 0 obj [18476 0 R 18478 0 R 18479 0 R 18480 0 R 18484 0 R] endobj 18478 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 391.625 122.505 402.625] /Subtype /Link /Type /Annot >> endobj 18479 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [127.818 391.625 238.9565 402.625] /Subtype /Link /Type /Annot >> endobj 18480 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [244.2695 391.625 267.122 402.625] /Subtype /Link /Type /Annot >> endobj 18481 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18482 0 obj << /Length 19 >> stream q /Iabc29268 Do Q endstream endobj 18483 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29269 20830 0 R /Gabc29270 20835 0 R >> /Font << /Fabc29271 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`c ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18485 0 obj << /Filter /FlateDecode /Length 5328 >> stream x<ˎ6w~H(4Ye`n4j '|JengI `Bߤ -xWYaZz'F򿞜4Z~ulj]V~}PʇOr1 \w>Gm~7t)IWF#ɋ/+ot:*_ZCNHjjtZ09a9asYF+; ՞? O 'rt,TW{I؁<D̘i`J^C0DHY@6O#cO SKp_&mZ5J, C3:!jfX圀<#ƶ$%"J V|8g(&Q.h:6i*fUk}b]]O?Q9Q3#St::ˎ}%sB(Pre-:wbm\g-og3AyN85U&Te MիMT >=N|Xgvթf 0LZ*C [rtyM;U ~U;Gb< N UP9F[#ne9V#1 ŅCb~ 5CitZՀv83}}`_OYH5cuӾ7A5( [-4D(^ܨ5PE7j5X3FtI&PS4 ~iU3IYԻ4=fs ;2N$,uzBV⛠-6s][fg).".߇,Pi+,}X^X\;wy䙁"e~ E7,5+,nIhUE9ڽ~W~$),b| ir]],7F@\¢4J;v0ooֳYi"{6O3{"XIqjU-Hެ?w5p'P]z|4A.͛f[?X@ݷa{{eUC˽?N(Hk!;Ëd7~+,VHw Dm#n^.ik v%hnDAO8Q7t; \HҴ.(H2b j."VHa$bZ/|#ֽº_^K JMDg۷-d' !s.c.#;6MUie گ.)b9s{F $V0v R%T8mBYa/[heǶ:jk Y@Jz;݄RʅQ:˥ .q1G ,+7's| aSSUT}I;hc1 1 t,̑Y,e0Y ,́YTn j,b\󄱩8me9 Л-qea<( .ݥ8>2'5'Se7s2[s2[sWvkNb6'$fsaNb0'A$^'bN,>Μġ9ivsφlfƚE`|dEV"}W+e6&M?4εBeY E,i?UF_?_7:Q?/~t.aꈥ|K¯WJNN#&*l@qvGQEhlC7wZYaӠ; XRӐ494C9A c$JN~@U՞ߡ{{aeWcFݻzMaIo{}&A<µ*±#C[ V}Wm,)l{*Z10܍xyh=;C?xX*qjyuR<ϢS;xAMu k_1|g/Nb6:~-u(+S9MoLCoD o֘wn4S\L <=3alCK.#CL|D%=9LibB6st:u]|ʪxno;ڤNzj\[nG?t^" 'FX%SՇUFD͕g)!`ͧTיִ?6/N7]SW+1Yt<_cM[I{ɺr|F0* NZ̗ &aM1tU>%8YctIxgD1Z[zHPoQ_!ɣ~d[} rkQ4ȋt[O\|}!o.V!XI89n2r}0@*9$ HBÈ5~[A`x.鸳lg=K~- 4D RԈZӝ"iqz?%|0[Hi_"6Z`OAvv@CR@`UʸUzEPlJY,`)EѪ:y+>"2fçg`ݯ*_vij+({3x◟/9k2@@HcY 3jtf+ ,]@P]|Ĭ)`JvD[0# Ol\~! jVKT- 8Wp - l BZ$Hiw؄a2>SAawPoܯXt^Q+9H=vd I,0H:AKVkA%=F\@ B`1hSK'oN#TQEfCB=FnNw1QV:R%b3 =% z]{5ykؑEֻ/xխ,ȠK[;3 ?Ćt eO[O^we4 4.}yH ]Љz~?g¬ٵÅHy <r`pI,!5J=B+qҼ1sqk>fEKF+3<oh̖ӽ߲C sƆ9%nC/JZf cm[0#]8~"s玽wk4mkuZR4/V[MOW?39* }śS cJ*;Sod(quk)\e@aΙ@1v>Q1G\EH5'uMb웽ITk*0vqUcrЦ.Z DɖZnOǤ^nptP0 *)E-R"jZ"0GXwb3jOw-r1 ŚB>o&^kI5E\GrEgSxst)3TeC&\kEKa^}}l= ł\"F`&CeK+Ti "1RC.!cp"HiMG(Si̐cXi.+|; 1 Q; Os{) a8: GېoHĝ'oQ>q5KDݻc&YSU3,%IĢ* h >| -/BsRP/cI+p-.,Q2pT*B+9ǁ5^Ji(\*=S8%,SBq)}<3-YBDasG1S iq:+ sƨb=`rrqϏFੵ?p1e(k endstream endobj 18486 0 obj << /Annots [18487 0 R 18491 0 R] /BleedBox [0 0 612 792] /Contents [18492 0 R 18488 0 R 18489 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29287 18490 0 R >> >> /Type /Page >> endobj 18487 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18488 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18489 0 obj << /Length 19 >> stream q /Iabc29287 Do Q endstream endobj 18490 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29288 20830 0 R /Gabc29289 20835 0 R >> /Font << /Fabc29290 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛZ endstream endobj 18491 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1708) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18492 0 obj << /Filter /FlateDecode /Length 5082 >> stream x<Ɋ,Irw8dW}k#:6bɬ*@;^涛E..fbo?z,/-M7u7|uq-F9&疯?^^3#Կ._F \i]ioWm" x+~0V[FpL Ψ퓢Xd=k`yy$٧%^u)0]|@n'oqU}bMkGX7+Y-nM!,:[J=Bm`[Y}zFz}RVh5Y`bY+VҺ$C͎H:'$o$ʫGʻiMX.#񁦅9f3+1 HJ reH*$I!iKi[VY`9'rXS%7XpxAer^ct@k(VQT%\u>dK5)FY#ZS`cbr"]X (VǭFo/pL\gIsExRG]$qϳ*\򣤘̀Np^"Fؖ͢>p,,fMA8'#@,YJY %Pr ~ZAh|PIqoxM۠.8܃!xoc ex3]U _Vj[&:1׺`ށCk.CuXłˋ\q@bhrA8tRwAuhL50F"A\u,.Rݧg(Qz)vCqL0eA} c!9.eO xX5v #H#²@c>S:(ҳWěni}^A&yŨԔBgʘW0OK`kOs$. u3T6krY. Y%H9+>.mݜI7$-e8! J8<'SoL-t. {Rs)-e k>W9~\ dFMү3 diؘ)Sis2Zoc H@ cf.{AG[͂j`RX[gRgeuxXі邹2QVF4nEmQܺk>Lv4N&p5:nɶFU tJj2]KX7 =-fw2iAUNog&ɖZinE\mIVFH Érګ6j;1i&`,*NUVvܱY5pu8RӔG̓ɫqagtVt2*Wiw,칡\1_Z銋̎h_**O2te[z(OA%aّ"/.bƵGͿ=xck%z`0rG|=.^xOUwGԸ+(a/Hku+6P3S1?*mX)$}TudT(';Y$dzhyc+*,C5Y>;Wq[J*E=JPK-]kT*ORr+cB4Y)Yyj]17w˅.|i2$b='@XrUoQGxXƩf@dyɠMbƣ3av::qNaR*MٺqiHLKI|;=X%"4x3w:)HJnM;[֫OBфga HG]}/ErQ<zn-ŗmSr2o֊ֻֽJV d !=rJ~ aMצDwsH~q1.2'͵Mn{T5!}/mĆ̞ʆᱪL˙^uOdzkީoW`VJqC(B;UȞW͸6O[>lWRڕJVxeThW%3k'sP̭-=|};5xSV3}s7ܚbcw6lll.;omK/w|GSNﺁ^ji t%[K͌C5-^m"g/ގF@3!>ڐ4b\l$ʒ½)DYJr͉Q֡JQ6կuuXݯf f8MY#5wAn]vTÉRx-lc@76^nU7Nޣ\Nb|~ͬYMtO[UA OkJCr? TϻVQ= '~h,Œe[{Do˯z}|g;lnT :KEֺ'JSp= ]w8!0UDh;̎Qvdy({z!i1מN#p~u5Sw]505ZYYj5a\Ҫ=zyozh;Sm-KHv۲ WlK6N*S&n~5ggUN[i{Gf׺zbkSO;r+H ʻern}1Gw;^5#LU WEmcU2а QǙѩY_48]8m,>?e>va\WmrUm .#=cֶachROLKe1+ޘcmHIhꇂoy4^2u 'O'wTS=TmtͽfumkԤwG0&oph[۵f@/zsv,^90}Guݯl5"҆^ٶmk7eM˄AwiНt'GF kFhX1ɗB8Jk3*CjĺJ8UʻhCWNjv:{>кj?cݝJ/u}^'>IncïO/$ijD70oSRqկ[S*fzkQҖ! ,[sj}NkP!EKc7X1ٮNg~ń^P٭>fdp!8bFijtX9cIkb/|>!/@.[PSX G^*0}X!-rd\-bh+rM?pP'.Џ:%6\@ cJͫpLBfM6 'pbҕoP'|8(eNc᏿X;: "^_!%Q`R}ҵ:Rkw:!Wh ڑy8}=>!|Sj=%"pѢoTkzO.MKSeQH++Vh鐗8/犀bx /.(3F 2A#L \ w*òcTQ?ѡ +hz z%!\[;<vQ%ڝ>FetDu2:fMe <+j[@)dqĸRYd;@2 l]+z10<D7{\}7`V$?97 s*|ӧHts#0 cxnU8,-nzz e*Za2q2?Nl+]9?px 8&G{~RL!6n 9RNB^yI_/op|!_ްdZ 3I-/Y^b΋u"*H^Jyy=QyrxnY"be{7ɯp!>6Pfc(=Lȿ]Gc=G |$:kU<&3>_A葌@SJ LާW ,9 I endstream endobj 18493 0 obj << /Annots [18494 0 R 18498 0 R] /BleedBox [0 0 612 792] /Contents [18499 0 R 18495 0 R 18496 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29306 18497 0 R >> >> /Type /Page >> endobj 18494 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18495 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18496 0 obj << /Length 19 >> stream q /Iabc29306 Do Q endstream endobj 18497 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29307 20830 0 R /Gabc29308 20835 0 R >> /Font << /Fabc29309 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7IPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1ol@Tj92;$ o5"]zP.47ucV(H!6,>U]d߄}j]֠zf> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18499 0 obj << /Filter /FlateDecode /Length 3880 >> stream x[KWliIrs0@OA^|H U*%ԧUfU XdߦO%eOƸbL< <ӳz72s1g,x Npa 6~QoIHmI@Y&~h'c \xYtN>70ϝ*2Ϙt_ȡ3N|?>"u J'_dD*2K.qۜ}zV6UjQ.3 V:!ExoyTPFPحmV `_xzC@Յ;5f Ϣ׷eC BQa%:<76k_U,3'CI98'z^A-*^clC@qF~ 5 A?K.M>؉^ Sk 6-;%b`u$2QtL2V4P 8@=C%honoB 8 {yeCJ ZZ'mߟF<9ZE]pbTt40V'ĎMg[Kҡ侧 nM,'+h3yV?+y~3b%ju(n ғQ*@ % dt`TL 7i7mX.S]8- F0hv52lKn2ìׅCJguilF0"2\$6fyryl4Dd)fg0chm%ٿ v3;&M=-kwaF`U6 ib%A 'E ̜3%!BGH Am_?@PgףHO03x6tgp%V)J~saT n8Ӕ8`2f3F;flS#4 _t@o8ZM!kV:?OS͙X#)/m-#.U.ls`h[`_NǀuBo v`@r<)p&aR@w "sЂJ4?$Wehz.lU&)o:E2# r蹖N[r#8Ostp_#eWtǢNX ,(8p.Dg RGʼk̹9 ]YHFA/ 2 tj+޿cAx;s*ܗj:g@?.̗Ƹ,uV|oC,9[+q"U=mYXV"Q뤓wR,]򞩮T8܅e2dj؉ՆJjW٬ExG 1' &_$uz, 咁zmPZmVMۆ&8gO#S;\Vq(hcqj؊bZݲ"Χu%μ: y9k[ivR XkOWt駅(N* W˞Bh U^N]eoB#([k_1kRS߰8w&{J+i'4rft}h4/{w޾A6I-,d!Iu]\_\Z鎫+W;cf 1;Q7]ږͷ60e\?>S[)1 9QؾuAzT* 8oPTt>eNq)C*ilaqs2.d?>.1[~fpAC F6`4AzCO(>gc(#X+vg+.eX.#T"A67QUڵuŒWtɹi˼ 湗xnj i9L$gP9ܧvn$JOC+ކ27=rk' DXoH_ɤ&8&60ti2GZAnHP):ޫweAOFgi5 vUK225>#7م ɃInY-mִ \;ISR{|Ϙ><ݛHFBV5PN. qlܛSQ\ef'87>7pd8uQe;A~3M@L's'?<=@0\؜mnn 0!d7OHl磑F 2gW_*:m^¯I DT1V'B6>{?iO[xҏ.}U9jo3`X5/#"D,9^y+1<3e9w,w,߸A(tۍ3@ȨޔԟEҵp_QƉGdE"Q],f1KfndQ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29325 18516 0 R >> >> /Type /Page >> endobj 18501 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18502 0 obj [18501 0 R 18503 0 R 18504 0 R 18505 0 R 18506 0 R 18507 0 R 18508 0 R 18509 0 R 18510 0 R 18511 0 R 18512 0 R 18513 0 R 18517 0 R] endobj 18503 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 440.9077 173.3797 451.9077] /Subtype /Link /Type /Annot >> endobj 18504 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20211013063105-08'00') /Rect [104.1732 424.7077 181.0247 435.7077] /Subtype /Link /Type /Annot >> endobj 18505 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 408.5077 155.5267 419.5077] /Subtype /Link /Type /Annot >> endobj 18506 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 392.3077 145.4837 403.3077] /Subtype /Link /Type /Annot >> endobj 18507 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 376.1076 166.3397 387.1076] /Subtype /Link /Type /Annot >> endobj 18508 0 obj << /A << /D (unique_491) /S /GoTo >> /Border [0 0 0] /Contents (get_runs) /M (D:20211013063105-08'00') /Rect [104.1732 359.9076 145.6047 370.9076] /Subtype /Link /Type /Annot >> endobj 18509 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 343.7076 161.9837 354.7076] /Subtype /Link /Type /Annot >> endobj 18510 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 327.5076 164.9372 338.5076] /Subtype /Link /Type /Annot >> endobj 18511 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20211013063105-08'00') /Rect [104.1732 311.3076 195.5447 322.3076] /Subtype /Link /Type /Annot >> endobj 18512 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 295.1076 180.9312 306.1076] /Subtype /Link /Type /Annot >> endobj 18513 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20211013063105-08'00') /Rect [104.1732 278.9076 175.0572 289.9076] /Subtype /Link /Type /Annot >> endobj 18514 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18515 0 obj << /Length 19 >> stream q /Iabc29325 Do Q endstream endobj 18516 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29326 20830 0 R /Gabc29327 20835 0 R >> /Font << /Fabc29328 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`VthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r76 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶fh^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$x2X.s(R.Q8!h|=B/}>^ endstream endobj 18517 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1710) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18518 0 obj << /Filter /FlateDecode /Length 2441 >> stream xZI$W<\*|kS<=T.6E("Zz̘w!)>lM;moy{)ןQs<5dc-Zlҏ/o[k}tw p?Й6HP(<8Ȍ_od\.\}/<ӝ p; u36jLWF8#D=:4V!?C29a[Ȝvhc똄`|+z3|#8L/ۙvV;S$ gj٥Pŕj:h$@fwVs[ty11lGavu thsǯEnRrߗTYXj[czD?-{kM}  _4  o* D,aM3+en[ew@Y"KPԀ8AdY27D4QwIK^}{7!#Iu`Zh m]RzwZ`; L ʶ7kWՉ.'^x;yX&I5{nph-N ĪA~!:=tX7o]Ip"SwB/-y l'"[C6PIS1~/Oe[-Rы8EGjz I g82rrDM7V$: {pW %rnx?GuF < w^~ڗ zP3R1:X$ S;^gRg{57E6\Ka8an0ճl6'_?ҢdIU􋐬K qZhgm3E ]4Z>F-@/5'[)FR.mSs|DKE˞!- YxY=)Z,rՔySE=&)MYi{eZf52UuK(h%Ὴ0tSPN'~>3__U].16L/%#GrH^$J  ;`%%F r\ z); m%M CbK% G"2 P/`#>Y*Jb*U_ZZhi9 ~u5#, $.r=ĥM*xR[/*GcG"=yiAL89tځ)&g xX:p= }WgFFP&sklOvQ3N[`z) qp(;rpҁ)xRG$s7$l Um{֣*s\|R'$:'Οxa:5G|G7OChi+Z ^> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29344 18525 0 R >> >> /Type /Page >> endobj 18520 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18521 0 obj [18520 0 R 18522 0 R 18526 0 R] endobj 18522 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 360.325 124.1605 371.325] /Subtype /Link /Type /Annot >> endobj 18523 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18524 0 obj << /Length 19 >> stream q /Iabc29344 Do Q endstream endobj 18525 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29345 20830 0 R /Gabc29346 20835 0 R >> /Font << /Fabc29347 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9" o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18527 0 obj << /Filter /FlateDecode /Length 5431 >> stream xڽ | PGFVcgO\X+1ޝ0Ն١ ڂtu4DL6`PUPp_#[/ѧ~u1ڬi-|AC1Aw JB)@g"elۯ]~G/|y&\0fr&\53srE;;L핞7fϢ/U\7.EkQ:H&⢉Fo6CA9 :vk<=<&waeciP!DYcׁ7,IC7M@^oy`3꣄)B`?nW\M+hX`E MH]Zbo[(sB ? T-pu1  -h 7JS`w\p"/uAёzA+\>_}/,oF #QSˑHBIA.ǒ5NUxԸ*|#Vqa=Vo`acAS *'Du|&XE Z[*x핌dHS#iRR!Gzs3gDpnDf- _M f )KTk 47MXX_GK j4j|tH JMgJoNo[$Viќy,SPudǦ)bl,s ~-Rl\sId260!Y|`@Jm;qV"Ui66{'Jo`jiJX*_jC:zwFKe Ft[>2O@ BX{ukRHK69i \usE5MSc ]: 0v$[Gv4 43 Twݙ<$nx٦,(n͢5A:YA#y2&Wk)$Z lH}yk"bmźt+Xf${AoY+-sRXsc5eNEI0^jv?TwUem/Yг iѭ`uJvwe9J?aHekh\ }?mtxF%6>)yqRI2 Q)$Ω,$ǞBmS)ǭ,=%_]~I>WTC5~$YʦƐJ\i??:(!Dy?\8@%0*&4vhB5FsA"5a- Q>@Prȭ 4%&%.ܩ fE{ߐWeK0m44WDbmwKTp! -ӎ܎uiPxGNG9PQ-8VF$cS8ꇄs1 $VG_!e%q3Z(mh/l˩NȑCѱm@q}|J$I]7Nπĵդ4›;:=q+.9P8y>8J9 sPbfCOVl̈f=֙aK1ghgFSuWgyv:YM0¬SnPpIr殜>LM{uZiӁd}1XOGH) 3R36??0Xg>J(3l (`׈[˻8n[XŸAk$%'L4] lx52jg\Ui1"zтN%i63_Q)]֛JpdZ̢L!7B9$ه#R83We&6a9j4KHX O}uӲV"Ƹ`+)N`E"hֱRp7gְ[\\C4-lByXj;, s[Oo.%9硏2i$1?1Kͮ"mfWG/@UB( r\Cx&ˣ}oYcmz}=$ '6ZouX *Yc :VZ_un5Wޚ̦!ÈqL$:9U¼*yBaI9 4441ۇI7bKfzo-Ik]wqpMVm< oWL7U`+K5JuVzS<>ؿb<D_uԻw=Z֓=OxYXvXT5i|aXVrlql}1;\.Q1H\X_}JJXLuZ ꐳ9k% /Y[^-=`Tv9ܵFR.66@J JXhp`"Eן_wPGkRҠ[P5Rm" Y5|qdм[`l 8H1Ul-0$^: ;]px*_\L5 XtB] ]"#WPwqWYsļl37`F`ݐhL.@)63.4\ZT- 8UGTR %B9$4)Dcb6!%8}OTk9eTt[ کbiFh]a“0H@M!4$?:2 N&A F!/:6`< (kJ5pG]($A=AnNw)c ts׷ A[+,ǒƎz޵z^\m5~;#?ّyg^ ?Ԇt:yӱ$D$݉kz¯$kpEk ~@׸Vݭ -$̏ڿV#WNGU(ӊF;>cmq_@߻D,g1Oyu}-kT׳mUu#Imgco)"v~^+/{7JnR&v@477>:z2!3]HkLJ@=S1 ш `cGs&│]2MoyҔ.s:ynsGpe-/0< o %Lk0ug> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29363 18538 0 R >> >> /Type /Page >> endobj 18529 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18530 0 obj [18529 0 R 18531 0 R 18532 0 R 18533 0 R 18534 0 R 18535 0 R 18539 0 R] endobj 18531 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 268.3424 154.3497 279.3424] /Subtype /Link /Type /Annot >> endobj 18532 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 252.1424 169.4802 263.1424] /Subtype /Link /Type /Annot >> endobj 18533 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 235.9424 218.6612 246.9424] /Subtype /Link /Type /Annot >> endobj 18534 0 obj << /A << /D (unique_567) /S /GoTo >> /Border [0 0 0] /Contents (set_part) /M (D:20211013063105-08'00') /Rect [104.1732 219.7423 143.2782 230.7423] /Subtype /Link /Type /Annot >> endobj 18535 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 203.5423 165.4707 214.5423] /Subtype /Link /Type /Annot >> endobj 18536 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18537 0 obj << /Length 19 >> stream q /Iabc29363 Do Q endstream endobj 18538 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29364 20830 0 R /Gabc29365 20835 0 R >> /Font << /Fabc29366 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7IRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9" ٷGӮBxgH h@1H!6hxfxoBD>.PkP=(%ypfE3Rwm-־0ZOt⩔L2:)WIkp:3ϳ-nYMޚGFx|1$I d_ ECC 0yW!ӘV;!JϡmтGŶKb endstream endobj 18539 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1712) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18540 0 obj << /Filter /FlateDecode /Length 2922 >> stream xZIoW<@i/aUe[rIO/6.R$H7doJV[N?gu X2~ZuLi1zMԏ/7Ƹp+1Ng?a/w;\>o30ou{A% ؈DpI b8[cܭJB 礇["9 uAp' b.,n9\ N*$@=7ETZ%WuX~ ϼ v& U4 H.)9g; \h;X-1ʀ95(P8ybTBL ŀMW303XaAY&Q=2 OT뽀Lls!򒢭"[ ;6@9^V}V880yV@ h?bQ(_)"zuL>"̦; 5MXlƒ^9N$M8bAX'; B :?~5'BV`?ވ17l81BǙ7,lcFt¹n48RjkʒGD䱚/Eݕ"P!lpfݠ8ᵛ0f;9Iӆ f@leÒ0i Ɯh=l1RSl޺d>F up>5 Vbvf͸>65y>"/qpByz+⽖ Pj^M0bM6e96L ]g=Gcጘ+LIAs2w"f:qAOGn;P2;B8e0ϓ+P\i_aLGb 5\9 ЊG՟=>G9K=giO8tjݟRq 赇LYZGyו5i-k&'7ƭeO8Ā}D"\#pl)ݲU 6^vSDLL"I 2-*o o_\ =^M<9[|^N@oۗD(@qiCj<]n)n]Z8᪶qȶw4/ڈ^x Ǭij;hs(V@kƘwzw',ju(9T${9ޚRxr!-i*&T ۩!Z' [|Ic__s #<}}|˩xYz~Й =N`~H (( }#wXIWz์`Z]pQW63]TSpO#;h | ?y/?o.۽w``ӂGtvw)({jt$.?̍<7&t0E?è5-XH]YHoZ̑4*o_1>:?w7VmМ]Ľe%]QD`!d°SųjX\2}<^=5P-\P8EFu*rC?뼸Ƴ kr\?rMI+"h[:qCr.9|&b^mן`Gw֙ۺԫG4C\7=>T\r+fnzto%Gvj46lVCB_Sfq3]h rS@`t,< #z="-ϬGGq |E\m l0sĩeqYZYZ)ђL'ҔoL'&ychFV!9ex!ӶG=9f,,GŨ#Ĺ58óGj'E9ytm( [`RsKAJŒmPubӻ4ԘIR}Y)Ã\vYE7zq[\"F* e]@O3΁˂Q4$xY\sQ%'Fֱsx F.fh=GcOR9̉t*fҐC+m~ C,90 $p래?> #\~WgBzB/$`:Gvw 23j)O/"VFǻ~!|4twG~NoUQ] UҰvG+Gs(O#xIP @0@nx:Q8׈v4#7@ endstream endobj 18541 0 obj << /Annots [18542 0 R 18546 0 R] /BleedBox [0 0 612 792] /Contents [18547 0 R 18543 0 R 18544 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29382 18545 0 R >> >> /Type /Page >> endobj 18542 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18543 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18544 0 obj << /Length 19 >> stream q /Iabc29382 Do Q endstream endobj 18545 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29383 20830 0 R /Gabc29384 20835 0 R >> /Font << /Fabc29385 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v`~1OEҤYȵ7-$G5U|t%w JسFw!g37FI #\"QB3WOMɧ5UG)ȃ+6+Ir8kb/mTߖj?}JLh]}ɖDVrk|\e8>/fu^_ DD<<9C9j9? ) ;d#9/_1s2*Lj$x2X.S(RKvgP(y ?`ߎЫm߽?Q endstream endobj 18546 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1713) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18547 0 obj << /Filter /FlateDecode /Length 5315 >> stream x=M中w (G_l1@Wm2@AN \?R$J\51Sm(")mP -ltvR:'AgϹiBڀOٱSOe30[[ci0i3԰\oė?o;Ȗ^Irh(߿4/8-3\4x8j85ggm3f-~)\S 1INyu a_. pKGe pY>9!'_R Ip vj<T)G)o:zAItAuxn|`!/i8I-c`c!ͻ(K3eEXF1"8TTQT&TF8"&I5 > tg@wd$3( ң Dy×洞o@(=/Rn@Yi`i 0 "?X~-x 5mntT 9bTkԍ T(ilznWT)5 ilǥ#X fC05C]g5 %j#D u#Ub?ǎ eF?@A{3 yzP8SkP2 Λi:$3x y+-J Εe%iv `%F喻-*l~ņ(èl Vf`hWF.씁 Gr Gk?HA%CQ>\Bbm_IS4sP<πg7͑@3c}1it)Eg;{(^r qEG( 0W\Qj ʁ!X4'xS}1WhU9Û s;:=x#jtC. LsznfǞ54#uS}n WwwPUR!NJA~@a@I=n0˅2Ð4y@LCQAL05irLi%8}p!<b`FXX!zHR9VzF(E8#CI$<38INhRR8v04DL+%G:DaT2Od]Mf̕Ub5'͹~T d"Cn١m-}jT-6'kix-ZMiH>-Ok3Rv"hȅJ,# h % ҃ye`牵9e gVlu j+xEY$Ù~-970,S,>puܸc+k'rۙLME+4|ou%IjRy6\9 OtxRU fZ G&0H^k?ݳSx7k2Hiy:{:t"2ql0KDZI\:I]L\aSB0YOD4v\RVN>39*Z+XAPfqɨW|杩価PC4ЙeGЇ4:oGB9` DAr6}n^`};_mR„0ejG;XyC8tNS[j8DVO)>E~8Ez G'@fq~9--²щ~5iyڌjR= K (1wܭyjN{~WV5qymѬ'%IߤxB¿-6ש/Խi).yTx6w L8ǰq<0^s6tƚw\ވھ㙝x'(t95r'vuwDwx6s+g2xo&YbTsR[gncD7:} w<70wcҪk6^9dtv:1rPDT) V#ow#ȭDmv2JKR߳ vs!lw[C]9\LJ=';]SZ7g=wq7q"vp O}g 6;TbtB Ɉ)o} &b /QՎop8$Ey a>m(yIG,Q, P)0ic"ʇ,QZ DW C ҥlDϝݗ$1W.Lf'Td0] Ƈ&3+4T[ÁK̨3GGܔc: ^ v͙:8`5 c sASa;hzz0VQ_lQaUL&nIXVECϢ1 EE( LUW}*eS9&fK6UtE?@6Ő6vM3OvɎBtF͕M1`rQ9!L6PTulJpCӳtY\jo)4U1Oۦ;H"SH(z(HV'pU 6usۤDM ڎ 3 dsqbHZK wK26H֤quq&bkR*&U0ΉlR`]^tEvI-7Őp"SHydEp=gV}&uk3dRU1eE=ycR I få:԰VD~ʄ`ܤz:8rBeA`7#&%G|Ib]E^K = cR 7)b0HD~$ ($\\륲V5Xm{Mj#oMLJ#zɔx25ɔxP2AWUd*ţbRATxT2U^tkc⽫ɤvN%JˤՉfRwN̤:QgRݤI/֙xo&5xD&%V'nXXXcqbf剏剏;'nmoȦ>(>((z[8EAFU-Q<¨eMF%`TbmTD^czEߨDרv޻L jhm$.c1"\. 5I@11} 6mKWb>|(sŒ|NJĽItV]& i攖 ؐ5>;lnu; n}G0bhyQ+AzG f~jUI. Q~Noi6qة+WueX[䂛.~oM̐M0 XZO.}܈kP2K.T !8rWFh(Dx%?֒7i;& WfD 8턱C7OaqpY@G>MP\vjeC[kV$0HP,f*ň;Dvl2 P Xbq8 9@.W=`[H\7U2Cq$)YqK܁0AKE!ħb )OSS ?@Tzאg9)S='v(_`#q0 p&<b zӯ.A֡jxrH0[%#X 1>O8šQ|6d302> k.͚(* ]4Rq 2"qRcx+^t`9B3A\CkԐȌ\a<͗S6ǃC)_[HFu)"Y؉ eRX]iNѳKSs]AшL,HXxr^bAe 0eLY4rݦ,DT /WVx Qw>+k||EL2Zy̖"I_<s*,IN[?orsz'q>F{ܾyM~.=+ U0fezoF֏ zDA?UxT endstream endobj 18548 0 obj << /Annots 18550 0 R /BleedBox [0 0 612 792] /Contents [18557 0 R 18553 0 R 18554 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29401 18555 0 R >> >> /Type /Page >> endobj 18549 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18550 0 obj [18549 0 R 18551 0 R 18552 0 R 18556 0 R] endobj 18551 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 675.15 112.8525 686.15] /Subtype /Link /Type /Annot >> endobj 18552 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [118.1655 675.15 149.0095 686.15] /Subtype /Link /Type /Annot >> endobj 18553 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18554 0 obj << /Length 19 >> stream q /Iabc29401 Do Q endstream endobj 18555 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29402 20830 0 R /Gabc29403 20835 0 R >> /Font << /Fabc29404 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2Z-LB.FPBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 18556 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1714) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18557 0 obj << /Filter /FlateDecode /Length 4045 >> stream xڽˎί9@|?F=3 ,C&vH~%Xw)-,zQ?1K*M,?E˯c R)h%S._~?*eR)#&{k/tχ:۟l[a\cd1˿ WiC{K[LV[KJ") ie1-XReZ0m1ԅy_XP3؂iqal &eip_̫rg$peprcVpS&8ࣩñ8g[2:*sA(IRƾml7``$tFc"1C?>)-HoP9|X$]#U^g.6܁z8i{>ّeTے [@9-H&B8)ʵʦ2ץ)n\D 瓰 ͊Kt2uHEعn"{n(ib1je.=C#,;@t@.*;!L:Tls,uU;9;ͪP-|8blR'#x+s U G|:V. (2# K`4uOAו^_= 3,|۸Luu3;򠚰.1t`AN %Za `WW[*{ L^,4(3w'RsSJaJz,Ӷ]כa.(3RQ0و:#c-JNn*q'TEp=, !0J6 }I_DNl= ɼI*4If\V#"[mlI\4_V6^ ȝV( EO&qgƷq9/up['f摈QϫQֳQa+Z!8kDkߵg k[ Lj[LmIyPC{Yj;aW("fށgGA[ŗ{P/_g v}6-iT/yhl6AIZٹ*lȿ)=- )zp2@例[|!bS6:X@hd Q}7r|Lv5Ivcy2'3hl=)ko*VқP!6_i6L{o֮{ydG͍$jt f?3Jjҏ YWlRYEbۺQ]I²lЇ9*ha)EQB(Ok'Ŝ%z.TCTTV dP=9r@ldJlƍUkqSlb: jŃ1A>xo?_*>-ZQ^ ]J@ } =]8n)u0Cis/6- TR@b{L;A&vê654N?HBt)[>_&5fd'R2H_L\~J.TjU~ˀ 1תfĬ(h.M2gP)i)6ɜڄnfw+*ԀSv2FhyFXzy#Kyz lWg]Azfhs6+q4AIGrTq N=]wp}⇸JXnQ7f[zbY${;[ގͶz(Pw!s{5VܓTuoR^$nc dI8>-Lɬ7I{fWxMF^zMmA6y-Jk>\"Nsw:@.ovj {]Ϟc6ha1͕{6̬aL_{YABġVJ3 ZO CE+j/d4\-Z(Ė|R4J'!`! `YOs}=ڲa)hQ_cQc:z4xp^7T(2eh>x^bY_|+b,Dv,&c\Z&7dkQ:ٳZuvbkt<*M<׾ycmf<8$44ִٴga>ɭŀ?)U.P?%GG{\9SOXtX?Uw_{ q)$^Gu5=PABZy*_{ o;~~_/kC~!}sBDL) gA`tKKCEġ@q|̠ \A!6/N\i/]WWK~> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29420 18562 0 R >> >> /Type /Page >> endobj 18559 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18560 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18561 0 obj << /Length 19 >> stream q /Iabc29420 Do Q endstream endobj 18562 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29421 20830 0 R /Gabc29422 20835 0 R >> /Font << /Fabc29423 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$j endstream endobj 18563 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1715) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18564 0 obj << /Filter /FlateDecode /Length 3899 >> stream xɎܸί9@1@WW! d&3[ji; \Ez|;BNY>]5x$7q=-Z-~WY>}]ϋ#?kaߗO(?Y)eO.6yI'f# O*7K{c ?u,2T+iBshx.Dܝ-,VZ"B+أUI핉 KRiA-T3wmJ12{ha18D&Y}bRP..P.as } /z紵=$`mLpWh& 89`pI9q c>2OgeFJ9/'ЀtkW:E9+qnxI'*䠃 8`$rT\ ހBzv^ /VQӀ[}TiR([HzkZgb-kk*墬nCwѬ5SJT91A]d݋l35>%P}zKw=,=H /GJ5mG~2b*W#; #SJ9u& Yflc1GBJ9aMx aZ~Hc}r a~XnXeF!+F 4B7~S%'J bbYF`vuDS)կs֝(>W?{vqRHJ`c)IJ(u,0uM[0{{m\89AFۍy&5Ӡm]no4{G*Wv!QS{Zr[E\E+,qs!KbWQĽv,nh_TLr']¢a굌)hzUie-IF_ X/B(BKT8Rr7rYW5 a-(t ؛ޠaW֢xbbhVfs#ܝY3 F#"y *8Bܸݞ@'E~ӳC:{㯍+ Jck)n;ȏ89$`?5svPԶ $ǝ&ոyLC=|LEie:}'I$92bL'W4Gm&yeEY*S>w!nE[h8]+! g]r)l#`#aқ]VJf*S^Cˠ:qZZ^\jλy*ˡifxخDX'kt.'w=x( ͽ6&X'BHALtP9 w)bЭJ-iE n rdHҋ˪XdN~_"ҎZo N//oH%/SW_~g÷s^M<:sT*`\{&lҙU!l^We\QG mnLT|DNv+x`ZZUZr~K>ɻį;^P :H=a4~_GX !{hj0;ί[c]|T;,XM^y-IMvp4AŴM8=*h#5/K&%~Y~]~هa X f0 ` L9\l1_|B^/@gwqmQxSp'ᑓVxm~b*`YSq|ir+đ褲v2O;un`巠>^|.Kvb_8htc̥U6|8E|rA0WxC <[z3di t*SO"cChç~'߆@Y@_g7xϰBDf8 6<k/x(.LBt&<~#%=B.`jXUBYVbqTyk>CuXp`z tVVSue+#fTUExoǃvzKN`u endstream endobj 18565 0 obj << /Annots [18566 0 R 18570 0 R] /BleedBox [0 0 612 792] /Contents [18571 0 R 18567 0 R 18568 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29439 18569 0 R >> >> /Type /Page >> endobj 18566 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18567 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18568 0 obj << /Length 19 >> stream q /Iabc29439 Do Q endstream endobj 18569 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29440 20830 0 R /Gabc29441 20835 0 R >> /Font << /Fabc29442 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo* endstream endobj 18570 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1716) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18571 0 obj << /Filter /FlateDecode /Length 4216 >> stream xي#񽾢T!hIi⧶g1;"236A:2##>Rﳜᗏj~:grf 6"᝜߾Թ-BY ;} ' q< qO<^Jx~k QNu+뼰֋Q o0e^0H#╡Q󯀕YI I 0EB8>,70ZonV6~qF׸1}LaGE]x}[<[p"sW,[G"/HnENF`3Ylte8!r&zsis's sE rG@'cwi`gWS[IdK0ibcҸì=QXO,~Wt ƊRLJ̋|BI"&┷{,FXONQ[t=zua&%aK@p=Ȅ~gA~N[BI2tTIi`u>dZܺr;om=;Bs]: 40ZKϦjXx/0NZ`@!i*Z"K$, G䵘6b"""؛"bH}` Ð;;-YFsJ'^qe. (Fc4K`Ed^XV˪\`ni_BQ:mNY _Y݈x;GeW ^|8̑8'%FW*JS`ӨW\}8P@@NA+C\`U^mܭ :Lddվ[GE}ޯJ2=GBY}A9/lgxM֡ $r\s-`*^M:Kćw4wZ; >N0?K /\iqS싊DJJqpKyӯEl~b!C1+=:$`KTTH6+R0{Ep1P`Cr;omx4v?qQպ>Dn܌ժZ$2" 2a ]▒ 0#k;N9sr跜4%i[`(q pl8@kHx^ZBOB"L[F줔 02V&摗t+<',#&ɯpkǒWDܘ*,IX.җ §0ko"MVac՚j(:7E9z- i$IXg GYJn44mRx}-崘~}]6&EKaQҺ4 s+y`)eHCtG`b5HETAGhdm4Ƕ+:Y-_:Mӿ.CtZSQ(tZ"U,IVΏtض#mG=7V>'[觕hK>7@"sB奱tXrb"aE) 2+5QK2_t4+ 3rZ8+Αʈ}_RkQ&~*J H!E&,`jhh $bW'BY$ȑv*t ŏ>G0^.S E3jkHX4nmU,U"[q|)8'JǶ|wdc_4eDj%6R1)R{RSR,^-f׋ʹ-6C|;H/L濎]G-_1W=@bP Y[pẜ}B焾W$X\iL-ŸTx=ڴC[(;N0\,G]~-6ĩD@纰SVm|x-j[\mL]1}^77^]<OZOށf.I / ur[oPd5e,e>5Ƀ=䉚 s3OHwAf-7<(J Vɫꛭ߮dY8 o5=A@4(LtMƂE!-)(Pj&&vMW`[Ėe Eg :'$,ǥ]w$mo87Sb]F*5Q|sPu?miǶRG=9|k\â+_S=Tא8)syŊ 5*YwpkbenM[{剦X'@VY7j?99.7smǜmۂIm1`W􃨥L7h3? RlAhf75[m+᪜n׭?mbmɦN3ޏ|}To= JxB+9M;=Inrτ Gܱ2 p?GAE'TT3-֞a =C3!10P>rN}w>`z+X80@tΚ@G'm\Ĥ[LqƉĖτa Щ[svf?Ԇ)%kna~KMmtv+Oz>ZhTwE I0tLep7"&~D1-]$|@~u6V?}R-2_ǒn2?6.h xCVV@O ;]."`U̧5Ø;ȧQ}I-hUX G twm)*0I+;"._B>jrr'R*lŷ P 6Eۉ4AMz *NЉ?FfZA+pR'b|>%ࠔ7Qb5K?+ψgwPdj8kDT&Yblm7mm?`SDK+w(z=/|ᛞl%,9, -KQ\$?&^t$τ Siah @.pdy$% uPk1|=PވrL7;~Z j&,vFB0ɾ^PpK64v6SWwT}#lVc~b:RCl;|TK08߿'yG#<"=0vj*f,)z}b=oEe41fOmDtQƛ#٩n5lH&^Mv=Zownu6b_vѴ1OL;R4qih쩖`J<3w!CUFZM?Tifc)E`tJ//GxViZMXexpQA]>KK {bs13yp#6lUBdv$6fr vƥ0=#OiLBnэd`z+ 4:=+y6$5?H^ endstream endobj 18572 0 obj << /Annots 18574 0 R /BleedBox [0 0 612 792] /Contents [18586 0 R 18582 0 R 18583 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29458 18584 0 R >> >> /Type /Page >> endobj 18573 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063053-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18574 0 obj [18573 0 R 18575 0 R 18576 0 R 18577 0 R 18578 0 R 18579 0 R 18580 0 R 18581 0 R 18585 0 R] endobj 18575 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 521.5 154.2562 532.5] /Subtype /Link /Type /Annot >> endobj 18576 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 505.3 145.1812 516.2999] /Subtype /Link /Type /Annot >> endobj 18577 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 489.1 149.6802 500.1] /Subtype /Link /Type /Annot >> endobj 18578 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 472.8999 192.4702 483.8999] /Subtype /Link /Type /Annot >> endobj 18579 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 456.6999 169.8542 467.6999] /Subtype /Link /Type /Annot >> endobj 18580 0 obj << /A << /D (unique_613) /S /GoTo >> /Border [0 0 0] /Contents (report_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 440.4999 225.4812 451.4999] /Subtype /Link /Type /Annot >> endobj 18581 0 obj << /A << /D (unique_521) /S /GoTo >> /Border [0 0 0] /Contents (reset_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 424.2999 219.6072 435.2999] /Subtype /Link /Type /Annot >> endobj 18582 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18583 0 obj << /Length 19 >> stream q /Iabc29458 Do Q endstream endobj 18584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29459 20830 0 R /Gabc29460 20835 0 R >> /Font << /Fabc29461 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ1n endstream endobj 18585 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1717) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18586 0 obj << /Filter /FlateDecode /Length 2017 >> stream xYI\Wl`@/|S@OcA@sOm|k(nq#Z|E*HJN[`S/9,}Y.s15dc-ZlҗW'k}m:[%[Ng{ O0v1oc8< |*_9oЗPl69'W<8b9ћZL&XN{hΉtv>I0G!O#3O.R[G3iƩg㭿A)#0˲Zlx7B>%ؐNc AQcx˃<]vF< Q"L;Pb=. MFr846>Uy,U8PL JD?_'mMac:T5>뷟o zO6b; ܃{bח70 8 0v>Y{Lg`3} |ccuoPy )S X|f`Gg߇(ɬ3Ok#ƱaAy8'a #x)^{B 0)] WV,Ⱦx0^D'mW[L /]O\#Q3 knv,Ep wr䀏 u9FF[/ƺ }2?ãY'G4| "A }Ƞ-x72!R|4< Ӡ J@H);ZV2Ƶ`Br֩%8Hg9U@Wk0`"IП|?yZ$ⳠFQ4௑0'.*'ڍΒ+(ސj5sq];%wP),1q=ne;]05n%CsE3gJ*'92Vq-w\Gc0>&#^o(bHzW5wӹyq:|?dT~_ʿza:3'#]I2C +_L)-J\b֐_IS ^!ʼK1lKE#㫎%0ul`PJ.pn 2 *Zd2xҁ]sW#o[+3/z6ޕqted zt>X + s&ᐨ&>۫Alܖ.ĉ4ўe9zW[%qw-үR?WF\FcFM,)jZ6aKXHkD Ք>57mϴm YxwUgؑ u*wM[(9Hi6Ns/R6Rj[zepqo-s*|rMa@/>3__U].`7V&Pc >C2ɋUpCag=bbtkh :J` 6=B-Y;EӔxI4B]@ifC2K܄2yﷲ,+;Jcb=HޕQGL35Y,FOKʆ}`-f=u]71n=d@J+i]0-Sc[,ayi,E 7Q >l8F:9SV_tJXki+>}n 3%<ϋX P຅b|;+_ ??XN> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29477 18593 0 R >> >> /Type /Page >> endobj 18588 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18589 0 obj [18588 0 R 18590 0 R 18594 0 R] endobj 18590 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 384.725 112.8525 395.725] /Subtype /Link /Type /Annot >> endobj 18591 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18592 0 obj << /Length 19 >> stream q /Iabc29477 Do Q endstream endobj 18593 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29478 20830 0 R /Gabc29479 20835 0 R >> /Font << /Fabc29480 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}a, endstream endobj 18594 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1718) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18595 0 obj << /Filter /FlateDecode /Length 5262 >> stream xڭ<Ɏ9w}ExQ 󥳀}Lՠ9=!EJrqRHM$f6 .xIn^VglJ?~W__f7=e!ov]u^Uԭ=$}UUiPLpkW'y7Ͽm KGzθWkJ4?~Uva6}!ڹ̴sq:nIF@ spukj+'^SgmOÅkJ14-l[z|BRKZ=Fty?Fʹ܋/ѧq;t3iòۏ~IĘ TN ~v&}f?`{z&<ղTu㕞AQ_շgO^+RGWJ2PƶyU\%vohK4Cz2`}MOϾө  c]u!TF&t٥Q3l n2lRt{F $Y fA;<4zajL:5Zi\.gQT$vTB#vO/CQת"(IsiK(H}}A6Yz` onq4XQ"ɹGxEٯ#1QW\Ŷ؂ȳ)KK2Êe_e} ed2 ݕ4ВK|dYX嚧>f?½ Ưd5+Q'ܠl< E{ufADDD$uc"?jڎ #3d^c`;ͣa\*S_h[Js>wPDg_u1| dob֘ڴBT7^<ճxeF1~]^*,SțCEH .uM>CM (:8" ƾ Zf^eIjT䘤345zF8ACڌGq{( f-,}:JpQ oU/ZجƢzzC o-x( *']FΥޤ(ͥH1P.n/%AhEl%!0>\I-Y@yM摏ɚ SlBlsEGuTpyؗ.vqAyHrÀޒ5)yzRɦVVub˓J5n]3PkL@eT(gl65g.cvdi qT"VjjX Fjg{VNvJݔ{fDU B5`@r+] RMzpnqiyJ|a\n 6>@]u` ,- UN0PwPSl*KD}%$V,ja@3žjV +a 'j4 2hYhűJ+m bS%(;tE dU_9p uRL`I̙:U,kOW dWu:7Q'5Ti[THHC}>>c\T2kL|V#>5Fg/ 8Ÿ֛Qߏ lYp)ǟRWk"*?=J}- 9 R_K#!2g-3=+L}+Dek{uKMX e2hQHۤha&퓊\1is.mR̩hG'OiXW/}#,` {PUU>FMDحLA,*07X/69RV\K+Q˸iYG#hR!+VA]rmAjXF0 ⧖mTq|Tv$ar,F @$|E>@!U'PsnHDۆrW_b)Z^CY=d*z[Q^SP[{M-0k>׭JF$e^| EPu >sr+IH3|jKW ظ@h0ەdȿ'9*[(EYHV uf'.{YWTGе:Uf.d9GVK|Ӄ%4 ۍ&YVF >1n~ ,ZجFw\iՆEtAd5hO!8O7%or|fG ֖5!W iar1%@z6&m sJVeR>7p)jtW> a'b4kG; r[ZX]22s5Fslm3#5xwn->VGeyq`Om>  NuחuPD8F4QRtMMW $7u[Po3uy?1 F6+i(CB#DFoB7ٲg|q!+ZFBo+jA*,dba]\R=&J]:yClI>sp̛d1 obk|?R枮4eg˒t Ӭ;Uk;3L?.&=<\̹>>b!pͦs1`0JA%r5!a:h\j!gDWNS8F۠@w1k]M(VPZ4>9 ȴ58{V~ qQ35x CW:=jv7 `r4ﰞ?0˿=Ɔ59)iE @|V$%`|ɸU=wSr(61<=F xa$cy ǥ1"E6Jz3Yhrǁtu oSsXl0z?&pY';*q|Ey :h<:.Ț4rZ[KӰlȦZ\ Dݛ0<[9wXc0Ȣκ rst,S8 UQc,֏Tp+ttEn*/yZ/v~cL0,T+S病>; h|;|^~:-S anlК1Y˧UzHc=ۏ)FLa&ǐTMk \Liny^X$Gr^kob5 ߹ċ)fY2Hl+H)_|fwKb>$T3k@)A5-OjoNESfؚW\Q86X(}7~EO7Ƞ.T0!0_m2@]yCnNeI`/nDZ?s˶@Rh>u-! iAV|:M_ǟN QGW LCJ_<_LJ73i9X8O5}[:֬g{Pcr|\2zo`Z+ܸnj g*j*ȓtS>m)?}Ȏ~TPVDbܖ &Go>0A,6'T?۰@ :씎"حtI5vsu|{7Z~yjUe/7a{iÄڝ2(c.씵4@u;%F4` dl-1YaWEDuh)¦Y8"?4AWpD XP2CLma7@)s( &UW,+koO1Z %8cԭQJ^30a. N~C݂>T S1f ,S}_tU԰0$sM^OW}+`?OK|o{ _HD>p'~ <*}9x<$[>i|#<гJ}RZTaA!6S7bh}E'yNL:_ ŗHj8F4y s%1'. A  endstream endobj 18596 0 obj << /Annots [18597 0 R 18601 0 R] /BleedBox [0 0 612 792] /Contents [18602 0 R 18598 0 R 18599 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29496 18600 0 R >> >> /Type /Page >> endobj 18597 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18598 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18599 0 obj << /Length 19 >> stream q /Iabc29496 Do Q endstream endobj 18600 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29497 20830 0 R /Gabc29498 20835 0 R >> /Font << /Fabc29499 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶx endstream endobj 18601 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1719) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18602 0 obj << /Filter /FlateDecode /Length 5094 >> stream x<َ#|6 *6اF3/GR.ՙd`D0.>ru뷥%X2Q~vyetIFGo_ƆM9_OԷh3:N*'cRzX^>u:V{a㴱n1 s46b+@)byg,?@>(8͇TwՔ9h9ȍ; T3v9}Ad3`#MP͎(Z]gEꒈ(֖4By $cA"D@i}NOG"7pjq$3ݔER.a4G+hTPf %V!idŶVgEy[ mE /ybnc! DLShhR1gB*w`iAfzF|[R%DKv:/9Uh a÷Q|q ,G0G3h{աpuTuQxLxvo0:]F7CP+BDQA\oE)TwXI:vNi*;E7(0$h}U5x(O{5@'ibF ^t,?O0!x ܂O'O{ƿC *I,K:~E-=? U@Q]ײ+ PA+-h P}w0,\,&P,d$R@% >MJHJ]0..$ġW*ŵA`9t[#jVlv{*tǵd@i s"9̭ȸ&X@j7X `N=Q LG!'TDQE兄rEhűZr+/`7m%M 2S?dryȣCxǓ;҃2w?mpnzִ)iz0tJĔӪ(k2jo*$@f nBTA!+Jo`M;TZXBAǰ 6O,AX߾9;CJ@ȝsECߔC&VH|,h\םCϛ_U`}"o $ 4N$#B`H;}=t)ⳣ%hƐ)uՌf0ka*#1yiE0*OFfd{4#V'Jtn{ Vz:rQ6 FUGvbI)α5@GK^cDѩPNu(?~0"0'nuIFgg 9_.u1GC#p:k!D DD{Mm >V(L1OG%uœ %D"lFY5 $yp&K1c4R TL@֟gCQM1tO&4l>̝uT=NF`;n9?,oC!E#sի 1(!,Mg gs5g$H|R 5D`ms1A #0un(}NӤ30i_ɍ|IΞIpm4@Sԟ$xSUS^<͸ނql ʵ=IPxܕQMHn% eC~Vd̕^N絀:jqP1{K\TKώ9]:Wɇ;pA_ZLIvM:)wF*dЧ<qleͤ 1]#4H\v}3y<0e~^I@+bE /4H׶J8A22 8&h8udg{ u[^~(^@BLc]6)}Y\qe̾hBSw<ھʘ.CIͼqtU]ʊwk;X꫊]2r\uZ;Ih#\f4-Z>fii+aksOnO^.޳z͎ *[#g&櫀ŋ6J$9(V z59M[wo=$6fW4c)[(YV5uQ<Զ=CM+t+l="u#\lhZ `Ϫklqc;j4/Λk%"3/Hn/gc%Ř _2" ([g_:8̭@9;%~{zdkgY!"7m ~dC\V<429[+.du:i7xO4@_vyk+f9E1ݼ{g} mog@gSDS*Rt(Zm%o#7"dfX93 uT0Σ6ϡ'n0d%c ?S6,]? B[f)*Y0OaM8CCbs0\faՕupRYzJs8BDJgI͑E){gVy&w%6ƍ$ Bl}1AJ~S۵\Z jT5| ]R9{Hf3D6>gnm\6(x)ĝϴSXmvrrW[oYMޞ6x羘^^~~dsW,=$vӒ1˟)v9֟bWiy'(8D@>ooX:$0F$ʪǬ+=zZʭ~@McM zGXSmo;$??zBNve6GR˾2KN/N$t|%x.wb&?KE8[!zk@W9Ώ/mcҒjwW}.q[Ã%.bNc +;]ɓܹBs8&q BOm؟3Ѧ-geqwOfcm3g".{ .! -,5y Z*Ow㙵GYPw)*DEh!'qcbV#ywmRz/L 7Px[P[,tmNkRCY+,^ڢBxBBak<5BVvN,ֵW.XܱWm\:)WqT[ޗe\ ҦDo"䌮f=DAo:99oG6p5]ú|03j乕pWwV]oB_0C (NEu8VDKZVGJIaiajd]YƞKWf4n3s.&(c1' qXFX%7oo?Н juwvu8mȆ*WI5%7~Y6{. |;§>+|ӅVVۙr0 ݆_J5Z3v:+=_7g dBGG""(礃+VJSA> :k_f37:JoR**i)6*Tx%J/&.xH-1j!%Rvn @AYI״oKQtn F!40> "x!%GQwkh{lDq.uqQ2ƫlw0yW08}Kvu~Vs λ5tWS. \%%W_^n mOtOro/;sjɵ9E.VNc6$ڗ;aNkkpTwxzh?=c|_wǓ'A74(pN@?J@HxYw ':$J@P#~g*0X%F'A}yNB:L0 ^uAd8F<4ƣkrtx dF endstream endobj 18603 0 obj << /Annots 18605 0 R /BleedBox [0 0 612 792] /Contents [18614 0 R 18610 0 R 18611 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29515 18612 0 R >> >> /Type /Page >> endobj 18604 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18605 0 obj [18604 0 R 18606 0 R 18607 0 R 18608 0 R 18609 0 R 18613 0 R] endobj 18606 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 561.8 169.4802 572.8] /Subtype /Link /Type /Annot >> endobj 18607 0 obj << /A << /D (unique_639) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_uncertainty) /M (D:20211013063105-08'00') /Rect [104.1732 545.6 208.6347 556.6] /Subtype /Link /Type /Annot >> endobj 18608 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [104.1732 529.4 179.4682 540.4] /Subtype /Link /Type /Annot >> endobj 18609 0 obj << /A << /D (unique_749) /S /GoTo >> /Border [0 0 0] /Contents (set_input_jitter) /M (D:20211013063105-08'00') /Rect [104.1732 513.1999 176.3717 524.1999] /Subtype /Link /Type /Annot >> endobj 18610 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18611 0 obj << /Length 19 >> stream q /Iabc29515 Do Q endstream endobj 18612 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29516 20830 0 R /Gabc29517 20835 0 R >> /Font << /Fabc29518 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)ethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4Gۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7L8F$!īiWm`yݝCR\vhw%‰ph#bwoD endstream endobj 18613 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1720) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18614 0 obj << /Filter /FlateDecode /Length 1996 >> stream xXK6W@ 0жM` `NL ӗ%J-wf&A Y*UՃdgeo:ژU1bq馾ze}ZX}Du{Qq*ޝ }:*`0]Mȁ.x>JI9 ޟɎ粠n?$HjҵdstLbYsY>q 3bgsT^hǘҥg=lRA"ORNc *Xx"=rФ]sZij)JΊCPabpoAt2ص!O4`˕0jeĘYn| omp+`}Rs>w?34= _ y)B~Ȥ cC~eu v*rbl)fd+ڦE f 9UPp'FK#.v"ob d ȝlpvV 5DrYEB2$Nm[tѵfSUtʩ+8 |WG\'z|7/A=eQ?`H^A2C޹\Y2j8Gt!֟3:¾Nt7 #4k(˿gidۊHݕ@;ƠbaC6Dwt%hXxFh] h!?6_1HՐk-d"hM*$^=>;$vAz&z iw [WoV[!.,qӹȦӡЌ@><&_Ӱut/:V7zG,{(tU:7At5ƣf`s%'B3Pau=nV3&#IrI Q6i\]v pZ4Tk>8Ҕ`rmLui T=ȝS oNv|^UMNOu Q[<mXSe;?ιFWh֊脍BcLV;X)WB XԙZAhjMܚM&$N|QNE0U,Lځ%>Aղ+ ig:'F=n60!-r{+F[V=n?@ T/n/Q(>"z NCY0Q37_f ߐ)# _i9L4Qޯ, Q0f#}2枥 Kz[IC@u`F(.d{2Pכ ׅ`hp?OpeyvlwlΚ "$WOQAefRZU 2E8>0$NlA4F> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29534 18622 0 R >> >> /Type /Page >> endobj 18616 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18617 0 obj [18616 0 R 18618 0 R 18619 0 R 18623 0 R] endobj 18618 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 295.225 111.681 306.225] /Subtype /Link /Type /Annot >> endobj 18619 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 295.225 139.8465 306.225] /Subtype /Link /Type /Annot >> endobj 18620 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18621 0 obj << /Length 19 >> stream q /Iabc29534 Do Q endstream endobj 18622 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29535 20830 0 R /Gabc29536 20835 0 R >> /Font << /Fabc29537 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuآ$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&pՑH5Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18624 0 obj << /Filter /FlateDecode /Length 4740 >> stream x\K8W<5| d: ̭g `O=Xd.P}鿿ClK)"mY. WM/>L9w/](.f;Zʓ;y8ASM ސo:o\\ i3#3o}s?)?V>MƘ8O`Mp/O:53$c+I 2dZh }*""?VeI\qvrc*[Wj3S2oV[xxԵĂ ax&g$ư84|9OA~o~S\frlArzf+TyT&GVPt խLk2VVUQﶪ:l+Ӕx̵na!zuNѫݢ^_/E+ΐc6`l*dƘ޿p|Cq$z0^weު̓-91()b W/;BWyىI(b`ʂYs.BQdl&]AIg `\kO$bf Tq ,'5ټ4_0=+>eB_.6dFt3TgA3DC*tE>Htn3Έ s).pBAd_lGMi ԕePup<)B_8zmn=n&lZ٠ k`_VɋmhˇGDoDm?f 1G 5gaCynA^Hp+k701s&ĝ&AޑF)dF^H 7kYph҈'~F7f:p0x pIy"F9DH]ΐkUM'F:#ju}#Z8!|i4rrޏ]h,Q۞D5xd'G[,Lq/=J\.雏#% #A i=( r]]Y1d_<=?7^i\n G KOZEqV̀8 i%F y>»H^hYhת9(,#UP-}LKE3>(d.~5<2ylzPȠ%lg1zC%ճ$DKjjȣ*|-O l0t(w^b0J]F=Rj0J3R{Qa5Qj0juD|P3E"^Ȍ} mT =SRZ)k7|HB|١Ha\R6 mjdD~X0ѹPy:nН:'OCjTMKu{vzgL{:5g~Q7re )KSJ.i}D#8g=o6dA0&gj;b\j|% dm]k97צ#+omʹIg mMqH*a/.~99> n3#}v^*~a$rg8V=i#b9Ga= ͹/4ˎҭ+]r/WNIz%e7g8$;-ޔmHU Hmk'SRFMGЛܗ{Y˔։UGa3}+7{%kkzɛkDz2} MZt0 s3_E M[B GTlR:uuⓦ P }ݠo"JqND3z[t5Ôou҆WrnK_sf`62DTc3`*Tux.4BF֯!RHݶ/HRkCz1>m6g8`u `p5xoVm 8"?XN]T\OT*eA#4z vիVR飻_lڍ#QAӱڐZ)ڠp2H]gOEI>IC5?š7_ݸ"}(q~cxi7x|MFstJ- 8Sp!OKVa3K!+Plg '6<'.ǕaDgrIPd94@$e>4\ie6O'ζ9Wݜ̫5湔xWWBPy(rM3D\*8ya qc(s[:M'V }$GNKɌtap5!6³8!M3!r t11Pʜ "7pX/j?(efqQ$tVH}Wk2гL<5s:1.Sj~n&RqbYS7x%zOpI|GXɦP󬼉T[4tt@.x<>Fn' ^ҞcMJKp8ȣ}6> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29553 18632 0 R >> >> /Type /Page >> endobj 18626 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18627 0 obj [18626 0 R 18628 0 R 18629 0 R 18633 0 R] endobj 18628 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 171.8925 169.8542 182.8925] /Subtype /Link /Type /Annot >> endobj 18629 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 155.6925 225.2172 166.6925] /Subtype /Link /Type /Annot >> endobj 18630 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18631 0 obj << /Length 19 >> stream q /Iabc29553 Do Q endstream endobj 18632 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29554 20830 0 R /Gabc29555 20835 0 R >> /Font << /Fabc29556 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18634 0 obj << /Filter /FlateDecode /Length 3445 >> stream xɎ^_Qgdj_3rs0@OJ .V69e%HE_}Uj?1鋬ϴN&8??=jx RVjzӗ!)uVuz{U:Y򥼻܉ ,jVy >2J{41ԉk4fɿ^I}ZM/ITx P0W境N,<,Vĥ Z4 3O@8NW > ‰#!\d< _F K>D5 LLĞŌs,BT}xLM\PJN @8#sA%{uAO@Av)E, x2*וwҖ!T]*OS[3|eZ|Xx#d/'Qf!3_4/Ї睲;Q2q%3C?u lh`dQ~d@Idh~fY6_Xyt Q;%f#6[#͈y|'E V0o;Z:]bUpGzH[↗hg1V tlHgk~|EǼf=j؞c&2:ee5j%]ۼjr Wj7D7D6c' dQ%TG䶾*Lv*EQKg쪵u/Y|': LVu3Q6Мb#d$9_XrG~=dA7r&3qCTXsp,VgʆUGf!XA@eLDkHEy[*A*?x=W«P#Bs/+A|q#)jQ*slnwc. b y|wt<zoqbG!B4"瀾C`^aPFU 'kr[y_up z<\yzHgDt 7! U ;B -8rKذdf0CS{[C0u6€)ӸxN)Db&_qJ} u`7!/S g-g*)n^dWE57=s(n`-@ cO'V27{`(8FVbT KdQ+[\mre`U΁^-^ۂzøC|\-9]-nq SwZ) Rvr;_Ki#=2ת&63Ic9lsPr=F7EMa0'uʙK=-iD\N SpIZCY}mB*H)=Q%AE ȃ۲XٗY-FVoU>Х\M mde\w[5xnwb sZ$kXvƎx#ǀWMd>kIQ Mwҏ;Wth*bgCfP~(<]c}8*@2o {otۂҡEsqw%6^Z+ĭvkRcO6RwC\j͂n rݪf8GlF3u]Ϯg]-gW{R0HUJh@GBi5|{}l̐Vo#C@ ^l^.*+̺Yc+6\BP<45-ޡ$j,_Z >R @\oS;| p`. !\޹O@Fk ^훣ݦLEir@D_G{(}xEg5_ %/|Xj>QG@-2}O_ቑ9~P5?Xs;M;dH@&\|\ s@7 i[0o "~6z4||kr=яelsl7A=\Z5PdPdsyw` H;yX7*-ɨ9nSW*!/ ϳԀxm^!,Уt>LWcF@G|-^2 pyhI8B[_[O>&ԯaa v0e֮0l&2_?LWn"p@g1 HG-fu5E u i߹e$ьigNGq UX,ϏTX{Ih0]Rɓ QIy_sS7>T1k*FMV#{RW%#5Y+.I h*+'Y3_&a@G|@-:K<.",Ffx}xW:BĵjDKA#L5{%th3QTV'loT?9bLg:=3?6cfX3miD> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29572 18639 0 R >> >> /Type /Page >> endobj 18636 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18637 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18638 0 obj << /Length 19 >> stream q /Iabc29572 Do Q endstream endobj 18639 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29573 20830 0 R /Gabc29574 20835 0 R >> /Font << /Fabc29575 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18641 0 obj << /Filter /FlateDecode /Length 4846 >> stream x<Ɏ#;rw~EPw2Izz\~cU/̔JsC/J2`0v2Of?iӷԙ))?eOL/]M/o?Z4'SHt1-OJZL/"uoF~=j2/Tms\2ҳv%ffoNߦmg$df1xy;&@z$2͢E.⏖hXk|FfŮk\RMLEm7n@5g!*ATklԆ7FP[7pˆ2B^#ݐVHC2];S! 40G:"pbHrZ33W' 8WڝI;*xE 4V:݄WgO\ɘ 7tWB| 6 uBB"%],d+<; uxFnM UQtFQY!ŬU1ˮSI؎,̘(/t#_rcyZKGzn6Y ݚ^d7"G}M:M;l ZXϽƵ|8jG~,qV*{߁lm>ͭI뢋%E?w\Kn[lwBUC<ʁ{r3[/NXQpq;! Ko-xUyXPjP/R(մ)Z-~B]-B~BFF*ԟ B}@:uQ [^ިW*e%x-G5ҏQF~ܚߏ=Pډԇa+Rè< O)OBᵝ,_=i4H}_ppe{vnKJ$NL̶}?tp@.i~ `ÄC;g .߉$01/sKSԾ>6kRGS8DXsJ`!CG^ ! /F1oR87qG뀨&b1܋$VΡq[%Atm>H,w2/}]x)OL>-s \JҚ819ΙJz:[Rs) d͂ %`gx"_&{t2awp~XRݹr0iTk 4BS(`2:Et##O+hvN-zOH">K坪5x/w(@8T`R&U@J tLo$ڕ{Jȶȯ6h#FF$C]^?de֜/cVm0ψvkSJKnLZ[9XǹU/lEfhWc"`m >jZ?õiZ [n<ÑK& yDP8;3E<;D:da(Z7g~eOa%R?Go}A xs 9Dpęf z GQn&آNY6 J% .m|hWH%H]ҜO\K`Yseb͂¥^Zb( -nSI. ;LQCo|YZ@2++&:jA1qmbt@;xmx:_^@4h&߬ GO,z 78b\v ɞ^"JXxnqeO7esH<ȝ*r'2Mߓs(eWK ֘0K?I¤nYiqExm+[1:1NLR `1IYr@QJV?;f9z $l vqXX(jczSl/qͧqUe4XEe#0m!>`d.=ƃGW[ d! k yPͫe`ԑyᘧ.67nmUn UzRJ#}ܻ6.qإtUavƭ! Jq!{'??@iI5HϣD3?*TPma4&G0 IϜ4OL4.&{<VP8Pxd'vr`? o+B[,bS]0(kj-H7Ţ&TdQ!wFiqܓy-t2q' 2 _߲ͫq.įu_9+#wQN_KޏJu=L5jse}EݟB '> &R"ϝ춠V6j/cG+NDHxa~pA!ꃱEl@v](}K1zp#{3\M>PݵR;vN~PPu{I &,~D{ۙ.h7KԩVu8hfэK[276VDY^$FToo`]-?F~:A( A)$ߕquݝ0IUcRjƺ1)s>0?O6] }rsJKZ _f(!Ffѳ>dbjmwrNt˼!$CQ!)2^<0;Ά C*WȠKdЊ@ \`m&}a{js+RqQ>L0Iʇ A/4r-okf $"& lOhLAMnΖa,uoH̠ j"\,tP2@vaxK (eNFqRxs(Vxq6m8c,بU2@֬i0@yR?[qq>QkS*6`Z2C<P\T)s?Jp@WKr!#jG@qfцϊFEcZ!pWy<8V8ajؾ\8^UTݴc(T(Ph\kS|8͇O\rK &*)5kһx*|P]aVu(+ϧ{_rU؂&hV|8^+drĸTYd@2ulM+\aH{ D7[^LU}cs*ZX߯e紸D;K6o%@`d1%KHC|:|[n4]F.7jOwwuSۋ}\3 !:NVwgW $RFB9 cOw$Wb !:t~=&YvJ; 1ܶ݅Wb_KPp>Qyf˲oޱDvWӜ]2BRA ֗[ 䒜r\~HZ\/b,ʑz> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29591 18646 0 R >> >> /Type /Page >> endobj 18643 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18644 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18645 0 obj << /Length 19 >> stream q /Iabc29591 Do Q endstream endobj 18646 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29592 20830 0 R /Gabc29593 20835 0 R >> /Font << /Fabc29594 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7Eth۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lM^ endstream endobj 18647 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1724) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18648 0 obj << /Filter /FlateDecode /Length 3607 >> stream xڭɎίـ@@ˌ CK S[o$%Gl]U]{Uf=+w׹<ӳwsHѿL/oij~M,f~uQ)_f&x{~9(2 puTfy _X-V^A:0x^f/ &C(\WYIE! 0N@x/J7~Oˤ p\cTڝFa8eJD$;;Ĥ;x'ᢹ!.h䎌A^"'H^T}0|nE&B#R{"1\AO$P8qu-;<ʷy9\^5΍ƖItXkTeCgIi0  㷀Ϛ1 D+tn5 (/Ѹj7+eQr~mmXnJ]\;SJCS4"Mdb4M1)wYp FJ @AcѬѤ!]`m(ȷ,@{}g%\L\;Ez$B 4ؘ=ٌAMvT]lqTJgEYֻz^hl8gF*{NWQVcӪ+[(35*_"x]m, W(u3:ûw/G{B8d Je9k8dQ]a[p2q=1a^3ۚȵCE-)a! Y+Y|JS a}\('Air{ᆜ>VA<+$>4c-{EIo,:%Sro1 fBnt+v[2.*]Ɣ## r[.OurJ@eׁ8ds,~֎h<@p!-+?oZM"W̢Pd5$H3 PA"Q:م%{sgy biLge1Ƽ:MjEGa0Xoֽh`_2SZ]Db%]YOhZ9uYfMb_KE0$)Ey*'2!Nz6dUBn崦g*ٗ:V{,!Zok1u5ǹu= r@WhjKOn;jMōx]g_RB *dS]{y>8u2ٛYg'2' VJ܏->YC D =j H7ݳzOִTi.N"H=FQaō-&MKw: ۹㭎"t"wrQ&D*F?㎻ơR&OPӦMn`QHvwkqʊw꾡D42@^"]d#e`[ѭVz`Krl09 mZ;o4y].xjx85vj[Xאvfֿf4W#h(|?P' e5Ju^7N*$} -vp5 Ɗ^VBm4JkV&g7⤘ H{'Mp˾yզtw`vjC^pu;Mkr)] 96B-t$d߯^W ^JO$t H@Y Tzh{Bfs&*f5 F 9Ltnෝ'ٶnuvAtkC:OyZe_Ƹg4CsO`?=t̪|4*kƌuڣMgZquo0t}ٯ攺v;c\?^ď&.8>ܲ!=!2mCE1y(@gu<|V1uqAhXs~Ieg:EJ;5]&\ei_H_ߧfd5_t΃a p.z./p<ۏ߿xɗMG*f#z,//,8@93pղ^=x7jwN4#@Ȧ0kCأ⃖Ӵ|DLF_"]*bB>ᇿn3b1C֧y0q1~?w!C% T-3lD`+@%' 2 \E'7)ϟ>?@<:ڧ\gj3@ 0_Ld~(| -rlx.\m( A6sELrͫΏ?j^B 84c 0'F&1+k*Ҟ5ٹŸLz2u9>P!iɛOޏio]ÝAV 7?PYkK*>^MeJS"ҟo.ZS79gZf_]P`X*@!h}$-t(~"`QSQ ˬx(W8LQٿZPqCFЉ[vK߈Su xሧ Ogdd[ƌ#Le>)_|9!m 2zԍeuXQy@2~dcNY{/K1L,<>dq$8?62ڭnW0C}.m"NNkt}ΥU͹_P )f!olGWH}s21R-wSxsr0 \Z&S~Y#5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29610 18658 0 R >> >> /Type /Page >> endobj 18650 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18651 0 obj [18650 0 R 18652 0 R 18653 0 R 18654 0 R 18655 0 R 18659 0 R] endobj 18652 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20211013063105-08'00') /Rect [104.1732 372.0346 165.8337 383.0346] /Subtype /Link /Type /Annot >> endobj 18653 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 355.8346 159.3712 366.8346] /Subtype /Link /Type /Annot >> endobj 18654 0 obj << /A << /D (unique_674) /S /GoTo >> /Border [0 0 0] /Contents (get_value) /M (D:20211013063105-08'00') /Rect [104.1732 339.6346 150.1257 350.6346] /Subtype /Link /Type /Annot >> endobj 18655 0 obj << /A << /D (unique_693) /S /GoTo >> /Border [0 0 0] /Contents (report_values) /M (D:20211013063105-08'00') /Rect [104.1732 323.4346 169.4802 334.4346] /Subtype /Link /Type /Annot >> endobj 18656 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18657 0 obj << /Length 19 >> stream q /Iabc29610 Do Q endstream endobj 18658 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29611 20830 0 R /Gabc29612 20835 0 R >> /Font << /Fabc29613 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 18659 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1725) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18660 0 obj << /Filter /FlateDecode /Length 2432 >> stream xn#^_Qg]}\ 6@ 0ښ"G0l#4_-o)H#5-Jgƌ ^fC^~IcE5jtp:ZS0[a<<ɑ#5[|1q!G!h}R5ѐ uTp߳ { X,0 dR6azDN!pZ @Q BTM4 $aMk90ϫĻ֙[Qn?w4AY;#m ^w;KEAP:t*a M}+nV C~ZeTq'/nI$NB'?|bO)bܨӝdĊZn&BoBRea_|!g z| }Ob 9BIG(ȓyD=;)mYGyX{*fOe+Y] 4tAѽL&7aicw6@@nu@>K::0J>>\!K(XTpiVmhl0sĩoccB6QT)H}Fw*P<̯$7xѫh6s!ݵ*/+i(ah/] Yr`4z>s"ЬPཇwٲβt3d'Cų w|[TPA{WxF{Ƴ_] #%6#9ߍUYktnKP9X6csXKTrݛF $'TU^zCZ=S>ӣP%lѸ*M`_Z)^ endstream endobj 18661 0 obj << /Annots 18663 0 R /BleedBox [0 0 612 792] /Contents [18670 0 R 18666 0 R 18667 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29629 18668 0 R >> >> /Type /Page >> endobj 18662 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18663 0 obj [18662 0 R 18664 0 R 18665 0 R 18669 0 R] endobj 18664 0 obj << /A << /D (unique_77_Connect_42_simulation_commands) /S /GoTo >> /Border [0 0 0] /Contents (simulation) /M (D:20211013063105-08'00') /Rect [90 234.825 139.797 245.825] /Subtype /Link /Type /Annot >> endobj 18665 0 obj << /A << /D (unique_77_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20211013063105-08'00') /Rect [145.11 234.825 206.347 245.825] /Subtype /Link /Type /Annot >> endobj 18666 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18667 0 obj << /Length 19 >> stream q /Iabc29629 Do Q endstream endobj 18668 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29630 20830 0 R /Gabc29631 20835 0 R >> /Font << /Fabc29632 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1p endstream endobj 18669 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1726) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18670 0 obj << /Filter /FlateDecode /Length 4367 >> stream x\K#7WU4h%i`=&Y,_R^UelcJEQ$?%K_ +NF~~NK䨱J_gIo хhR>|;ʍrU'ڔ']9[)WzuTiٛ_\ӳ"rBo;Q!L#RF6z Z04~?܃ԽܭM^iʳWJm J=|('P*6 yXߟ,w09 }=i~n0èCtn@Ԥ[ 'DQ޼*=+`lF0r?H밍kz3IOS ,YC<0eň}%,47Hip~R=dII"< d˟2,I5dECL5qoC+{AYg2gP a$jɳfwFtU7Xh(PS#H4;V~'UtXiXKZ1 bI;X0j+X.-9H,_oɟn@>L z,8E#gA.'sc:p `8zmྚGw \1 1J}Z%@ <|+v paaAaPFm'bY{'١NF[XT{2;:€1@cÁQ6Fj&.J7ζSEPF[c4!dv~Ơ>-f ~cIo^`wx;\:3K8žy mr$ 8Z"l -j4LDmU+KCünV ZPl*KCDsw#D<Ƌ)U]F \;k 2yx^@ Xi^Z\/P\SGrM5;*W*P\SCm=.v(Tߜ'(aV# #A;|~}(?^dDw ݁D8q 4q: -xmp2Ol;uF{&p na5Q$F0 o*:KU6.zW8 kϾ^y4-"H, ,G˧"{Wz٧Oz>:e[P'f ;ͺOt9N 6hrnycnlvI!uC1?kWdpT3e FȨ7<֭&u!UF%BXf f]ua4#rܟk9B(tt{iWT}sJZoSBg hoǬEU&eW4F& SH pka&0ޥ F=Ev mhD=2o~o*~?LH꺹hʵIw'4w&6__|(h'm6\9TyJ4%!9ӗ|m<1Z\9W}-͂M(6-:s݋(ubEfyb(h1 RMv Rt8Xv*dἲm ~dTT0ag}/1 _sBeAQe ㆩC.]\P;*N4D氀[O- ex@k3,U[XâUՊA,U-54=wF+MZtⶶv@qe塣Iɾ-V_Ǻ ֧p`njFʭpjf ,xkp=W7ٛN0e陗d`/cּ'#^;1+^lYSC1 8+F85]1s8ʛEt85Z^N]hYbU3 N۷W(с%{%{@ Pǀ>u<}O7گnm %AJ\]{lU~HŪ;AJ/)qn͐ZG}KH{!%搒)B*A%..Tb- ?( TbfP~mM[- I `w R`Ovf@2`3Ĕ}j\qB0ߠV0eE@(kܲ%^cLI8` x!̝ rWSzqt^zNĥ݌nk{Rw_oo:0>ք2dIyJfn$H#n0[+-umipBZQjO҉tr|™[x;^IҜ~<:m7;dhľeNRnCMCuGp%L9}uG\s.6m;%.Hɂ:tG}F4l0*Ƴug,r|)'ҥɏ[' d;s cSyY?V9ڱz6FBBˉX-ܸ^Ds6Su麗K SGt4@$)PHLОowۂՊg a%yT3̍(C */FPܢDhԘ,l%q!-,&*a)S!C)8U>3;-&{СePcl:z壽Ӯ_狴COrT_h]5̏j-!\М_|*Qwm'`.a2ÍZaAGtg XQ O"m-C+hݘH}Zʹs|O&V LN7DʧkrrtY8 0Y͙=.YMjWEH#{+'>Ӊi|VO.9=-z"*'|U/<)!&o#T4}xΩst-bihz٦N{>ZR=ILsnt=C9.?ǔah?֞gQN!W\A?-d9R, endstream endobj 18671 0 obj << /Annots 18673 0 R /BleedBox [0 0 612 792] /Contents [18679 0 R 18675 0 R 18676 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29648 18677 0 R >> >> /Type /Page >> endobj 18672 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18673 0 obj [18672 0 R 18674 0 R 18678 0 R] endobj 18674 0 obj << /A << /D (unique_35) /S /GoTo >> /Border [0 0 0] /Contents (compile_simlib) /M (D:20211013063105-08'00') /Rect [104.1732 79.3884 174.7162 90.3884] /Subtype /Link /Type /Annot >> endobj 18675 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18676 0 obj << /Length 19 >> stream q /Iabc29648 Do Q endstream endobj 18677 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29649 20830 0 R /Gabc29650 20835 0 R >> /Font << /Fabc29651 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7% ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18679 0 obj << /Filter /FlateDecode /Length 3605 >> stream xˊcuz޺PPEU'=!T79u}==ICqٺ%Y*o)[鋬ό ^.iqxz/uQij9EIka/|ynggk4֪ťߟE#~Z ^OZxzf ;mu>^ *ֈ=B /ǞOfd> oL۽$h)Łr(= _eU|<|g02.9Z#\bYp$g!vBi%́Ĉ3UVVhkbN)cekn0GC.iETbUJ) p"*jKPzv7ѳZXH5 ɺLv@csbJNhC MY2( Sw&UVl[\6y-):+7UgUЧNj;1RU*"UVzj]d[MT/ck{kKŬ$m>r~UfY@e}50wjBrJ!r' 3 ԫ9N"7x(ڪhw&[e<(kOydqK˧Qn7R,ۋ+EY /!Wn\ܱFKU3nڻ$ &=TNj}T:5夜6Y+5lN~&zj|S)M N4PO2CpjIzPL L!1-@hGVl׼z>)F"B]owħmmxfAJ A0":M3J3J4.nfaTwbd̮n3?G5g1j{q|b3^lZ;izF=dkO4%6IvT:l8C@lٴJJ?aS+@$Ra80xMҖ%8qw/7E;[z VMeCgiQo tE !۹zo!25fa=SҬN[M-]d0{lseݵ#}^{2MEn'XsY螭uUbn$@x=~sρiu>|* %CMH@H-ms).rIF[Ux_n@+߶Y+6e yT:)ߐ^Ɯ\O6"~+]R%1k9Ǫv<jlV;W,ʃjfn E-NI%<Db{y>|>H%@S$>3x ?ȟF/ ՚Uj,1ok+<xU9\֕&䌖?"'v,Mٱq;}kҗkῖuM pN6oP\mvY`󠂋 @txEWMv tmƂV DN"HUIQ L&L`C! + Y` C-tP8Qae&A.c.17c>kȗYz]\zBEXGtwmx֎@O7W;zqw0c`TtJr~YkC Co?L{: _?LQn"+ p@g1_?<mgOES2! ; \.$ьgi3%egOfy~]r}?tmQIJ7yR#G%%ɖYST1jꚶ ؒ&,iȒ兔P ?&5E!>̔&bUMC (cGDqgRax}PfZ,c*Dܫ}[hhSSB~yK2\i8%7wc'eN R\8oΰt6~"7}fq1Þ% V'FY8riںvd) &iק2xorcrIpm^U$h &?6Sy!؅T(3FJ4he-_uqI*@Ĩh6&ĵ+o#A `8ö( {>RR]lJyT<`I8 x8y4H7.M:\563X,,2YCGxW> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29667 18687 0 R >> >> /Type /Page >> endobj 18681 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18682 0 obj [18681 0 R 18683 0 R 18684 0 R 18688 0 R] endobj 18683 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 144.0317 709.9] /Subtype /Link /Type /Annot >> endobj 18684 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 138.1357 693.7] /Subtype /Link /Type /Annot >> endobj 18685 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18686 0 obj << /Length 19 >> stream q /Iabc29667 Do Q endstream endobj 18687 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29668 20830 0 R /Gabc29669 20835 0 R >> /Font << /Fabc29670 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫jЎYzrq" UC.$.p' JdAb2\Q₅1Ls4(&4'*\)ɜf.PkP=(%NtD'W9FF-I U4sm.\gy49xkEŐLD}%l)l,1aSi ܟBd#UO\05cDA ^Xb>!%$]@QXy Ph'-:A/}g endstream endobj 18688 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1728) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18689 0 obj << /Filter /FlateDecode /Length 1174 >> stream xڭWMk47 W\n=X6o)B՗g8/F8{O|+8Bg(0tv]WSG|8on?w󯬋x>:*_pwcBAS#W]@b1rM/rq8N޻ܗ#.YE{ . g`K8Qx?6 %q g_P0?6TisqzאZs;&TY\@l?jUam xWլ5oH솲^y.DcmQWf[T3Vmli^ցpD O xijLrTu 7 j2:EGpJxf(߱ Ůaҥ9G+ֲ/Ѥns쩗µM=*M8weI-LeZ4(m!ց!Bbao5溞հ^EX(}rL]gȝicW֬M76 >w &ysħ|ƑHD{49(l]9ڄDghA3a;G9|be-1LY}r>{0酥Mk}Ic !0÷׃J=!.N5"xS ZNbhDNclN I3 C*.?_+ endstream endobj 18690 0 obj << /Annots 18692 0 R /BleedBox [0 0 612 792] /Contents [18698 0 R 18694 0 R 18695 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29686 18696 0 R >> >> /Type /Page >> endobj 18691 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18692 0 obj [18691 0 R 18693 0 R 18697 0 R] endobj 18693 0 obj << /A << /D (unique_77_Connect_42_partition_commands) /S /GoTo >> /Border [0 0 0] /Contents (Partition) /M (D:20211013063105-08'00') /Rect [90 330.525 131.8275 341.525] /Subtype /Link /Type /Annot >> endobj 18694 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18695 0 obj << /Length 19 >> stream q /Iabc29686 Do Q endstream endobj 18696 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29687 20830 0 R /Gabc29688 20835 0 R >> /Font << /Fabc29689 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7eUthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;bbG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}b endstream endobj 18697 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1729) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18698 0 obj << /Filter /FlateDecode /Length 5138 >> stream xڵ\͏%)Wy7*)_f3)a2G60>*՝0Ϙ}QNx ^},N-.QaLOq~[zVoQc`}0OR:e9i w6;]3_D{QՕ;GwW[);n Qyү?x}<6?ߖo{ 褗In]-\eo~4jy?f,w^Ư8dm KkAQ*`\CC-?+E=_f1lpkB$e(NB{dٶSɗanAKͨ<|\puĻ +E`G8@a}p4"/0-.֭.I26=vJkH8$wH% Gq7&1^ڍ;Itlʮ*DLu**Hj lw7Qj:%): /Lm&^`=UɁ:nbC+t gu /ކvD,ioY~Ey -{u "e%? ya^^%S~HfWy9BaL/`gjmO'/߁?_V6R5*Hu KaU+l58P~Evg)wMk{*PʍqrF\zgawi4ET6HހyD|^ nSny}M88 cרupJBmb'ٳީIWk^5m&$z|C.ӀEbD 9U+^ur>j+NshZemhӓUB wYem YR|(75 FAA~- H/>- q?V<- LU%ߠ''%5 VeqC4=ʙI [ma4NX8I;q@5˝MTE0ocר-* wOnů섧kNp`.}!$ C$ސc'ΖȳHeUZrR_r 5 MS-Jb cyl{ F#_V`S57e۩ ݨ:E"Y`G־j4lectBjQّIBSFп&kJΙ3fwB.?ѼyfQ͈^XfUf㰞|c[m's !}*yOb"+V n(7c92#+8HR9 wCwoF ~/: 9U h"|wFaͱږQ?~{fV-5ߠin֨*/LfǼ򊙻 E@Vaa¦+jiqasj9,Lȃ~`mOuz9IoUܟlkIpY⯊P 4oj|5)xwGTʵ=~]9}GЧLwaZ8#J(}Z@"w7D>-갃DK.kNe H~ߡR!a ~d ɟvc1+,=.M+%/TH +̑H{jyP(gh2V ɕv,[ zQVT)Ξkfkhe`Vf"L‱ꈁş_ , TpvJQp8tmJ%v8U5`DFXOb~52< ʽ^r\1Y`M[\ ddfXa=w &نֲURƋitUW6: Ni*H骂Ij'qpjbi-8N't SBrV'#>:NXTnI4Lz(vK;ĺ/a¤a81"mv"Ri2VOuM]; N~aN`vZ~tvIfg'N#ILN N?ivL?gva}O,MK^C@),lP/0 $G&z?HW%yJblσ'8UGRkoCbbzC[t뺕$:k-)QCCPX50C(E7v Te;@fYrDp~>1oJ[ul4F|dAK!ah:o}}`oq+L))c厔1}K'UZޕ^|.OFY1%i2`ql$!GOО@,&"XoSYgP)䜹N/'ci8Rbhk|4^TUa!WfreT\= -3 n ެn80ƍ,@܏>OFќ1S&p̎͠Vry4P7]~Hɢ4`vDI8"e !ud(V);N:l[ʴk}O 5rtS @iMT\%E̅yb0a)rY ?ғ3RNB3rZ4$k e^ `)s;'Ff)K]2 HgYxx.xj*tFc?7<`qҦK,KBr\m4Lqi;'v0:2!d&LQdZ|8 }M ǻ 2wz~%scjp5ۉ;/_P[V*c00Q̓Z7V}wsII&͜NA[~bW[|~ OE?7~`8tg^ a{]YS ~>lATs;7,9TXĵ,.!K {ҊO`=~JKh53 2yx`Ls\kFctkk8\K Ű<X?--,# F!]r^c(xY}czwOŌfaI6x~y"?10!sQa*N?M~!ףj.ZWt8!.lBV |w^¿e'̪-ƛǜ{7_&?o0;h A3:876nzNlĴ~jz2sI=*Kc5^]Cn?1Vb&*_&T{$)gcZl*jiGQł2#z٤%"ť5uEYY3I5R<̯oxΜ':[ !P^w/2y)v_F͟]sR$mJ/f asi}*#f\Wt\. 6ڲmkHĭ0NƠ"=XLGbP׀ray_qrnoC߄rl1K.cs|sNc^/?|n%3,?v`T8Ӵ{29w ( *w(I\bZ]ٸЗ5qfff$~_&YFiQ,_y N&r@],0*   rҫ 8Pi!v۶$1,rX#}kU`Kތ4Kb6R \8O1<5sq"62sS %o)w*偊Tl)Qϩ-I"]}ĽD0gu<95_dZdH֔:[RugXTAۦ$=K&i( ȒoY^FKs 5l#\F5LMvZDjcyaD_?;K4c>n<R lf6L;~ ;d-20KAj9K_̫ <֖Y.!>" X҉O:Bn%Bm_&7,]H> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29705 18710 0 R >> >> /Type /Page >> endobj 18700 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18701 0 obj [18700 0 R 18702 0 R 18703 0 R 18704 0 R 18705 0 R 18706 0 R 18707 0 R 18711 0 R] endobj 18702 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [104.1732 268.45 201.6332 279.45] /Subtype /Link /Type /Annot >> endobj 18703 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 252.25 218.5952 263.25] /Subtype /Link /Type /Annot >> endobj 18704 0 obj << /A << /D (unique_459) /S /GoTo >> /Border [0 0 0] /Contents (create_reconfig_module) /M (D:20211013063105-08'00') /Rect [104.1732 236.05 219.3267 247.05] /Subtype /Link /Type /Annot >> endobj 18705 0 obj << /A << /D (unique_461) /S /GoTo >> /Border [0 0 0] /Contents (current_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 219.85 223.5452 230.85] /Subtype /Link /Type /Annot >> endobj 18706 0 obj << /A << /D (unique_503) /S /GoTo >> /Border [0 0 0] /Contents (delete_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 203.65 223.3857 214.65] /Subtype /Link /Type /Annot >> endobj 18707 0 obj << /A << /D (unique_485) /S /GoTo >> /Border [0 0 0] /Contents (get_pr_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 187.45 208.6732 198.45] /Subtype /Link /Type /Annot >> endobj 18708 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18709 0 obj << /Length 19 >> stream q /Iabc29705 Do Q endstream endobj 18710 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29706 20830 0 R /Gabc29707 20835 0 R >> /Font << /Fabc29708 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Z'}/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶKf endstream endobj 18711 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1730) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18712 0 obj << /Filter /FlateDecode /Length 3263 >> stream xɊ$y e $ U)̩c ݗ}Ēu&;B7EoH xIʧٞiwq~yu,uQi*||8jm!d{~jO7Wk4֪RLGm",к\~j} z]!tMvc c{-\8e-̄pUDQۓgYH $| ģ.^(F@^O&d>,KL 4 ?N{t[K@hVSv5UMKH<$1mk+EB."HibB\Qfub:!a:YN;d0;|+>Ӆ"UKVr!sS(' B!`*r`7#/5fc|ñ݊D'~ 8wgͬH41UAwǡ9y+z Zqk-FnzzNO?rd#̵N%8l#WfG+^-@ Xs9bы#|a+'c-g*%7ߥqAdbm\0.ߙu{LC2f63u/_kI_XoE;o`*ƓBGNFYIG^3Tr՛y~\a <8ĬrQmTEŊLUܮb˰ae0BC+ +oо-ݳL`FfFL.7k霥y55NeWCy.J=[-?)61R77MM4P㯨.Ru]0ʛևC5Rs^5 ^1x]LVdE517[ifJl`b{:6v p2RMἝؤH  z¦%H&uC7ݛ ;T뺹A:> y_ޓZV 8=c":\Z 65 ߾,;jҴ"S>M6]*{QNM+=RlR(quNTnPf32g@]/ax3\j^j툡[ط7%e#dwߏSHӢm4J:zp֥Kq[kWWKNͮpJZ8^۩:GL*T =\(b甶S~N]05ݚY.W\u3ca`o2htUZ? >2;e@,nh2@&`?OkVi8zYn0zNPqt(zwTZܹB#SJӠ6J֝ћ\2٢[8 }!3ۼ:S- P2RxM1#/K2=WS v4ȴR~λlD棝#^x31ͻaKa`fʺ3:v\PZq0ߥPFe^3ɯ/xb"Iir89CpJMR(K;<Cxf>9(=ӷ>koҖ' =_!8HKKf-/]hx4R\sb$Ul:T2QƉ/+&gȠ, 3g.dȞ1bCA (Ql6p \l1|@^@UWl*7a{hAY;mF >U<1ա# c['qmȰ5axO^ *_Œ .EӅ8 pXHgj. ^s6b\.y, n$ьgi31)rd*lV@+@Y'V=JTvI&OjBIiROdOSRST1kꚶ ؓ&,iȒ_AO^ԪOОX`tP@,,oE@N|'`G(o*ã:*[h<4WیhTSSB~yth`.4x"NFwp)W1S(Pzqi\]u#cxkؘW!o [4[Y~O χybhr;h&-:xrcrIp}Tv$h$&?6S:y!]BjHԙHR}Y)þmuYﰮ!s*LBmDW?ϾC=A``ZYfNOaR#ϵ10u6//\n~Ych?Gs/\͉t"^gҐÃ@f`^< ~R; >?\wOȟ v_{[tAۉp dfPE G pG$_y\.N};_@鑍SJ82Q[eG4m. endstream endobj 18713 0 obj << /Annots 18715 0 R /BleedBox [0 0 612 792] /Contents [18721 0 R 18717 0 R 18718 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29724 18719 0 R >> >> /Type /Page >> endobj 18714 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18715 0 obj [18714 0 R 18716 0 R 18720 0 R] endobj 18716 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 422.025 145.297 433.025] /Subtype /Link /Type /Annot >> endobj 18717 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18718 0 obj << /Length 19 >> stream q /Iabc29724 Do Q endstream endobj 18719 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29725 20830 0 R /Gabc29726 20835 0 R >> /Font << /Fabc29727 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`SЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lMQ endstream endobj 18720 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1731) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18721 0 obj << /Filter /FlateDecode /Length 5343 >> stream xڵ @E:# yuD.ާ5h[A&B; ,^V᧗n෦tQ㶵z3ը }2u*{ÜV{r m1#0i+x_-UBI g.Bm)*{$.'z`Hp[]ݖcލ26!E FA&{$u; M\tm(HeـaV4D~ۅ-=&$_|[ׁpTd+`.x}$.>.s@@%_yG(V[9mL4.bMq,|c჎K*Fm{1\ɧҙv5D6EF|Zx̏"v0gE`7;v^ۅ%?߹;u71KɦˠA^gr9_!)!ؘ`ˋn.y2[3N?pѣgNւ5vWU  t "y+@/'n5h,GClCm^e P诽 3;Y^@ HY] 8xJKf85PjxxPG48f<*\XARW^sWRi%BmTFj8I AKX4Ϥ\\XR!*TlLFwiO;zVcxvkk7zKAit kPf+)9.ʍբ8ܰ0 k4|zy̖tur˪YtLGEe0E]\P($9i@o>вB߷5f J652Y梋]/*v>:w\ո1)MRYsI9s;@d&G%beXk]7Hmvg,kMDZnAkY $ص@*ͤx&XF.h(?d, bc {] 3@}q5+,+'ut T۴JR5pQ|Nivjvjf?R b^TjԢivSd* 抮']LrR ?+?R @rW R'#\߫t]^^iiu2:-NFId^&Nf'ٹHNP~F̴:}:Qƺ?Nq,Z~lE2/PW{>S)/g5S#Fqi$`92֟HyL <~Jy:_ WS=eiz]UY8Dg) c&IUPُ0%G$-JscN݃S_(?m)n A,Qb=:=9ʫ//^#IMx0G1uKT﯏Y>VF?kAE Z732> {%oosGjƠ0ePlj dKDI d/ -݋DO0fJwu iqiP`Q2 AdYM%\M3*V 0{(tX݊ w]85RfaLIԴ8+NUmDm(z4\a3Z_ӟ's _W8\R#BDwv& j; @bJke^a5e Le0>Y,iba\r[CjGBǷ69,ʩoaYdGUߊhT˳2WRR+׶+Wb 6Xa  >MqkbŌ\#p=d!B,0"ava˰y^qŒ #Ȑ`O f\T .5nBq/D<^ٖ0iB P+Ra[EyF+> `Vc*m,5)O6964X !C\=-p{`e.w("_ @Lx7'ܔuғS`vMvɜ`fJRUmukd84:R'ؽlVHR"ώY&B销"!U&a0EWDR dMGXH0 uuM8I65oLAkuLXHSՀgl0ZdT$, %%8d`-D򍲋0 nwuv 6M Vl6VZ.? 0}xcX,LУhP[XmF!T jTaEP 5'"]*u .$. Cq@P 㚓+wc[nO2Cwԝ" $rEZnuBmtae6N j7P lpqhPL2/yR BP)ȋʊrVъCZ3ޕ@-%LE ?(+.s-zl m8|[\2L+?уxny)iz> Ny9pǐN%~͙W"~a>{b8h%z4*[o󲃥S^xA0ʝl[ dj{yp91Yֵkdůtg+(zҢ'54Z |QꊩWy3 )%1 AE]Z85DZ#0vfqR:w wVڲEP#0㉏5 x..[I"'|51fذTAbno}H/ ($nB}P\dJ–щeH=0K%ј9A kJev 0*A`=G|F{Tr7V SQ·CC7l{=ٓBAn͑V#"T71po].Ǫ2mŎatGdȷxR%qSmx}|b:a;+ (+(WV`n^?JN3?uڤ!ƶH\rP̭ʪl76Tsb~k Vȿn5W)1F6w5MI+6]I]7?#T6YbM-t*{6]ZӌKum65fJG-b/tbNrr/qb<˷bZM/qB{>?q ԉ`Կ&Qc;G/ Mvk|i 鍧*IguwmYZ1CQ7o \5ǖBo)ߌh NtBn]i'17/\7{@[Yy-uWayû=5 aD_$sw,}HbDJ98$JKOlŖߘAMT@9pRlՑNL&bł26|ɯQ\NRnQgH Gb 8 Z+߮(apU2DL SKh b)+ۋ+j:|aNþv~o0T}j .SQ^orp|}bz? A ߍ#|BdPӍkKj endstream endobj 18722 0 obj << /Annots 18724 0 R /BleedBox [0 0 612 792] /Contents [18735 0 R 18731 0 R 18732 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29743 18733 0 R >> >> /Type /Page >> endobj 18723 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18724 0 obj [18723 0 R 18725 0 R 18726 0 R 18727 0 R 18728 0 R 18729 0 R 18730 0 R 18734 0 R] endobj 18725 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20211013063105-08'00') /Rect [104.1732 464.1077 149.6637 475.1077] /Subtype /Link /Type /Annot >> endobj 18726 0 obj << /A << /D (unique_745) /S /GoTo >> /Border [0 0 0] /Contents (all_rams) /M (D:20211013063105-08'00') /Rect [104.1732 447.9076 143.0747 458.9076] /Subtype /Link /Type /Annot >> endobj 18727 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 431.7076 145.4837 442.7076] /Subtype /Link /Type /Annot >> endobj 18728 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 415.5076 145.1812 426.5076] /Subtype /Link /Type /Annot >> endobj 18729 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 399.3076 144.2737 410.3076] /Subtype /Link /Type /Annot >> endobj 18730 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 383.1076 149.6802 394.1076] /Subtype /Link /Type /Annot >> endobj 18731 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18732 0 obj << /Length 19 >> stream q /Iabc29743 Do Q endstream endobj 18733 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29744 20830 0 R /Gabc29745 20835 0 R >> /Font << /Fabc29746 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18735 0 obj << /Filter /FlateDecode /Length 2312 >> stream xڽYIo}")999 r2?["%Y0bjyVE8cGҼ1gR4ѐO׋Ü3O_ֽ!l2O_`cX{=y0ON^"KmnYo=x0. tPdk3,s3gY֝d-͗a{Ypr0)#}{>ơk@m,q7Aߒ.(4ڲ0< F̪=ŽqN- u_1(\xF7Ksmc:+C4[TzުZ胹EmNrZ4]ڮ `\ WޘY b Gt#FSKpYnu0Ag**hJ!deNHf խV8hw/Y8g}Ľ#@9sɃ+y@ b!C *9oaQ̊_ >V# ~R7|\,^N.$,l5iխס/}k[.BAǞlos +O$h"HC nA,5턒Kwek]!z!7oQ_BE8_Z\!@S?3oRmhmvNVc0C2WslSL(gE44y^4}3i89zXJHsk>xCcGcb>Q1g+W͈6#m&WKK #oƛ=#_q>iۻ?ZywkiLCvJ!LqV.K(^2eruQ9\EǮ9AчJ#DqYZ7w+q jݧ`"F_I(nX]UNW.gCt]j[Q_ր57hOf.h7<;z}7D{2揚gwn~G4:9 hb._c.MWi?"cSm7Ε%d^}iR##ޑ4F3~T7HxQv؜9-фtQGuw+*Ĕ{]DoV)=4  ǭUP1=.o^ێT7GDoL'֥u pOsp3J r,gOӲ".pW]DWqGIzR%yvtb`&u`癬$%ؘ2BMBJgIP1 o=#*&P7%8P!Mb{+C) Q%./<ý 0`Mo2\I\|R_Qa|U`@!֐<Ԝ 3ThCiYY26o[+RI8n@ԹL `M%aɳjwsAJBW7Cqtg݂zb躠C#[,snGkUv}zU-{wq^W0kD*!"``^`!ع98O+99/ހBp8Al%nJd%2me#v~Ed'Uqu Z̪ϲtȪBdPD٬`S+G+]HD;eCcNd%¾MyOgvX;&ՒRf3k,3vAWC:4=VyglU^ -.+p#Ǯu Nb3K(yVW|1P(~efbGS6btx^蹻슐JZL3ѷUBEJj΄ -է )j\iHUħ_R rݤGB:[\^:tE~w Ԫ̧,9qIKo1.>M>Lc~{b +1)E1=]kO.Zڴם4zr S'oMB/'#; Q3{,ܙ%ؚ*$IG񮎱5I9bhjF*+${zX~ğdiXC)~RB'5B!Wqϡ@?tT endstream endobj 18736 0 obj << /Annots 18738 0 R /BleedBox [0 0 612 792] /Contents [18744 0 R 18740 0 R 18741 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29762 18742 0 R >> >> /Type /Page >> endobj 18737 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18738 0 obj [18737 0 R 18739 0 R 18743 0 R] endobj 18739 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 342.025 145.297 353.025] /Subtype /Link /Type /Annot >> endobj 18740 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18741 0 obj << /Length 19 >> stream q /Iabc29762 Do Q endstream endobj 18742 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29763 20830 0 R /Gabc29764 20835 0 R >> /Font << /Fabc29765 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18744 0 obj << /Filter /FlateDecode /Length 4754 >> stream x\Ko$9W<@Dz`p ̭g a .W<2#miәR((GQw~o2i&5V)qz\&i|Nc|]A)`N3:k(;6bWԅRwn/;1&|+ jsKjTVF^*if!KұRұng$<岈 #"% P~VʞpVSꃧrje.'(| i(胄'Vf#_!au"S1j_QGm5LbL"ÎQ:A;=Z@轱6nw="UP}^yr?(=eMRjДUA%g)P+Uu.N/2)m(/{g Hڣ(+  IF@LтJ{&kFK|YtَS!>G{GzPBMfX4Wit m>3XEK4}8=^.I%aʶ/я+Oh.± m iFJc֑F q:tJ0pPhCc%?_!`|)1 )&ZCT0 =faW# ;X=]d_qH>bzJSByBÈ>f\ˮbXhp &ՉڪV0eݰU9c\Ql*KCFUqZQl*`ZgDTo-@XݮBDŽ. ]8.tyPaBMqwlæPjJ0F|}HAMj Toc݁`5`,z3dAX-` A$5B]0bj 0DV'.mxjwf7"3%D3ċQoT249tu:kµn26:9^\N歍'MÅNLI3Zm*ZS=* ),,H:J( p]v5'R_ӱ̺bd8!5c*%NIR]VLJԫE9iitz BTvH 5Nd]Z@  <ރࣁ{*W qඳ\}teDrNn`z4RuΘ"~ti$7%|c9ذ!d zvn3;/k`nV`Vܩuľ̚m~F/K?_En3!эqFq69g[y+VGqZ|O-|7 ?|e)$h[ږveLޡ);w ~?<|񗓊nDKSQ>T#Tjv +L =2X̿S;XH$,Sg,bJՖDm1dR>F u4@ѰyĵBŦI@̨v-R05CTWywQXoTP0ԇ4QgWJ\#ҨL߹]_*wt4D9h̥`&?;;1"h2o k&#^X\GKXg]՛(ť~Ts scJ Kv0[|je6T ~4gK[,ܨ64U\9:n R\rIa'1r2ސ1#}SȕXrw,؄"iљDS -6[d;Y:j<Tdm+EM>jwK;O6oV&6U/L+c%=C ,+TP@[m+jSUL}):M ^B/`n8l40 ,f R׈ANG 'aqnfY#p3;DpKS'GI,_Z©'43S2[pjz4hW-f8mU H '0oW&]T85dZ i' ONb+I,xxO'O@=8D#icbFBj! /`d+@f~ %uJbQ`8ۻz8}8bHl݃-[亝HtzE6 zfR[yBy|ЯɆ/\/@hJ;ahukxm^(o~Ѥa >({;7ʵ\lW]ƾB ;y֩B"Mp>ހX:A12N 3m NuawtO(4m5:ߔC*݄Ob8e?6.FmGtP=e(?;[-zr\4$j ;nw;yJcohG!)5Qz&_ѻߥCԪ+ӌ?|S6mrA]npl 4*&ͽ8"4 &R[ DBC' 2X's~w *2O8tْK?z6`k*UMIh gsk"ț5cX?϶eu\hzxO0>q!eEU-p(s,%D Es5隚&K?\N|<pCCBGǔ~#G 3-X,WhtK).SaK1%8dtl$S %=Υ7. *fe)qTFW+(@g4̵'F/.1=-:&ޣj|w-"ULN4Y) W ooK6Ԣ(<d$ oEo<8Rud+Arm4U.cփ..|cl'[1oԝF˥-#aB8ǀ; &ߥSO%1Vkor;=p?cOp( @:u§N0kN/8Cn$l{8; Gv!29Ka!@g4WGϓXiyR{ bttrO~{o>JK-gfӖ =<"*X̸ (/3PD5Y0X$x rX9BS]oW0 4g@g9L(VcD=%h~=nr |d=z>ѣAUzy,(I endstream endobj 18745 0 obj << /Annots 18747 0 R /BleedBox [0 0 612 792] /Contents [18753 0 R 18749 0 R 18750 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29781 18751 0 R >> >> /Type /Page >> endobj 18746 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18747 0 obj [18746 0 R 18748 0 R 18752 0 R] endobj 18748 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 83.7654 184.2532 94.7654] /Subtype /Link /Type /Annot >> endobj 18749 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18750 0 obj << /Length 19 >> stream q /Iabc29781 Do Q endstream endobj 18751 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29782 20830 0 R /Gabc29783 20835 0 R >> /Font << /Fabc29784 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18753 0 obj << /Filter /FlateDecode /Length 3681 >> stream x[Ɏ#Wl@i (I]6F>>c/K.RQ2 FH]b篪>3*x >ߦWƨ/Sg2z19^^N* {5p%iz 5ZMkRLGm"|P.Z?}^ݝqG4Q(ʜ^ț  &{RK13\Wq>ylN >1RqA_ X@ʝ@4vZ۹EfC!A4ssܑq'Z%;KVH~/$3"aQ+sቡhQF.{jl=1-fC&vL<3\W wki>LBMMk5B߆H$9{"DBrgZ'ٸ{K= MABTWq}'o0:8! ctf D]uL# I !8֑j{@i Y.Q*,^xTy| 0i ߼Mi-=V4q4 Dֺ6Y$* X>l,kB"vHu۲A QCDX: ֪ir]m\ d}+cif̩~, )LG%nD!gNMv9ělW"PcV( F(_[$n@j+7DK.b͵+|ep9:@r 0%yKo'y=fT iMk<(k3WGeOGs"pU-(5\]9:nR/$)!IyH'6ESc'f4$+~@ %\Ktw#i^6AGÄ3,[SqDDh7-ϴ]CۥG"2(ⵛInY/եeiygY 8(JM%mzHDt[֐^ i]5W \~-lKlO<(LI"f!BH hA+y GP僿m Pll!>mCD2N\=2R 9"(-0Uc8MaRRz)n\`aTw_(lC]=|5S n%$5iGdk//2&˖y=CkDVVklٓk(15BwTgޚ[{_pQl{\b*?aSH4-db('OHۮaMwװ~"^GOtƎkv]6لj(IFuożjMQf?a^NM@ llv\ζ:mM>}f ,=zlU=}w=*SGpԣB[hgmՅsC>[*oVi[z&VN+ײs2Wb|emtlU@ZAbF9\B^ ݒ%qAfV&cŋ>LkV EC57.1ԞߪC7uP%_O)$ohy2Gmqq?~z&~qšBmhQWi̼VnH: P=nhWaVis>Lșu9QR63\%)OocTQlk1nmAV9焨0' ڋ`hoE᚜4Azn,.n0姗w?ѧ7y~D_˟ԯxbON[pЏ[d9;c\EY XDMS u#S&@k "YF.L6O[mѭDN@):nbuo>b5 ۬Eh.5U.X]1#VXjתq4 EEoB!K6e姱Xgu[hgmH>R%A=/Nv8:s*yʕ%W\Dԁ EraJ1ӾJKgǡ Id9-ػ*;œ9$P'r %oG000a>Ì%Vz*%Q;[wSq*C[c!\›hmeNnso=8&ڤ*23Dh;/a2*$HLi:=ќմuV)\Ľ٬է5+N4ĭS/K &;_89;ꋧ=RVZm1t\ϙbwBE.:* hNۜ$O8t`Ixh\NzI6zBPOTThR~"oRql^@ HC4r}Ȏu-u^팁sΆ!PĎ_-T4RHzUi `^0]Iq}с7DΠiH9$*ںMܤYCO[ÜOk^z}+u&-Q|>MfkGuvPpWLӭI>.Tϡk;A~2| 2mcOMvg; "L r'j;H:ʂiל9̴`zA{<}>D30?. k4Djm^?^7,_&V 7نec˥Emgf" `b[ xmzZB$jܠ&?b[f(B ûؕTlRsw:ee Ƥ1焻g/_|=cG33rL͕8۶u1qyR:)TRl2d̞OEq/SuAxL'-mRZL lxkܽFg' y>?_D̟qW{˓t'Ah skl7z'pTAQ䠙j;@.%YxjtE|S|.D5p8ME(QφXae'gO=ԫ4<> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29800 18763 0 R >> >> /Type /Page >> endobj 18755 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18756 0 obj [18755 0 R 18757 0 R 18758 0 R 18759 0 R 18760 0 R 18764 0 R] endobj 18757 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 145.4837 709.9] /Subtype /Link /Type /Annot >> endobj 18758 0 obj << /A << /D (unique_478) /S /GoTo >> /Border [0 0 0] /Contents (get_nets) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 145.1812 693.7] /Subtype /Link /Type /Annot >> endobj 18759 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 144.2737 677.5] /Subtype /Link /Type /Annot >> endobj 18760 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 149.6802 661.3] /Subtype /Link /Type /Annot >> endobj 18761 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18762 0 obj << /Length 19 >> stream q /Iabc29800 Do Q endstream endobj 18763 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29801 20830 0 R /Gabc29802 20835 0 R >> /Font << /Fabc29803 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMoA +.؞J= P"!TqHJ Ŀdziw){Y2zY8lo0<ݏ } |;Me0O]]n6 gx*RIE\1%9;ߠh` j*"$TT Mw1)WJIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(>U]Ѿ 5Ժ@AC5ypf Rwm-־0ZeӡM\w>@lIHB_%e23ϋ-YG'Fx|1$ɾF{xyۯs"r,̡dXx<20́S@8cK WgbNFzqHB>!K\|5t{ eBq١1 gC)T0ڢߎЫm߽뻿1r endstream endobj 18764 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1735) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18765 0 obj << /Filter /FlateDecode /Length 1202 >> stream xڭWKk$7W9ҫ3= 9xCHԭxYJRW+@M<:/@ɰf޾mk=b[)p~p`޾B> Qrt(>'Hgx\1FT2" "ѱ;1xICݷ|aJ`רt-|Eu4ܩ[x3_ /;XNiJ&镞=SD6Ұ|X8σ8aoKTlu'i\/{TPzL^WM窕yײ3R?5Rn}(9?[6f!YT ^껸Q;'tTy)cʖ}sRݾRGT+oXZQ$w I''.`l)ՂxyAwAЕmXYMr G}.`QT53lJ)1͢ pꈬk]h2384DHmŨi N6gQ2Bgr"{w^kwԓC$O<P='c-R_=bDF㑞>Sm v:X hHx3^>3ˉ*%O5r>l'!O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29819 18772 0 R >> >> /Type /Page >> endobj 18767 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18768 0 obj [18767 0 R 18769 0 R 18773 0 R] endobj 18769 0 obj << /A << /D (unique_77_Connect_42_pinplanning_commands) /S /GoTo >> /Border [0 0 0] /Contents (PinPlanning) /M (D:20211013063105-08'00') /Rect [90 437.225 146.6555 448.225] /Subtype /Link /Type /Annot >> endobj 18770 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18771 0 obj << /Length 19 >> stream q /Iabc29819 Do Q endstream endobj 18772 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29820 20830 0 R /Gabc29821 20835 0 R >> /Font << /Fabc29822 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7)|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶fEŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}7 endstream endobj 18773 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1736) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18774 0 obj << /Filter /FlateDecode /Length 4124 >> stream xn$ίfhF;|[G@OA ؽ^|H#bXdWm]aVǠW COs?W}XrH;cbg׻pnw4+;Yמ~mw0i|aq8~OOO+qpYGkIj#o}%Y/22i1ƕcYUkI'm >1^8~>'쒬O$L>g {L)#"|`#CQgš#13Rl,IEJ@&,@*9 F'XHK44{ Xh\<6gl#Ad!t9,!ʒCx)Z4#4HilBK %ܯkk5mIF )-Xc]J53oh| Fz~4EI{47 m]^,l͠mz- hؔ>ٴQ%jhE1̋MrFo b%"nC&5ߓQNklF)yIMbWMxZmebP_^ZgbLTՁ#,AB:K~;ڭʷ.@N CN ꒛ңgK K[́2.yp &Bip=y#2tW .K$V + XBT }*b_XSiJ{de$DbG:(ckkzCEA}C9錍G 0Ǒp:~̊6/qA@S*sPLu|' lgrnhnwƆE:Dyl>mZj]*Dk7[U뮒aڬ`B Mч[dKƒ*y͔/]S<3@kђFs MG5VFrV?_^y0%`[п13du+ȝe,cPudi*晰RG}L5&m|%#4؁ȕr5q6߄&žU7Glof# Fy@Jv;6PM~G'7jTpc@zPW/n}`N՜> ,7'wr aSSUL}+:(cq q -ܞ[-up[ -܎[TnA fl4+򄱙$}e999pW+yea<}w-Ndmɉ4wNnNnNGIuW[7 cwus>q!'0ٍmn42ͳK" $Q_/mu܊)R?j-zFG˃!Z1DcCYOdjY7ބVU?0%/eBKi/DGlBvszU:mgFp!gc([xi soi"35Kq"SDDAV"Gfֆ>Ł%bjon湆y5bkhVl]#7Q \~-ml&g\OIMeG//#]Iy@\M/ZK=qpp\Jఐʤ;Eo-0=jtMLi)NSX%LIJ-y=1#k.\;;_<F[ۢghb께];*E[7[V6++garj&ͼDWDZ,gLpR2l iOWvG>H c_5,9o_n⽐fr{\<!DVw)1m$PB;o^Ji)}mI+*9 a^Pon/5Ÿ+~yswIh+DWxc?eΤdѴr%$LR[yųk Y@U ƋkLkyB~WZl2yEICR^~2`>Mv0 i(HEu\][ב )Bι\|b^m`{wԙ۲;=Tq87"ӊq.CAX3enz2NKc{ vĪ>1UdxS q(җP 낵N{Cx{AGՏpB>]N\8R Qx ~r_po3`X el=}Yi&"3'}"g܈/p=¯^^Ng}Q7>2*se@eBD|h?WK0zֿiZhr l_Cu ߥLQ^ѣAޗx'GQ3$0W endstream endobj 18775 0 obj << /Annots 18777 0 R /BleedBox [0 0 612 792] /Contents [18785 0 R 18781 0 R 18782 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29838 18783 0 R >> >> /Type /Page >> endobj 18776 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18777 0 obj [18776 0 R 18778 0 R 18779 0 R 18780 0 R 18784 0 R] endobj 18778 0 obj << /A << /D (unique_509) /S /GoTo >> /Border [0 0 0] /Contents (make_diff_pair_ports) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 204.4272 686.7] /Subtype /Link /Type /Annot >> endobj 18779 0 obj << /A << /D (unique_507) /S /GoTo >> /Border [0 0 0] /Contents (create_port) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 159.6022 670.5] /Subtype /Link /Type /Annot >> endobj 18780 0 obj << /A << /D (unique_506) /S /GoTo >> /Border [0 0 0] /Contents (create_interface) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 181.9322 654.3] /Subtype /Link /Type /Annot >> endobj 18781 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18782 0 obj << /Length 19 >> stream q /Iabc29838 Do Q endstream endobj 18783 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29839 20830 0 R /Gabc29840 20835 0 R >> /Font << /Fabc29841 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ>v endstream endobj 18784 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1737) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18785 0 obj << /Filter /FlateDecode /Length 1270 >> stream xڭWKk$7W9Z`֡Ȼ[>7//Rn|7bm],.ۘ)fqq0/;I#9X\+],6]W`6R0;pq6Mx^Ѷ N^o(;"\!g~m 6U3͇x^[I5fgc=Ă43[~ i3e= k[3.fl~|EMW#ez.R޲4snUW*jJm|]'_;"W&O"S;4񇧠8른6$E/~'sܫ ^I{Տ&nv6VTeOf5JMdHus"dH[s4&ܻt瑍?>xgTq2q`f93+_Tx3VS68|3K+9Ohk_q$3ҚQ|Ú]!HGYvϚ{toZZ'3$4tB#W4oh>aS11|:N.o4,:m0C endstream endobj 18786 0 obj << /Annots 18788 0 R /BleedBox [0 0 612 792] /Contents [18795 0 R 18791 0 R 18792 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29857 18793 0 R >> >> /Type /Page >> endobj 18787 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063054-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18788 0 obj [18787 0 R 18789 0 R 18790 0 R 18794 0 R] endobj 18789 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 467.625 145.297 478.625] /Subtype /Link /Type /Annot >> endobj 18790 0 obj << /A << /D (unique_226) /S /GoTo >> /Border [0 0 0] /Contents (stop_gui) /M (D:20211013063105-08'00') /Rect [104.1732 164.4097 144.7962 175.4097] /Subtype /Link /Type /Annot >> endobj 18791 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18792 0 obj << /Length 19 >> stream q /Iabc29857 Do Q endstream endobj 18793 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29858 20830 0 R /Gabc29859 20835 0 R >> /Font << /Fabc29860 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iVSl?7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18795 0 obj << /Filter /FlateDecode /Length 3124 >> stream xڵZIo賁7{(A .je%JpD͛ꮪzw[݃}l{9?cۧҘJƹ\n)8z)їQOgƼ1S>b=OLu .E/meor>V܃ߋl0;_hטټop!࡞x=˳ V0$CKL[)!Bv`}ļuٓtHjڧ(۞[Iu?icZjb?~JA)uQ׾H}Rsk~>}&/>>~ѹ{;[yLkK;.:_B'-lf>@NA(%}Dݥ~qsX)͵7]zպOsvGkǎӋ߶=Hf’>s-*.4wuoZMŻ=''6Ix^]܉Y-tm|{-stud&p8ȑ WJ%-o2vtm-$-D(,Wk/5i[9EFn/]Y:~|O*Jd*.zkeMG0yDE8KK}} :l8N:PCiV#`XQ4 LDPXTݫ~6萔QK0Hxk$<$axYK;ݡ3 yS tF4>ny -ilaFT8d.:`]M뙡epa1r#--7D%6/GFҘ2zkGwy}ꥶ$NWuDC#j0͗ӱ[TRja heW{alnWgtyMg%ŀ]gm!{>4Y,9~xk2mpn~ʲqe ̘ wt^Y8<8^b>H&*rJITӎ{|axk(% FB:ꐫ;&ط5$H^v0荜ލv1& *T?3H#\KД@⴫,&*R11niu,|b,X磧Sˎ~%o%=wgzŨܣQK[U^wOL8uj>.κqN/!ͽ㾘]ys M[DGX6u`{|*UB :݈"3ӝ bcv$DqGSk>^p>m5Pu:*ӗղ_wmd77" bXrȀY`kр۠p\]iR)'t=17a]Nq|Iwk"WlI'βsq5FQ _~`ۇn.۴UτX{}0oIۊ|o0pUS"|jb 3񋍙@%.]t{[lWEK\ 'D;G1OK#5[VAZAȵCYƚ5%hQTq!r"Lm10wFwDn'R+"-*j#I2Q{dʢ楁$ybK@vnA¥[GF$NyI}3+x,n*uvWaw{^ &Piui'Teq44#hR'sF>|:TK'Ue:eYE͑U5`p9+̏@;-Urh]rlF@FKv֞kjj K5^OO3i1`z$5k7Ox/e%p+ t@ VmV^##4K5mc;2K'jA)O"݉NJǔzٕ{룶NK/3*%̍,);Fێ6#-EBT3GXN_sul;R^[29Pbg+C3acДLˢ|4>)4Mvm%EMimP rXJDLqY˾RzwM]2VG0 t}s0z7Տ)T|]Yg=#Z՟5KпM(K^DqҙҨuԿwxԹ+!/e\0Gf pb;#Ii{Qr+J~ C,`. endstream endobj 18796 0 obj << /Annots [18797 0 R 18801 0 R] /BleedBox [0 0 612 792] /Contents [18802 0 R 18798 0 R 18799 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29876 18800 0 R >> >> /Type /Page >> endobj 18797 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18798 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18799 0 obj << /Length 19 >> stream q /Iabc29876 Do Q endstream endobj 18800 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29877 20830 0 R /Gabc29878 20835 0 R >> /Font << /Fabc29879 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7iQthǬ_{9;u SJr\1%9wr @L$V-T0EH(.$c"KS¯"ɕL jE3D,ƵaPdD/Ͼ=jֈvr=C)0J8 }GY] QB3Wuœ}"juZՇj<4hڴ[쥭}-UaoKdOM\w>@lIHB_%e:3ϳ-nYGFx|1$ɾFxy /s"r,̡dXx<30́s@`K 7bNFzqHB>W!K\|5tweBq١1 gC9T0ڢ_Ћm߽? endstream endobj 18801 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1739) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18802 0 obj << /Filter /FlateDecode /Length 4656 >> stream xڵ|Lny~]IjKƶhP<@F!R]^}1rpX- q ֔h?C`VYZb浺iKYBْuзnOp |>(cr1GxxÝ"/Hg&wNjH|=>aI:j"o#'"Q:/#$$@]Hh_ w`fX= 1wÅcFVf&r/ [0t85=,Xo햍C '7!?Hj"H> O"aF0Y;0U'W]_DTi;Yf_ ٞH Ӡ1VZ Ε}O\IX*+JU"RGt&׈@.GR5P4U;x!nrV[sPh@) fc ^O_` x\\~-1 Ӏuѳ)`Fc @K2<G;l Մ D- $ksț"4)n9!3Eh2q,,*L;Q8,mOdS}Tlaߍӗ-_A:;SA:k\鿻CSSW\ F=4xT (1=~,>b@W=OfaeoMfr ,*Qh`V&ɉI{4OG]\#TMCt%Oڭ2<8J*-?Rޘ+lb%]H _t>@I/p0ks_ap|tIPi>]Ipl$RP˄3[;bL0*(ol{(+o,ȯ}B7L4n.MH|h,zom\jCjU녯ujo]gD`JV,G;3E2$,b^(hZ5E3cay v_-jo*=]訆8]Kgګ4<0 zK~W>'5@O*Y|gTgYlzyЩ:cr-P [$-V$3zl}/#މX=V#̦Ф1v־4k稛f7uM^ Fi@Jr;6H>Rʍ;v2M,b}+{m5;ms=- ;'ut aS;h7tQ-ffhZ#Z,j0jQ5 dcn]#Rg 61Ue #'#W tWv&ȃ:)!wNH^hOS ndd8\:J^~NޫR|1T kL|V#>5PMώ_϶CzQn-6MP"F(cC_]Y8/ fj2m-˂vN89\;SCADugB@{J=A3LN2Xqvn5vk;}5fGyTaʭňN2n*-ʹ5hh]3FN~HĬ_]JYzpNoKyY1KRsôOj ǜX̷djbX1])E8X0/wO^3QUmp 9{" Yd@rƗՎ |LfajcΛHyc.X˹&Yo_ 3һ-[I⑳U"5jB\LaDSzsvL=BijP<"(&ry4|NpqW26T feۑTWܒ[aS]쬥岆*9_tl%qbMHp ~)vAc|q$>C9]`:h~s=H}e02o]ǗA7Fi6iFwVj06z. '.؂trU]1 E8" b#-[ ?>(K$zjjS-W:6Wb \8WhGО`=a2)5kTIaʚ3l~{bh{0d_洯IuEr9Q'֦&pۄ.j_Ւ1f\>J⯦Cd&>^}I5X1nGJͼqjєvx(U#c~_F8C/[w~fҭ6 9#*Cg8C MؤڱԢmҩblUV51)@V?T>}G`Zb=RQ+Lj@5 ^.4w\5Y2u:~~k&o!|7Jȅu@,{n5Ef=lef#Um}_E^eh7:{]⅐_F5 X0KƜ\,T_!p'm<r001jb+;Dຌ0S-XKX+ FRx00&6mq[J%LƘQ5axٳч$ Oc(8Ul2#:^RƗ6.?iMu<9A(8~sG%2{gG;6t93!ht9^8Hg(ɗy17<_AG'k<*8laT=C<裿^MR&%E5 N> 9^>\@G_w3+ ,;5}Ȉ4uOBpd&[vp.K endstream endobj 18803 0 obj << /Annots 18805 0 R /BleedBox [0 0 612 792] /Contents [18813 0 R 18809 0 R 18810 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29895 18811 0 R >> >> /Type /Page >> endobj 18804 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18805 0 obj [18804 0 R 18806 0 R 18807 0 R 18808 0 R 18812 0 R] endobj 18806 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 150.7362 608.2] /Subtype /Link /Type /Annot >> endobj 18807 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 581 150.4282 592] /Subtype /Link /Type /Annot >> endobj 18808 0 obj << /A << /D (unique_24) /S /GoTo >> /Border [0 0 0] /Contents (stop_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 147.1612 575.8] /Subtype /Link /Type /Annot >> endobj 18809 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18810 0 obj << /Length 19 >> stream q /Iabc29895 Do Q endstream endobj 18811 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29896 20830 0 R /Gabc29897 20835 0 R >> /Font << /Fabc29898 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7>1W^NT/ jc(Jrt~ƣ1H[&#`6P\PS)4ECID_E+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fgѯ'&DS3xi*J9ߵiK[ZNߖj?ɞӡ'<ʡ|72ْԑȅ5J.\[-: ufg[XLZ{//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}h endstream endobj 18812 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1740) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18813 0 obj << /Filter /FlateDecode /Length 1536 >> stream xڭXn7+x64k `A0 `]yUE2% -͒)'=ƜNQWGCY?E>?.KC6Owjm:X#Z'Cy*(>ѿ mš~i1O6;]1V?`чS4!b,-^ В}Iҧ1~w\ӟGR3e- Xdׄ`" \ x.-VwZbX*t#wKnxܽM;Ya }%X3bW1(lQa mY}ִ59} XL$Џ'3(pρvK9 VΕ mQ{}~ƈa 'Oq6A=lGX bSpLEYq>a4|,0f*M(~eE+zD]5vQW/"e<ZZ,1J~(bZKlD?Dfbэ^O2筻ˉˠJSViknw;^*i./IFL٤+է >Y7l.)I굴z=K7QϢMײDȸ3XG[|ac5rk<.1su WV] Xz9T?{51uc}}=ԋ&9$: 5.~P-!a# 瞍?=O}/N/NCqY3nf(hUs734شCq;JrA9yv&9#F8h=kIKkRdO-|E=tʢZF_4G|)i-Sr-Z귗e!̡= endstream endobj 18814 0 obj << /Annots 18816 0 R /BleedBox [0 0 612 792] /Contents [18822 0 R 18818 0 R 18819 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29914 18820 0 R >> >> /Type /Page >> endobj 18815 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18816 0 obj [18815 0 R 18817 0 R 18821 0 R] endobj 18817 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 384.725 145.297 395.725] /Subtype /Link /Type /Annot >> endobj 18818 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18819 0 obj << /Length 19 >> stream q /Iabc29914 Do Q endstream endobj 18820 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29915 20830 0 R /Gabc29916 20835 0 R >> /Font << /Fabc29917 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoXl endstream endobj 18821 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1741) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18822 0 obj << /Filter /FlateDecode /Length 5098 >> stream xnί9S/au@AN%ݗM{~vO%RdXU[-fT:dU}M]k]_-_ߖhrR}Յ᭒wom{d.\ey.Kо?{k?-,z%+jg\5%?).0+-_xn1]\6%/I:u H[ p-VvXoapșۥ B.,=Ծ-59vo͈p=64uJQ:jAIVPj~ȬhH8 8r뿅k-,F5-X6q럖/" 4K3LY8O]~GY~Lgfl-??׀?ܟo`$gzIT4 l3#1r D~@y^])EuYz ]"mƐ"/d^3l3+U"8&=E#E` Io@PH e<#; i5 k5]k\z^ۺQ/kA*?ש?q'"PTUQ+aVqvF>b <ǻ岚&_:Ր[\bjyB֮$0aQDTA[+sL 'OΞ WPl[CSQpAR%"z(e{{^PgEp F>Q]ۈ!r$͖)F>CI #Т^T\mY5GcU2͎QVF_IDP(]fW3SKf'SL9QA4RX] ~'ٸFo[p!ȐÚӶ'-`ѤD 3_],-SؔfWɋB)Mh&日Kb§EԖStVe uR]kh.Tn GP00sc! w +9eXIŔ9m,XD7Ab¤EF0^3fS*O{gg )@&Ȇ,ԣG5fpRvۨ {ӸU /h_j' EGfل 8 Kgb1-2!]ב$x&O !vn׌&Ie)qapvmڏ=ޑ} X[봹4hs@Z hwAyy գm'`qJWnhk-Ƒs1QjjUFnl@Гm7ġOЌff5=I;e [CC^tmǀ"[E9F `R W >gniBN3&clTSs J%:N Ϩw96cgŎFLT&L$QnQ9MLqxtPwfDVeZxJ1°Ju-` ut2z^==utBF]SX-Y,(9&?gfjTi]|'4ќovկqNk m9Va ԖGٲmZl~|"'=DgXdg[v9="yn$#wSmˁ3[0a3.u`q2NErO!p*tӎèOk f[hx()C7= ;ƫ0@cHFnyc 0|بrG-5%sȗSF}6|@#pN#bi 2%m|`*TV:09(awgjC>kM=cPVjrOOw\?"6!s| +qwV:Щ 7i6\1_|>!/@[wumYYX G*0a?8- TpWS)qё(r\)QeP_@K`.&3+ZsP#mcr֘񷦙ADXte3}<4.~~łloyQIH|i!ԨcqF ĕfcM8&38:ÌrAqT}BwO}TxJr0 i. j55eé'v1NN"!?xr園~^^]V <$N*3F 2'AKv_ ΅ҫxyv J22^R & a|KBn)[;\Ee*i(ù<8^drĸTYd;@2 l]ZaHax 5G>enO?Ѡ)_-}6qNŕ\rxN G?5!Zya̟#ϙm9_˻ ӱR}8U,K>0R(S_I3d~; p=ϸ-pJly!k6 p txT)m[ΐI]n][p`:.H2Hp:Ng/ׯ O#ĝAJ0]~i\ 7Bpd;=W ,96=r endstream endobj 18823 0 obj << /Annots 18825 0 R /BleedBox [0 0 612 792] /Contents [18833 0 R 18829 0 R 18830 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29933 18831 0 R >> >> /Type /Page >> endobj 18824 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18825 0 obj [18824 0 R 18826 0 R 18827 0 R 18828 0 R 18832 0 R] endobj 18826 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (endgroup) /M (D:20211013063105-08'00') /Rect [104.1732 366.3461 150.3127 377.3461] /Subtype /Link /Type /Annot >> endobj 18827 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (redo) /M (D:20211013063105-08'00') /Rect [104.1732 350.1461 126.1567 361.1461] /Subtype /Link /Type /Annot >> endobj 18828 0 obj << /A << /D (unique_227) /S /GoTo >> /Border [0 0 0] /Contents (undo) /M (D:20211013063105-08'00') /Rect [104.1732 333.9461 128.8407 344.9461] /Subtype /Link /Type /Annot >> endobj 18829 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18830 0 obj << /Length 19 >> stream q /Iabc29933 Do Q endstream endobj 18831 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29934 20830 0 R /Gabc29935 20835 0 R >> /Font << /Fabc29936 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫fжYzr"eYHUC.$G7\]Nx41r$bE0EP,3MwPtJQD]IeJW3W( kJ1r&H-'Cf'f5?@uQF䴫3^(Z(P;bL2R)G?+QO T>JGܱY ^v4Lm)1m:ɓ#LD̵RLsV7Ӭ&o#x#/ d_ ECC 0yW!ӘV;!JϡmтGŶ^ endstream endobj 18832 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1742) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18833 0 obj << /Filter /FlateDecode /Length 2681 >> stream xn69@q/@Z\srLg0t_y)JV!6dU2[N=6gU X2qΪ^1^Yeol*Jk99_ _uM~2?{X G˲$˪+\~=Æ7>p]ń`=LXC)Z;D SÄKg9\< g;Q +z: Ny |0J$2Tx 4w3S_ !bA6o`xW.\w6XMM.05D"1H1i"R3 Ɔ \CRe.#̸Ga=KP0J-DIi^D +hyLS(7%kl(߶їP׉prcx~缮56(^e 4&dA1q2*Ы8X_,4 [Pa 1SS^*Âw<# &5#QZFp^3Ĺ=ӖpΕDAĩUR+NWC.KևUb~VN=~3*+БY =S`rvIqo!**ǚ-@ĺLE{lrlB-h.Ŭv** f"̇fYWO4_@#h>ľP "66\[&5y|l┷omj۲;]kL&BwC$Da4uF{!A:-iMR‰IM.k-{sF-xwÛ ز٪dl>l:q!zKԣzd᮵]=hᯱ{n[N jl/)(݁׏-PVǕ*wAT&g(z؃,ߗbL#x0vqua\݀ib0i%T}hA|N49?Nh Ovs:RCۭё,]ʾFd ,%XNtl} n7C gaX28xߴ(ɑz<-%d[A-e%ʹ z.h?6en ifz=3h6yf#hpMVf'3 z4Rڲ0lY괴T#,u-u[ WliIw'4/+d vmN2 XY<!2LP>;yUP45mN28rT^ȶ1YFaXڈM"%L'oLӦ߉;?327 ͹%,È<13b=xCF!2($('BG>/mw31 .f%΃7aGdgVbWFXg/8KӜ 7JO#| L YE?+ bV/JJdK[APźk'2Ecbぃx\S/F@_25D~xlLgBc}Oڳ4Dc!ݥ(וtvx{a!sĸ9Ctz OW \~+˳c&c&!Vg0 \;@ zo{̂EЭ߈~!|@uY9BW!ӹ:Vc3$؎G,EWӎoхD(G4us`g0z#"pT.Wx.0t?M. endstream endobj 18834 0 obj << /Annots [18835 0 R 18839 0 R] /BleedBox [0 0 612 792] /Contents [18840 0 R 18836 0 R 18837 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29952 18838 0 R >> >> /Type /Page >> endobj 18835 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18836 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18837 0 obj << /Length 19 >> stream q /Iabc29952 Do Q endstream endobj 18838 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29953 20830 0 R /Gabc29954 20835 0 R >> /Font << /Fabc29955 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛep endstream endobj 18839 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1743) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18840 0 obj << /Filter /FlateDecode /Length 3813 >> stream xڭˎί&X,1r|cnOهlHnɪbIm?'|/Y. :?A;䐲O/_wtO[o>O?OO aB'u4Xyx?A,i-,[욬'7YaC”{mg}\uq]xs﵇?û3ECra =1 GcJ2!s1 @f"7)]l cqD;C˽ʲ.YGBN9,yEI aAL`-k)I[cmod= H) eA\f˺`uK(28ןO_QPzJ3^F| 5F9WfɉPd‚X'Br 0ؘ؁ -ء w )Jp&5Uƪ (`,"ł l,j( /osic˲:S}TlKm騳P@?T@ h^qָ֨ŭ%bקC2G8!Г9 -te]lcshUМm@bIGJ떖wJF-(wuw,H*X -:fݳuXud /zeV6# )KIGnUq=к1-wKd %{Ĵl }rV誑gq- ۖSx ar"@n so"]t_2pK*YAҿ-aDZ/0i)p^(R/J7BCO{hPS/H2Ba1:-kn6 ]|b H1 HK84cK wqh ?G{K\Aϙm7`RFH8{*V&4I AlgInhnaCVFzFM0OЛԯMJmHQjYͭ6kϜP/N_^yP&Qa[ݑmt}[LdiqdβSu`Ǧi*}r%Ry ֙O˙8%!E|@HR5#a6ۄ&=g:G-8͆D6 Y@Jz;6Hnhy(;CGu{uks6l'Y^owNP)jcp3Z$QӴat}{9x:y` :I|t)N''xPT0ۻQ|)x?~cMHǚG9 merމ;J{Y 3H0(6.9 D:}$OqŸֵ25N0a#{!PLrœO(* Xjl0IVea bm7`A!b@3G~$+'rXJ(x}.Qvbݘ}x`Z^:5xag`9 :]B0Wit򊨈a EҠ~ fkQ2c`.{2bk5pw룲>[%^a{;^`3;`&1](؜/Bva:ҍnQ%?f< ?7> ={<֡wOIڹY8~f恼,I\݀#LSQG (6]HP&ǶʁbއE xh8x`0C&tr3w9^U"Yj Go n=\%tW_1;"pmvwrHvdFx5!k.V@f;Aƒ.3 B [p [h׍2g q&ի>!H&] 1[`BdOlfS/z]i7L &)Ě2M( 6@Rb3Ɲw (81pA_8N2 ,VDCjkĝ 28rVpR>u@mR(=CM~LSH57. k]NXed!̮( ^BrtVV#[ cۧIIw!]F晷6e+8[:avLMTKm\$1 T&Q^ttp]wM;ro-sY#ELo%JFrM>Up<6xp#xq=&6z]dLJ^6GѮtپ'Nk<Ħ/oXke-vy;2Wg[ݶCь[rv_w׋ ٣+#4)Kn8:¾3zX$L\T7jM<{/R *]_{^+u,T,.'V~"GI/WJXrmB"x2U@f|6-6G ~v%@]QSc<b Vۜ2MRk`gb|/ Oc;axUր5G~y5\5RdYe.7.  WЊ@G:k6pq>?\)|> Gv*יZ݄z>@Rx 3Ź(3E؊DuZ!ޜ 27=rk%c]m#miS Ű!1xՆ sRUxf/Yf4ns.6(ݘ!+@Nn azK,eX0 "j_S=jz ue)qn *'ې];O|חT}]@e"B,5TWgT+$kR/ge&^g\й52B(`LKyA+Y|Cc'TXf#SLI`R—,-^fb`gTbb^8Az:>!-vneu}evo":BTCS֚ )02}lܛ!e)  9΍&7Y .TV\FB{T9(sg^1p~k ?LUq_Xl^FWEg)$ 0نXo,^῍m &M`AAr1]܊]-NC1C @[ QhBP2Qc\xu(#00 6P:tʁ`5#>+ܬ<ï>"*j ,C ok @F۞ $>aYxzTX:1" "^ q7W/׃>Oօp(cps`̏Fkpawv ԃ5-u endstream endobj 18841 0 obj << /Annots 18843 0 R /BleedBox [0 0 612 792] /Contents [18850 0 R 18846 0 R 18847 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29971 18848 0 R >> >> /Type /Page >> endobj 18842 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18843 0 obj [18842 0 R 18844 0 R 18845 0 R 18849 0 R] endobj 18844 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20211013063105-08'00') /Rect [104.1732 572.9 120.4477 583.9] /Subtype /Link /Type /Annot >> endobj 18845 0 obj << /A << /D (unique_700) /S /GoTo >> /Border [0 0 0] /Contents (stop) /M (D:20211013063105-08'00') /Rect [104.1732 556.6999 125.2547 567.6999] /Subtype /Link /Type /Annot >> endobj 18846 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18847 0 obj << /Length 19 >> stream q /Iabc29971 Do Q endstream endobj 18848 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29972 20830 0 R /Gabc29973 20835 0 R >> /Font << /Fabc29974 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwok endstream endobj 18849 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1744) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18850 0 obj << /Filter /FlateDecode /Length 1734 >> stream xڭXI\7Wxӆr9M2'+U-'6y#TV-?i-OԔӋcN!~TGsy X~|WkZ|3>7b(xoj(?o$M ]`>Xb?花S>A$ǃ"zcyxr%|c-頼  Ȃ7LmyxT5-&GMem_?$uvj"}֯*Yl١[śTtTNWx6aùg{rËho H2=\Ii3S>̃1 3gc3=Dc݌cWI-~aj$ԍR(9] .&1GUr ɏ1Ƈ'+mTͺI/\I&2NoN0jyl(qM8&>6#xZ@DUOj0k)jHbMNcXZ0xWŒ)6ͷHl=m\VhZv8&EaEMb2 Lt dxb`mpW˛/5[-NyuntW_:kL{ yAihx3&tݕz!ڨ+&CIc:^yH{:]C zfsR{p7-/rr":~V;L|M,)jF˨;/ņ[=FcBG5z=*k!6]:ڑuMS֒i z]dq;r+S>STkVmh+}r2ӠrB1mU3KQ򛥼wGyiK#2'G > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc29990 18855 0 R >> >> /Type /Page >> endobj 18852 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18853 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18854 0 obj << /Length 19 >> stream q /Iabc29990 Do Q endstream endobj 18855 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc29991 20830 0 R /Gabc29992 20835 0 R >> /Font << /Fabc29993 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18857 0 obj << /Filter /FlateDecode /Length 3697 >> stream xڭn%ί9@ =# I \S~'ٙRjB\@ pmwC=ޯ2`I[il ߡ%Qrҗ] ۞Μh̷67|ABWڌ0GZ4# 2u6%cʚxQy:+ZBH!?@ʹ5v6ֿMA=),讙t[KI%Q,5uhPzJO'tBFv|y?=Ra.ԥb]ǭ6>ԁ\#~X-1{G>pNJ)2 0wy^{apL@ 7%P$9F|Fk<%n>ԹDjd#4ofac]`i&ջ69[:?5Fe*v2<_wm= \V G.'pܧY]osrcH>wU}rQ+ U⿡4 uխ@ktָ6JNU!QY ]̌ D.csY  t^\);4R,uO˕Ҁ!Q duW m\I;f=gSG.`ŘGע BȊx »!JcYW7zmj?Z!ƾէ4J&.zG<x 'g%<>yf߆$Hsݭ"acY&F8mL'mPM|@HS5#a6ۄ&g:G-8͆D6 Qvl6+N5!RʍQ:v2O.,aԽ Ѡ9n*塿Vw.nOoUm؈ꦝxj[-B }A C+>&!;sU#8 bMꒁpԧNA\ek7>R ө-M1tUf"`[(ꨳ`׬'PjoAnoA܂N9T?Iev< L%NS'O^Pъ@xUon]PP$"E(*'Y B' lUb')ThZB6vVINJAr]fG83Guk3g`aOY=Hm$bz36ʀێuwu72;z !QE{W}l4\(0V>X9H7)Oϣ@zL-.=J wDr>q$ B  27=rk5cL~vb H fqp0a_7ǰy i -\J7f̣ŭ!:LpF?MbV 2CxBH벃8z5H pԻ@]x$o6tc[hC qԻzХ>|SU-*3]R?_Q]ti(K+,L,e\Ra\@[(ouB-ݮgB4+/f"6pX &(_p-\iB5a)V. fj|%N/&)3 p5CZ> 0""SG9#ٽ+2Q NYk6TN:hd7UCֿR\%, &>r/Mn8\T} 1ۍvrP408|KǍb"v}Q_YnĚvlx[. E{)^,Â۰H96.cwLmC`@pzevՋi(e?<EB(t>:Ó}W)$GpIg(: y@3ƚfp > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30009 18868 0 R >> >> /Type /Page >> endobj 18859 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18860 0 obj [18859 0 R 18861 0 R 18862 0 R 18863 0 R 18864 0 R 18865 0 R 18869 0 R] endobj 18861 0 obj << /A << /D (unique_657) /S /GoTo >> /Border [0 0 0] /Contents (add_condition) /M (D:20211013063105-08'00') /Rect [104.1732 552.9 172.4887 563.9] /Subtype /Link /Type /Annot >> endobj 18862 0 obj << /A << /D (unique_686) /S /GoTo >> /Border [0 0 0] /Contents (report_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 536.6999 189.4012 547.6999] /Subtype /Link /Type /Annot >> endobj 18863 0 obj << /A << /D (unique_695) /S /GoTo >> /Border [0 0 0] /Contents (restart) /M (D:20211013063105-08'00') /Rect [104.1732 520.5 135.8807 531.5] /Subtype /Link /Type /Annot >> endobj 18864 0 obj << /A << /D (unique_696) /S /GoTo >> /Border [0 0 0] /Contents (run) /M (D:20211013063105-08'00') /Rect [104.1732 504.3 120.4477 515.2999] /Subtype /Link /Type /Annot >> endobj 18865 0 obj << /A << /D (unique_699) /S /GoTo >> /Border [0 0 0] /Contents (step) /M (D:20211013063105-08'00') /Rect [104.1732 488.1 124.8257 499.1] /Subtype /Link /Type /Annot >> endobj 18866 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18867 0 obj << /Length 19 >> stream q /Iabc30009 Do Q endstream endobj 18868 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30010 20830 0 R /Gabc30011 20835 0 R >> /Font << /Fabc30012 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18870 0 obj << /Filter /FlateDecode /Length 2031 >> stream xڭXn+7+dEgnzߟSve F,6ԃd7DMm>mNv4Wl$}2;wRj\XˏΥ_x9 *1!K۟?mC!RM Ɩ>&3x44yrrG}nsZ2 )XY(򉷖Qި1-d Y԰ٵ6b,miӕ 36NMfDC5mp[I+&|4Q~0hg6 "KBHQ!->pM7p#pM-|cwM38윰"yc[n᭐&1{78!EjI,"uI8@}l GUy x햛Wy&z2HL̖Xs [ lHonF7斲obϋ%2۔2]5y*T;d}~Hwއ'~<9{2~c.gieh`?oǺwں7]`ea1_+!+/-'yR !t$S>`پqX1G#[&2o?׷N|8. i9%X &I7H-mHl!{<%y %ŀbF4o7ň%zt|UY0'>*?'^Ǧ9 "I~mpϒ&}ĎM_n#ͦ֟#Bw7f h~#cw־yr7t'm՟#/|0ETKE=)4>KtX KДm@4 \6pAO<>c铺CڭD蕙6.1 ξ.ӌOӊ~o>X0\vUL0t^Q_3h9l9Yg}0lXSQH~~(CMr &@kH2C:εx)5yX͹xb`(V+aɻVs}]A|gaRXnbWKPDK$]۾&!] pnӾ'Ui N1Q/f&^Sv}Vhiq2H[u({J܎6ʽ$+ごGjC0aP=;*G*:{N4%F9$q!k\J]{ny[kh4ZVrûHl䝒nl-k7~MA"KmW_z/BZ%?^MLCh#}L/Cr1 ~QvWT$s+I/OLY1Vc}:1ҫvm%; āց9N[M r ֏'x}> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30028 18878 0 R >> >> /Type /Page >> endobj 18872 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18873 0 obj [18872 0 R 18874 0 R 18875 0 R 18879 0 R] endobj 18874 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 467.625 145.297 478.625] /Subtype /Link /Type /Annot >> endobj 18875 0 obj << /A << /D (unique_224) /S /GoTo >> /Border [0 0 0] /Contents (start_gui) /M (D:20211013063105-08'00') /Rect [104.1732 178.7096 145.8357 189.7096] /Subtype /Link /Type /Annot >> endobj 18876 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18877 0 obj << /Length 19 >> stream q /Iabc30028 Do Q endstream endobj 18878 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30029 20830 0 R /Gabc30030 20835 0 R >> /Font << /Fabc30031 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18880 0 obj << /Filter /FlateDecode /Length 3080 >> stream xn_gdz_Aޓy"`4S[/lIzfwU]U6o\~zmt8e?QՇ5~|ѿӭ^\rwV\OK [7~xT_"$eqYGƍA fM#o}_gk׏ozm֚jձD`3لIM9*C뚒 ?U EA-p-?Ofc׿''}Yl)Nrk(@=*nGW^=>\Ɯ$X#dF[-}Ճȭאu@ |.3EHvj`Ջ))Œ/h,pWiRoƏy){zNՌ;Sc՘IX<aiCCSͭ+f3ƭ ;dފ#-t1[d"ďЕjkasM]0#FqwDd3%uO˛LN-aЃBe-.@@AR*?Qu$0{-b]'"* MMMa|c<\DF~3gY`7[ϒް F,vnح{kuUEh ÙxYnRGx΀k'{Żo|J[@PcoghLt# XP: vN @9a1PYk^l Rb_hiH$8A#08Z Ǔf3 Pc8x'"Bca 0~6ADwp\mVI#hu7h3AK06 ߐhm1owiO>\"W5!1C6)֦f )6E"[2g k0g@ױkg*BT1ܒAjs=Lg&E)3ėvA{ ,9#e?Z`lwgo;%o2ydsG[ĉ!Jb/.y$yf$2SlL^"> ٺdN.9;CPۘlO:h C%76?PNV?:WO&f&g mj3ɢ&:К#>U7_%y6-7 #-J %CTI8ՒA=4K8F==AۨՔ3ayou P3[?%aQzg&}Dji:('F̎+mt5>J#kHQ BRZàkQ]nYpƺ}&E?l%6&m@i=?HcmM?_'"ƪn 5nߪ$U7E&^j3alB^DDѩ3HDŸɧLRI6H}KإYd|Wl5ӽ$Z0*l@.S̒`:!5.=SFvhBΧG=-jy>xUEH@zAg-ϯ^K\q"T7`B*B? ŭ!a Z;Ѩ p0%y V,ؚmʜJ4T2̤U@VS` @Qϻ:Cs Y{}N6>kb'㩨!sĸ;ټ9]p= *jwpew̤wҖ,`8'qAffnR2e8}jx/9z62Xjw)UCa5/ Ŵ½|Ҿ;iؓz=&]Mq_Ĥc>ѣAx#GB 5'^onS endstream endobj 18881 0 obj << /Annots 18883 0 R /BleedBox [0 0 612 792] /Contents [18889 0 R 18885 0 R 18886 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30047 18887 0 R >> >> /Type /Page >> endobj 18882 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18883 0 obj [18882 0 R 18884 0 R 18888 0 R] endobj 18884 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 18885 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18886 0 obj << /Length 19 >> stream q /Iabc30047 Do Q endstream endobj 18887 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30048 20830 0 R /Gabc30049 20835 0 R >> /Font << /Fabc30050 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nC;fũc85 e!Um Ln;|/(q21r$bE0EP,3wPtNUD]IeNW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)^G+QO TϬ>JجhVazצb/mk: s[({L̇h*$S#2yk|Z yp3ΡDH)vhw%‰pv҂bwow endstream endobj 18888 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1748) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18889 0 obj << /Filter /FlateDecode /Length 4032 >> stream xڭn^_gӮ}Rc79rrRb@ں{ȡdH^-zEÿ>R˗ץ֙%%|5Pcr;C_NV[jo9y{4^q?ևC~Vk.Ͽ.WY;e #OOM?uk,q ֔h~QvS`V[>bfjKXBYUl/)VOZ;`+yxȋ8k]'s0{@K71bh2_TG/VȒ X瞀*Fd#d70A轓r0s.YM, 2Y#1 Td6A1I:"ڠAL+ \f0hd] uZsL096hhdS~daִ`ۿZ>|LL϶T\34.V? za|?]KƆG.GzOO /o `W om bOx`ЭԂT4%MtdGV>ޕRT\m6"#YD؇DyCf8pLĴOά;!d l*~K h!P$*NbN^uuJ_ּ8T~'u\B:=uo(c:(>h]m-31H'z32 .=6QF#@1"9HniTJ떖FF-8XpYJK=x*TpZk},(SGk`[gXȞFAEdHn5FZ'i,W //"Vf+۬|cW$%NXmhP2Mbh|$A>?EU3Q?nK7**ΣEjs$7[g}~Wo"5mhQKOII]_Y '+l/// ! i)'Y^aP!N:vcI9sw& $KLR[f#P>wֺ4{蛣gǾِ(d"jСݎR&?8`5Zp^0jgJP"B]!AVRMN8ge3Aq]d];}jTiS |N;llpu {vp[--gA z,b_5+$}e9999Лڕuea<}w-NdnɊ4wNvNvNꝝꮴl\HKqBVT h$@57g?/ >XdޚoG}ө+ӂK t4*4^۬IAAֲ@y|m{_ᔷ%b}^S.ʡ9-GENݷ?< ,,R0&Lq&EqYNJZ[O(ߤs1TEAJJnW>6)Jx;f喏ԟ~ umnCS*P:ٍRGߍ=cwbȑ%;^/ Jq+\t#b%(ђ{>eS sZ!rm75%4}kaYi)iSU|w-2`Yѵ/(5qZXYq(?O~g΢TKQZ P>)EY43HȺ~ EZ57-K Hhun=STq5]6F󔰧`l$JrDVg>n[ȱAGզ܃%9 _dϖ@FJU] EfnTWYLjL 'uGydqP.˥t4m"FF̴@COua\[ )HŽq;b52&ܽ&ۧCM\e+8 ׸N\D8` rmRSll 5{ fǮ2k:{庂Bv$t+G7E.qX>bˡӫiSa 7Fks0y.+';(fe==O VBI&_N^ݗųtP"Y-?ź۬nY{Dl^uuupc4Ȼ՛g5lfI՘v^ͰAƻBGI {+ ~dM57tTJ7kKaCфpEuR{킺GY,[0b:[6N6ՀQY>9yjh8z Mk&xAc1`hTWwZp!qjځS<6˽Jw:Lq\Zqbȸ S)bhd881Q[oHI:q\y'J)L2ƫ7&<]Q6|ϷKIa_+S:֔JzMӗUgVtJTjITQ,oGW-P&^rtc j5ueS32s ?U:UZ4=P~]qCEU8/֢WxH+0J.)F q LI`Ryn-HUT1T) f*|!I w\bCJ.l K3"J()i5K;b݋" PꔵbCeBZ%zQ5d]XL,*}asM#Ye)dW7wn7kՃ!-o4њ<|7/:ӌ̤ͩp6Ω2do3̘e} ݊:nom n͏g&}a t}._\*eZoW~opo]}!s>_?_\ |_wL:;\A7<VT*xJ_j-ҡYxZ3O-Jj9 Qsjs{4~R?-{R'$p:Ǝ>t̞1?ѣAΕp'G ̃-=e~d endstream endobj 18890 0 obj << /Annots 18892 0 R /BleedBox [0 0 612 792] /Contents [18906 0 R 18902 0 R 18903 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30066 18904 0 R >> >> /Type /Page >> endobj 18891 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18892 0 obj [18891 0 R 18893 0 R 18894 0 R 18895 0 R 18896 0 R 18897 0 R 18898 0 R 18899 0 R 18900 0 R 18901 0 R 18905 0 R] endobj 18893 0 obj << /A << /D (unique_231) /S /GoTo >> /Border [0 0 0] /Contents (add_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 184.6217 608.2] /Subtype /Link /Type /Annot >> endobj 18894 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 581 186.4367 592] /Subtype /Link /Type /Annot >> endobj 18895 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 195.1267 575.8] /Subtype /Link /Type /Annot >> endobj 18896 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 192.6352 559.6] /Subtype /Link /Type /Annot >> endobj 18897 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 170.4757 543.4] /Subtype /Link /Type /Annot >> endobj 18898 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 516.1999 184.2422 527.1999] /Subtype /Link /Type /Annot >> endobj 18899 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 499.9999 202.8817 510.9999] /Subtype /Link /Type /Annot >> endobj 18900 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 483.7999 217.6437 494.7999] /Subtype /Link /Type /Annot >> endobj 18901 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 467.5999 198.5807 478.5999] /Subtype /Link /Type /Annot >> endobj 18902 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18903 0 obj << /Length 19 >> stream q /Iabc30066 Do Q endstream endobj 18904 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30067 20830 0 R /Gabc30068 20835 0 R >> /Font << /Fabc30069 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HYӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}8 endstream endobj 18905 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1749) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18906 0 obj << /Filter /FlateDecode /Length 1767 >> stream xڭXIo[7W1`IVRmZrofȷȲ62MN[OTmN&Y?E>Zl YSs Aڴ6F_@Nxoj(WwLzǝvdcmAI>aS4ރD[>X[LKw |۸`QsMyXZ:Dbp 8P DZVuI%@Li?@EU5jZfL̐;ELil( N&vMpV5-&GEe)DXLDП@&㯬!6i`T dfgxN~jp:2[\Pxǝk@N|/sMù6X=;rv8Ö감kHel(TUD{qcЅ =FOTj^O[s*ل,Q(ɛОUJ*{G:bZDXb8I>)[ONLjꓰ˰-Z:9sZ(6E^70ϒK'_F&S"4p8J@੯Gk'CLGY ZՋ fTEX4>/Bw岝NTk"/qov:~b!C-WmpE"|+4-4c6 {qu(ǯ[y`ٍ҄#$gաɇuQU]IKf_}SJK b6ņu:$Ś]?aS1 6ꄚo.)|3ͷH{+lK%'MKPuM}xhbQ&g,t 2PH7V: K ރz+bȫKok;(®޴m q4~tmO4;{j$4czA99g yj!;oe5q5L[ b׋Km5JH?KD0;ImeR{M"V!eZ7Qv V k G<gmnSheʫֶMmax黨e#+&H,)a:Hy6NVm#R:Ru;n%ю3~W)\o0RF j}@cmܫ*GNCg2JwU- dͽ#C1R'tئuOKYTR#[J=vfL4>,0$ܪ,-/+>8uYEp|㆘ԂfJl(ŴBِ~L Ła"UAO2ˎم!/+ivMX)ckؙꥒV}yq!#%I?.#>ƒUyEK4p]ZExE4K=>z |}mw0 W b˼\B_l;`,|ٗ1p.ۇc,2q

I㒂16` <;.&+?Lo?AP_u/J/JBdYg~;,T8667>ŀ%''C7Agͷ1$۹:!ڈGX.%$eyB> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30085 18913 0 R >> >> /Type /Page >> endobj 18908 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18909 0 obj [18908 0 R 18910 0 R 18914 0 R] endobj 18910 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 18911 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18912 0 obj << /Length 19 >> stream q /Iabc30085 Do Q endstream endobj 18913 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30086 20830 0 R /Gabc30087 20835 0 R >> /Font << /Fabc30088 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HZӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4Gۏ"bH&} d_ EXBC Ȱy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18915 0 obj << /Filter /FlateDecode /Length 4173 >> stream xڭ[Ko8W<@jHO0,705j{x%)vUwuZ"EEERVwK*N{ӵuXeߏ?Ekg㫫_i/o_ƄO]jwݭrwQq?OS=ѓvfneߞG_ˋN#ġ~~cjMTC% bV&:[M9Ь4Ce[b)Q$ ĭp`4_{wrxT45ˣg~ZI9ExfqRٮO,ze.Q$eѭ#=x/\P~O(\A_/+\/ѧ~S[cl( 4YJ|g5ߴ/?P O8}}<28c]{|~ 2hFw>*ѿhZ'.q0rdߤ+F i!:Xn-aS} Vf}WϤJůi뛴B~OłCG^?#"*dDdN6e}Zbr Yl'#*freFBZA~{k~K+NNV5uJ_8T$w\B:=wo(CPUJ#q+#1X=C!`{B'3w`dubqӛCSM R!E\;rj Cj#^m'f?A _cAٽzFBZ0[( ,X "UXc5ύ} /*ˑV*b[ڭP?f;!x(w>f)SLCD# Bd8X\gIhWWvj"7ɇGA/J;ȶm5 V'TQuw~l BR |MUA歱ߖrONू`j āwF %p< njov@,uk]PQo2R bW$l늉Hľ +2 شb?(cPMǕf,TI>tƣ:ǁhuĽ®K(`t̄i R(ZZH:{jTy7`EJB{ ~`l(ޚ>+" N=OԛԧMKIQ~ 0KMHx+ jđm;icG'\ #{0@&X?>a?arفdIIEt~ ,X]-C+;Q%CwlocH#ei37|Z :麖4T.bzN\YE3F -:4Tδ]5;X:&rUn*T]\gv'ԍ~Ɓ{R{I.1,'T}͞rܐ Vq:Rokg*'5u}vx> n40yWןI'T* %(M% 1sAųck( b7qyGrA&eNm@@tB ^-M?BVqu Z|-;PT@qM{k`H`;9Ae\HY=IN8sUՉ'wv *ݵqNF'ʶGf7sl6œ6mإℑt,ɮ-yRhzmy\%[6 aI&;=rwnX1cEH|x8q`PZ#/3&7kbS9&x)hWwG%L¸b2r<%hY\!!Om;c(ǽwѤ"+/%PiEpA괸;  wۂ*iE-VڵtM`T6jϹ0#ye^ Rgna^wQ1MFs5T$SqUc(qq%Sn$8 @XvRDܱW`[sJHG8_@2!rt0P`/ɽYlI,6 tˍ> omh`ڳ:+EC>h g~ONDTl_BuhX֤~jhz6tJ3/|"ΦQ{pOg=_Q u-A5X~zK endstream endobj 18916 0 obj << /Annots 18918 0 R /BleedBox [0 0 612 792] /Contents [18930 0 R 18926 0 R 18927 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30104 18928 0 R >> >> /Type /Page >> endobj 18917 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18918 0 obj [18917 0 R 18919 0 R 18920 0 R 18921 0 R 18922 0 R 18923 0 R 18924 0 R 18925 0 R 18929 0 R] endobj 18919 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 529.0038 199.3617 540.0038] /Subtype /Link /Type /Annot >> endobj 18920 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 512.8038 195.1267 523.8038] /Subtype /Link /Type /Annot >> endobj 18921 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 496.6038 189.4397 507.6038] /Subtype /Link /Type /Annot >> endobj 18922 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 480.4038 205.3787 491.4038] /Subtype /Link /Type /Annot >> endobj 18923 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 464.2038 185.6062 475.2038] /Subtype /Link /Type /Annot >> endobj 18924 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 448.0037 207.3807 459.0037] /Subtype /Link /Type /Annot >> endobj 18925 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 431.8037 194.3402 442.8037] /Subtype /Link /Type /Annot >> endobj 18926 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18927 0 obj << /Length 19 >> stream q /Iabc30104 Do Q endstream endobj 18928 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30105 20830 0 R /Gabc30106 20835 0 R >> /Font << /Fabc30107 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18930 0 obj << /Filter /FlateDecode /Length 2025 >> stream xYK6W@2" 4ty@AN8EO%?_U%n@fz?j ~zncVǠ!ÿUxm~Xi4f&ug`LlL{k$= 0w+c2 RkgOXmyqn.1\ÃLb>5x-!t4N u O1gWs8/ |#9jƍUsciJ'<&'a2 2(Q jOG|œmx_E4Q~7Pن[-5* z4`$\7ܖ (H?";[> ÜK;괾w<]G8F k iyT&4I?S'.XdN!RHI`lC<+F^q#`$+h=7]̘PIa'90CFO?ֺֈŜZ涜+7'>7;һ\ zB-ǁCK;aͿVk779V3rⰙ!!dxYO7R .i(1 Ht^AQ=ԿkmCzc1OA0x;‌Y?,2 eYDSpCnSeI M]/8u_;/tf 3Q_Y$2)$̝븣k.DshMAnϒm aXsCwcRcheE:=w3U}Kj_J#ujW;m\5DSZK9Le5"B=ǔJt@l, dkx 1{VԼxva,ᱸ`z.e%-|u7Dq&@t$1.fԌe"ܣv$b(Ao#i4X&SWs#_1o`^VwY G8C둶KnU1}zZuGNSV܈_rKף"Zh]KM jzo68t)nTVL#^szZ1BWPXB6?Tk17|-ۑΪ VCmA軩ed# tO]Qg7 wR޲ЏTT#> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30123 18937 0 R >> >> /Type /Page >> endobj 18932 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18933 0 obj [18932 0 R 18934 0 R 18938 0 R] endobj 18934 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 437.225 137.278 448.225] /Subtype /Link /Type /Annot >> endobj 18935 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18936 0 obj << /Length 19 >> stream q /Iabc30123 Do Q endstream endobj 18937 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30124 20830 0 R /Gabc30125 20835 0 R >> /Font << /Fabc30126 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1 endstream endobj 18938 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1752) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18939 0 obj << /Filter /FlateDecode /Length 4286 >> stream xڭ;j$Iw ? "([$aST5Ԑy-̐m={-|_̢ R ViOuz5?ơί䖯/irR{{uZVx3<~z 66W抓?͚,_/@c̭ eW^d8|XJ@X>]A]66;_2^!ٲ9uv-)i-1hvӺ[^U{UFʈ.o EM]PUhrF?Nߗб$OVWK,s.*Uvl7\՘^`$[ŧ ^T-U3fM(R:kݳjus2ۈclHZhtcYT&Xʜ.,y:Tt`P7wP60'jN[p˜M:Hy;7sPiU2|N;llp5 {dv0Y,`,e z,`ܰyT 2 d UJ^i 椄]9#s[sb=͜n ݚݚzcsRݔ}΄ޚޙ7&dE4&nHόFT399'oې:ho` (ƽoG}ө-S¥:~x\*mڋz F̵,sga~=lֽ pLb]@U #H[^#1xa!UKiお>>iQp v8pt|byۖI'ENN(]ρB5 8KXLy8>TBU6)g #lݔ05 6O|RpRݪ[VJIVtxrCک|)Jy"q 6Ya8<֑;~Җn&#D4U n(ZrJ $Ziր;}>`+.mIgNJ9<6& 3&V~V6 YQ ԭ9hV<\ yiT`W>EQ#Sk"ԳxoD+'!vzlNV?f6vTJRTUgpZDN5G5_Wd3xh1܂%9zƪa7 P ұWv j&tЍ'c ?Jcx>=A};Ly+j!(MzՃvK7*ju$mD0=o(X f1$bhxb٤|sGq(~ZCZ:%[N(Egm̈́TFXXG]ndűY.=^$ױ:g~rLnJ'7's`D'5Ic! R|Q zcwt.i<>~pOG4~m7}/Ao*PoBzCiy')+(2Âaqt=QcU=ï t|؋` *2x|N C o Izu-zWCzRG^.횋Ѻ,BHP=?-׈]Cvqpo sWm+nA[1 /pPX~18 RSX%ow2%i!cA&^d\4g])J16ϰaֈ2 Yȳ9R#,X7X!Z芤s t5l1=ZSǞ\"Q-m7k7&̕OLߑ>mnhM~(S v3yk$4s󝑷+pd iȊ]vK!4kOԏD3x툛K=E=-hіy(>ᡳ7E6QZa_x/{ASZ );3usZ^VN)Ӧd:OF'u5}{}lö4vaWY0k5ҒDTc+dGQ ҝr͘M6m>iG8^l <] 6G|zwIa lEŭ)`3 i/xܢo4|ȎiZo' ;f]D2eCXJi.~yIM|a:< d;\)_M|"^m_>A<U3\݄z?@Rx Ӈ(_ u*56EGqMĭivXG[)MD-H˭;0*Y8fOH̠ f"\, P1 U}ɮ>:Lp2&+> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30142 18952 0 R >> >> /Type /Page >> endobj 18941 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18942 0 obj [18941 0 R 18943 0 R 18944 0 R 18945 0 R 18946 0 R 18947 0 R 18948 0 R 18949 0 R 18953 0 R] endobj 18943 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 475.5384 208.8492 486.5384] /Subtype /Link /Type /Annot >> endobj 18944 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 459.3384 195.1267 470.3384] /Subtype /Link /Type /Annot >> endobj 18945 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 443.1384 198.9272 454.1384] /Subtype /Link /Type /Annot >> endobj 18946 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 426.9384 214.8662 437.9384] /Subtype /Link /Type /Annot >> endobj 18947 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 410.7384 195.0937 421.7384] /Subtype /Link /Type /Annot >> endobj 18948 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 394.5384 216.8682 405.5384] /Subtype /Link /Type /Annot >> endobj 18949 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 378.3384 203.8277 389.3384] /Subtype /Link /Type /Annot >> endobj 18950 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18951 0 obj << /Length 19 >> stream q /Iabc30142 Do Q endstream endobj 18952 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30143 20830 0 R /Gabc30144 20835 0 R >> /Font << /Fabc30145 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 18953 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1753) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18954 0 obj << /Filter /FlateDecode /Length 2291 >> stream xڭYI9Wܐڥ$!y('ϸ!u[2m"]Rگ[;z9϶ymÜ쯏c>}Y29wSv>=/;sh?;اR4B()ι|:['8O5$e &lXOpG Sn+x. rq&}1VzN% 1v!Vh`ڞ } v+&Dfl1 ;Og]TIrm,dEһeJTQ "42EtYQ&Y Xgw~TtE<_#L3DDx0jCv>W.iI%g<ۂ=⌏ᄆ pYyp\dײ1Em-2>yW@*Do'Q+h) {ՏM~ʱhªY}l:ai`6*miur0M]hqpHS AHB!5Yh."qآXSM$D Sf4:AI2ՆnI3k1,3 +e5z'D5 g`c͔d6qح Ð{*PgyՍ(rztߔz1ur!TJIpd !B C dS0~T4YRߨ. go]pұ uf!vZjqܦV<>4w]s9Ƀ8oEV\PP5Wȩx_'¤ԛgx@,n`bqY'ؿG?׬Ng#_ˮ„xJv#HxI@,~Q`T Ƈ#;k0 J²U wh:ySэ[dasm%/ #UbJE>7X(=3V?I^Λ5xU7~AAg&۰7UsI}&w竝2nlJYPeN>5ݟhJ_Jbgg:>xx9E=ޫ^5:qqbK6t?nj$Ez`k#w߼C2ˑ]Nv'#}IcInTJ5[2bD89p6bbƾZ ×[i,7sW`y|7 mLGW&>4q͕+4)Tc_ H(24B0<hCm~yܾH|܂/܀|S7iwui<0AHgZ1h8ViF]J<~}8f.fm*mıc(ixƩ-Dl%ʎݕ>15j09l#vaJU< ߝ4dc67fh)[FIؐ3ԿX;VQxkCfIcC-(S$^hpKV,۔unheF5ektmg"B+ACxzmkXWM"ޙqGckE0 :Ί J/1h !>N,b7 eWVb8)*E\0VV#u2SZ l>mf@FySVӂ-އ5H.T[̿@g~rr͙|>iMQm*ms{HT|,ge>#US>8HgCog=O+oqřb<{^}v':DVt:@iUH0p@q3zyx~2"e-fsgl/HTؙᄉl;D_ =b|Of)af5H#fnUۑ9ĥں^,RեPWb"rN '5B1y ':6, ϡ@Kf endstream endobj 18955 0 obj << /Annots [18956 0 R 18960 0 R] /BleedBox [0 0 612 792] /Contents [18961 0 R 18957 0 R 18958 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30161 18959 0 R >> >> /Type /Page >> endobj 18956 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18957 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18958 0 obj << /Length 19 >> stream q /Iabc30161 Do Q endstream endobj 18959 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30162 20830 0 R /Gabc30163 20835 0 R >> /Font << /Fabc30164 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18961 0 obj << /Filter /FlateDecode /Length 4709 >> stream xڭ<Ɏw~E d JՀo`$=0ߟظeEꖞ2$ {EßR Vi?e_Y>vu~K>&|yYquj5fۛJJ[_}|F!*]}1/Te[,>M;<),M0|3ta+%%4-LZxI3>ExxF|-@$BJ:6.B ;_fB X @ )22@{{}aC@?&U, gLW4JϦ!D3p F""9r++01vT ->5_cVoe:.X 9h946`חnS~"@ Z>`j (56 f6n)QǾm˗ |~>=g!ӽ|Jw{4;@Vf -Ԁ|_at2Fl > r^[fCl"C D1ZM[:ȅ,ҒqU`)>WI JE%]V!maX5&orzek+U׭gCObuĮCfTBCz4FN[4N7#&<wp5 [P<'MlS>x2UP@[N(s$S]lsNb%$Y?kpJqEwxRPеCfvѹ08<%Y.Oo6c87?)•q\1*o tCGG{o {kOR@:=F`c_ Ƽ hhy&j7z52}M:W>ְYqم ./1ӧgk GDr/ ~pF.xQ|ain@ ۆUH 4qп&` |0PoDI$ z,bzUv@NH:CYCMP@0\q0nܬy N-R #BuTF8I A Ģz&…֗ ~@l(ډ'N#OЛԯKIQw*d5&>~Fg/n|[bk͉oG}]:"~rԀ/)OBMzdh؈Ml:p ss@1ݦ (e_cZ}ϱ.(@p5I ^## J)žvoٗϥkJTS6#"UmORHFg6IrC2 рI$IwI1cOLIjW9)㚜J^>oiNFB5Z+,LI.+vIX.`FW 2ƗjŻo5a'bNےgan&({dRp60ŚtMPޓoM'ܕ~@W7 *\(ք'8/n .hAl*@3 N=(Je:BhfhR^@;?hӌMfj0~ȏ!5 ӹD-- ~Huc-E:<%hر"-[ ;xfD6 @Dx@9H @߰S[+ׁTg::O ÓU3` V1Fm W2& .25^&2nwLehn d2q'ONIx%.N%,5>.|iyr*eȗ.T<s& pcC]]bZS-N l*ޥwOFɫf[SD,m^ٯm,p%qKh>t'8;XQXUCE1fڥmP}'˩ I7q9C6fXJ@ `t$j.y_') FRXǠDԷm)`3 cnF D'[z6Z!-WFcr3Qt 0Uʭ.`li12lYt8İk6p(usu|y7# yG~ 乕pWRMX Gs>l֎@9X`/Z6LxX8+QT~vvRĐ&&!LXd(ٍyOifn7}.(]1@V0OZJb1ǒOs j],c8clԫ4%q O"c\RgJ'ۡ1Kq[>QS6`Z2ѥ<,=&^SSW~Ykx( @ WKZBGG4uR]ܾ%JbV!ʧ<"5|e,VA#L  ^g)D0*dd*|%J/:.`y ͧPχCJ. l K@BYIk-{޽`TJVlCYhZ%zQwhfQSs&#է"n`hoE C ÷x^*٣g>gy>맻:ӊCŤp5Ω2I*7 o'p8n6;E(S7pKnWonэ/QNjzI^O28=`ؾv ¨$2A_ї+>'C*/O^w˓tV%E~cxT+ע|EuckJgo{+A f$ˡbt_Uz)^yR'$y c>:UUWs% {yz> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30180 18970 0 R >> >> /Type /Page >> endobj 18963 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18964 0 obj [18963 0 R 18965 0 R 18966 0 R 18967 0 R 18971 0 R] endobj 18965 0 obj << /A << /D (unique_10) /S /GoTo >> /Border [0 0 0] /Contents (close_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 150.7362 608.2] /Subtype /Link /Type /Annot >> endobj 18966 0 obj << /A << /D (unique_16) /S /GoTo >> /Border [0 0 0] /Contents (open_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 581 150.4282 592] /Subtype /Link /Type /Annot >> endobj 18967 0 obj << /A << /D (unique_23) /S /GoTo >> /Border [0 0 0] /Contents (start_vcd) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 148.2007 575.8] /Subtype /Link /Type /Annot >> endobj 18968 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18969 0 obj << /Length 19 >> stream q /Iabc30180 Do Q endstream endobj 18970 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30181 20830 0 R /Gabc30182 20835 0 R >> /Font << /Fabc30183 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 18971 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1755) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18972 0 obj << /Filter /FlateDecode /Length 1545 >> stream xڭXKk$7WЊ03cBnrXrr a/Uԏ8]bVVYh-~'JY9Sє/p?vN֐A;kj.!󝵾Zƈ x?@{SCEן?tØc=vdc}+j;6{0wN|x%9t\Z9w7}jaq%ۚP,0d:W9ߚL,,wi%lY"DBfJ+ֱvU޽c^-ƉѾ-%a/"PNhX$i]qdB s\h;E[br4X Lb&e~?QJQmtERW,YaB,?!d<<kG8_YH&?x21=d)<2`4g%RJiY8Rq-Gz]HC ;M GiV}X7I#yc0?wV9-D}["rjgγI z(gL@=WFOr~#K)%_y׆XM(L[Cz*֤1 脚7o.%S,6ͷHl4d[*96>hZv UsgHPH3nV L'wXlyWsVs͚7ʫk(/Jw^mib!w; ocd_ ;`yj$Rsz89gXϞF1SۙUT!m軨ef#+%(,%i z̲ӸjTkƗю[Q%ю+*~}r}ӀJB<4p__Uv3i;lYq"x%2xq3X;qx !R]p$k^E4u5D9ɩ[8ҩiEA1^꒙xdOy. nlObc&A2XV9ge᱋ν>DLkj((QŴBِ~EʼnDm^O2ǭˁH4&׹-`yZ^4R+է>8Y7-on'p+"}kiEJowɉqg:jr.1so }]Xw=b{/c}gEϘEX^{;"={isI-8_;0)Ņb9{=xXz:125:Ρ?kz l6{nZfPFCz;yG N:Z{ 1jϹ:Bk$#rgIb=I,-&I}O> Sw]lÅ貨guQ$F4)m̡B1 endstream endobj 18973 0 obj << /Annots 18975 0 R /BleedBox [0 0 612 792] /Contents [18981 0 R 18977 0 R 18978 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30199 18979 0 R >> >> /Type /Page >> endobj 18974 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18975 0 obj [18974 0 R 18976 0 R 18980 0 R] endobj 18976 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [90 403.625 135.562 414.625] /Subtype /Link /Type /Annot >> endobj 18977 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18978 0 obj << /Length 19 >> stream q /Iabc30199 Do Q endstream endobj 18979 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30200 20830 0 R /Gabc30201 20835 0 R >> /Font << /Fabc30202 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18981 0 obj << /Filter /FlateDecode /Length 4245 >> stream x\K9rW@q&h4խ`o'36 ];^|eeUWKGSd$ FA&ߵR6Fs:E],:};i\`mʏ-=;-ݳJ\O_w :yM'Ǎk/7mM*< \۲MylϯH5 dMu۠/`c\58bm-(!7>Q ԰}Æ H 3e5-&˸C:S4]?S\&op9h3 V`h M{@ f|vi?Z`/ 'GR[$xMo0h8DƁ\ kI`biO }`Ā1x+q(j`MLpՏgn}&=Fqtc6h)8ckNU\"jsy4}*fo=Ϥpj645j b"i#bL8{|:&Ρ{w(ꝡxoZ$ey7(u 31)|y{HD ڙ5$}W/{bn#Ʋ =6Yu/˝6*K kd涤+-B@Q!$^ NJrj+b H(mVa yY-rXyeBC8 aʶ{Ab;As;LIT6) ϗ*J~gr-TݜQ;׌vfV"Wy ;#}=Oa?&zHb2bU_T$4TIׯ#Y^+?YvM4<٦6= t.L <9:m049&DRW$vQwy+_ޤyr'a{c·[y0xe.v\`v Md;2M"KHHI-& r26hJLRTk3ώM(RMuet؜[cw3$u s@jW) u&YaE A]l}uuօbON%(&.pz ڜ0iĉT5dW+|N?uvp;X`nG,uX s?ECvMjs%K VZ jTs_/tӺ22,'8)Q'w't8]7pYN'ₜC{pR+f&{8gfr5hӰD' NXéރ9NS et'h49a8MLFvJaXu1m je >(T.s9I@@]y*>,9zYuHyYId2u~RRP` m I}ACZV 9~ >ݮ OȀ:A_Ð^)uPl@muЙ=WoC׷*~0 Ǵg<~JOzo_6m$ک'GE=v~}]=CP8G[eUP!018tZ0͞3z&V?svȶ /x> il1?7xa~ 7mdf5]Ư` TzG1t d%R3{w-GOm촃~FдJ Fxǣ<\+^R%ZgMȕaĭΛy;N RJ0yStf8ah,)q mpy;p/b_%O[QLzԼ0_ӄ s?ϤݣNT ƅji)R[}ǁ87v.3U8?SNsoX;!йPun3/#Gd0%NĀ!O) Kb7\bQHo?!G~%FI%5UimwigEM!;!\2N?DO#0>)Nd {=iE-/& h~XC:=\;óaɺ0zbf ݄.Y;9{q_Nl V~u {R?|OAV h"W4 s {_FPmpoSGUi {AM+û.ԭ륣>nյOyx%y2@=8ofOfhݲ 'd#uAwe扢Ly^w;~;Χ>|cH-;1L R:Î\o8!*[+ߜIK;/8SKkQi3 K+bVkMzIU8b_S$bp$cV&%bSӒLĦpaaH1/R E-eW^;NIkF;:֣[gDIM!Y/䜅s)QT\⛘,0Q\&#%5.;Ra2SuLY>.|lGsz$4.ҮD; #Ƶ8p3a]xoCAGy?eS|y^{#o霂|G7a)#~ȦgYL3`XNDIǡB9u OO\k'< Nыv? 0 r̨`{~fT1f[C9l:_89o#&ѰC[|q I}ğ.nMӷT19rFi[zq-z£;9K! endstream endobj 18982 0 obj << /Annots 18984 0 R /BleedBox [0 0 612 792] /Contents [18992 0 R 18988 0 R 18989 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30218 18990 0 R >> >> /Type /Page >> endobj 18983 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18984 0 obj [18983 0 R 18985 0 R 18986 0 R 18987 0 R 18991 0 R] endobj 18985 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 515 145.4837 526] /Subtype /Link /Type /Annot >> endobj 18986 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 498.8 149.6802 509.8] /Subtype /Link /Type /Annot >> endobj 18987 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 482.6 146.4572 493.6] /Subtype /Link /Type /Annot >> endobj 18988 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18989 0 obj << /Length 19 >> stream q /Iabc30218 Do Q endstream endobj 18990 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30219 20830 0 R /Gabc30220 20835 0 R >> /Font << /Fabc30221 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ= endstream endobj 18991 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1757) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18992 0 obj << /Filter /FlateDecode /Length 2028 >> stream xڽXn, +j~Fp +'wz3CRu=A`UzQ!K,M[m7Q/Y.L{CgO_׭>`D07ƝLtƼ`ذ6Rcw,$-. N;#>cm ;]1Ikɾ[5*n-F&un{+h~ WS9cRqAoPVXBnP*{,Hr{o2'@6##+@=%tC2ycg*:g<!=`3y.$h< )|Niv7Z&ΑRYk9r)Z_!oDBkv=n|N+c_{XmߣRW!}I<\qoԝ^~*,y|Rߴkھۖ !a5٤_Е \J)5**v3W9ӥm/W8'tcKDvjlĨi"ua9eX6׋ZMw""j#.1#mrs5j+&?7eL Hѷ#WqX,&bp X zr =LA'X.ЃC_w endstream endobj 18993 0 obj << /Annots [18994 0 R 18998 0 R] /BleedBox [0 0 612 792] /Contents [18999 0 R 18995 0 R 18996 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30237 18997 0 R >> >> /Type /Page >> endobj 18994 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 18995 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 18996 0 obj << /Length 19 >> stream q /Iabc30237 Do Q endstream endobj 18997 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30238 20830 0 R /Gabc30239 20835 0 R >> /Font << /Fabc30240 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iV5xkEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ  endstream endobj 18998 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1758) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 18999 0 obj << /Filter /FlateDecode /Length 5107 >> stream x]ݏ _q-X 0L.ЇOۻ+/(Gb'^.XMR$%KNS~Qw_޻\:gAa~ݟ϶Ӫ+>XL')Zѩr=>xtRY5P(lg9w^?QrI[}[_dN^e|k5zD3KS҄T qtйeuhhp0/O]pR 5?= l9I(mB{{A:k=9B"1$tV;KP,MuDS7{mFhft0S_d~Nᗷ~3SRòҷ__ ,[k`a"JvѠޟtK;zRih *Qy%uAGH#ױ b^FT!!EFP)I4:J֛?\zǖ_\i&6m߼}k_-i'gfۄ@&(6~Ydr0Ң>ڙln8b.zu"O,^d=-=`#<M^y(2Hݏf(m[Ơh7T&\h]mvEP(EgDM~1"a .vn(4vǦ'GmFt)7]Vfv]D`Ѽ~.2#-v&P6l"mD֪:!X} X1^ 1؇Ѱ t`+/52B7ZՌvW;(Q[y |cϵFK'~n=,@6$湚$w//Ye[u3 0& c`M5"k?:yeW9>Mܣ,A“a Ns}`I &/M{uR a6X(%kP߶%4vprQph{2Sch}Cn=}@XnYw8͑XUzU5[;f> NNC3Ma+YU4aFƌ9ٴSJAi~~)cO\,DCq|TKXO 56à\޵YTZ:QBc*C)EVY.ťJ?RV.]ogT*2Ԃʙ*S+qK9:1>nʹu9p1k~:uąԹOӎ>eB׽icݦ2 isaj>#-9\@Jjm]lU~3R r~7/wy+{8l#=EE_s=,SRLz ܛtUKɮzx3'O#D|4_F ~Si2 tdeKKIAH}~,PH=#+"9#5EfWS_=P\E4؜ he V~-sXԴHhnHSo^wiý^:VFJE8<~eeGYDl6c.J`iχ#1~NqB.&'-vL_06\ K?ܷfr~6!G<1mXPl)jmd*O7ytsC^u ?GncP/0F>tMAlS9N;g=>/Ot4&>q^c`d`lJ$*ԉx1*w%qK]S|H?' b@a!f)K`Kѹ|'#Òб t@QȾٙ?%+Lby3d6p5Iב=x5YfCgwjni3&21<^LQ˦nNh[bG:2YF5E 5IrXtwOLGWK2;Y=B"3);`YM5;<xJQFe7gzw6å#n@"1x{Q8D>>d#o R">(2RE&oPJvҦSTh~{L?Q|Pr%Q8dRn Z uOH ezL0Š.,^\A\P^#594d)tPcŵ}âl87ԋJ\#Reum5WcB4D֋'ksm3,Q@-94 ~LB(ڈZ{U" g7L흊]П+MUx㝚u&PX`ΰK :,4d .uL rg1dV97 يve H/HtѺWV NL]I-IO =N.pS8)ĕAIph=N{{C!p; '{$p̞E;$Vz$Vz{$zIL{pӵ}PP\3v+ NPjRN7c|P1)J}bd8 (*{bRn@1"P`*T+V/_Lb$Z QJҽxR OM1Cgr/)žNsF Or!*/sOX݇'Ff OxbƦTO) Պɗ+-*=%7gT'x{A蠮/w)Q+)qu&HIAJ4|X3RbmfH->H9{!%)!o:̹Wqbb%-8)T33!ig"feUg&Ǩ|sTX$ J{>{ARU%4' {A%+)jy,dbD8HT0ITmQ+=ۨQrM񸧻ͺG^{Z Ӧ 8h6]N YVo9ku v!Uϸ=>?kl^`t^t čd/ߗA9v*spD?ZuT]˦q3 X2EKٌ u3~'\6o򦄲9tlg;gʖ@,;HQƌSN?#<B}q endstream endobj 19000 0 obj << /Annots [19001 0 R 19005 0 R] /BleedBox [0 0 612 792] /Contents [19006 0 R 19002 0 R 19003 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30256 19004 0 R >> >> /Type /Page >> endobj 19001 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19002 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19003 0 obj << /Length 19 >> stream q /Iabc30256 Do Q endstream endobj 19004 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30257 20830 0 R /Gabc30258 20835 0 R >> /Font << /Fabc30259 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7(|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/} endstream endobj 19005 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1759) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19006 0 obj << /Filter /FlateDecode /Length 5394 >> stream x]ݏ쪑9R;H=ӑHytvoV}ɿ (0m٬=mʸ_UQ`% Y<$7OH˧pT89uZZiFN)#duAfwVP\'K9v;Y]N[*r0И;:|fQm ]I MkxBjU{NgjTK*UQzzo@=OEz?Az쁞7^ˡ}l!'} /B7 -(ҋ:l(Pr9v9!;ESpCr8vs9!;GRJ$ܿﯚϖdPII 7!z)Baw؟Ie^R NNv]^[`b~3⵲ŠR˺4)EJ}'4|ؚ 5~,\R*U Q-t;N[u}V=u! *8_1/y5s>˺Ia 2ͅVF17 ;G;pm |Sϯ rWm~yCp1N$]:6X&PL6Wp\8Tg7И$M^ EG\D(af"3N0yǬP͉85-X)tPw{;r0ф^oE8ō^ 1_> pZsZ*B nz27!UpK!W:7hG Ny@@BPj|Px#hRu. `ѤğEEpVє%]&H M#ݦEXwu 1CW1OOgc8&J43ݰ+{ʼn'y[8<~D5E gCfxJD,ќ824\8C ˌp"G"Յ+q~ϵ'^1@ȈbԈTOXP~yk(L57tnqrտCrd"ys2?!aSA=N*qBğ?+qŶ[hgIPvB4#tLJH"Cen:&x81[+7TsdwpYk*aT͹mSp>tsU$KC6aSLx&٥P*L,)@+VLh厌T}w*pC;,j8ӝB[,J"[C{@@z|&ɬB\~+\="uwl5sܝpDVb%SX"skWŪ&9,/U4Py(0t8}]*3GӝS;!KigD6YḾĊӈ1ab6V icXPN{ֶF,LEx ~#, ik83TO喪>o?2IYL)`**j^.fw5w)pja}az=mn2syLTm=ZNF @^Feᷟo3A|fݸc1Xaʭ^0;OC7oY[QuHz\, 9Xuϰm_eWg4G9Ssq94Ǧ7uw"n"}n S߭OٺY&|'O%_MCdžM)7/k)Wmbd^jHbS$F;Ծ 騆͘uEbHQCa40~bOق&YSpu]vU^¼\PJuFkX=L~&*ͬ^V˭cqc E8YGۖcg[n^`XҞkNF}Q>>O=wb@˻E{G<ui enY*?\ {wl\.[xIWd o \ yX:ca~z{ᘲű:§5'S}">T$S aGhՕG0+92QYV C<Zv)y/}7ϣp4Ct'8yX j>[&"*'/1Z밚C%)B% '&<Q*$PAF'a^d/dXA2RN;8dɀ%fuhGdvQfT)?@ZpVdBjZSty:#E$*6S!L(@CR3;4D>Yj=RqX9h|h$,׉O ! 2F[X*{@~ { lV R?8MI@"jRI> X, M`a ,'" ;H7bIlVJ8F1egVH @:H/tstѯLu881`4t 'pZ']Ipbwϻ((( @~@P @ŊIqbUbd<pDP]R쮍zR속z R즍)VQ; lÐb7mcblԃb6jXF5@)kk`}[0fLVSk`mcmcmĎLea#&STTTld6;LUAvMbObOG;hgxF(6D(ZzF)QgbCY[V$T F)RC)XsG؄t{ \nX@oE)`3@ %"m_A*>"JA%zĭQ „:HFd8$WO6 RQ w4gx)6̧Ş!g`,;3e+ٍc2 Pdy P&T$"mN;*D!E SRGDھaS)yw%4 L^(&91J7 RUp--'=3@!@r~RT3D:Dr nP)6-@TkAA+HQ[ E*TAGAH{TlT{'=T쾥*h5Y͠bjCR 0P{j+XZU"VATzYGIi TZw#"mݴTx TX0@REھ@aS)yw%~qWp `/d׉XF񌁊YT\w=NXTb5Pb%AxT*@ŪH*8T1b0 ˀa+Aߨmӧ 2; 4);#F MX? !+@Um \Uv"Ͳ_C$!}k(tH=O7t0IDJ,8|̿5yTՓN=@ Zꋹ_ 'eH;E+~O__yߍlre Zu<5F+ĝVm޷4$bfN@B-լ*)~ bo=t7#dL.$8HT8B+Yx:sn[Ԝ9KIʳ>u ue%/+GÆB #OF h L7;ISAܶ>;Q ;IٙpyI"o];ݭIv L04,_EGX6Dd|YVHmɤы5Ӹ 3ϋ1w7Np^X;݁=%-cIV\=sKTccTV-`N2`:ɝ˙>^>CjPq2UζޝEkQ/N&Ip}FCe=_뇭#ʣ?_ڊKh{NklwD׏p|ޚ(1< W aCM/^N$9f& T#"5 Ӯ=H }TƴR9/ ,( Flzx0GІRb2<,)ՏYRB5-D?pGAΐzM I=&MehȽ`?ɷDr@|\RLbc4- Dvg4Ntg#HF8z!ڝ szzPş£,AװDv_p̟ Pקzs^WϥRB%.=Q riсз~~{z'gYo,w]8_ف=,y7 ~/V[J||htoXqog tg(t|?9LO6;䗨t<1;^Y)"OxTXЪ^\7IO~6߰ap؋P˃xx| u endstream endobj 19007 0 obj << /Annots 19009 0 R /BleedBox [0 0 612 792] /Contents [19015 0 R 19011 0 R 19012 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30275 19013 0 R >> >> /Type /Page >> endobj 19008 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063055-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19009 0 obj [19008 0 R 19010 0 R 19014 0 R] endobj 19010 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 506.725 114.9865 517.725] /Subtype /Link /Type /Annot >> endobj 19011 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19012 0 obj << /Length 19 >> stream q /Iabc30275 Do Q endstream endobj 19013 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30276 20830 0 R /Gabc30277 20835 0 R >> /Font << /Fabc30278 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c endstream endobj 19014 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1760) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19015 0 obj << /Filter /FlateDecode /Length 5982 >> stream x\Ko;7Sy&`dke0ؓg3@rzݒ%9m8jb~9:IÿINS3Sb0d?VrHic9Mmn^62SNv{Fkfm}-+^XT%Y)~!da9|s|X(*%/  DgyBDyXãI krȡUh8 OB,=N=ydCmj&z 'PO;cdPvݢ=m߷ϵyZ5S^쑝=*`ILR5]u^L !3Ca=Ҩ=lUvzT{6xީ[$Щ1Wxj*Xs*sS1[ OZLCJ"c'kT Tl{J¿ÿ1" ='`$>>0!0dԈ'[O'OI>3.:n M눨[8m'MSf_Sxm75ACi>ʐS pṊ۟10H}DS"T`9"6_4:!:8h:_ٕ}8S!SxMvoZ?ѸMS0dXAݎC0a‰RWiwСCR7~0HYcGpfD$:fYC,]ܘv0ptW zU|ĢcS?Z63{ګW.w16AT*= s 2/@} Rj}Rj} R&P>z)UH5!u>ePӨP0]-@@  HZlLzLj5>8{j[A`)bI~ٸ Hpa L~'Cihoc iVcMUƝ^N\"{j{tNSi_[@ q l%ǣAS<ӟO@>zQ6HcD}kqsQ,[*8Y;G>3Nrc^l! a??s ֩yÂH|]`$\S;KFF"HܑϜOK㏪ȖMRHeYhYNFX0H6kGVdWd^DO#]]U(Pg-2 gmЮ{wbz,;kX =I!󨜣 {螅Wouv2*X93GR3Upz3Boi̾359- H-Oq~Ɋv=ƖFqP=AY\CRm'E%Sa_؍!,n4)L;c+ݬX\-7f疜Z=x䃨]+7/ivdez{l z"ۃ.ekA_C;8*:Gb&#[i=:2)QV~e#al%6:etxvNE9eýq#ae6kxWWc!1x %h E]zV26La{~L;Y}1,hΦ9r&~{1nJ nN9/oSbXQAxT~_+80ES⯿ xf^LC0b1s]̟I@?D#@I |.zhN@4&_Mb-lYإe gP͑k˪L%xZmsNtҐ,.wa(MfxT8[TMaJS(H8`ѹ7vvT1viZۂ"ht#BUԏ$|@Tu9] `0瀝4(1PSJN _h~[J6x7O SS5*gXt"e DP-G mg̼D<1vj`T3 opO0^eZ4-lYG4R`%! 3"W0)+"8\Z9 9`i6 qdৃfG*heya(Go 4F§Bzjy_ [$hBٚCLc ^3T~u0M5RO5=\*JZS8\'Q.bjJXBD+;灲gm«B8.źRLL K@^R3n ;k #?޵n8~ilgv%-p>UVyor5L+W jՕ2W+R~: ىw,IBw-HEy7 +9t2d[>@.GhdP]%sHܥHD"7!tL҅m'ỷb92bvuE);ц!pzEKY9IJ|7 QՖ`1\RV1wJh\XR1hhm0q4&<ݽ~+JոUe&/}I]0qM ޵XR`Z Cv^n;! \0mu rz9}-]Io!r⌋3fH}с> vpb/`Α]; ]hu'3;=/akb> b13)<=Ƚ< ? fjp[(av|=t,.{W q~:țpW YoƇ(}qw`W\3m*on[ujf[JR<+2q6gX oz%4cٚf<E\:+eW,vxA5_C!`YXic=8Dq3i:ne>ё%CLceZҐLrSaϚrI FH1( N.ĩ[1``cCս`R7Z; G݂(w<Vkצo/.և au}oe1xT\Zbbn'7Yz0Q aSg hD_ROQ@ꡲ zӧVl3vgW.MCL;/peAx)"$l2.Cp[*5$~a҂w زwo9NZx(12g7:-mxB +ڂ1ަ4Œe@c iE}The&چ˜-͜՚s)J)EZ=i@e(_8`~D568ȔkBy3ԶD\[^4&]]cqv$h"ꈾ@}Pw9V<OK2wp7t3M$;q˜R{Z_vbQ >0>uuu*MA/dN?d(k%HMաҩRQoG`y/^S=/ϊ-]Kp8ȫu<VAr_ ?d)7IqGkv EJ2%^IR A!H|޳/gI$▴#- g%ZsJVGvKZ TjdmJ|zr%Um& %VߪtQ\Pl :5fUf.zPT0нr5D?k|stl p4^Rv\;q|xdvm pn)+ 땆۷ jP'6>˦i'.™x, ;ۮ;PCU9_a+_eETPxǵ!4?{['YuQ > endobj 19018 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19019 0 obj << /Length 19 >> stream q /Iabc30294 Do Q endstream endobj 19020 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30295 20830 0 R /Gabc30296 20835 0 R >> /Font << /Fabc30297 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 19021 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1761) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19022 0 obj << /Filter /FlateDecode /Length 4831 >> stream x<Ɏ#u< `(((EsO>>52nXrR=n/޾D;W*vu ^oN?_ 3_.*l :̟hoWY뫅EmN 䔍Z#OYm+~gebQRhWX2l Hl.uK- lVmnVx(_Ax y @U {r<[N 2AbuHTDK֌ky2mFq(>)t{(2擔(=(M8yd]>և۵e+X C׉gf &}`9 K7x#Qu'+F:s͕$AkOTXRX{MiejYNH|rlAS2Vr3\)I$m1tݲ_:1w+vgJ\)oXb^iJͪ!SZulM!ȕ(@*v X=]>kOoI(F_nW6>;D$"Q(_UEJ¯e,*@^ Hl jjbe|یM/2(~z 7{;<'bd'"6#n]po%"Krjp+L Llq(#5U֘:-o:H*P`H :l^'/(l֍Nc*[qaTL`ȴ9<Z @ m }~v=±fÈktzAk;Wsq!gNjN$>jў멀c `#uS i ^;KA7:^18S@Y? Hޏ=G1~~+7AS.hM_Ilc ~'_0~V1`xd#Dzf.Yc(6ov(_qǼ7 [ XM3߹/^j%?aD46znnRl,sv싯qGn%Xݫ e|,=zn[t2Rb]y@uղd"QKRB[Z΍4OXHyOqqCdo(nN;\n%ǖ<$^h 4vRs4r36P|\P6GU(3qi[}{X$ dvUvޙXD߯h E BC vA A$DxhbghI|ƍ{B}ɶtzE am,oFa 9}EPTng}~gVY.ln.nǩnA|C;%VK1)ƗkF#lXLf8~;5ovwcM]{O%VʢKZ/ioeXz$*NłTPj:|2L tWCHolCvR&/`4YlhD %0 1^6VUPX7T6^2ɊXRs fT'WvnU2ob>m1|@~Z#?yܧTgl'߄eM4>Lr!:(ࢸl4F:S\qTT6*K2r5&a:ST6Fvᘜ_4 6o+3>*X'J7XJK4+ "Zfoue8kЫ`+J-J8hH?`W(zpioz-Uo&,9l-LLPy w0tp<@.py$O$ںQtOy=h6%yp[y6Lècֆm)+PV0\é-VlE4K71.L}, l]hbHaE7{}{/&ygscsNNq@s¿Bonbp!YMj&ԏĿlvi؟V0/2c8;yyՅ0u N2baq[=Och=GsJy#`횀c9033$>o K|+|Igy!$V`8'"*ȐJ˼x?Qy|A״.IX`yRn Áٶ"0XEYV*YyE*{RI(@0YKue+A葌@SO)1_DMzBa endstream endobj 19023 0 obj << /Annots [19024 0 R 19028 0 R] /BleedBox [0 0 612 792] /Contents [19029 0 R 19025 0 R 19026 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30313 19027 0 R >> >> /Type /Page >> endobj 19024 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19025 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19026 0 obj << /Length 19 >> stream q /Iabc30313 Do Q endstream endobj 19027 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30314 20830 0 R /Gabc30315 20835 0 R >> /Font << /Fabc30316 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 19028 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1762) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19029 0 obj << /Filter /FlateDecode /Length 4382 >> stream x\I+W9:`0Hr3&`^RU,v?InZ* ^>/Z]K8~[x1R˷[WW)\t˷/R?a%vU>Bo{<-H%ΐUP2W!%J [jD.RzhDm,}ګO@3a]>CGlz8.ܹʄ5#gEj/vV/kiIJ<}|9wL㥴bDD= (q@.@#VpsZ+=4\q|7.K5gu W;J`?d+4,cF꺋Yְ֣ȮI*#:Ҡ(b˵b[?(^ZH*]9z}lBJ7pHY^3LD1(9Ŧ.%ɢm`"IfuƲ[qRu~|ou7pq6(^>͎H}c/v pE)F沟4z YgIŴz]5iA2/L@50!Aᓗ?^] wiVWؤlPܷsߨN]54֫Pe:.3#k-^3\w(Nޘ(m-e}Pvnx԰5dSu{K[)00L;*5Z]ILVU QG窤B[>@ݵ٪]}BJ7Ixc(gA K󡨚x6cJۅ D0$r*S6GTwsekKyEN{6hy2.[.U*FUz4v,$Arlsb\|{-Pb_ea"~c DwD&kxS:LX`ZD*{ 6n"U_PN0R\i>M [մqw*{e7꬝#猀9=|0RuKz#VTXCe77nVlmAQ > |T Q愪Qioϒ )jg޼{v` %טM;>4Q%CzL)EWUdU;  &T>W\ v;aP1DqhW 00F0/Dpufcv;}*12U+ӽؿFC۾LQXB}LU=#k>̡ e~Gn+ ҪJzbwɈZW7@[N+[x7D?MWA2hv6To_˿ϋYCHNGzjht62V yU{rCԇg=5dª?X q'zb`kҠXX>e}A*? $83hJZizD]Z׉Jxv3/L>?%\ϳMkJ ?1C>MKȎ(NF;qH8'DLNMC\qA^=6X$&(TMBr`&]_C[Wa2;?TtM WbҌ'4d5YqQ𫧘OY2C |'Ү5U[:9_4;AH|;f6cƖ[h^aqлizT}^;'U>D+1iZ72ɚR?ƣUPhPJ@!H0KY-Xw#*cCƁo,PıyFTekAMFǕ[ Ԍݲ+\⑩Ajw] OH#AZO>:C-z%@(z<60nM$^ ։fVu(QY׷LhMQky)L,Kt#s<7>xq$8'R62OӉ6ݮb[Ѓ.o|m"&AOm5=3`rg>TH@q $>(-V_MYHw wU9SL{.1EhML`d8 D:>,ѢyZs ѳ'N3z\ xF+@gm,+TE >4Eћ> >H"pAȬ@tەޫnꦚ![> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30332 19034 0 R >> >> /Type /Page >> endobj 19031 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19032 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19033 0 obj << /Length 19 >> stream q /Iabc30332 Do Q endstream endobj 19034 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30333 20830 0 R /Gabc30334 20835 0 R >> /Font << /Fabc30335 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2jr$bE0)D,1g$a$S¯"ѕL jxyL q-lb@r2dv"`(Ͼ=jֈvr=C10J,: VT\ #m(Y}ɾ 5Ժ@A=JJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ɢԑH5J\[-48יq|mau3ͪGFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w7 endstream endobj 19035 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1763) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19036 0 obj << /Filter /FlateDecode /Length 6094 >> stream x=n#Iv<`: Hdo)'=@ſ^X3HQP"#23oMŸ~ҧ6gKҟ_*mھԞ5~lJNg|UYg};k0AP[Z&6C!v 7jpyFcOaL`}Z  sU(_T"9Ŕ 1-LWT'Z^,xzA87X7J9)mC&xXeOHȂg" !%1DR{J*&z“03,3 ! (CuLYuzخI޻^r:ޏϥKoTe`ö)z\<= {. _hͦk-{lmݬAXk$Ih>aNv5)9Wu96U :c/glFOَY6UKj0ծ E" B2 l麁,R߸]Z/_k!irʰ+Xl|A` W S2Er1v0LgǦg7M?SK z e Aq֌謖| mg[iՂ;>=q :p  tKr݃ #( =ScJ{3k6ֹ6us=6ݐPM܃AQ~)4}Ga3oi7q>Bv,>zz5' Rװ@w εqvR@2|yo ,ڦ0T64h!rI|Nσm!$_kM\8qE_m6zJ)i;*>ۯG8qH >c+CWc_6{=|T9P_hiP̀FaA}#Ὂ$by,B yR1pq+mY&5CIAp3~Эn y򄡴7h䞔۵ d"l_@^9qơ5ٺL&w+kN#1;w~F?r.v@ Ivظ8[;Ŏ< 7a(:J3k$^@[#FN#<CC&kN=jܤB `&8~~"$be@R?,t!Q"9`iK0M4ffϦ) :+A9wTS9XbS r'x1z ]ȶӲV utU-QЅ6Zi`@2xS͐γ(@JH u%j]|9skYeq' ڊwG6>għKue+`Ӣfӹ0\?B7c!h]#OrU-{j9 '}HTc:6sSwJ?p < z|l3WG-[x[V qn6_D'" _kE% 2^KۋЯӫocCT*pk_~G ¾' ^o;Ժ! & B:+!0 V_ӏC&9ۚB'_;{7&'kQv I΢ +mK, ?/2Y2WWʇ ٜo-<4:6M>E4ɕPۣD! }=RѶ{t5'YPTtr4^;%c(!yqivciw&0froתx"Z &.{(|sTr\rCDtdmfhQ<]qj>W B U~dHWfO!1#ZE-b-1\|2<z,%59B(RZ:7OKzL՗=2/N8|9%pIpfq'Vuu #6'L HdOxsrES|S:!X{NLXhFH''K˽ͬvg!!~GUpe 9V᜗9UFD#zD!*0yy:yLͼ7 { I3齝:0+ˈ15 h,YJ=UРyo4|jn]_7Oc)V-mCIז> ;}K)g?NIt>`T7v[nM[:::OэmJ8(# @I Lf؜|т;-{"Ulx91gإ7̲C|lf*^υ#O11zJhFrw Rx9Fqz 1+#)>ww]ObWw{`o<IcuZ#Y 6QQsnі9_zyJ%O nN:UcEsS"buUʪYd8#GĔ-%W<4fQG3W})߇2x̱S-cx31~ȝ7շj҃+n_(*xyƒMG#H IfNcY )U~ժN>kW•sGjxSw/Nz ._b%5V? .\ʫ:x&I+8;S`K=:'휺oVFBx!%Czf6e+D=];(P0$ĭ+ע&ǁ@݂+vA55o6-+MhttA,mPF.ØQTEΘշrM1j.ӗ9f]%g[N[Bw1b']j NN++yQ&7yMLc~uQ3(;¿Uk?R ԙϖ us_9ݵUHӇʾpP%% f}=xڸG?x +6.ށ|#`do2^QԠW9>|z JT6iBQI~P^.{ 6Ca2DWf0 s(5uhS:^є6I䛷3;hڟx9WqOb]3?,E*NTQֳ cIIεPSF%Ta3%4-DŘBcGA^_)|Da`ɋѸ~`cy0 S!XHy&1'a)^(N|7@M)VG{URAv WGn@6^+vsM++hY8 s T:,i9B;\R,ZFsX< Pet{}# T40 <}8PP7a( GBJPxCa;۴x@/tOtO 3Z 6Jպݪ*T ]  `EyxOck9ЩüQlvh[K8g{(HWZ!VjgԯL0r nV}MT5ĄQ\L69B0ȯSQeZ|~y`ЪЇHSv[ β,{+ `?,eh--[OXR?C2H ?smx0^~R>\C-zK扔v~=lӭu:]6T;PdzoКN֮VtY&fW$I {oDj&6 th+a0-F\wͯPR+1\D?أm.% "X6!\H} FRs0XU\vVST}]u#,eѥ8L2h=|B:?\,Ek+봎s4G^p6ijt ҉¼H/o@Zӫ||#|yFMXuyO߮= av.հdXT|IrXي> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30351 19041 0 R >> >> /Type /Page >> endobj 19038 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19039 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19040 0 obj << /Length 19 >> stream q /Iabc30351 Do Q endstream endobj 19041 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30352 20830 0 R /Gabc30353 20835 0 R >> /Font << /Fabc30354 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=@dQHL_%ee8>϶gU5ۏ"bH&|բxy /KYsKhf>e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwo endstream endobj 19042 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1764) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19043 0 obj << /Filter /FlateDecode /Length 4317 >> stream x\K# W9+z?>99M zQa1tER '$|eӧ׸(|?j;), c|t~WJURN&jp{0V^vmet5xE/3^y¶EbRY mBQpBrPYZsGR6thZ5aPz8T?jc1K3Jq4tAKMbvmf'iMfͦw {-ϞIy n1Uр}eėmjq*9 *xz(2b hpYBňMIx[[A  zɰ-4SB'/0WƹQHK7jW /r8RDv\ c'ib¢{+ѫȑ[sq6%'gH2MPR{PtDS;H;p\^CG?嘣zVvQvU|FWv R+S{ S~Y.Mh8+v`A`dfrQ, ~̫5i9Ldr4x hˍ^&߫ W VQ*T[m>y_h3nŁ+9C}Kv/Dc[a!΃~ wa `}{>Lu5d4fӍ!nF`C5fyKYRɑ[WxL:š@)04 C{?6#D &!}&k.AUM&}=5D:& ;rƘ,ɢdRdtsi,̻4o fsF#luY6vZ[b6[*Z:`ԶC%_]JTY%bɝf[ym+v=lM/j [Znk{udbtoZݢc9ˎt3IU{+U!r(C;pACK58;yq!-5Ҥ̣:_=̣xRK:xr4?}hGezJk1d8]CSUH|[PuFלCyZb}}ATwfginTh}e"ųjW^wk;i sBmRB-=,)&Ec(9o/M hRz aWzɠޔ9oIW\^]mɝ% mVoVTerk~pl6ĝ?h ʶ0T72WTf.[7)Ƹkv+" ~nH²dۿ6dxc}z@ǵ^ !+-Z??m?CXf X!rg\"_W 1 ѯe0x:r$nQXލA#-孪܋OG5U)TjmKneJ} [@yϐnレ?|&4Kk<'SPS_W%<^Nf09P088{-@-ӎ`ԂdgUx{ j٬W p@Rͽxi뻅[;ޘ/-L:ҙXe'q1iqz—릇{;_nϹx"K (TE w٦ykAmZTGxu0!LRn߱GUջց"_AnfG @·4!յ3lA45σ5IoQ U7![I&$4}up쁪-A j-͹c+cX}* ?̇m6xaڢo$wTԗ؞xwB0=!y C^T^;3ީg"/jeZVl]vR=4FC$̭b״@PI< &=Xh߬Y݃Dújި3`K߱ڹv)נۗA ~8퓨_88_6\l,ǯ [~?-.f !9鮡h^WUɟ( n팹5A֤5Uyۿ_&dY|XX,I ڗ}`1ɣ;0`94U#-[=HҮUX%rs|ysN|`^/`>%3iM7i'=TiZj͉ғNv,Ze(!Unmjuv֥ i8n3aϚ5L;dq~Y,pDޠ F1'jCVx .2q00/Q(s} v|F>RS;fLع%ڟGu>W*_R S \"s0E[?E4tkPϩ$PiP##pCW+ՖcAb"W|5rq(b3NP)b_jP* j2:RZy ۻ*35>#i<2iܑw|qy7xNX'Xs֚+]NtgoЛu֯&X<( &Ή>Ԭ ~h4ٮM@ Ǯb?~KH;=_OS!!1$/lLZFTj:W8I\L)DqbAcX\شH*U0Y__Tln|-i]1稿r{U8g )v谆ma90_%n>]{#OWENWr ΃]s*?n^ω5ԶkIׂ{m?CSbEmG_jT]ٺ*R<凊,Rե*Jmڳ=AQ Sc;J{_1.P9h endstream endobj 19044 0 obj << /Annots [19045 0 R 19049 0 R] /BleedBox [0 0 612 792] /Contents [19050 0 R 19046 0 R 19047 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30370 19048 0 R >> >> /Type /Page >> endobj 19045 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19046 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19047 0 obj << /Length 19 >> stream q /Iabc30370 Do Q endstream endobj 19048 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30371 20830 0 R /Gabc30372 20835 0 R >> /Font << /Fabc30373 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[$6o.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo J endstream endobj 19049 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1765) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19050 0 obj << /Filter /FlateDecode /Length 4225 >> stream xɎί@)I 栁&'۸In{mԴ(QoHM?MfN>O垙[:LpgoGrLx "|^ P4CQVa8/?j=M/N @kϵa'7"燰J7L!M4YF~_I6~ ~SxR rtd"xwl+sf?LXQK'h`"= D^aQ1 Wk>≠ T=3IA .6ޓqOUY@A]3<0~:D].'mO=|2o]?=k}bZ_+oW9k^fYR4?ue2'NH9 < W7&ʓOs I5%~@nz:j %D.,xiRƨIMlrӆ40F%Xh( ET{YԔ~e>`tHMUZ("*`Ч5MF莿Aí< m%W `ɏ4BEL@. 2Ȩx?B<ܓt#z6{i-^촶4N$\ Pd`2QZ™y]+2#NCW+?\+ \MtE]O PXIԻ|kk$O }J+KKz돣y* ̈QE@jУ̔bK]ҥIy1ut fA/3߅U69ٴtY^yE[G!uZU\l:68n38P`ʓlW}BNk5fVF(4lX#JuNpB_! ]bLlPQ*^0$W.tboTcێ/X0SzjAA Q)zQݚ1DHՁdH&GSu1{rptPxm -!r͋"b4 ެ;;brwAϊo#}.+AJoE` <+]a+RJnbE_{gʲ!hqϞScL1.]#ːfS|*)6ӷ*%^l]x_yo{aOY; l-Ǟ3gѤ! >W74dUCSuc&![3FtP{ZA9EHU-% cu 8ځ}[Q72f %a+5<^64u9$}笔8]yj2;fME8GkȳE eaoh5advMrBݻ qq(^U@6cJxC\DkK6k!B7Kv۶^^u2);҇jǭ[lB4I귕"gyY֡6ٱ A(6kU/Tlӈ!gz+Y1zfCC0um@nKXז{/X=.wTDS_ϦW 8Fqbrp\yY)D>e-ű%JK z-"^}ȫݦn7Hݠ5S2pd VPTQ]jSv25)ϤӐA&zo9nNѡfKSY~PP]9g^&.MjܡvqO?R]AOݯ˼yy]u}==nHފ; [#ӡû֍]9T˶Ų&t84+bMq,QrRMZyn) %Z@8{K$R2'ÙtlVVR=::w]kry-9, +&=;ą#̢qN=`Qgɇ5*?34Z]=|ީlMlUhkƊ._rtw߮J.CsҘs.ޖ%eS!ѩG]w||nճ{~бqckAJ*ԾW7Nz탸> p6u|[wmqT~]aq+vI/uoiåfЩvN8kO!`^um_|?^97pd,+oB1Vmn/]wVԫm-: >UCة!cq۱˱f>TqR}֦Vx8侓%`G/2c=4s@ޫOef<U۽C"x32nlՎtf+.Ul~NqYT8fje :[C:,sŊar r;gbӽN~Cf[B֋c)""hgo/[Rwu*gw_87v(nzW%ub6bޓ'HNb/}4@ȫvGsoyn@6\RiԐw=͠asqUTSMJ߫; 6fACΡm /o;M*ˀ]WXk|S6_O3*O{'f ! 8}7wQ}0U=dbA&OQx/Nvz2wU?^щ[dskJvaڝ#)aq<xG)JGV4t> V:9Ω.M|(\m1ލy|@^~Qk$opF-`!_$kRrțM6VN(ZDI73<\+=;\_^yYf=CkuO ҂G2bB sGv K2 >+VKi%aai^ JƟ2㵽v"AuY֥ FYC?r U]=RlA,KN'8^܄8\PTV\Ft|{&׊&gqIlvrT?h}etCX &3so^>- IzA>NRoҨs\7N2 yM/ ţVz"Z1x"2$9?G~=ZON\3 ):R2ЮQBf}]LȟoogOKrmHg$U ,~\ A#>_""=Qy> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30389 19064 0 R >> >> /Type /Page >> endobj 19052 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19053 0 obj [19052 0 R 19054 0 R 19055 0 R 19056 0 R 19057 0 R 19058 0 R 19059 0 R 19060 0 R 19061 0 R 19065 0 R] endobj 19054 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20211013063105-08'00') /Rect [104.1732 453.7346 169.3812 464.7346] /Subtype /Link /Type /Annot >> endobj 19055 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 437.5346 155.5267 448.5346] /Subtype /Link /Type /Annot >> endobj 19056 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [104.1732 421.3346 175.4312 432.3346] /Subtype /Link /Type /Annot >> endobj 19057 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 405.1346 178.0272 416.1346] /Subtype /Link /Type /Annot >> endobj 19058 0 obj << /A << /D (unique_541) /S /GoTo >> /Border [0 0 0] /Contents (find_top) /M (D:20211013063105-08'00') /Rect [104.1732 388.9346 144.1362 399.9346] /Subtype /Link /Type /Annot >> endobj 19059 0 obj << /A << /D (unique_556) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20211013063105-08'00') /Rect [104.1732 372.7346 149.8452 383.7346] /Subtype /Link /Type /Annot >> endobj 19060 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 356.5346 156.7257 367.5346] /Subtype /Link /Type /Annot >> endobj 19061 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 340.3345 165.4707 351.3345] /Subtype /Link /Type /Annot >> endobj 19062 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19063 0 obj << /Length 19 >> stream q /Iabc30389 Do Q endstream endobj 19064 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30390 20830 0 R /Gabc30391 20835 0 R >> /Font << /Fabc30392 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!RBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19066 0 obj << /Filter /FlateDecode /Length 2486 >> stream xڽYK$W輐JASP4`1=}K))gzg ٙzE|PDHh-_Uׯus:E:or]S_2_>Y>/*(oM@OօjOQ"ANWs].r 8bm,ے wIH,jT;!a$ q=a4OJkJ4Qp؎ntf7W}=6宐ݷ'3]+Br2zLy9"Qb^wqN5<*H'}we+jN.Z+U)}3֊T͚յC N=`ѓBQ0EwJשA͌@=`x*jboi{y=UFO2n %$I7Mex句9^}!U5@Դ2%'OD/o"J  +51pLPM=9S, щWn}qOÍe(⍙Ω|f*<α<xs:ΘcuOsnk7-M:X~ ^{%ѫ%_ }{y&𧫣O{)YY I;@ u:$SeG9i-=n$JlFpGcq3FTsٿ *`i،ͭp;''EX '4wUV!' endstream endobj 19067 0 obj << /Annots 19069 0 R /BleedBox [0 0 612 792] /Contents [19076 0 R 19072 0 R 19073 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30408 19074 0 R >> >> /Type /Page >> endobj 19068 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19069 0 obj [19068 0 R 19070 0 R 19071 0 R 19075 0 R] endobj 19070 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 422.025 124.1605 433.025] /Subtype /Link /Type /Annot >> endobj 19071 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 422.025 162.6715 433.025] /Subtype /Link /Type /Annot >> endobj 19072 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19073 0 obj << /Length 19 >> stream q /Iabc30408 Do Q endstream endobj 19074 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30409 20830 0 R /Gabc30410 20835 0 R >> /Font << /Fabc30411 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 19075 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1767) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19076 0 obj << /Filter /FlateDecode /Length 5386 >> stream xڵ<ˎ9w~EP.(RY ͳ0gsxRlOU`0^`Dm1'J.__glKӿ?~W/]bVcr˗oi9Y.iRO~]>}}F!*',AȷoM/˿EdWKmMf/. 㿗ϼBy.K(kҡ.SzZ"|SxZ2Yh˓r][)П龧%}o38| "D0ʤPkD5F{@d#r ~d‡`+Կ}qq1ڬi3&1 BdzqYR/ C2._@&.רr= XmX+a_6rv36[+Q3Tp`W m@):6r:6lDxy|G`&d q?;(SgY#YP$]v99Ch#XGdB֢__MӮXS}Tlnmӗ5 m` A|-sL('Sޘ>llgh3DNUyG[][j roTu0ףy}K-wl0i3'$Ζ Q\,K [K1Ck4Gt&7I,Z&BFSr}Uv|'גVн<`v]烛w~\oGȋ灚#)Xųoڰ:}yhN+ Ko<HG ;D_<й ˏҋpC[Ln9r 7J!<+%ɩ_4 },CSŶ)3Q2B/E/i课 XW+]9^AC$(&9i rq[8UPxG Tx9 WW;[C`$Voait*GHux'z%hat 7ڽbCVzOf7Wڐڣxz:nn&ŭ$5~518?wfVdHXbB P <^5E3cay v_-jo*=YtTCe.o3UM\PQHrF%?ӣ;~g ГJV52Y26^tX5uŽ4s cšĤ6Ii&mvkD4;+jxt4fZfusvDZnIj5 PInfTѧ`5\*q^1rgN"C@ϽՁ9Rs6'ܳܲ٠sRNNA1svs8o >Iil6ja6jf=R ;r[-sP {U[@=6fЕ:(ue9)))]_q ꤄"ߝ:#u[u=Mn3ݪݪz#^uR:-?NjVgiI^'?ETםNj"!i|U(vМB yړV \'ƫYmz=b#N`!L`}gtF}c^Bby^~ӱs1rL]}AM5MMV {20r>)"m ,uexsLEݥ\xY'z\Skx7m^k bZ@A6&KLP7DL|R@!L<hJ F/!oV&啋8ӐF݆zn#_DF8( E1y4JWC}M{ǂE#L#wLV0y f4jj" 6x\IHlG Nj%ʎWa6"7;`-όҖ ̙?vC)@+ bnuML^M5lBf,ܙBrm #0U@@'wf{=PղH)jPM0E侊tWb 6K}1}"K,-7d OPodJp]yD}'t)\|Ohc]s v-8\;%]^ jc/JК\._8x w1sx@ħNsWa@luH}9adJL 8x44mi^=%B0f `ȐW[Oɘc}gXAsC h "_,;%s]kz0.#a&msy @Ft|=*O?H \rW,(#k2[$Q-)'CupPOKGW Q簆 t#SvLKsyav WܺLE`o\|/VD^zʥ|t4~T[={>}󭴁KO :v_0ld7i؎øa %h Q͗ #ٴת'Sy 9jb[, h+>WWHDYh푾d[,ɺB jgsѼ ʱɂMh_з:+XT#FgR鉂vYߵ ۳aWHZE>#,qc~'6#Q P#3c!T/`A'wXa`K못UK?J͋VBFPQ+1n-i9 //zCbSE.R1LJn5mtn='m>ۃ, o \U[4>rNP댹vޤ?r_rB*T.\Zx0JvY_T'!kzxbW8k e<0g+}RcV;c1$UO^2j X5,ԧƃ 1֮S ytlPdPug=GdiIG!L CVhmnuonTm!U%#Im#ɾ81҆ꑙ?CHllT툧ވ`Y#=_d&%|^=/S"#aoA3YzU=]AJ:i KU7Nc^c6 PoBAC*ls* ]S5y-Pm pjG@ѓDڄN~XrwB9RMWk0K?|$vvÔGg[H}7t' @)5+>"gaQc䗝q~-.q!gBhzߏsF( q !?Lɴ!x̺c1|x}n>>ğ99V8$jx)~9RWtw:3H1vG1iwLiMpy=;AlO\j7'm4â2,n#(۴őPbĤwzNjrL!"zbA> lC%tp U{VkϦ#?Ql$<o^vLGUjQ&$FyxXORC3i}W ?  ,N 9LJYP0T&K>u7!ՐV7eeqlJ5}IBO+͎5.eߓodU>L"6™?iND<-:yFSqinHnӸ)]bt<^cwfr vj6eT)ϖ'ǺMQ.Q`8)@bHrN`g-f55Ƃ*Ld|r5e#N]Pm4vȗ9Q!J)_1vn`~o g*hE][9 1W{̗1Oȫ-w ϣ􀻺Kuƶ&,tOc>֎@9uVɳrh Ifҭv\)ofP/@Xw[ib`Icmej2Fa*e?^HL-D߀JWkPrO, cHa [, :j=.n{1Cey`+[O8+8:G۠ ·!|חTmeKGc.bxMC_ǩDt+0tp@[(ltJ}^~+:oi 'g#Ss W KkEZPE<,;J%_R  zYxKBni[;\M&e) 95)΍7Y1.TLF6.ۍf|P400\Ǎ=Qv}V'"3؜Qe[M*w㜰|vݦ*ncV ooH|}~ H{Y_,1^\"x %ZM/-u*q%z08z^ o^H+Ϙ8֘3;_/Dȟj=3|G|7d8; , ÷VJ/a C>pk .zDP$@(Ž ӣ?zyK}L}WF'IHen8~3{Bd:W!82WKj^! endstream endobj 19077 0 obj << /Annots 19079 0 R /BleedBox [0 0 612 792] /Contents [19091 0 R 19087 0 R 19088 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30427 19089 0 R >> >> /Type /Page >> endobj 19078 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19079 0 obj [19078 0 R 19080 0 R 19081 0 R 19082 0 R 19083 0 R 19084 0 R 19085 0 R 19086 0 R 19090 0 R] endobj 19080 0 obj << /A << /D (unique_365) /S /GoTo >> /Border [0 0 0] /Contents (create_ip_run) /M (D:20211013063105-08'00') /Rect [104.1732 397.7461 169.3812 408.7461] /Subtype /Link /Type /Annot >> endobj 19081 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 381.5461 180.3482 392.5461] /Subtype /Link /Type /Annot >> endobj 19082 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 365.3461 144.0317 376.3461] /Subtype /Link /Type /Annot >> endobj 19083 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 349.1461 138.1357 360.1461] /Subtype /Link /Type /Annot >> endobj 19084 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 332.9461 161.9837 343.9461] /Subtype /Link /Type /Annot >> endobj 19085 0 obj << /A << /D (unique_25) /S /GoTo >> /Border [0 0 0] /Contents (synth_design) /M (D:20211013063105-08'00') /Rect [104.1732 316.7461 166.9282 327.7461] /Subtype /Link /Type /Annot >> endobj 19086 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 300.546 183.5217 311.546] /Subtype /Link /Type /Annot >> endobj 19087 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19088 0 obj << /Length 19 >> stream q /Iabc30427 Do Q endstream endobj 19089 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30428 20830 0 R /Gabc30429 20835 0 R >> /Font << /Fabc30430 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ  endstream endobj 19090 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1768) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19091 0 obj << /Filter /FlateDecode /Length 2762 >> stream xڭZKoϯ3 @nؓoHԫ_CRA]]ϯz)4]Uϯ4r|R{4QO_^72z :׃61k +?| pe+-Z=6,ʲ%R5Tg Ky:> 4'zpO[ FO@s'9\NZ>vprA'.ϴ"xK W[W:^ɜTμ9K?-3ĊO!q൤z]B&VZ4>@ֈ* iG&=Nک 8gtFI %֥==*x~t` p.LYJwd&#mgHA%@-fk5E?lONќƐq &uGuc M~_\ Gr+u[)R5T(-bj1a D/@dW1WwrX"սeTʮ @X#gF}-saZ[s8-ߑ3ɖuS\9΢zw˵@{cs$Lc$J0~87$N 6!wNoNN7r;3'5vPvk kDk,_K\ZPvbFS0GR-DL;?bbtI5j T!5h_Dk Z ՛àYY67PQOplZ_N6LBK2"Qf  \z!`$s2gx*{g[Jsjċf'K;17`9[fP, HE6}Rx->zxU8i a5^Ȍn~CYȨk'=Ǟճ?:}n̵K[t/t̓r%mpFV!m!T)74@x͖bIxݮKhtI,@-?0nn 4o恖5cwUۀ%,1mgZY|1{q~8| -:D1+@2 Yimo@^/T򀎗Ղo0C,ٲ,'<S^ d,ѮrLGɐuiPz1Y ̜q++w5_[ɮpb]7i&jI^ F`.||I )U3[YadE8xZAyU|0>?W8g"ŃUEOݲ BQ V=N{񫂹0c՟\{ɎHKկh@vϧ|޼;` 3Po\0;vsy(0&Hyt9Q4">1,_FVz*L_Qt;pE;ǹBg 0 P WXA$+Uԕ߀J53/W~I_3*W046֭_0vW1@q=g8P& b]B)dAVrXGo>be>pggVr|T{ހS:vV'zۅp2g7 k.:ܭ*/+֍Zy~'mhM6 ?@r-W 6Ӫi0[DȻCv&,m3DYze3-(NJąCQ@ل/+A%%9i"ҮM9|\g^}y^ޗ7B |Qk:M6kG50)n?O~܅CG>qj?@y*vC Cel6X/?,DU8 r2(g4e^Ox,ZXa"H6+k 1du93X+6}/Kr]B(=ɍ<~Ǚk̞~.ekV% Egt/#♿uN&RUMC-(ⳤc WDD,+<>U{J%2M9P"/NwܓΧc}t~ڦWP+d],ykW =>>@/<§>e!e!P TV0|qw@%*3#7Cc|mIwb#NvHD5p:E(1Kfn{:SM:i?&Q/UF ~OFЩs%Dh\ G4eO endstream endobj 19092 0 obj << /Annots [19093 0 R 19097 0 R] /BleedBox [0 0 612 792] /Contents [19098 0 R 19094 0 R 19095 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30446 19096 0 R >> >> /Type /Page >> endobj 19093 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19094 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19095 0 obj << /Length 19 >> stream q /Iabc30446 Do Q endstream endobj 19096 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30447 20830 0 R /Gabc30448 20835 0 R >> /Font << /Fabc30449 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19098 0 obj << /Filter /FlateDecode /Length 2937 >> stream xڵZKo8W<@y Rֳ0SvzKK/+<DR$?h %/N?tY- {[Ǡ>L9IqcL̷z ܅P:*i5;XWG,]‹ww_ޟ7A9;t4X_6SZfh2g:$)2o&c2LnL6F:=ɘ4ޭ/6&`z4JE%̽Az[Pwo"m3v1C,,*/=ncPZvbؑHUjp$q-`yy[}2$o< e.ro7Ǡ- kb$vRr޳>a&ab&cĂWK ]kk56_7L PR`d!̘3 Z0{0mN? ;;ז=U<㴖Tg%Yd!8 WʽC~Es3%E}hƴ~DGY\3,˦`i jb츳!-t?"NO~> yVp z%i;RCW{Qɲb֮Ad bX0-5{`ʒMH؜=AL4=Z]XqQx4A͑d2ư~ 9m =DιAE+5u"iQ;z"L2cayUj:(Az͑ZTYostJD*~$,T0!ɦȜRbzꤼ@sh 2"t-ad9kLUM҂v sJL}ˆgl,+fd49̥'+.7 ۆ)S$S%%򒔧T$AHr ̲DڪQq/b_na*0:{lȬ#Y(FAو*T۞(piGS7Ȫ眘!~>-."XaM55> ,W384?P6ovpf'VlT_;|N}lW+X2\``:X˰p,Pf `zl^̓ĚlJsbtl6 ;8)qw''T8]p[ɭ^yv}/'a8N3:8O: 2?NaVH'I gIu8]pRu_ maIz >f s qSZAJSG`.(Qi -I!_*6#f4k%Cxj\&됳P?=yr`jqV>l%%TA?e,/4]{#oEwϽLgzl- eA7+n 6 ׮qzTkfPʕNSvc< n Nn}e,v+QOqS?.VkQ]YV \#h %; ߂!pSx W$l-f򨉇TU2c ke+vbGZ^_p.\I("rbh&vneXu@++xjcLS,‹2b:YVɪ Cr#{FT\19q&jdrT9e@Z2ڭZnW۩AQǘcזGfjo0 GL;6דq}n"AΣpqJu>w_ԡgƸ;s0}!G> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30465 19105 0 R >> >> /Type /Page >> endobj 19100 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19101 0 obj [19100 0 R 19102 0 R 19106 0 R] endobj 19102 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 437.225 124.1605 448.225] /Subtype /Link /Type /Annot >> endobj 19103 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19104 0 obj << /Length 19 >> stream q /Iabc30465 Do Q endstream endobj 19105 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30466 20830 0 R /Gabc30467 20835 0 R >> /Font << /Fabc30468 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19107 0 obj << /Filter /FlateDecode /Length 3792 >> stream xڭ[Ko$W`:| 4C:vHv/_=#i^*VW|6:U.+u\lbUnZ˟јtdPN-7Э! mJ9k.ޮKJ΃Y~C:P7" EE|+JZ-R퓶.Yc&G`RÂ^̬uyAֺ%u0'7԰$}O<#䭗VfQP}ᲧD=1'x%Xxp\ӜuUtX la͙OhKZJ!@)IGSB[_Eyukl)`OYG[M@jԇ4=dc\\ ꓷ 8Q,"îw5&+ļBC͇gsic˲:SVl!m(3KQ2S:St^:7$OM!i(FR#q[C` ϯh`+7G=!ѓ9[ʺز0T1KoGa[m$a[Ye66iTeQ<s OcEٽ:[w5i|tm0((;,yfNzsl1Z!KyaBCE [ڭR?fe;x++lLC8":)mP"Aʧ'J~g5T˲Юm;WvfVe܃];y<|g(y%h(]d׿ `P^rIO&y`6H^z5Xi^'O_4U@Ҭ&<|"6W-K,vEF".@*a_2Lz!+&-ţW=AC+8ӌ)k*էԸאGTX=/qb!Kpp0!r`թd*re:.dz!8pBsDV)RȂzZڬԪŽ6ߵޜj Ul͚&}3 "[rTP/[4sCSV@KV{"Ugͮ(#ėnWI6wA\iqt!lW;~̌`&HVOL#8K_dAĎiqC)FX L5& r46~ H&{&JR͌G :#:kދjy9bsDfkqD- F @ЩߎɆT&ZMWN:2]d+u;[ i5Ocכ3'8t=vmṕաUnn`a70\a`Xp, w7:XF2WR9OA+C @n;ue^e(pR`n NNn ''ipn'I8%AH;|>f v))m 48\>ȼFh] OhڹB.ٕղErK:㎊'Gc5aj5?'<~InU'qYi-w|؄ |fnqӽ^7Ni)=|# …5YpD6'cfId+W[7~U9,kmIXMzEDεASȡG V9C:2h3斕OO6>jm欓eP:$Gf0Z}޸ (E ӬEV9yz7)pvkxT5GhT\[j4{c@V_,nOx_*nPGY t,' &ҌgC;r]L{"yۍ0_r Ѧ^(BA0E 8T=Ctg )2vӇ K0`zb ~&Y #&"CP+@$Ix*r-ߗd|[5FUzŻb`h:lN I!pCdpO\17y6ҹ6mf4VTQzތӺ>9E u%->֢ݱ׏Hb+"W‰>JڬmWPFU鈭6bJֻ/x㶺ըnF+0Q`aܩ 5iAYs9#HPl7|'  -dMP#7d3=E2s@s*hZ*vk9y~OpފBol푧m?Fqą'H6 KnçBT14\>Յ+'`+VnnBMf_N^CLE+E>Sm(WMʸ݌D FÈ]#ɵAxW%fUW_.Ŝ}m\][>hv1nb6oe\Y4k1 +`Gײ2d5:7_qCgG]xO#l)OQ+܁Q]1bnZ29e[/.VT?N5JK< Ssk ! #_uj[J7i fɉHT)"8,Ij9`_^$U,CSZΔB%&&3 AG~IgQifdZ㥷1I$^QFjHuZr!ǦF){R5f\⪘,0QxivΑ]e)dO?)tnK1ǡ,'6ќ<_H  LO:6@GW~g9e[oXo#G4,i;e.(`.<]wt4<j\l-r(2ʁ_W8B)v F˅U=a܃kOx>'ܽY}-7L;Y1o`F+pV> ] ij(߬g.ۉ/Оj1ઐ(btn}>OHT֪=UL{sӣAޯN5+pD endstream endobj 19108 0 obj << /Annots 19110 0 R /BleedBox [0 0 612 792] /Contents [19121 0 R 19117 0 R 19118 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30484 19119 0 R >> >> /Type /Page >> endobj 19109 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19110 0 obj [19109 0 R 19111 0 R 19112 0 R 19113 0 R 19114 0 R 19115 0 R 19116 0 R 19120 0 R] endobj 19111 0 obj << /A << /D (unique_563) /S /GoTo >> /Border [0 0 0] /Contents (reset_runs) /M (D:20211013063105-08'00') /Rect [104.1732 398.2423 154.3222 409.2423] /Subtype /Link /Type /Annot >> endobj 19112 0 obj << /A << /D (unique_533) /S /GoTo >> /Border [0 0 0] /Contents (create_run) /M (D:20211013063105-08'00') /Rect [104.1732 378.0423 155.5267 389.0423] /Subtype /Link /Type /Annot >> endobj 19113 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 357.8422 161.9837 368.8422] /Subtype /Link /Type /Annot >> endobj 19114 0 obj << /A << /D (unique_713) /S /GoTo >> /Border [0 0 0] /Contents (opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 337.6422 156.7257 348.6422] /Subtype /Link /Type /Annot >> endobj 19115 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 317.4422 165.6412 328.4422] /Subtype /Link /Type /Annot >> endobj 19116 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 297.2422 166.3232 308.2422] /Subtype /Link /Type /Annot >> endobj 19117 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19118 0 obj << /Length 19 >> stream q /Iabc30484 Do Q endstream endobj 19119 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30485 20830 0 R /Gabc30486 20835 0 R >> /Font << /Fabc30487 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19121 0 obj << /Filter /FlateDecode /Length 2273 >> stream xZIoW<@U/@^rs `NJq;Gpp 6ښM?IM3%qqCQb$!7d/9G +rI.zF;;Wkkm+N0 d!,hUn"_\->@R-&%2mL$ݓiQ@,(?$IKmֶKZ' $ \KfYѢ-ժ!3ǻ|׎kan.xU684pw% 팪0~mGmKWѩmʌnf hk[]OkԐdSQꍺCCSwtum w156Rasۜߴn:H(|?}8H!f.IݾI*Z@H gNH>t|sc]X;tinmDMʂb#h,vSɩ߀DC~n@\WQ 4ob?6VVR=+,N7Aw$Rm8RD eQU5!ubS,%gDW3x? )uϰ..m.t9bܴIrUdFx{2RZ0\TT3,Ue3!.Amɓ_Eh^V h*3LJeRU rS@,X>DB&"-oYI$C GpjHkmN4 qj9*,du44Kk2%Zx&Me,pMT:ty32Zσ0!G] DF:B[3(qRǖϫk]gF bXƹqYMĥ[ZfJ\F l/8I N'+G/ #@$Տq[r 1\}j?&CaP3k_m8 eNŌ? e^PDfTF@2k<>I&a|]gcuDOSXεVGI*`V.UȒ3`P>>y 描XOUxiѣA׸zPzk]`l9 endstream endobj 19122 0 obj << /Annots 19124 0 R /BleedBox [0 0 612 792] /Contents [19130 0 R 19126 0 R 19127 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30503 19128 0 R >> >> /Type /Page >> endobj 19123 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19124 0 obj [19123 0 R 19125 0 R 19129 0 R] endobj 19125 0 obj << /A << /D (unique_77_Connect_42_netlist_commands) /S /GoTo >> /Border [0 0 0] /Contents (Netlist) /M (D:20211013063105-08'00') /Rect [90 437.225 121.8835 448.225] /Subtype /Link /Type /Annot >> endobj 19126 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19127 0 obj << /Length 19 >> stream q /Iabc30503 Do Q endstream endobj 19128 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30504 20830 0 R /Gabc30505 20835 0 R >> /Font << /Fabc30506 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HРЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}9 endstream endobj 19129 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1772) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19130 0 obj << /Filter /FlateDecode /Length 4431 >> stream xڵ~|Lny~]uHjxbl Qɛ:u5>@qPkyYyNvyYSPEdhҫv%xZSY˟-fֶ%5PeB5ViM(<>Gh ַ Vj#|yG2J]m/ÜYMtu".@zp`->|D@h&.?{/7$ cLݼJ3o]"?+>[mUZ?=^JmB;lR'gS5wf`'0Xc+v5{~%쀗~B/6f ip3@ F퀊~8#FjNB? >ޖRm'~ɡa1( ! fT~@ a5p(p!>a8j ț:{c֝*}B*?ש#v;7OߛCQSUu/JfmW04jbj xW)r%ɱ COsseh6y'(#Tx(}JCMx0P"+[^UzU訆8\Kgګ<0 zO~w}_'j>ie\-e,6ǁSɸ/BW܈)#h%o\$J_g+úڧs>wiLQ/:p9V.0 sKj568EWW~>vNA}KҲKNKNSg9-ʈ}asrK^fM>2F8-fm,w;W.' R}CJ-IT$"Cl|3dwǮIe}i$u JJu80VOL@O%OFbbص&{p )dk OOmӝڰ)⯊b@ z([~GHB㲆 _ 9t捐 9+{wq(12NS4/<%4yXh̙yja50Ah|8܊.UrqiLjutF._ɝhGE53;K>rah g9prBd 1~ |=lӊ!YX+L:֑{?4@5ߏ|J 9>0A 8z\fY?+rn89Xl -YB"j0s}U}< dNㅏJL*ᆰy\ۜ&3t_7qC#Cf Hz,1:HZE|MO R0a@jY>SF޺D9:L6k'haC#tDi0Ni0p10;5E8N1ֈvrHpmXzݨcCv iU8~ZbGQc&b4ٟeϸ`UNx,!l7@IϥŻa #GS}dEqcQ 5u,k28 s7as`Ui3Lж רaEܓc!-T.m4ܚy1LvOSԬ&q6z 4 TQzxq*iuVk&K36ڈw*Ni Ƌ6Ҿr?VR_v# v0OFayD/mH{#Sy$ l{1QQv\ :~0zL@}%zcz3GFz-J%މ[Ъ$Xv3}Yh2>_LD4g;{ŧfڰF 8&=ŷiyt b?glR't.VrůxÌpT!G '7qZNw hlmKkI5.-xY^LY(VM[ìJuW57zn]^%k+dFѥWm wl%ϙO&&ݣہ{lSbUj Ynsέd#+5!zjt!D=R6xk_y:~5myύBf `LޑR?/R ¸˪3U>%h>d[RPԷ#x=p-x   Tp@<(J\t$ʭׄAuk Vxh`E|W㡆d>Y8&?i 'i̳BıV$h0rasZ"%bCLDޢC0D)>5)$䖲3,p[Mҿ}`x*|PCc֊m(+rNcɥ^Tm.SM,YhKLT*lH&MvY+u ) m]t;of?݈ՙ<6 {&\7g^\Όܘgpy7q׌7$NMн+_f^C5J1^SmcY]Er1YxC;\0` ;`E_~_ yZy_}~_w˓tVN%Y~cDToA5/xp"^KgnڡA:w"}m+G0dzr(^.v"|D#!SKz$#Թ\M? >`A ?~l endstream endobj 19131 0 obj << /Annots 19133 0 R /BleedBox [0 0 612 792] /Contents [19142 0 R 19138 0 R 19139 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30522 19140 0 R >> >> /Type /Page >> endobj 19132 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19133 0 obj [19132 0 R 19134 0 R 19135 0 R 19136 0 R 19137 0 R 19141 0 R] endobj 19134 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 155.4057 608.2] /Subtype /Link /Type /Annot >> endobj 19135 0 obj << /A << /D (unique_442) /S /GoTo >> /Border [0 0 0] /Contents (create_pin) /M (D:20211013063105-08'00') /Rect [104.1732 581 154.1957 592] /Subtype /Link /Type /Annot >> endobj 19136 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 145.4837 575.8] /Subtype /Link /Type /Annot >> endobj 19137 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 144.2737 559.6] /Subtype /Link /Type /Annot >> endobj 19138 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19139 0 obj << /Length 19 >> stream q /Iabc30522 Do Q endstream endobj 19140 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30523 20830 0 R /Gabc30524 20835 0 R >> /Font << /Fabc30525 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19142 0 obj << /Filter /FlateDecode /Length 1648 >> stream xڭXI\7WlxƐCC!qz~cl7RIU_-*-vDMi^?=1t::\/Q;/ѐA;kj.!󃵾Zƈ ?;}0p 0Prї;&`X.c0?X"tXm) t"|xНS_~)yx䜉5Y8K&WL^RW[{ks-YC~1h ; g3!~2EbRFLN Բ7^ZR7q#|z =)r;UNZhkZLҾ|FXLD_ҟQ&_YeC&m5JVuYV2n#{#^e:x=}pLrVG,s%idBqSJK2׆XMGE XI 'TĢjf.%S24ͷHYBh-D:)ꪝ7N}BwUYwX2Z`(dH7C+N&#{Po㲲`yrrݖ7ƫ[O/\veffڛXȣN1נckQ$0=5|.E9=>FIc:$>j!;6e qj;J6lq'H\o(Y~o `8Im293h7HUhZQ7p Qtk?cjYhjYH醺 ]W-i z,ӸjT[ު[h;*>Qz>iOҙƘI j`o&m. Abg)ZP$Y/p 1E 4I<;6R'|ܦsOkYbGkf#;i ܠٟ&OLV e!4o(r~E?ݎ"c]z! |(じb(*9PŴBِ~ʼnF q׭ 7Sב +i^ZaK%HMa <>l8#?~d~o~sHE+ tB9P1~s)q߉ %ﰱA_̥-\3l׃,\qM׏m;c6c}yݾ~HQ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30541 19149 0 R >> >> /Type /Page >> endobj 19144 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19145 0 obj [19144 0 R 19146 0 R 19150 0 R] endobj 19146 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 384.725 145.297 395.725] /Subtype /Link /Type /Annot >> endobj 19147 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19148 0 obj << /Length 19 >> stream q /Iabc30541 Do Q endstream endobj 19149 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30542 20830 0 R /Gabc30543 20835 0 R >> /Font << /Fabc30544 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶fU5ۏ"bH&|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} L endstream endobj 19150 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1774) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19151 0 obj << /Filter /FlateDecode /Length 4794 >> stream xڵ\I8rW&|]~uj5ޙzS.<}W?'b7F,]KGzθWkJ4~QvChV|cbf]J K([ҡ2obī6AkYBq{e -,ZDn`#(UJZa8Q-[ց@~\xDa?E[%ԯcqq1liJ:1 j@jzO񤒁= B.G/i_apOZ?{ACÚXY̵IhTeCͱ2R4!'> Ǡ3\kJ)ƒf~ᥑۼgV8PKC.9xϛwdi/7i&ySO- 2^@C`I^aS`e"(ɯTwH!ĔO^#=31=s*Q,sW@1j1e!D/c==t> ƞ#cwLƬћG䢵\j@[:[Ll׭gl@q"w\uGP\7H(h$ eiSPl^f/)N=n< |Yf=oټmG 2UhaBPM.3{yR.f|TT-S >bd+$ϧegZa.N_Kx~+,'O~1uCr3=zQE,Xc@rK荑{āwJ.p<xGh"/uAzE*\@M~e`05g?It>!`܋IAP?cIk%իzE9LGP@4\q0n XLڼw¬N-R #CWf ϕa )K\[ 4| 3@+V{!V9Vͪ+cwy??`_J J;Mg3szdʸYe,6\TN_n՘%1)MRbI/{%`$MR{G P6#:k݋ju9bsDf{(1 QC@Jz; RM88w7jTra,ӡϋ ufw-Nfe@q.R.cZ9PTu@ӎ@,h+,,6, 9ž" bc.Ǫ Ԟ'MM َ ;Ȟ_y(pR"`>NVt{Nv'Nt8eAH;|>cAԠ #1 yu7O!u'zsos+Z'K |)yUi/gУiD_Ay/rX,z#pZlČoןSezs޴y2-d+c gYtTMd`nyXXU;JVcpVH똒?;7-q~xZwZE\zL Wv4 6]bZW׀Ǟm陫F8X24bE\^j|ʲl0~kv_LB>L}wI_-D/&?ˑ3V ;ipm/!tCw]}(OX<~ 9G>H~K+}Iԩzr@Fɕ@[Z 2%דkoHt*,~jŋ}bԧ}!Ri|zP{-W* mx>u>).IL٩vs: md*']_W9[nD-ipch]VV=2TMͨ_15 LM^TSꀇo8խ2Yޚ&r r6cH(}Pۆ+[V ;=]8ӂ௦A*t~;}7 Õ>kC8ϝne;N{{A}ؒ*-$a\P\P䀖մpIz{Ńf[u7o?aƕvFI4֡c9~ՙͽM\@ -@ؿ}?sVِP tFuM 厁 =\_ #jwcK axygKT)s0'nx mW$2'Ҽ}4<ԉ 91d 9dz>?NsýM @erA5b ׾_Q>Jqg@JF5D0'\ѕbHwW;eM Ci$~Y]^M6L,:n-,}n7l93_[6|Z95-b S[aO`~ sHϹӁ2`iJZq&im&Q&aGj湕xWoJ&-У v9M6kG`ӂZ k1rmr( Y}ymnM֒S۫ƃ39dxͣp,Ηy A@ Xt0DV0OBeN|K?%Wy&tǤǤ`*]j5)Bthxd||Z?s>$p̕ރ}={2u;8Nz.ԃ5Jj endstream endobj 19152 0 obj << /Annots 19154 0 R /BleedBox [0 0 612 792] /Contents [19162 0 R 19158 0 R 19159 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30560 19160 0 R >> >> /Type /Page >> endobj 19153 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19154 0 obj [19153 0 R 19155 0 R 19156 0 R 19157 0 R 19161 0 R] endobj 19155 0 obj << /A << /D (unique_218) /S /GoTo >> /Border [0 0 0] /Contents (redo) /M (D:20211013063105-08'00') /Rect [104.1732 490.1346 126.1567 501.1346] /Subtype /Link /Type /Annot >> endobj 19156 0 obj << /A << /D (unique_225) /S /GoTo >> /Border [0 0 0] /Contents (startgroup) /M (D:20211013063105-08'00') /Rect [104.1732 473.9346 154.3277 484.9346] /Subtype /Link /Type /Annot >> endobj 19157 0 obj << /A << /D (unique_210) /S /GoTo >> /Border [0 0 0] /Contents (endgroup) /M (D:20211013063105-08'00') /Rect [104.1732 457.7346 150.3127 468.7346] /Subtype /Link /Type /Annot >> endobj 19158 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19159 0 obj << /Length 19 >> stream q /Iabc30560 Do Q endstream endobj 19160 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30561 20830 0 R /Gabc30562 20835 0 R >> /Font << /Fabc30563 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RvyxzyVp8ج_ax} -XwE1e0O] >6W^vqW/ jcȅ柫=0f2 Zn\&₅Qs)N*N ?+L jErM "fZĀ0dD(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19162 0 obj << /Filter /FlateDecode /Length 2024 >> stream xڭXj$GWyʹ/ z|#$[cL0;\Ւ3"X_Df6WN?<6fu X2:F?>[wFHwa#vw}3p1E9 |1Wca9f個)H3$Qt v)eQ4f n@;'vlHƆ 9d RaJȃD!} erE&ɢf!/X 7,A_pA ıh/.bVB9EMc-bhO*\Ѹn}>Y_5͎ᖝE(0d">c8;x-w F2 겗|A=[#gkv獍FbcaG1h;k.buk zJELE'D'f7[BiPAU < "QmJ8cΡ$kSYxxg^]6m_Js4$R 4\#L&f*`W{Kl+ .Zk4 5a[BQWcUȦ &}nIײ\g2vϢՍk{FVyYEx}'(u:ʘi~0w8SM HX\P9x8}tDsB)i[{GX@[ϩ tSc1a3+scͽsP^ z2|ZĵCi\c/>ƴ0CN5~gZ#WT!Z|+ݬ"W1I??/xZͬcq\*W-mg 7gaIp43B}wA Gs tSb #N2ljv{}bS C|Vt+H7K~tKٳش:jR#t\yf+V1L.,u)K7d{Y&?]흟|Q_?QЪ 11[&é4b!T_Y;k&d5+\IIKXpQX-t{~fMx;p=F̀"qMƻQ3ݚ7ʫK(ϫ̤zm]뫼G.MRImSސ4C1L!_~-y9zE'z/ AڰKh̏p[2#EMMFiג?(FmްीRhMS#p0H/Gl3HȰ# %*WM)O0 z)m4#אHRՌK`qŵі2 *|@xY&R,mN2&:@Y,X>ADM̊PoIW1Tq`4(϶$ZD V4\6=B-YE۔xI$@}t>ɞ7ṋCrn˰ &rD3A"&BDvQNQN8S>oH׶"bxsǙ8NdC*+Oa 3Ba͋9Nsi)+9IʕfH>lعd97ˆnOM`7EBt; yio]ˊ]{ND]w HjDt*!Skk=J a~Qgc}$Oh{isIC @w?T0\Ȗ2noЂܒ;ݷO{H4 Lw~;(Tp3ֺ/m]Y\dڽ?!yGN<\@5qzp`Dڞ$(эSkcp =ܗm/U-I endstream endobj 19163 0 obj << /Annots 19165 0 R /BleedBox [0 0 612 792] /Contents [19171 0 R 19167 0 R 19168 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30579 19169 0 R >> >> /Type /Page >> endobj 19164 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19165 0 obj [19164 0 R 19166 0 R 19170 0 R] endobj 19166 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 340.925 147.728 351.925] /Subtype /Link /Type /Annot >> endobj 19167 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19168 0 obj << /Length 19 >> stream q /Iabc30579 Do Q endstream endobj 19169 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30580 20830 0 R /Gabc30581 20835 0 R >> /Font << /Fabc30582 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HBЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVm`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}P endstream endobj 19170 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1776) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19171 0 obj << /Filter /FlateDecode /Length 4199 >> stream x\KoW|S n۽f3@ANNv0sٿz%/-RTXU_(i ;O^~yӥlп→W)hgW}rHow^;[Cݫ^v4R smw*Pv__7!&+~ _mL[%Y?fW%%d 2e,o$gGc3&?8N.+`s  ?qm,L-@Ie}왞&a{Wc^. GU<>6=| zaTʽ B&ُН4_5#< !LDIf;RW!~:%D{r9eyn9+!U 6S "#GJd^X!Dl'-Ԩo֠hK*4F:<=Uqa \4TGAN|JkGc^V?_6 V<.P|R*fhaXG[,Iè(NGi O ~<Fc4ˣ<|T.ffկ>/ԒD?tv'TuAh:4HJu]Dhİ3osMpRfn}NID @jOQAhőp ,%FNxSL@#{Gi)MqjZemjZ*FUֆ)NfCq1ea[ uh(Nqv_ď5{r@3vnw2º7?h{qxh!Vpk^/4[(e}X_ A|փ@݊u ]Yx6K g',4MZL{r$4O|HގkMS-JGv(*4z`DA e2bpRV:λ}gSL!OR(χyL|ԎuSr(޽R{ԍR0W r":/8'[$,Ҋ]W;dy_]UG>.G`Oi?6 ?]~ņ4ӣW`5Y<*/[q 4uClDRv Tz1+TZk6(K&o$7aO8LA_ij-Tj0'"16*|/#nOqf&Sp\p;B*2TzeƓTkj,W"4Tc]'S>FӪڤ([ڍV8]'BCxp6R &0}+gEd,p^;* hy MAfZ^DFKXmTg[5kiJ{b5ΔV{Bm_3irgK_ܩT>4Mώ\9EqR"<9c_(~,>M(JJ;iVlB,uFg}lNYǀLD*m_(J5`@Rƅ^;w+Y]EӋ urI˥:9jMdM6vvj<>Xa2+X, X=X<,\ ub0}L۪LU'ن 7mtQW6Xiq݃[ z*+ɭpR,? '5I0'pR'I 4?NaVKσ؏I gIu8]F8:60،z >f ) z*F: 30 :*M:|JR01bmg:R7q+VU9 )Г' ԗPsRa+${9I @aq~}V哴k4Scwq;7璡`-\+5^;`,IMuK~Ywv>޸sqClC(egD,> ízХA1fHliW['TlÊj:.jոkFe$Lu^UKRA("9!sBT7fuȱ夭gV FeȀR|*9Aw?Ѭr7R#Zr7:tv3 N64O 7$^cKx&oP]L<bD(p>ĩ>1sGVF̖)@\bvȹ͞ Zo:7 3z|,bo>nL9񧔕) 6)Rq'*n5Po"4?鱹2 O7 7DP^ru 3.SbN*3";; N7=@E=BA Dy"TgķeZbV˵jmT*d:qsw#vvԅ,şSPQͫ?NuҒ'hRZ6Nl%I:8'4N4֧re0w{XZkWw6%SuPtcQkp.5D] hÑXbܫU` 0Pj3?si9j'"ioO9/j("2P75K!ΞIAC~ţ\McM0WgAN aSm 7Y.W !lԵLG4y'"ґB|\m9WsWkV]]:s YZ`Gv}!ND952O`a1tɔk9MscLvROMp>=`ҽio i(^y';|L 0 \}`RSD\:.P/O#?k?mj9UCK;X {^:UؓŞ؝Waj=s%މQ=> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30598 19181 0 R >> >> /Type /Page >> endobj 19173 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19174 0 obj [19173 0 R 19175 0 R 19176 0 R 19177 0 R 19178 0 R 19182 0 R] endobj 19175 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 436.6422 172.7747 447.6422] /Subtype /Link /Type /Annot >> endobj 19176 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 420.4422 162.8527 431.4422] /Subtype /Link /Type /Annot >> endobj 19177 0 obj << /A << /D (unique_420) /S /GoTo >> /Border [0 0 0] /Contents (group_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 404.2422 175.5412 415.2422] /Subtype /Link /Type /Annot >> endobj 19178 0 obj << /A << /D (unique_426) /S /GoTo >> /Border [0 0 0] /Contents (move_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 388.0422 173.9682 399.0422] /Subtype /Link /Type /Annot >> endobj 19179 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19180 0 obj << /Length 19 >> stream q /Iabc30598 Do Q endstream endobj 19181 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30599 20830 0 R /Gabc30600 20835 0 R >> /Font << /Fabc30601 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19183 0 obj << /Filter /FlateDecode /Length 2356 >> stream xڭYIk,@s_iEfNߘAxwlT' #JU߂\~|mt8ewu~/}l fVz6C"|Կ釿T3k@c}5Ƹ~Vx-6^A9b$ "^G :E w{U֔ԲL7 |c='l.|pƆ 9t¥21xGk(=# u4Sޑe+<5YT80#m-J0og2$y{qذk؈5kC{ag6ƽzv]nبmqov| `pl-B٬ `&6u`|pnRPѯ.{5b& fzhėGvn@۱l]raC^SS(.`(:&1n8bRRD5Gv) 9Mgi&:=AûlgBs4$R 4\#L&fJ`7SlK .Zk45;aD?WcUEϦM: i $kYm3DBGgQs5ѽ_S#Zw"m v?" v]/2'S0Tgxw6&-aytpůP( ;#gIPk extHkrSCI>`~9 Bt#U#s>&q:Cb ծcvzkw0B~J{O b\?J  hsw/Nw)[ pC!ZcgRZb&o' !'(ibګ; ^̗tC:XrixR\;-FD54mɭZXWI7kڅq gs*ьLBpsnK0#~F==f0c3q  ;+'PN8| ]0&bBaGM%S Hi%vMaƶh(ĺz1]NjBJo〼QR}۬ϥ_⾉R(^rAb JkB  ^-ݵ/e_Îc59P# >зLM"Mۆ9C3#~ֶs!ݭ)4 0t{F^!0!k@ M9_#% WXIX TB,<􎐨23|9u2TEҭe~"ƻs߅> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30617 19190 0 R >> >> /Type /Page >> endobj 19185 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19186 0 obj [19185 0 R 19187 0 R 19191 0 R] endobj 19187 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 357.225 145.297 368.225] /Subtype /Link /Type /Annot >> endobj 19188 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19189 0 obj << /Length 19 >> stream q /Iabc30617 Do Q endstream endobj 19190 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30618 20830 0 R /Gabc30619 20835 0 R >> /Font << /Fabc30620 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19192 0 obj << /Filter /FlateDecode /Length 4745 >> stream xzɌta^??eN:TZ@xπ'/ӏ*AC]1?SR,soCq"`#Ć9b* F1Hdnza8.=*JY !9F@d"T˿G?68m4a?_0}FC1&!xG, ggϿLv? ~?NY$'FLeNOM2pDKa: ;Ӫ|"bnb ϳ-!8'Bc,-OTd2EQkD̬dtJt hV).I\ogWI}K t&CHI'ڢH D?$EO1̍47EvIFY>GJ ٻ[kgh\'(0ISPbl42b,xb0CӼ@_j>0f69&ϋ-yꙭ"˸;ee"UL~6q>ge6j\ H!k aʹ?)ߠ$JCRSVHIfhǐCaALJYfџWUE?0c_K¤ yP4lhh@iQ%#]޲ ͖|0Dt ƟDA//z<|CjȻZoT/Qxy$FB9` .>q+2Ḓ8a#"Tu) M|'PrBH- Arn{,ĠR~0'sT8G G!OP0ρ& _^VXxC.#7{+u]JҲ/&ǔV}tAuf. N3vs]CTN?ђWg VsM + oS(6]1OPLc!!hL(eTg.1 ԧt>= %iH[PbW V Q 1S)IߦHuAvar iqזv Աe9aTOBBwbO- c:>؇Z7ЭO D99WFycsh͛ɱ￉cx٥~5!GgiWncda]?10ʉZV zd of0aFZKGEW8"-'Z@4gf㹱='Xk'X: pw|EYJ:,"NN[ꡨbb˨z<p`>@nfyKB<./UK4R6mC|P{Y>UCV[W}BIb(ܺ suFSuXK{hOrSME|ZJRLP+ole5P{Q ~I7@˩-'m=du{C$ewuI˅ G$ Ҏ_PI{bpZU%Kbzl*1]4ם(p,:X&)cl0Yu>jtg)dxk#B< V-v5 3N iORr+RjVǛ:Z:ɑ٦ ?#4* xIw(" 84HvvK<4.hH8/8n/vRjⲂpvS )U j2ie JʥiI_a9-b R/VT (5 "WeKEװ*lx޷N4DwbD-i_@`k!^DXXoBfVI[9Q*S ' bcZ%zK!5l5 0~}ΎMD.>6NJyaOoa5=O bEXc.gi=\ssq lF7E 8Vz^0E^ϋIV˯K`T.6`Y{I ? (G6R7&rNUKj ~:a/ X>Y>s;$blvh)-f5$W VxvbGS)>dW>.pBCݎ~$».UѸ*8S/X0P?Cٔ2ؤrXW8d"ЁV5u8ƺ|\m1n\Wkw _jiJ-ڋ@*0! V-L:,!~eX t$-焧bh)֖`/:h .p/?@fMVbB@a  ;Tax^'0 cߗ#:l=]QcǂzkȚɜqcJ `Wp? }=7!|^C6`Z2X<(&~6?_Q\ gjry[V \Q @ Wsr!#c9][^xšYS;V 6ieI(I Q#LM/8ѣ^8U(ŰcT)(KthM '3@OaS-\brs.ܩ8/sD3ATǬ%[W3xێ2ROY/؊&d%ƃ#ՇZD$_`hoEC ,'n%{1gy'mOl;Q5]Qg~^TxYS)Y Gu{[B+H0lꗠz~iGJ#&_* =T1\:"#Թ%<0;svX]> endstream endobj 19193 0 obj << /Annots 19195 0 R /BleedBox [0 0 612 792] /Contents [19202 0 R 19198 0 R 19199 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30636 19200 0 R >> >> /Type /Page >> endobj 19194 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19195 0 obj [19194 0 R 19196 0 R 19197 0 R 19201 0 R] endobj 19196 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [104.1732 308.8462 204.5317 319.8462] /Subtype /Link /Type /Annot >> endobj 19197 0 obj << /A << /D (unique_216) /S /GoTo >> /Border [0 0 0] /Contents (highlight_objects) /M (D:20211013063105-08'00') /Rect [104.1732 292.6462 185.6227 303.6462] /Subtype /Link /Type /Annot >> endobj 19198 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19199 0 obj << /Length 19 >> stream q /Iabc30636 Do Q endstream endobj 19200 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30637 20830 0 R /Gabc30638 20835 0 R >> /Font << /Fabc30639 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HȢЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19202 0 obj << /Filter /FlateDecode /Length 2806 >> stream xK#_BU~1خ&44l݁|$̄,R˯RK&|l7YN&S}]_䟞5|KkìZ^yvP:$(/(ퟕ:%o<ãgv^`l_VdJ@2JV2Q[Z%J:Ja>Ew r~R*Iarb}/8oz> ̜yŨ К0ogx4`8k,fI+-V(JnK" Vw#mkϛb2tjզ1U6xZhZ)7ĵwC{zR뻫L\?v?+uV45zQc95,%Zw?jղ|emt>fz(19GʈPWܬuӳ9WŲ;R/ u\&/H'1=`R- 1KlE :(f$‘`lM7v簃p1USq1טøve[m4a'# {;ęe U{`1ٶ.+=9?7Yec?*ScrP_o|SJkpCG{RN?V|N;v"o=Jڶf 84Li+!5WrUj9c@$T`t86`7!wڶXFŦԢXU|}e=};#86,F> 5&5}]|}{\F:ՉmhE|z*;\loKIx9/Ox !nNx0[zyW ǁOٰZFoF Dਔds VL`h̉_h*a&N,S` S{mf .+Ɍv=Kʟa-o53h\uET;R'~~FèA|SӼ7DUa|P b$s,Fxmx0OBD\DS!#J5G%l^hꆩh(R*i$%/jO0xL_w4~gh_6v1#,ňknC^фQ4\Qe <# b$QᐕJo;(X"fM)T>(ϗP3(Pͭ"i[#4Ax%>r¥ (x]ހץ ?|ty+he^4J:n`=^5k"d΁sRP߫'"WgxO, 3i 3 V}s.O?^!PAeg2s,A>П#Kޅͭ[ntnV!u07jV`;&7'TDG@$rSD]&0;|G1LPU1hsIt endstream endobj 19203 0 obj << /Annots 19205 0 R /BleedBox [0 0 612 792] /Contents [19211 0 R 19207 0 R 19208 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30655 19209 0 R >> >> /Type /Page >> endobj 19204 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063056-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19205 0 obj [19204 0 R 19206 0 R 19210 0 R] endobj 19206 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 397.625 145.297 408.625] /Subtype /Link /Type /Annot >> endobj 19207 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19208 0 obj << /Length 19 >> stream q /Iabc30655 Do Q endstream endobj 19209 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30656 20830 0 R /Gabc30657 20835 0 R >> /Font << /Fabc30658 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19211 0 obj << /Filter /FlateDecode /Length 4480 >> stream x\KoW|S {@AN ;e~ŗnw{OE**J%O_'3i9Wv6>3?-4|]_~fz96A/ tzTƸ#I%8g}|D Ȅ)ԞUz}0H.9 hIhHfcΩ_q8LCA}qzhc>>o&͜&l8}_(L ԙQ˜s س_@Z(ڿ<rAdV fAN' O34`Y= e @9g<@,ZZ:pl v6U 7T"Gg-qz$n$n3bjiYTF͇ĕ?Gyv]ϩmB/X +2{{bBӲiA9xq]+Tw6a` 0 3?l=~h!͙z\a8lz >F:r\:3 ŮL~6y>w/t8;kƟyWd445u;?lss6GrzHGq[{|\f0z NB[J Ԋu;P`܂#Xq 2/CGV k; يMg/̆[QX`4c>gII19ɳ g-ŵ/2 r't\g/̼j,s& +j,H'_!enW" d*FN:ѽ >:0N¢%P2$1/rm{&X=꒍lJj%am%$z4kQ-#QwEA>+#mt|o/L! l4THiߴ|IhI>ݪH{(ntFeШjGiwZEZqtϝ:бmoMr_=6lؠL9 zUucwݺsE?\کq ^MCDdĩ7d͢yW4[_$kJԐ~%ѽLBMr8\;uRmġj]s"ґh Oʊ[q3DgǝJvlXIY0B:2TfeƓt0YM+7c]M"nF٪:(^I*rqsDfiu㣟M Ώ "2XPk4μ 3f@mV[#v*+tZ?_ޤY3%`{ɸc۷mzd8[oV\tFl.Ȝ0'q)]RcIc7'`d&['r\4+6IcztWU4fz>6$JQc@QE@Jv7+ S 8M7ЪqNNi"C]`ͽU6'YnZ(`;80)UGd 8{XL6ή rװ:w'iX`s V/7Jvd >U vɤ4[`0>_8}px+O(π ^e<As)UMaRmOLc$wJ&)a&EMp'_IYN^b%w>՚uh㹟QFIu-˒,ea޵' eteaaKLsqתΖud8~(0 P«6-^-AS64)j@ +:5 "XȼR$b&aGDr\d ܸ' TK[M`oèBg IbhYѐe{f#LE8u&gZnpX[cCX&0-p OpbևZIy2R~*K7"St@&ՠ',DsU4c GQp;z>melQ(>Fn+quRTrgt:o j0=2c3 7"k9'*Լ t5͕ 6N^3 nZ0-~U;kި4kz?leNF }yuNУ;Y``ou|TIw"hshfRq\‚WKWXam2*^r  1s|jub<`WiJvfcM @){FL`r8`Yo:9v?=4;0~_zDtY`V9ҩI*N5Xi`vw@;2"LITA2暫\A/ ⽻6ݩ[`-q0&5Gr>K{EyX&/P\K$:N)iyj θy'[Vw]ܪC]|;9.LP%{s kfFzK?YV)Vz$KfAwg[ Îwvk}~~nٞJ,YL$ ĠǺc\>l ޹‘dtKr_'7]h< E7t|X߅]y 9n&|ws9Z;nLfB\=ڡ qSpYH+".M*9W[Χ9̫5Q]]:s|QCp#u8!>x&Jtdʵf t7$4h?\a0鮅=eڼ | ȭ/еs40Ct ";0:rIbQx| OQFZ_lygNc0GδC.]`L<7{!-~^y݊}4=7S_[RG4z}G/eH7隆ֿKPhTjB(/y-̚rRL|Im8ȩu<8Ww8qjؿZ ^ U\eP$3S Iѡ^^8m!HgGm=I%ii`SFktXnM$^&TjfJhoԚNRlaEw 4Uo8R\Pml v:5fUf.zP40Н/V}Vv?PʌC(&e xI0(hSh|4nC{.;L3g/Y_ֶaݛ8LCJ΀!^\ 0t\D+_%6x>1<zx>§|?'ɱIRd[`:GJXdT1Z- I^~,s{6\{VṔBy{ti]}foyoecO|"^ XtH Srxr(8o_ƪCD4%0 endstream endobj 19212 0 obj << /Annots 19214 0 R /BleedBox [0 0 612 792] /Contents [19221 0 R 19217 0 R 19218 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30674 19219 0 R >> >> /Type /Page >> endobj 19213 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19214 0 obj [19213 0 R 19215 0 R 19216 0 R 19220 0 R] endobj 19215 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [104.1732 425.2384 204.5317 436.2384] /Subtype /Link /Type /Annot >> endobj 19216 0 obj << /A << /D (unique_217) /S /GoTo >> /Border [0 0 0] /Contents (mark_objects) /M (D:20211013063105-08'00') /Rect [104.1732 409.0384 168.1327 420.0384] /Subtype /Link /Type /Annot >> endobj 19217 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19218 0 obj << /Length 19 >> stream q /Iabc30674 Do Q endstream endobj 19219 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30675 20830 0 R /Gabc30676 20835 0 R >> /Font << /Fabc30677 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n5çC;f٩c8I 6-$G7]Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶fկ ۏ"bH&}%l)lQΡddN9 &s.Ay)Q8F$!ī륨Wm'uݝCr\vhwe™piF[z7}7 endstream endobj 19220 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1781) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19221 0 obj << /Filter /FlateDecode /Length 2223 >> stream xYn$+xP@-!cNmdu`0,U6 !- KV蕫ӟ_t:],u{]-Ƭ~25K4Q?MX99c1h?&;WP1pLL±.&X`OpGvc# \LAL^K v%H Zg"ڈxPe>DŽM9+&"Dflsc>,ZIeQjM.u!L2IdM.I$(L gw|X}gs Kɦnwԉ=*J\WhrsY_*H ![ FB4U@g}K\K~MsC7nn1 ZkC^ tۼ85"ʔ:!̞V죃]=x r>iK :ai6&-ayrp׎" L9|,IA)lLderrK?uQ} X'Ԗz;GH=ξ%K;֬gfEcA)%AŽk vsr(`P^β?/敏atlbG U< l_6ɱ_w`FoP>%';*ԕ c^a7 BP-M40'En[#%X*(>Q^[ܤEG ;6px v pKJηtgR[ĪVd^OWr1>TO&ܚ}~Cx(M} "7ï4`G#6u1Ó -R;*XluƤ/wJ6,[f_~{E`!oNIh=2Nzb+XsYӴ]*ul_Җ8?|KWڕLw.Rz ]-.Z ]o9ڽPl9 0y[_'7E5֑*݄}M0"@:(y2IN@Ya^s4>r-Z^W%jyEhx A@ұ%z.r?ioww`ƚӦ cWAf J_:t0u}lv.07]YDl*#njS]roZ'ocQdvɅlE_tX0Y[:/|}Alݤ ?"{/$v%t\,i}6KJ?,L|#^mdWZI.-(}.m()Kj]%ψ4C1gLd$NF|pg0MGLkR\ͮjQ4LFE*ŷ !HsK )j\6B#^KN6j#\ULxMSÿae^L^ȩYGW[ Tn2Ba R^i{n!UmHU3Ru;nXJ-GWeaS >]m%wMit4w +e''% i}nOz4ڬPq" :ڃh'b4XR۲talSkgif-^J™Rz1+**۬Oc4cVBx9e#qG=5f0գI;3qn mFM qni aMD޴i)7Q;dAN+quflBlU/8.Dق oT63#|0"x}5NKKQuo=P,7+ U]. |W!qߪ}F! ͡[?Ʒ? Qdmy<,7Ks!ZiSZ_W8 ]0z>E,6!;=灅?gbx|wM R*I; Q23{N-\0y$ׇhW^g1޹9'XXpQƶU(TqGӦ* #sj"k8(u-ӅM(?p ~=Ρq>dFbpr- 9> endstream endobj 19222 0 obj << /Annots 19224 0 R /BleedBox [0 0 612 792] /Contents [19230 0 R 19226 0 R 19227 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30693 19228 0 R >> >> /Type /Page >> endobj 19223 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19224 0 obj [19223 0 R 19225 0 R 19229 0 R] endobj 19225 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [90 437.225 135.562 448.225] /Subtype /Link /Type /Annot >> endobj 19226 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19227 0 obj << /Length 19 >> stream q /Iabc30693 Do Q endstream endobj 19228 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30694 20830 0 R /Gabc30695 20835 0 R >> /Font << /Fabc30696 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=J endstream endobj 19229 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1782) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19230 0 obj << /Filter /FlateDecode /Length 3969 >> stream xڽn$ί9@|G@ANA 6nRkƈǥ*no'[VwW^k1bпoqK){`L, ~W+a/ksե6@_g0GNhB6o_YZ,[욬g̔ΔqY׼%X}#΄=PVj@_XQOh٭ Nf YȈ#%%z'l'#}|.k_)I[cl/_g LR$=LuuK(/noǃ9*?I΀ X?cxivN V` K,eX7hh糭'졆% )23`[q /W](~z~#Nq*HRJbJ:&QBJ$ >Nid ;C'9q-p琥0]aB@qnj4O7b!¾cݮ$Id|"({D >?Ed;S?nʶwQ tT&3U.St/;ПR7b cы 3hz2O쌎"$$ #o:rbՃ/PӋZc-re@ '(P'x،aP~47' X(ڵ)WD‹f1< TZkn6@a%jrl_tIhf L_iƒ=T Ighj*l 뗸X2%8.8pu!J\#Tge:Tz!BK0 6oT#'MFjkRRkh~~s&0P.f6 7kibSa,ْz%@ת)V@+ՒBs]5jӕ-tJ JIg%wfRɪVő:Zb˝JՁM3H566W(Xg6I4g. iW"Vj<&ϨE:9標mľnIj√t$ciTIvM.8\3hn%t|Rn 羂Ձ9Ws<ޜ,7+'ut aS+h7]UD}KI:ݨHcQ Q 4U-ܞZA-mpZ A-܎ZTnuXjsCW&jlו@nV @Jĕ NJ,ݹ8S'U''6:::wCߣNުz!v1[1Wc/% 9a g52шjj4{0~i 4:v2V7;^ӱ)S<~Hy_ kҋ D{F c>gc|=ףm(fv,yu>D9 0Q0Fqo)l8rck:1g:@ %Z$2&dQ!JK%KMNaS,tI:& rv1Y$#*^*2bnq"())E$=]#3bPXVVt v'@^`zK@UdZl=k3noH$ߒ>X8uɖ{!6 6a`v:=uԓ@3j`0Dъt4 hb9tʛG4f +>\#({`mL@ Bxg Ruߣ*=:K}CjGѿ<0Ú2Jxԥa|.&`_ꘇu qR3 Ɔ3 sml\$62nT}UxuNsWAhɏwtb|:\z1Z_RW6HSV`݁ueճ vhnsC]7{6y9ū"qN0kSW*t;5xU7]/aWk Pջ}s7܆v6;\lФ~`/fM?#TYGgGeeptWAAM(|/Tէ7;=T v]&S';;Zxxh7K=:>6v䀗(v955:{< >xwJ-#lŚuJ|%sk*2bfkγt;b|y/aݍvtճ@hETq?bqwZ:m܏[e4Zm;Pۓ^:v^yn`s\\lV݃0Mݷ.FRR ti}ׅRg Q\M' ƛCv nɞ7i<Ŷzq[3Kdg?v ߯ |Co{9C0 PSqv_7B0"Rp Bj)=uw[ od.Ձ<t7 j=6- 44bD)үڃ_Y+k*gॢCןܑId.:O};bx‹欨l;J&5R)w_t^\k:d`XmV:R®m \]c|>!@{w&uYo9J@P1܇(_wQVS$aEOix n0y"K 6EԆLg&XOWᙜ/߼B XteN 0}O7(e?jپo$?T gWM8sd:[+dƚqsI-MP{RI6> __R{t._-Ow1X&^SW8:+#1u0tp 8Ϸ[Scsl+Bo\![\kg1'Nbq޻ „Lr·kƸoˍpi rw6&0> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30712 19239 0 R >> >> /Type /Page >> endobj 19232 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19233 0 obj [19232 0 R 19234 0 R 19235 0 R 19236 0 R 19240 0 R] endobj 19234 0 obj << /A << /D (unique_440) /S /GoTo >> /Border [0 0 0] /Contents (create_cell) /M (D:20211013063105-08'00') /Rect [104.1732 610.4 155.4057 621.4] /Subtype /Link /Type /Annot >> endobj 19235 0 obj << /A << /D (unique_202) /S /GoTo >> /Border [0 0 0] /Contents (place_cell) /M (D:20211013063105-08'00') /Rect [104.1732 594.2 150.5657 605.2] /Subtype /Link /Type /Annot >> endobj 19236 0 obj << /A << /D (unique_445) /S /GoTo >> /Border [0 0 0] /Contents (remove_cell) /M (D:20211013063105-08'00') /Rect [104.1732 578 161.4227 589] /Subtype /Link /Type /Annot >> endobj 19237 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19238 0 obj << /Length 19 >> stream q /Iabc30712 Do Q endstream endobj 19239 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30713 20830 0 R /Gabc30714 20835 0 R >> /Font << /Fabc30715 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19241 0 obj << /Filter /FlateDecode /Length 1539 >> stream xڭXKo6W@ c}xb:E._ )Q:ڐ yXN[N4ÓtŘxw``<`泍%NsڂN ^>Z[s5Or)'In?/>wS6:Çw ,¨~Գӗo%+C5e^ƞN1(v6E[Scr4X3B}4A~S?)& wH6ZuHK9A{}ywf{BS~ୣ|9;坂V u]hLOӀ5NBCeG* V.GOb[y-(@ͼ=57 Đ.;| 'y=TDE@O҆*OcjdY=KF\4.k俤1Ah;t+%SÝ\FYWhWBM8l`(s|W '$GpF02r~u%iDwRYjC `SP!kvF1AodWÒ)|kY:2[f0 >hjv8!UgMb]Ł`P2nV LF$:v^wXjrÖKO/Rv]}7bm5U,Q~S6fL5 V*iHrX \ 9ZeW+ٰe-[8Yqam0lq'H\/(Y&"N? \&g~ '{,R)jw-_F5jc"J> %&ll<7 g*- -Z9)nyrӕusEl4[JUcIʺe+vkl.xyNV__8T~3iV6!R p[a 67<)!j͢pꈤky7R'|ڦsOk;TRGJ/o\:miL6! o(r~^ru]cWmJxWƌFj(Ao9P4RlHߗŅIO䭹ˉ[k/& icm7^*ix$u#DR6yJq#‡`#knMӜ^6mT W}.5Tl4WU16IEZiiMޟ}3g`\37HpzͅȠшl4xmDj'[lcuɱqz k(m/oLSks]=x:g*>6d9DZL О^v8p3CӁC 7P{_æC bOcKZG"FM9KkC-⍤UnI'-s[[RO.Bt]ԏ1Xr((z #bBMw-\eؒR9QC endstream endobj 19242 0 obj << /Annots 19244 0 R /BleedBox [0 0 612 792] /Contents [19250 0 R 19246 0 R 19247 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30731 19248 0 R >> >> /Type /Page >> endobj 19243 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19244 0 obj [19243 0 R 19245 0 R 19249 0 R] endobj 19245 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 375.525 114.9865 386.525] /Subtype /Link /Type /Annot >> endobj 19246 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19247 0 obj << /Length 19 >> stream q /Iabc30731 Do Q endstream endobj 19248 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30732 20830 0 R /Gabc30733 20835 0 R >> /Font << /Fabc30734 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 19249 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1784) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19250 0 obj << /Filter /FlateDecode /Length 3894 >> stream xڭn%ίpg 6A S~қGf*ƥ(E[m焯\~yfu z2u~x YՇ%~~ӿ{}rle+`-p6-@G˒Mr.d0$r@7wvSG@ʽ|'rҾB7 GX9p $ ?nX(e Xh";2ד8 @1ì:718/ѝ% {X?p"qBا-ȦL|j^!!vCF ap+Rի-Fmst9`or=|(AO3~z۫%vM YF"*osolF s$LGftPp 4cI.~9錍G 0H8|qXLh`@S *gRe:Γ[+y=4Z_*xmcƔ48Q7MKI(R@Dp*aڬ`B Mч[dKƒ*u͔) ۋHhW{!UكfQܺė7)6sA{Licq4Al66w}B=L.Y|ʴ8rgXl~yTٱk{3Qb%@H^h9q>SoDDAV28u|OF<_MVLՏġCU2' \ʜBBxUg3x`hjB@A}qQow-09U5aZKK738I͜>^FBϴftAՑp@t鱍,;Q́+O53A<;ϟr̮&ͼ:/p' 0^nWf=ޔmjK#ah fN{}9)üZZuncv4sm71T aWTWtntwq#e]:LtGAZ?ѲƖ_p*R՟7HV/}Z\N+ " ﳔVvqL~e|%pk Kγݠߪl (9\ۥ!`tXÌཱྀf.p,KkƗ;N7Aި]7#] 팀F3E=3Uz#j$J'!V KL'i:= Nx N~民9mmZlә"4Kt+ K(Y)CIVZťWGRPԶ3Wp[)F]8-aKVt UeZ;`tQyt>[qu6CT9W{͜'Ֆys+3ޕ:s[rQvOc!.΍HJlTX`5\dʭw8 j@$N!䠆 % M"7³8_x 3!t+x0` -!9LrS NbQ?lu Hu Rhy^A`wԷ_R[t.O|4+`55eǩ¬Oџ*@+^#h ..xOC~A![[YT6َBFB&{T=(jsqE|DF4cs+np6^sep+a7^v6_ڎ۵۷v=/+xׯ^,\$mViI]j{`7lu"3˜'<+>St wr76%0L<x~8N5rPx@cd=%-<{Y_G=o'$ pYO S:Q SKr(^y endstream endobj 19251 0 obj << /Annots 19253 0 R /BleedBox [0 0 612 792] /Contents [19259 0 R 19255 0 R 19256 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30750 19257 0 R >> >> /Type /Page >> endobj 19252 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19253 0 obj [19252 0 R 19254 0 R 19258 0 R] endobj 19254 0 obj << /A << /D (unique_715) /S /GoTo >> /Border [0 0 0] /Contents (register_proc) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 167.0932 608.2] /Subtype /Link /Type /Annot >> endobj 19255 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19256 0 obj << /Length 19 >> stream q /Iabc30750 Do Q endstream endobj 19257 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30751 20830 0 R /Gabc30752 20835 0 R >> /Font << /Fabc30753 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}R endstream endobj 19258 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1785) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19259 0 obj << /Filter /FlateDecode /Length 1493 >> stream xڭWIkd7W<40 rАCɉ'v`|T!3TUUiҼ~zcuudu稝ӗ5dcmΚK`֦1bxg#,םBX|  Ys LK>i.%Luz=8T%d4 ɯ2X+2X,ottѸʃnt ss^gȔoN2Wu]n#ﯼq%i^|At |6 l~ +֤݁Xj Ûbɔ1ͷH),x͖J/:`)K`b\p2F/ UN&{Pb,zϚ7ʫ[(ϧJw^gmib!w; cd_AwHo#K 0zNt6'L!KW )ظk8YWq_VxxfsT[pw-/y+.1?6y gZ͇Ҹ|Qz%|ۻ=FĄMYSK|i}*rS]ղؑ u\,WQ$uvmʽLULGu6 N܋ZM_էO^N{O<ƚcNj`NZa_ (+9},S()_ǼU(ޡó^>$[ՋF 4Iּ;.$jr]So%s{L]VdTgS%܉)=CaŲ"> hPV˲ W튺.ɗSR}ޜ3U僿im]J"}kiI;GhPbrJ\rf:kg/t$R:ʽ*Z=^tjR|o X˓sqvҦQco[;..dˡ#+@seSx3="sFFA$8yË lJ 1(hM 5=iQi??GqޑI&&9M0"m%$ oYz@]w3b.D_MV'oH,'7§! Z 6 Ce5 endstream endobj 19260 0 obj << /Annots 19262 0 R /BleedBox [0 0 612 792] /Contents [19268 0 R 19264 0 R 19265 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30769 19266 0 R >> >> /Type /Page >> endobj 19261 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19262 0 obj [19261 0 R 19263 0 R 19267 0 R] endobj 19263 0 obj << /A << /D (unique_77_Connect_42_guicontrol_commands) /S /GoTo >> /Border [0 0 0] /Contents (GUIControl) /M (D:20211013063105-08'00') /Rect [90 437.225 145.297 448.225] /Subtype /Link /Type /Annot >> endobj 19264 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19265 0 obj << /Length 19 >> stream q /Iabc30769 Do Q endstream endobj 19266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30770 20830 0 R /Gabc30771 20835 0 R >> /Font << /Fabc30772 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy<6//d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$x2X4@wP"]@ U8Ρ\j'-:A/} endstream endobj 19267 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1786) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19268 0 obj << /Filter /FlateDecode /Length 4288 >> stream xڭ;n$9vw~E0H ̭|0|q1m"#UWW" ,hwG*v:dUC-q5cWcrGC~ZVio yj E]l=x5յ7۩qT gyM}vy_SoX2|ҫv%xZSY˟+S-f k)%5PfA%NQk}UC+nI#^[nzEXsQWh'ԛFaI?(#K >Oh&.?woW$* cD#LjmJ3>o]~ K?t;/0<3ԝAal}H/` V5CDwPahS fVW%_hF4}^+{ X/0-O.&[d^fQ`bZ],gVː)QoYN3k8JR(!E#I}45bNV5 uJ_|qINB:KP<7# F4ڮN4p5Ǒ=y0 37\.)Y49U͑sܖ`£nqymi؂r Y ޠdo2|M e.ԇWFEmN5,4\a :&e<7Ig+tTXwKUcBOEcO%2AC=@C>?YdU3Q;vmC}ݓ"fO.t/*3/U!S(;%;?t~KRdňcсfSʻ0ㆧS;|B{p|G%f B_ӲO?S.p|PE2(Ohz,CCŶ!ȣDƒF<;T9@a&jrV߉tdH.&9i /J=ToqzUO '$񬡎&s θWH,&rBdS T:*#uꃠ I-pײ'ճ'4HڸԚų ?kz]gD`J `n 0>\Y-!a >@%@ȗ) ˋPlIW{!V鹬EG5T?`_:>y$Q`=fߛ/d5@O*Y|ʸZRgXlzS:}޹iȃ?zyjDs2vnMH&{%bX0nBڌYUӬnzfD: i@Jr;H`>R;V2M, b} [cl59rAq$:mj8o >Iil6ja6jfS ;r]-sP U[@=\ѕ:(ueh9))Pܕ0AI E;'u2{ddE{:]gpS'U'U'u'uR] bWU}>cܨ`F15PMf/Cj{AF1RvoOVOKPxm^DWG lXM|au.mSb-5,IE?Zղ}aXdǰY[Bs[@ .%Yg1YdR,kݟ\C[I-#zR<Дɀp/ʻ4a\Q}2p Ɲ3Hjwk+޼Ӯu>_rYp!jo.Ɇ:6mݶNtSg:d3Vd"vAIA8q>޺4=KC_mDb]mrmDHapMZOBqmD#'.q:\aGnb2K)51qցZŁAكz}P0D5.=(⒇bpd%g}KΙǾԤ竮:_Λ@|.ͯa QA6_lIU}`ch$P3hL\M $zBd/nMTw|YuƣOFYF!)xj=>[p f5,uʸćZLv %6Aiou2b) Z@v-]npsu0# yE~ 乕pW7؂ (~Cp&h#P> l:75~r+5XkLZ݉"!d4Dӛ }m"lcr/i4LD_JWfHQ]}bq'r2b1A2ҟxrQ8U: |Y*WȚ5ڛH?`W(wQ<^<-wO}V7آLt::57#kj\/Zg'I8CSQO#MݬyyW,/zu8wi g#Cc _ ΅һxyv J22Zۙ 'D|?䒐[ΰ4d,}`݋F>1k6 9uXrU؊&hO|8drĸTYd;@2 l]ZaHa D7ᠽ:g Fc󁡰lR@sLz3Cs8}#{4u ă%5Ai endstream endobj 19269 0 obj << /Annots 19271 0 R /BleedBox [0 0 612 792] /Contents [19278 0 R 19274 0 R 19275 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30788 19276 0 R >> >> /Type /Page >> endobj 19270 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19271 0 obj [19270 0 R 19272 0 R 19273 0 R 19277 0 R] endobj 19272 0 obj << /A << /D (unique_215) /S /GoTo >> /Border [0 0 0] /Contents (get_selected_objects) /M (D:20211013063105-08'00') /Rect [104.1732 546.9 204.5317 557.9] /Subtype /Link /Type /Annot >> endobj 19273 0 obj << /A << /D (unique_221) /S /GoTo >> /Border [0 0 0] /Contents (select_objects) /M (D:20211013063105-08'00') /Rect [104.1732 530.6999 172.1972 541.6999] /Subtype /Link /Type /Annot >> endobj 19274 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19275 0 obj << /Length 19 >> stream q /Iabc30788 Do Q endstream endobj 19276 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30789 20830 0 R /Gabc30790 20835 0 R >> /Font << /Fabc30791 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19278 0 obj << /Filter /FlateDecode /Length 1657 >> stream xXn$7+x64 E206 'm(h]"LfJgbbv4_s:E] YUϓ5dcmΚK`֦1hOh4;(xoj(_O ]`~Dnx>花IKg8ͯGgkZc0vqGSI_F;*~"f1t ;o찞fa+Sa WhU;&.fꋶ˱WTb&eD17eb QMkI'x $ĎWx烅w'|:2Q98{<_Zyr\Ulj{+nQԴ*$jd}UR4b q8WR%, JpG/ɺ$\xDlx8khq9dok(d>n+ Lv ۞Z`4㽀Y(TXPv6wP-X ?BդoZs^KpnOU*$dYGYƓgfɒ,P;>*W^=]$hyQ?L$8V;.a<Ԫ@3&D2왋l]ԇQ/2-]I,>Qv;2RZg BK&ep$SJ':y7w*e{|ζJ]h⋎Ƌ3 .22z81 [C'ቁ=]1S>\\eͷ;[P^fٍ?z]m}<_24~ : z!K#7TyX + 9xɃ۫Ivlԩe kf:eL-M%pw-/}]{/ӟ.1mخ%SyH)z+Ũ;/>{4c#BX!S#S6S6vG3Eݲ0G;$UL0Oxe9_/ȁw (s}8X0^ V;EE$dKU}h.doG-] Q5u*mZujِuP̦d&Sz}cWtf{A=JCcY~~;nN{>@L[jjfi3J~#(bRH?TFqu5QbtTDžqrV6RvЄM6l5/yg2S >fF>}ɪ}}VIoo8vZZh gISTpq3DRjr9}tr~dcwc{̡8~/~gSϘEX^skW/oOҸb@:0\CD.ˡ?Zʟp}?V## rj`8޶wBnn݅Of1 s zKqm|S;w%8$#"FM5#F8*wiG$֓y˼."0u6\x!\EIOnOCh;k9MIJPg< endstream endobj 19279 0 obj << /Annots 19281 0 R /BleedBox [0 0 612 792] /Contents [19287 0 R 19283 0 R 19284 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30807 19285 0 R >> >> /Type /Page >> endobj 19280 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19281 0 obj [19280 0 R 19282 0 R 19286 0 R] endobj 19282 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 288.125 147.728 299.125] /Subtype /Link /Type /Annot >> endobj 19283 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19284 0 obj << /Length 19 >> stream q /Iabc30807 Do Q endstream endobj 19285 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30808 20830 0 R /Gabc30809 20835 0 R >> /Font << /Fabc30810 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XLJ[//d"ר`O6OaePD%% 2 'LKB.&K. F1'#8F$!īXN> tweBC (cμs?`|۾{w endstream endobj 19286 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1788) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19287 0 obj << /Filter /FlateDecode /Length 4264 >> stream xˎ#ίsn`0HZ99mlL݋?"Yl^Zf5YU'Iw2Ÿ~M>!ҟ) !SS^߇88_nA,s=]W1om?O IEېllN#|[ ;eWv [~wYp<Ȓ %hmXqwNL#<$0Sk'j\ɧgP(Hr4H4=:C;7z~w2c8=12 V%yLIB28ELlp~8&鉥lc_ޞ%'<#Q D гYM~1.K lԱvqaYu 瞚ֳBqS YM8tO3y-]/+]o wgz _D%``-#*ܹH:D)rQL3h_5˸YAS.{q TZO%Lv/-{{P8ak^nCAW8wbCqM*I\\]% ̝+$_]Esbq'`(Z X[/xtWZ;7,Shz0kϰ}?[1JMN°JP (w(MݡS TxF!Y-Ǡ LycZő9wG0h&Wy ŅK^D:_G=Hqy6}wWSQ* LZͨ?Jxt]CհVǯV[Bvަ5lGa@>d)i(?nʱ5;S;f\V&a~U*4qݥl.xjAV-?Xqq!n=]wB>ϓJyϵ.N2XkU?"^*:~pJo-%اMJc_=2Ϧtl.keC_l&k CYPkDb4oRl3lYlv?V|FYJK ŒdUrf8%!Y* -@`1N7+]}O z*\aW׷;{&*g|̐&.RgKǵ R>+XdDO%-sBT*UZN4tã,66\& -[oe\ן[|7ݭsaKYy5qv3\iT=HfE1eܮJ1(T5j ofPwe6., }ޡc')$5J<^8o2V'U;pj:ߞr2-#VܶX\Wb.1Py cҩڭ"+, ejy +%mi,-~Lc\O t FBf\s]DAJ;:~t܀]D݉k;~fj\0[PB耼.T97wsw̛5^VnJE\`Gvwm1aBʵCi >݂k 4q3)vι1װ1N?nX.ذcTM0Ξq,m7Ozfn5{/6`@cSfVN2$4Xdp44@`^ċp̣צTbMD{X,$~L+0'#hstVp2R(ŀ8!MݍEc,|6lo&Z`ɫu F2;a#N ֛xvNEJBIRMuD !g{m>\d_ [,rxnBJ6625y!Fj5jcp+u+Li*^ Yti[NxzɑiSem)dǠmWٻP4%.o7>oڭ5яǾ&vLOp5nRl]+ /ᇍYE8C$^aWLx D3f=Xg|{rtgç|P6?˕[uyn?]῾+*s,,/#rJi/B> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30826 19292 0 R >> >> /Type /Page >> endobj 19289 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19290 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19291 0 obj << /Length 19 >> stream q /Iabc30826 Do Q endstream endobj 19292 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30827 20830 0 R /Gabc30828 20835 0 R >> /Font << /Fabc30829 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)smԡ:3ϳ-nYGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}1H endstream endobj 19293 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1789) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19294 0 obj << /Filter /FlateDecode /Length 4926 >> stream xL ʤJBNil|\v.uRN#cry4{rZ[]6Hp&؇|k~5&¥90Ytň^pdBhnB"~#՟N'QR@dmO@Wp)2$ H㍮z5XA=qENM9qw W8c(g!PsleH ue.<Ɍ5$W>#vEB3.k/!p\ s*->hˋؓ'P;1!hdYAuK"Ö.] hXP{iJܧл=~<q>֭DQ8#;[3Z]lՀthY]VJD꣧h`v7v#"\ [=l21ɵ"#|>eri' y,bV./eo6洫5 L|}/5 N[9TN Φ>vI[vFy- "fM$ B0S^[[\}:GA2M}!nZI߫t1-vJl͸R{?-;8 T: &y^qP/]gu)7jM.k7#ȐQ-=ZCq ֭:lֈ%9-im:ӄlƕ.4ukɌ%!|}RCoaԤ;T,>['п6ߨQD@mɍ@2q-ů%f U!T"ìo H\<xz9#8j9!fШIHj+[UHR"Ҵƒ7ڐF?GP GQ @DYZd̀# `QYeAnA*pqR|aUf?5}X4p#NSaKW ݯ_z&DoQ[t-">OXS_~qG˒N_q5^eX *;B{"J}\`ePAOoz@޾W= fR@ >!HF]0B]H#^ *l@:Kۊ+]nŵ@'i >+Ɛĵu2 Vf0ԠVp% /@-iy4[Btx "//$:^˩׼[(kGM 2S8>E܊(C%T"Z';҃5; ן6 x`7`KF#AL|\E+Y띙q~(.k"|ُ1 Q2\ ց.XțA8 */zP( Zu -E.%#`G'z47 `\(9Vѡ! :~/Px}+!sӞOCb@H-j EЃ6Py3 >ۅ4[}_bƂ7]^/EpWs=g1}C vFd_&g^~%rm%L WG8Po?uJm=ڙ?<6fn&ܕ<2%0O |,0i~sϭ4aD?(P:ų9BmIlJQلw*}l>l~jL|i*[74l+[ SO=D$sm,.v"zT u=WrR̴4ٓxÂ0p.r}ZnGb1vYG'E>{ӳuA23 2.P`iҩlO]uJq!1}Hڧ)y*kEM}#gFbV@&_zxW%x`xs>'[Ior(8hn ļ&)djMnɽTrw -jmX*%ǂW;)mnܴ(@֮\'ͥ6e1{ѧľ%bs0oWʆwlvsWFv~VyKgƷv۫֐Ej7fM!X2R_2|E ^Ԩ}a> =lgܹjn8l~ԎD;p&db]MI#{&XYyb$v\51]-%%a<etldξWʻ*ׁ){iWM:uGrasRI6 fv?xs빼<3Kv;=KƔ|ӌSPL:$cv 9FW>#{^$wND^HTwӱ^P̰Wod}{ .lوKgnGѧ:ڄKZ}0(J ^Pxْ{oqwr%♩AXGu- swuG]c11sD9 _ܛW#"xXxX% n8ПPŚOQ١%V^OSxld}{Hv{@|߀#'ޫZ&^/ȼ`ǕCjFKvx $[@el C'\FxC]pGc7bK ̇+};l ' 2DXh@8:%~A "wop#ȡӉuئ~>i "rsRJcG/{OBҊ4zL x~έoNs.79ascr`{= ~=oC'Fu @9t|83v`{lȝ8 |S/mk:pUfmǖfqخPaSqr]j/^`<_^G=q8~IG+Du~tmH##).9 5>lF>!9Bw1,6%5tXqJ d cANsƛZno_Z߮ȶy5% $AeDžm)o 2l/zc bF';hCӚvt++]t9|0yG~*M3mmWa;=t /ÌO:p7>_OY (;.|bRbZB`ר2Q}]gC809,M^e. s0w95ʁa(k2 ǣk?ҭ (uwxjgèqe-K\:n~ Y·#|^SWEǻiK,W'kj\Cs@ ]:\+Ȅ"DZyDoX:ֳ𽙬 <$24CcAЈr ] 7)D vNJ22^RM ^l4P)"䖲[XB)ms`=/lP^*P^ŤK̢2фe"smM&GKfNEv$1_bS=hPT/Uy_OW[pr#4ָnu==vs߳Ul03·܁qw0 m0L1>|o wQ3γY[̓f5M 4aφ*hO4>m"?yNB: %)0?͑# Bd҃k# ă%Wfq endstream endobj 19295 0 obj << /Annots 19297 0 R /BleedBox [0 0 612 792] /Contents [19306 0 R 19302 0 R 19303 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30845 19304 0 R >> >> /Type /Page >> endobj 19296 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19297 0 obj [19296 0 R 19298 0 R 19299 0 R 19300 0 R 19301 0 R 19305 0 R] endobj 19298 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 440.823 187.8502 451.823] /Subtype /Link /Type /Annot >> endobj 19299 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 424.623 172.7747 435.623] /Subtype /Link /Type /Annot >> endobj 19300 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 408.423 162.8527 419.423] /Subtype /Link /Type /Annot >> endobj 19301 0 obj << /A << /D (unique_410) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_designs) /M (D:20211013063105-08'00') /Rect [104.1732 392.223 177.9282 403.223] /Subtype /Link /Type /Annot >> endobj 19302 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19303 0 obj << /Length 19 >> stream q /Iabc30845 Do Q endstream endobj 19304 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30846 20830 0 R /Gabc30847 20835 0 R >> /Font << /Fabc30848 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ hOջ]p)\T1Brt~AѣDI`2R(.Xc4ECIHE+E̕'R(fZĀ0dDP|}yԬ9*{braXt(~R@F QD3Guœ}"juZG)zr8knT-=%MW4yrCodE#2yk))sm.\gy4Gۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!ۋڎ>OΡDHI]@ U8Ρ\_@E ۾{w7 endstream endobj 19305 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1790) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19306 0 obj << /Filter /FlateDecode /Length 2333 >> stream xZKoW𼀴|?~m9{r2|UEJTݶ`1dYՃGPFitKŪ' ^eCSvSƨV'1zxR*#4&p?lG ϛ!c.Eo&)h)ܞQę".VGJL8 IֿzxƌUA; <{Y8t}=ZB*{5.ݱs(^83q#mTGr7ZQEOٔR\[ႬY\WJ<% 1 \ %爂3J$^ +mNSHN;0%뭟C%R҅$tCRDR?;q<|x>WB B@(Tc8[r "_q'`YW(m|Ά+WzPVAn 2mu5|ȋf~a1̹ιpxyGsmA .ɛn)8:RYݺ^w3s*CcEEg1CcgBx;Pg!z%ǣQ>ޘ<쑡hпm@"[&OMoMr!Ft\,)ͧoUмuً:tKPhKDEG17JhQ+"\_DO:[I).%ËNyWiў9Xԋd$y\.S@뇛KFRKC5m]"WQɛw%y)' -aC?[aB.aO 관<6ּ֚xOBX|],xER!pO%Уje@g jE=-M%Kϩd?A%_a]Eܳ@cV>+l$Γq\|| *jTyܫStTsY H}]9} ^AŽ72&!Y ~RAu8a%̫V \ƤM}N4Tüxv*0[<tʤ?ZSN:4DI}GHJ-w%BIL:Lhu4|x\yO|~8n/t}uA[*-Qܱ!LӇڞh;p OXb8$C>=h z2qf5#8/^Vh8NzR.Jhx >EjHG> s ++rZT8Fm K<7,LgU0+)DӰ,rp6$ EQ#nt祿eSWx{l-3ZqQBe$ɽLf709#;MF桮8lYq}<P`1=ߞX#K𨖁X_e[-Fxo!+ HD%¾MqOF:an#6T-U(\\Fؤs*}^ŨGP$KXw;Ɵv!>;H=.-ACu>TI1k5ҳVy%,sߙ}n*n5j};q3ݑ>w}+g+B:+B@YPՏgz*TfhJ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30864 19313 0 R >> >> /Type /Page >> endobj 19308 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19309 0 obj [19308 0 R 19310 0 R 19314 0 R] endobj 19310 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 452.425 114.9865 463.425] /Subtype /Link /Type /Annot >> endobj 19311 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19312 0 obj << /Length 19 >> stream q /Iabc30864 Do Q endstream endobj 19313 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30865 20830 0 R /Gabc30866 20835 0 R >> /Font << /Fabc30867 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HМӡ~1OE*(6$G7]Nh<)je2 f 1BS4dLdiJU$R)!^\y( ^~q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]d߄}j]֠zfL endstream endobj 19314 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1791) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19315 0 obj << /Filter /FlateDecode /Length 4605 >> stream xڵn,ί賁is_Afƀov){{,mHibvI-_H9WHzy}_JZ]*I?~Ǘdz]Z^~Y^ޗ6<\Wz|}:[O_}+[˩@q==.\^~^D $A/o=m[߿^SWrFZ%_za[~aʚE5~$ y5L]9x^xg:sP Hngz>bxy,a^{i8"ˣ {"/|3p8(-| <`2fu8NyX} YR,85(Glܑ!V Lif)] ^@y^6<W#wi^x C)p3ċaI^u gj _{DЩᕣ5ѫHӾ?-zyJ|_O ] =Z*d3φϚ/?9xyr ^բZG2n*]&W՚|PiV[%px@QQ\ljuJI4EJ >OIpLorO"(*F.f9ϼOlWŪ#]XZ{n8ljZshZemu6c# OWkrub„GqDUlAh%Q[( |%u' wDin:AQSC&[D3"YnlC~\(-roLRq]vz˔ODeBYQhYRe" vlE}d^aڱ{ōz\VAk Ĉ |Wok#%r9~N `NnPx<-|a[]`)".AO#hA"` Y>h3ْ|%ҽDHI*i j~K8PexPG Sf* XT XWRd8[ʨTpU-ZbQRraBK!/MD[UFʥڤ\Kז8 (t1l*YJXoW坱ced,[#oU3kO,/fK H϶jQQ釋34bN&Ivd@g^Nod؂5@*Y|JjRf25(F)L^24eBDNYActeAUI(HN+1LAr6xL[ Nٷ깸'İMART|3E;I#&3\!{ۍQˣ([w a  Q@h1I<7ۑ}4y@EUwJ5[Ngt7lrHoCI^|~\M#z)"γ+^DDXJ(MFh f|r)aCbegH\SuơFhͦ/YnZ I; ^ ,*D)`rEt["WG14:];q |r)CHAs>ŏ©5߲n[Bʼnpwd0ٸA'3 Ь+-DeLc9b}!$?.@+%(%c̱aR)r@z}26]8kyrvN( AG`[f;ZcfGŵ1Y.;j < ;*Yr_Ua5muzN9/Bk1ЗŸd@js΄qrlZ-NnMp_% "[oG^/& o>ǠUn`̌UR:)87+!%Ob;AԿC~dt8JS sQ yrӜP8ŷ7&QFU Fٔi-Fv짂pT|76~q +Y/x{kYS`,1( J֠zcn/;X.xg2gG mFa1Ew5jw7i1=yN;))+ _4'\-.IJnm%(]_d_^?rH*q9B^UJk“ #]esLDhu;bؑ,s\ʊLyzV*ZEECT[\b̍|P|6FClfڮd[J !Z;[IڶωpȹS1va;y7s*b1*;8y9|˼_M^|'#yhSj'3}Ar{Ov X5 ]`]Mjkhl&djt y1w@GT|9ܞ_[Ɔrъހ;w@Fܫ~|4^)`YЯYCHNGj5O62}C U+aj%-d-xhÓaxxM:Y$נ2Dbr7=y1#|yn%;U3.9@P0܇ J{|Ł::qH8  \4$ʵ׀/6["&W#c9I׬Q3lar-TtM5P2c+>WXx[ JF1),f! {"/Qu+5jsE>/sdB+:X{ YWٯLq.UhSemdGӱ6ٮWkრ./flGb_/s;\(<63wGP! 1$S|ܴxcrRajrS\]t93LQ: O3_w՗봺\i|]^xf<8\=綧gB9h<\FB9 I*y> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30883 19323 0 R >> >> /Type /Page >> endobj 19317 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19318 0 obj [19317 0 R 19319 0 R 19320 0 R 19324 0 R] endobj 19319 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 350.1384 166.3232 361.1384] /Subtype /Link /Type /Annot >> endobj 19320 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 333.9384 165.4707 344.9384] /Subtype /Link /Type /Annot >> endobj 19321 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19322 0 obj << /Length 19 >> stream q /Iabc30883 Do Q endstream endobj 19323 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30884 20830 0 R /Gabc30885 20835 0 R >> /Font << /Fabc30886 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7HPMЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19325 0 obj << /Filter /FlateDecode /Length 3179 >> stream xڵZnF)xМ@[ [&39"dy<)U{<߁nç9X20[;?~ܶgkף1.ixg<\t2t~Xk.`:S?Ř 9Olץ&ʹȍ%Ɲ&^tY]UD4; 4Wܳ;hj7&=Մ؞f"vڰhk]!fPhL9DŽIuq͇|IKĚY= 0o[}KD wx!R+ aģ(#L 3@r5 X_5P]S/Ŗo؜ouRޭ&g`]7{i*N{M69M\M Y6 .ߧ_/ɠ7k:[re8`.B/WNM2s Z $ׄi)ru?$ ۍ9S /Nqsg#ϝusHm\%7on1Y2R" \7[Qҵ)-@L9"[eR,e2vVnlF.VXmwzbz1vZÒZp|cmt>1Z[ .f}V/MW< ʹm v&u\zIu蜎E .Z%_mt<;TUtp/䐸|RGu= A1Ӯ\~ /O $f30=4>e hdzXU+M)F̐B%k|]wvC&ZI1FW/=!$ϐwd@ԻoR᳒Z2ĽrZv XqyII*7 e/7cR5XznL(z|U5S%Gg/{q*DTi'yAr@͛y-6!Ydeͦ#rDJ1?^X/H1rY~;P}v"(* R dUݞN+fSˌ!-$.1:C,,Xs]X``!*e3=̈́- ؈78/Ѱ,t@wGW2 2o,{ Ddu&&` YZ `$9.4-}kBO+ td?)i~^M+tk(I$ທj lq<10S a!JҾu,b&k;fmp\wd1&'ZF'd6Y6+N*fP+BۭyHUHҀa45Pd#[6 (wzq}X~v[5d;wI,N=[l.";_[ɸI%yWD{s{ҫ ([=:k0,%#$bB*6 u'upJC)L'}7$#Z&OvIaP cl0~p)v/`JIdI_\‚4đ|n=^dT> B a)\[7e3/(:(\ b_= .۟?kgoXD^όwG2%} J- ZK5«A3jAE3KLֵǥתGӺxXOK^]LJn䲕0al0WE (..G| -<]kzbqd,e6s!"s篦>?퉟xYe:ڧW.Ԣ&=4 o qAmv{*"Rk^:F=Em{#Gvi*l;_~z/r}5Enr4 q%b[!,2u @4wpR Tu+ԹioX@f:Y`Ӻa*j$;Lt̀A|C̢j0 n bfYP2ax=3#ҷ"4oY%*N)DµmNtP28JTWwmC7,m&bԼ1+*1S_aQI7FXF3Ӷlu6$ O؏6WTN߳ < ]6b&64%.fH\Oޔ]V:zj&WNMmPwiSR#BVnEax䂏>I*?-b^rZӑoh#''t$};:u+q|ԯ%HE(w6(=@?#j3NNp+*34;5s3 +,zM»o]X秭+bQҹ[_BUu7fVIp׏7o !iz=& )K~WRr7A0_`$F*pRH4X9V endstream endobj 19326 0 obj << /Annots 19328 0 R /BleedBox [0 0 612 792] /Contents [19334 0 R 19330 0 R 19331 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30902 19332 0 R >> >> /Type /Page >> endobj 19327 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19328 0 obj [19327 0 R 19329 0 R 19333 0 R] endobj 19329 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 412.825 124.1605 423.825] /Subtype /Link /Type /Annot >> endobj 19330 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19331 0 obj << /Length 19 >> stream q /Iabc30902 Do Q endstream endobj 19332 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30903 20830 0 R /Gabc30904 20835 0 R >> /Font << /Fabc30905 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19334 0 obj << /Filter /FlateDecode /Length 4085 >> stream xڭˎ$9@~ 99cL~HzUWv랒XE)(Uj1]VɤʿoëϱuKt!Z.Wʧ'3 K\l%'E.v V:Rbߠ*o%_^ d7OOUoM%ԢF #3Ί3qJ=s%ND+{ 0F{(D8B`fP WIr "qA-JZI3y*-Zg'N{!H b$;Hp!/ &F Ãb{EmW|Ȕ Xa'scZ\ʂb%c 9^'_V%;\oI Rz:oM~FB5j%5+M^ =`~7cF~C SpR(>Fi yCN eJ F/*wSh(U:!h]bO0_X^BR'p:nX0A  P<r0 ⠡)氤x3^A]qUnldˈېPQ+;pRrLޔFTj R[ ؝AtZ!:5tvIkn Uaat1~MnL;"w*ީSCuW* EUB藴2`i:}R"ݩy0 /0RfWeEq XFonШkZ14эZ04k ·le:X' `Kz3r 9:f #qaiˍTWȺ¶J*c,/3$"\GEu8yn4]]3#ak&^ݩL}#Cp,<{3/@vٗAqgw9 _dNzĶOR'w}zprk#E(xgaO sVJ`)JmiQ$k;4MBr@A QCA0/}/Pp2D Q3IF;K( U+NAHa&bZ)}-{wH$,A.cu@5Do:(8f錍f 2A}a;@%  U Huj@x Q_AFa4HJ[*n l^(#G"i {ӑI5AV\kΙ3 8Du`iڠ0.Eo LdQe 6`@ɖ2 slJsUG4RZ>_޹yP&Qa-Y[mdG4~qŜi.SPtdۦb,sbܒ٥0aI'#]ױC-CB4؁HXS5<⬶f{ :GʽǦmAZ[hjmZX*_bM:pFKe;UFt[O@ #f@X  7H-8a̲r8)n*05Piۑ^,hgu j.,&q#6akd+5XF4qdu ΔrT^F~# g;|L\3Y9 ^<| O%yjI\a7f-;poEDݽt,+y625@L>^'@q.ꈁ1q ^TT%g|p4>W9 ~9:[D@Ֆ=Q-Wϔv7F {\+jgφW{?'!4{3l Ӣ) רܔne}_mι%y quw>c-1ݡIMp>KU&}楬âc9ㅌrLU%M*E%EPZLp>YOmS%KYK6ެ2Ef&&@EiFnD"kO@uwqU q:Za?.U]k(RUnDIӭ/BmmwȊ~oLt4i&CiUA+n̰4$;H0'|6FO5F4XePLHu "u+!śNsMlMfu1z"U᧙ǕOP>)UE-PK ,ْ$ fpuiJRB]iGog8uxw(!hd}Z*.l.S׋*EAX IJ$bıDŎ ΅jk4QQivKtCYn3R4C+/ R[>̽{ y1:eچ2 cZǚ*`=OT2pDGSɍ'WE*lGX\F/ALv^Diޅ~&,>enRtחdùqx{EEAkeX/at No.Tsj1'θ\arfwj^w̑Ӓxpb@ot_3 i\zQZNxeS!pt_}>*.e!k-dVg<oÊ vpke.> Riԍ ^2ҡaT/]gΗq` 3"+ט-z}ubֹW濾>y#EPzd#p.l`>^Z^l endstream endobj 19335 0 obj << /Annots 19337 0 R /BleedBox [0 0 612 792] /Contents [19344 0 R 19340 0 R 19341 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30921 19342 0 R >> >> /Type /Page >> endobj 19336 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19337 0 obj [19336 0 R 19338 0 R 19339 0 R 19343 0 R] endobj 19338 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 146.4737 608.2] /Subtype /Link /Type /Annot >> endobj 19339 0 obj << /A << /D (unique_543) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20211013063105-08'00') /Rect [104.1732 581 160.7242 592] /Subtype /Link /Type /Annot >> endobj 19340 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19341 0 obj << /Length 19 >> stream q /Iabc30921 Do Q endstream endobj 19342 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30922 20830 0 R /Gabc30923 20835 0 R >> /Font << /Fabc30924 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoT endstream endobj 19343 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1794) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19344 0 obj << /Filter /FlateDecode /Length 1583 >> stream xڭXKk$7WЊjۆBNxC|U%u/iK*}V_ z)єop?=D>?[C6s A`m-<4w(xoPr7wbLc=N`c}g`'l: "yshm񲯃Ιl(S;dd)+ENUѣc .0E,8Z8ݖ@ K;ىOil6s)ŸaU,>n媉ҙ_VysY]/΀,ά9St)+|$:,E ZP+E[Scr4XTb&e~P2CmϦl%#7[>bwޱ <ot"5ڃ;Wvo2$d0GBRhluAdS!K%㩔0>Ju''?dZRpMg9e`w TP9r9g0`Ek\F(>$pYW2ȷ~VN8,'9-Ӈq(L@?WoO~#A'?3׆&TCBh5)vx#rLs4B-Ud )3 ٖH,BI}9$>rJ6lԦe 'kz3{sT[evJ@'d̏M".e4u.6Jo*+nrcB6F133CT!n軨uf#+%(%i zLR޲8TTd3ڶepq+ꖵ9q%_ů^Ms0$ģL}N cn&m_ (r}^^&0^ 678<.!4 8uD"kT-t ui4sxj^jxdOe.*n lO[jc&AeeXV9?Џ3{% ㉘Fj(Ao(bP6DkjĬq!.Kܚ]XIKh8v-楒+!R_+՗ l َ}7tn5\@\jwqyOI.3Xv 6Ά n*]n|somrV·znM>ַ@XXdk|{ܩ޸-KM7tLS@nMՅb9{=<ܳ{6U<})݋d9k105C{FzbkY텡*^$헇toMs׆P[8 cOܤYXOR涷I}$dSs]݅;M5^WQ$F4)jiP9T[ endstream endobj 19345 0 obj << /Annots 19347 0 R /BleedBox [0 0 612 792] /Contents [19353 0 R 19349 0 R 19350 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30940 19351 0 R >> >> /Type /Page >> endobj 19346 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19347 0 obj [19346 0 R 19348 0 R 19352 0 R] endobj 19348 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 298.425 124.1605 309.425] /Subtype /Link /Type /Annot >> endobj 19349 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19350 0 obj << /Length 19 >> stream q /Iabc30940 Do Q endstream endobj 19351 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30941 20830 0 R /Gabc30942 20835 0 R >> /Font << /Fabc30943 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 19352 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1795) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19353 0 obj << /Filter /FlateDecode /Length 4533 >> stream x<ˎ丑w~RSBUv>sj{fZ`2F%1GFu!*"oR"RKf#;9i!zrh[uCpcKI)?=˃Qxeӕ Y0,=M牂o̰|g|1 #?W\hu?Ma'e kgHHfb۳OrpĎT[# RT< @0Ƃ(15j >y? ҎR+=mh/cO T+ d=3}wF~@e?FAB/J z4J{:h^AbGG/xtr GC2P`48(T:JP;LDm?dBY'ˌbz2to4$c=HCSi" #֣E;$7d^ICZ8ƣ^6I3B+ۑ(?m;-FڑD7iݓ*zP~>/vX]RbwK6%.%%.%Ε|7,ns)URlwK^ɷyuQ}\'o6V{Q>]SbG'ĞO^Q]u_}uԆS&S[N%nLm9q2u-ML.:u2T%WO.8~2]4/Rr#'jZ]J27^#W;q[&`lWA';yx6w>7onU_^i5evq*ik>#gx>3D:3 Y@gh)⌻_0v+h$щ &ur 7O9~kBidB= M$caYnr@u4OB%ՊG=K_;{yKwuØ-c/"2oOvZ[~BS`o\T69#ve4 L2B(˝xtg<(Dj1e0./{!{rDr9sl9(gAgFmim~Mpycje}.=[2.:~n^G)xE^"<_Ҩ^(o䏚Q-!5(;muKd=hM$L",`/͆1dZ!8& giq%6\oxGt/]BR}1p{t&^z.փئo3HۇɆ bd=dSR+Kl's ?w :[j]Qz!9yG?bUNS|*tѰ;.+$zjՄ$eG%vзuP Rԥ)<-EShNMs ?⫦H;0{3^M9,pg!@ ^di ;7}o` _ďx„( ASlPv85`d*73;#rs.֜ݜŒyyKUM0v4^!q<.WHi0#A\cQxjhʹ U-6L6׈;&ճv QXHL"@بg,@r09xN9Y54K.0#VtGu-!ИSrY ){߶Do`4azmn> '/ʐRأ qnq.\4cWw8$'q!6  b i']#pC׃9ٔX#A$q[FxHVu80\TS|2oj}r*bs=$%ߣ#bqռ;ۜX7.J=7æS|uA:y>c'Uu#xYCe^m][>P3̋:^:h_~_sG'6ulyW3><+?|Lp Q;<:9Q!8Drv>ɦ.fVGuR8D#ygz^f\Hƞ!_1[թcuy)ȎW0z#?Qx^᧩rЃ 0 endstream endobj 19354 0 obj << /Annots [19355 0 R 19359 0 R] /BleedBox [0 0 612 792] /Contents [19360 0 R 19356 0 R 19357 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30959 19358 0 R >> >> /Type /Page >> endobj 19355 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19356 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19357 0 obj << /Length 19 >> stream q /Iabc30959 Do Q endstream endobj 19358 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30960 20830 0 R /Gabc30961 20835 0 R >> /Font << /Fabc30962 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBA9PmтGŶ$X endstream endobj 19359 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1796) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19360 0 obj << /Filter /FlateDecode /Length 3988 >> stream x\I W<@+nr99y2{v.U("?.R[._X7oiWq~zxEFs7kI/p ||I]t*|M^sZ_cƓ|-WT^~YjsQU\ 76"<8~#[֜N&=0~6$LR.'EWa̼O!):lK}_abڕo\ FX8zI:%$L[`R5uN/QNɒh/ /=/8 F}6ި"ȓ'ҬKr U8xFNB&6V?kR+ bBWx^WJ飊&I cd}_uƓ$3)(u)y{q6B}]Ӆ&Pq5{ ;3O"`Yh4:ݛԜնP^ӹi v3`S%;0Ԧ^ -9 7׊(%[ DeZ0lwד08!A"v1%Cˍي $*mLC wt"SmGK 뉗=( şq\kݾ )4d72 cv%ɕuE±LJ3|&X<fS%(I|'8 ~K^Z62q֢\Qَc!h`i3 <:ĚRp{ۏ7~qMIR=2rvͤz'񼰭v}0Ēwvy}I]d˳]E@/j\ۓG\]!MaY?TE4SMd,-!hR׏kXH؛66W 0v'E,1 dc*@!ШQ@#QfVV5u.j<@^R0 `"KK3= D_jK"b"(qghGEAݩף{ =%{J٬R(Ϝ0;!(SH/ۜorZWq:'0mH\3ʑϛvnMQWU^JR&Stw&鴒ۑNemXZcu>Sp0iGh7]D6nSFw㮑l_VDQ7ܻ* 7~/b ZMu1NvE가K܋䣨NPTlHޒ9Y {̿cj׵vd&f|Dv5of D G2;(rխ-jWuqJ>tYU$ h\W!Fy̓;NZ|\> 6P8>OCq -&~H(>>ﯿJeIxB *Ÿ4l%~=$CJ3n_ˏʷp?5Qĵ+,)al"hяK9* 5D088 l`\աE3o㸏kjnـ/0un6ęyFp۴mc:Oa`q>p[aTIvOwN)wR"&~w4 ҷ`0͸g͎ܺuYTaGK(h4hmcd`Y~'Booh믊~7LT&o`?B'I%LÒe LEÿXx1dW ;/UM؂IZ঄ᤌ+^?,@C EgI)}RF:HZ3hNZ"HnA5tɹr.|&`^`+E,nUuX\/J<7X &$Jȸ7+i+r}GL+cBG-PJ<w!,[bouZw+Q<x'ޫ|cJ K \"s0 i-b65u^=o,hj~\HK=4u|-XOr8R}7ꐵqGL(XŁ٨ 5pNTP3OӎIGgxCP8a |J=7CBK,nn[@<]۟xGDm0იZCYALχh>xSM,c:{/n ĘjUA c\i.{ ׂ:gqmvbLFaDTz㜊+AcȭO8Imŧ05s R̥|N.\˥,``B>><|-QyL=Q G.Bu U] 0`)́Ȕ!: |>WE)}O~Ok['lN L̟و#>䌬"* C-w /|Z܅w3#·D5TmPx9l26< Tg8@~~> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30978 19368 0 R >> >> /Type /Page >> endobj 19362 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19363 0 obj [19362 0 R 19364 0 R 19365 0 R 19369 0 R] endobj 19364 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 606.1 145.4837 617.1] /Subtype /Link /Type /Annot >> endobj 19365 0 obj << /A << /D (unique_27) /S /GoTo >> /Border [0 0 0] /Contents (write_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 589.9 187.3167 600.9] /Subtype /Link /Type /Annot >> endobj 19366 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19367 0 obj << /Length 19 >> stream q /Iabc30978 Do Q endstream endobj 19368 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30979 20830 0 R /Gabc30980 20835 0 R >> /Font << /Fabc30981 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7H0 ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?* endstream endobj 19369 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1797) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19370 0 obj << /Filter /FlateDecode /Length 1606 >> stream xڭXn7+xm 99)q`ja/رHhHEُ[߉ڃ}`ۜlr9^Kuk,s9l/΅tc`x>X_,jkXTt ǃ$x\ o<2_".,=<0].u+z!sklpP:ǃI) 8oc{^ȥ9oE ëeo`79RK⮿QGP)*]&R[8ΛusOPR]Ǘ?6X"}/?wsOdG}wy:ϱAJ֟`/<':t|Vv9G̝}Kzlm3"ntҀ\_$R<m?4Y΂O4_I?%iاv~Fm*VG O %>y BZ-w+hCЛ=VF(%~r0ZEIHi_oIUbJiR9\a{hA#=ޜ!n&9? Z-|VC7)ε %ZN_KJ()(~R,wkW\3wP\mGZg:Ws>^{u=.2#\+i/І\=/:;,s7k)o^+omt7_hE,Qu}̔0;bz$ tszˌq~c:f>-J*6jױf9e7-ů7[ݵy_ gߛ\!c T%S}H)zm;Ř;/% c+&h*ϬMs9BX߳軙ڑ+%(%iD.Iy>OӖs/S>Sfm_O+Eݱ0z$k+ӀJB<4x^vPUv3t,X_^h/wkJQcJIZPVi evѤjrS pnӹ'MiCA6^RL<2 }4ۃ^C9̂du! !챜aC?ۺǭuJƌԪQQAQARR0UÉj!' q[r6Rvc%/0mn k̕5HC Y)En@iVFnL=~wk"}[C{ј&=}ҙ,=>z}P؄Wn}p7/=wx<,Mp4ݿfW=SVcyמ6ҋvN}9ځ9t|UP&<:\3r/wGaWk$#J{dIb=IiB|Mԇ \#Wq|\%'|Bғ{~PSpqivϯiH*A endstream endobj 19371 0 obj << /Annots 19373 0 R /BleedBox [0 0 612 792] /Contents [19379 0 R 19375 0 R 19376 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc30997 19377 0 R >> >> /Type /Page >> endobj 19372 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19373 0 obj [19372 0 R 19374 0 R 19378 0 R] endobj 19374 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 280.325 124.1605 291.325] /Subtype /Link /Type /Annot >> endobj 19375 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19376 0 obj << /Length 19 >> stream q /Iabc30997 Do Q endstream endobj 19377 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc30998 20830 0 R /Gabc30999 20835 0 R >> /Font << /Fabc31000 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl :Bl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ8 endstream endobj 19378 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1798) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19379 0 obj << /Filter /FlateDecode /Length 4608 >> stream x\Ko ׯsV]*0ට&rXi \,^-\mKEHɯ^%I-;!&#B;9k$o,|qhkuSt!Z*Sdrb=sjL+w=p,ru06(MД2nOtr"tiBtjb˵Ȍ/!Xf2[fRǢ==@k,*c+XlV^.ՔU:#;D#h^> #UvO&M!k6ͦ8Sr^!؎Z)J, C'$!vj69A!U0h| hg(?5B Zhڕh@F1#ĕͥMQLVc/XCt *J/`kٲ@;?eV]R=4j"hDZd{n0o w65 >b 7߆g,üLVSl{fZ>>}#  5(o'g"^FTвOڜߒ9SxMVeKhX PTC;Y3a0Н,c0`Qq|sXx`4L4=:ʹm 0ܛo4}g-דU0GAx2x-x 4mj2Lm_w4w̵7FMSBGiEaVkEzÒv"ccGԊFNc|nvA*$5X[!Y,s[BO[tqr! ;@sq_W<PTT+ JG+37G.|_h^j 'dzR0Mqsf"w1$h'xnn I]320YjV@*)wϱP2^bhK)MYLe'sTeKd.w&%qE.{QlȶfLM0׮[:?wWoț(0W4Y:w>(6K|Gd4GN {ݙAu/kj #(;q ǝ=xc& ːgW.{^ wa8 x^)#9a~wo,>Es?:2'#qlzYq3g˽C7nd.nAc+P<_x$!6ë*sL #-n\͌!0S j3C/M[jYO@ܼwǖ g(\3]6cV<^!@UnXXuh`%f 2ԆTZt1+NJ->~;@leiC,]O,W͂]oKg4UmI"/@XW z޸ NE%at(͌rMT}Iªcܨոۉ xgDtR*I:``>kTRk:15swY/TT8{p3rnܧ1FDRQpϳbNB{=6{d;YDA*}bMRʃoDiGh~aLWkW\7LŸaZ'\ PD쐃YC_;nWCthc^  B^`<;X XdWZg`&l`H80eV  ЛyeaI98-8% 麃+Nf 'qcp#$F8π$? Nu)p ;'X"}&>'1N's͉pWFwI\>'5:}rt?tQ@7PC`gxP%ed{@-tC9Ƿwo< T} P-@u? NTަP[ÀbsyE6|yAP;”hz0%9'bJl/>)qm nLmckLɏbJ,1%?SԱgA%K}Gf~bϾđع/{'KA%K8/q{'KܞcwNtZI|`oW5Iw@25`3_Ĝo-0`oIM&mvߍ"ѭnSc:P (|Gޕ7O6SB,W+SH#~0/gsF [$K \S;9Smf_-ij;tu7UЋiK;ra9LZrfJ|* z/}K`")q/l5 B2޵Zh UzVM4ɜJ^mːY^ ]6"UnA[P1L,nlOYH? +|Yc/' 9"1.hp̍Bh_V+Ja+b i(e"DU*Z`0c7Q6׳ևHR b"TQU%In}%mS:Q`K$y'tA M<,4)8L\8N6ZVl^:6quޫ\lsa#V|mL؈WwE+୦oCP$01ioV].E6= ^Yq;ON=J]ޑ,1}1{Jޟ [UoT}~`/FOgFe(٣;zF.gF-ܩotp׷E:~8cb[+ןHGg@?8kz\7~c[:pe*cqn[|iw)]g3X~캭Xk.wk3K;Z]iu6M*/p@Sp˫)?x8%o /HECq t  W E+(&ݽ6"I *[4D]ዅK3^`r2w(a`2"+hwʼc #<-$WbsoSޏ%~jf>w 1>y#kM*}͵eyG`s ⟯.׹*y+ MCXW y9)N'hMS\dfs&R $ '4zeuWIAeM5//.QCE.]/Vbɹ5[]QpgfۓǏZ{(U\ecF^,_+xi&nEd^e+5j2L9oK6un)j-ũRƍˎ5B1]F;\j{=?\G] ۅakNi|,9/]cF8Ck cOXo%ouH vsRW/Yz~dͯ40}8'6=]$LmΟߘaJK<]#v̈́rP> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31016 19386 0 R >> >> /Type /Page >> endobj 19381 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19382 0 obj [19381 0 R 19383 0 R 19387 0 R] endobj 19383 0 obj << /A << /D (unique_558) /S /GoTo >> /Border [0 0 0] /Contents (reimport_files) /M (D:20211013063105-08'00') /Rect [104.1732 260.7616 170.3107 271.7616] /Subtype /Link /Type /Annot >> endobj 19384 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19385 0 obj << /Length 19 >> stream q /Iabc31016 Do Q endstream endobj 19386 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31017 20830 0 R /Gabc31018 20835 0 R >> /Font << /Fabc31019 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7*жYzr" YHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)Ɵ2VK2 ufg[XLWۏ"ÐL$Sl)lRd!ϡdy<31΁s@9`sJ 7LͿkU|B5_}tw%BJJ‰p-Zݛ- endstream endobj 19387 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1799) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19388 0 obj << /Filter /FlateDecode /Length 3199 >> stream xZI$W<1HrmLfNmSm[FFVUvSdE*Bz)R~Z*[zOKdxK߷Eᙖ/ZVj˗/CRԓS?+u(uJ]|7,?϶y6+7׃R(}>DM!_", R W" A[ 58Ow %E We l$iE2bΒDHh2MY*1ACT^@QnBźժ-iF4f;TijAnUaK7ow;0EySf6{䋅vA5VF@j& 8[ p ̚R6)cˏ' ^lhWs3-EiLgzaƎH J  &aT-ray Xð6{&gԼ~WlFࠂn֩.6yJe{Q"4Zk}?pJ46{ ?{±ٸC8?;Q}eG73(;{|%)SaRMڮ*$9F=BW4z9vII(m7>6pnLFhX hb܎Y6u"X;x!Ð\a٦`c`6JSaߏor\S5Ye:+KgqnP'+57.Ekn<.{g?+Ua~ iUui+P*/Z- +I%Y{ggڛ(b}G?x?;m 0]@(gpIϭh.?^4@ t4FHO.v@jG4b0kMP$znPJc'4AUbms*!(KB%6Pj_l!d"*8f:UCdjqPhwE$m饐sV޴u,tǫ+֬>ið52{0#o z>xmO)m Ďz4`8~%N%m#zޮ9 L(~SCNZ׷\w?F4QX<K֔Ibܔ2orGeī樝(|KM8*`v%wr;׊jݥ|iEwtT4f8fL ^JjJ'rziIұQmS'FZm%{ƙ#kzzjEz+DY1E; ՟B[&;$d]q7t Rrو$>_}̷^( 7G{/*m#z@$6iQr];x,Nđt$,S?ユ9 f753e;C V_У'6"y1&)=\R#=qK㖍m N ]7׶&C-܊V>vBh#2 |)-l!?#N}^];%ۥa0CE |2/ u$JRzK @pV|Q N*@حXY2m4 %mvBTLhBE5{PuU@ʸ:3]P p%n>q)w%K>[wϳ x,-8]ZKp&TƌDk-<^]1"w1xy}Xh*pc2b6cٮb+"R-9YL*=He5وI\+9= ߕ,D_e;îꒊ-6^E~3\eW#|;8u=?T1"u[ g")Aɓ𿠯^&T'{WT7 UY@-% |EU=WTF6ŃL-Bp1f6xkTg\V!K0y329zΏ#MFЊÛk۷.m\VvV|;l]4,Ěz>YT줿SR:cJŸ~Ͷ(bVO.?U+zϗ.kPHU7K x>W|1:WN!ݬ4Jjt4[z< 75aՅ^/y"2. z='@XMA_g7zGTPAC=j= 4+v=6n>(^.9\ۼtUD\m ۉ8%7g'xQ1Մ,ߩsvG3MP cOd䠣ij endstream endobj 19389 0 obj << /Annots 19391 0 R /BleedBox [0 0 612 792] /Contents [19397 0 R 19393 0 R 19394 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31035 19395 0 R >> >> /Type /Page >> endobj 19390 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19391 0 obj [19390 0 R 19392 0 R 19396 0 R] endobj 19392 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 299.225 137.278 310.225] /Subtype /Link /Type /Annot >> endobj 19393 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19394 0 obj << /Length 19 >> stream q /Iabc31035 Do Q endstream endobj 19395 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31036 20830 0 R /Gabc31037 20835 0 R >> /Font << /Fabc31038 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19397 0 obj << /Filter /FlateDecode /Length 3959 >> stream x\K6Wel{XiX,/I([[2)H'QeUjbwYhZz'g$E)ǣF?xSB]R~~;ʖ+땫wȴMwzu+|m ږ˭PJ|^v_E? ,nIYm#-IWYxChh,_|1 OR{F)c4W@2<  J:81C̀76Vz5e^#EMlIz%&*AgenP`rI}cﴷS0Aip2`,oX9@C0B/0xov%H۟B'IJ / Bd{w2*Z*oOoO S38R h|. h5>] |Ӽ$gJf 穳/bCs6#fҚbĖN.EƎN K2E_ uM)C+)!O+lPBH͇sA-URhJEJ990ӔFђⴀ":|Om($hVtv״݈pdZ1ɠVg>vv>"RI(4F+37apKм`s*FT/@NܼLz^y%`VL8̴p8q&xHDܰd:&;>1F5Z8#[ͻ<(\Ƌsd5qƑ#GsBaM3F{6{mi N L'yI'|bdFU?@e'F.YΣGʅ, s |itx K8u/ٺw _3V5Bog;^dXq1),[1 UFg|Rȃ׌׬ ro?9v6rh.c|}ֺZ8k"Dsjꢟx#:wՠ86mhsDU_q|e>Rkwx;ﻅVZѤ6a#ȼJsʄCVNFf2#m|f[(9y63e6@ր>yX|ޅ)AGU:=͖̍5.f"?n~~ 49gL+MfkS8JHĆk*\5pܯzg"r)O5G:P]k`,wzj^dW^O ^DTJh*#W*}I }OAoG]ߢ;=-:ZfdҍD+@@lҞ[5Шo{aKA b&XM3ـW(2BEjRk:e;q(,7Bj*Tmbx] ޕ v;9FZPD굒K8LH!],E:do ܤ' QSxc\> ^aI-P˭V%z>tDU9=k`n+g' yMC"6ٟC*%V\;83On$$'$'|D΃$VKI 1vD'8]Fוl*PMu2@U95him~&u xI⣽>=m?@RH\״ͱ| BկCذIi!>n/NG◳wcl `4ecC~3NUzGZ^X`yd7+b7Q2HCtvn;XN)])Ҁ9^)FtJwObH>r1ViM(RWAғ sOCߛhWQO*î4S9d̓q8eTHjEm'E\&fs.mLRT[5eջDFR4iT4riNJs)Q3%S YJ;2%bԟݴOFf!̽MK%AZv)YSҚ7QMpn݊ȼ#4S8yD|?/TmJ6vES@j\JՂOB߮B1aWX&c;03ѳ{9(j&vRK&Zyᄍןq8q Kz[;IGv gP>> þgbtOҺ|( 37Yc$ZoγCv`W+u:FS+z\,nLz<'>=-|z |t=IjX}yïAfKYȺ|Uot ٱrmWUM;t^:|HS>ijfvOxJ<;1(RE $ci/E/e>)A: endstream endobj 19398 0 obj << /Annots 19400 0 R /BleedBox [0 0 612 792] /Contents [19406 0 R 19402 0 R 19403 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31054 19404 0 R >> >> /Type /Page >> endobj 19399 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19400 0 obj [19399 0 R 19401 0 R 19405 0 R] endobj 19401 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 325.925 137.278 336.925] /Subtype /Link /Type /Annot >> endobj 19402 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19403 0 obj << /Length 19 >> stream q /Iabc31054 Do Q endstream endobj 19404 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31055 20830 0 R /Gabc31056 20835 0 R >> /Font << /Fabc31057 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7MЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ @ endstream endobj 19405 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1801) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19406 0 obj << /Filter /FlateDecode /Length 3260 >> stream x[KoW@wf7`Hrr?⫧GjQ7ɪbU}d5j LxɫӟNЬA/I~}Q'cPR.z|VF5Yˏ³Uڎi6ƯIu&tmq#܃h`mɱZd@N6G.3#Gx BEګHOr<"@J@fKb52M@ੂsJC'`v?)K ]}ik5]~ן?MA@JF ~0y]ӚA;74FcQ; =0fìpp`T=E?"(.b!H|Z&74*J -NU%o%vص| ޼~UtZX|<(k@ZN9ȭ sly"}U7şq8-&2Dbr7\WBVe߲½r!~f^EJ}#]@-YZ﯎IVQґrb%$f_v{~FjYtI >Rx5R,Rnw>{;AW|٧3(`,XO nd"(xYhWEPFN2r I1,~ >q0S^N1* jG9he%MRTX5R;PNL:rY1NPH<|q#X?Cx&E㆛C!*sPJ5~M'![J"D ͍:Sk^:T<8H)OjBQxơ39fbf f}B]ܪ[zXv \ ,,\ }`v`Q]iL(9gs+E,۰F=3]+NJ ,ݼ8m=N\pr[8WΘn Zjs@.58N=-Q{v (EN%8!ux8as J{ NagN=8u+ҕpDkP=p$Fj}"TOg\ Nv#w?@3? URcץ{z]/} oKYg!wXRRcbٱ dKJTϼ3j7p"AK 4U/b=(~p!a@q~ǪfZ2|Uj^[M۽Y|ʀdnЛB\<{Kv60İo׀xX⹕M&/h?>:Tۘ-76ϲ?SpƮ V: A]ZCNy5W5ʫ s/^:k +E^Gu>q똖JC'}0iEɸX37=tm9v113^VO'ҍ"og>qFIal;Y N-&P2GAiov+X)`]%#1IxShR#,y# bGZUyĸi;y !cjTHέ {yݐ0Hy<}/Z' /+d oBpFq [ бv;?TsJ2ĐZ]})ߨVRm& `6FC3!|"9:yrO{)NJ[Sš4oW~gV+> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31073 19413 0 R >> >> /Type /Page >> endobj 19408 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19409 0 obj [19408 0 R 19410 0 R 19414 0 R] endobj 19410 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [90 84.025 123.198 95.025] /Subtype /Link /Type /Annot >> endobj 19411 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19412 0 obj << /Length 19 >> stream q /Iabc31073 Do Q endstream endobj 19413 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31074 20830 0 R /Gabc31075 20835 0 R >> /Font << /Fabc31076 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 19414 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1802) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19415 0 obj << /Filter /FlateDecode /Length 4853 >> stream x]K丑Wl e%*m`Z`2#TJ5ܘ"#$E1oRK2F~wrHRo7'_~Z7.V~(΍`Z*MR? ]~8姱F'-+y2]zʍ櫃OR avs? #g_d;+uk;ys~F TN{Rv+܃= YP>ӽeՍN$,Ui@y>Ig_A00=TY"o!…a4< %=*|6IY MA3(^Q*9veT0} Obf aM1Zh=: OgCEcM'q&Z ZQKmHf 1f$1FFI̟DϢN'Q| jZ5k؈ZSS~mgJW*IY'Fy T?Jm~zFfág] 4 7T֓l=JޝL,ɋz"|=CBw ݌=[% νtnUB]G?<&<Ü|g)\?0-H@'5803S A֗iu'dAJeq..$j%hxIhZ4?O9zeFp3ߣ1?5B,שQ f5_xT`xT84g)OH~ܟ\);eQ-Moi7'iU1Sktr#t\zY  sh.`W84xH[ۺ=s0tu8/L. SVtj}3TI6JJBoc"ŀkYsw0YYj%/w Uah~\?;.FV"Mȉ]\#5W&ѽ;pEqRh\u4nw*^{s7-x`} V}QFѭYqũgeJ**.K^\ ]`mwǖD7/hv}x`&K23@x:z5gHq_Q0Gԡ]|wvP| 8wc+[>C:K_ 4uJ[df֨$`›]>ui;.F'g*}c~_/JuD~G_g)]DW j=MwbrǞ\uѵ1yBϛ_PjsF)¯Kʶ;4jzQ%?𳜓MM(#\7N_*}@VE|fKG4Y:+\EQEkJ[֘"CaI-A3U%)*Scܨָ%+(пFdvʕkaFg9Bʷ# 5*\3եI&\0C;pF#Ÿa.%SO ]@ Lܧ1BDRVp3a1OL{56R"D% j p Jk ;u2|"]=W%烃o}"ٴ"vaL+W\h7vSYN\("pRRph$bb*:8Q1Y*jX>jǮJCV]Z5 L.ai%lfPu"5fJ%TT-Xrb% I&$bqeѡ\IɿV,>'b)6$Zpm$1; 72UtIpZ_Mg8AeQH&EWE׋2nhxVQu'QӁSBq+8i'*QCNG< _D\SժMtj3G'wN٫\#vtOBx'q!<;“'yx$tKxO;“Ƭ{S'Qc“ؽA(uPw( X PPv]WPc:)F)m1JlNQ?#FKSc؜B)51FS{bMm *99N}G┸45Nm,uqJlǩ@%v)y$N=qJSbWowNlJܴ:*qčW@%nmJ2 *qoT5PÃ+Ηc ={.Sh?d=#],AL*q{HulUo喿 FSV-;;yrԂ߯ӈPܷ_CJe:ԧslzIgwl{@Ԅ[8ڒY#4vٛ)j ރ;O#(hxH"gnС,j=:qBcj7:8408HN<*=&ZD~q&Nk.֚ݚRyyKUM\~{Jy:cU% -<>(f@HI}:0{cQNĜJQJEv9*K7Uǒ]?E[#"nGNz0_D.`env2SDu4J^(ݰLpxX%bd(KJժ lnIǮ]JT&nU 9d];i,)=OGx=uY4赺ZBu`c7-e f%J7DT2hX^PRq@YYn 0D\&Na{ۑ dIP.JdX84jrQSMԅǔ)ΩSR*l%_d~2#η939B>'n]XYڦH6UB_*`.]< TLa0e9T.^Rvl&7E) dqJv~Ї貅aV|n[5}krg4RRrH@7ɁGPǷk5qo~4/wF3pĨ>?U#3rm{ ~? CW_V' [/z=7=(mb%z9Ur$=U}*0 vńl||g/]gO)/į}s= gO0ógsuEt˙?΍81\"WO:9؇t(@s0wj7O?Q!Cw\\Np'>M'6xjh4 GA/v] endstream endobj 19416 0 obj << /Annots [19417 0 R 19421 0 R] /BleedBox [0 0 612 792] /Contents [19422 0 R 19418 0 R 19419 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31092 19420 0 R >> >> /Type /Page >> endobj 19417 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19418 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19419 0 obj << /Length 19 >> stream q /Iabc31092 Do Q endstream endobj 19420 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31093 20830 0 R /Gabc31094 20835 0 R >> /Font << /Fabc31095 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 19421 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1803) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19422 0 obj << /Filter /FlateDecode /Length 4854 >> stream x÷Ogz 甗 aFxCgC6Pe: ?{w/܆'W~,ۗ۸Gs@aFxF%?p#zҋq'Jоc$<.a={8GMyzT[uq$'`lˊIaDnA#މRЄz⬠ ^>p^&HyP{@6[V] ?<9UT}oql`@+ٛWr&M# TEY`. $#)pbDJ'jk˖ڼ L7L0&VbCmI]n0qQ٭ѕGS+\ ;N6FRůɀ|d+F=J;&ӬgF(Ih'lj` Z$|($HXpfZ-ǥfCwA6uRFt@ج5NCo]"% zXyYQFc끴%mPz.SShm};1nAm7?{s0սxc٥AC.ؚ؏>&RkT6m3;e>Ec9 WY=lY6咾ɀ0OeKұ4,8Iv{W(UVNGP \,{ja<[y2*ίh{pQͬa|U-zTt(% b[Y\8F|͕ I.Tuh˿` v58Dp>Gtb\Rѵ:3P;b)o"!HDfK&a4_oK).Q|sL(a $Q!iŶVgXEy_ '`^s @ML0M r mT̙&@oۃ.M}Dh O(T FlsBhu)jlT'_P#mS#5Pۨ:Em ϻdCZ/Y<͜o!UCH?E 8RE:GE F0 V(RϑxoD \JSy`,bQ^-0ENsKVY}Dj<@ic@ u,?O- B@D܂ G SU80$Tı 9Gd0 (ˮp7bP+$=TkvaXC¡X=tJF >ޣ$BK.FGBLɟߨ`p8d q*FWlޭh1aHze(;KT{kq\anuB¡aX@jen `Νz%LOģ]cI{(Ң !G FqSyǧ\J0yԔC)"k4S@5Z/8t2H%W(4'i 1}S*VMV4E%7vkXgʬ 5[~mAJoM#^~O? =3[FF~[뽎xŭ7|ˎ+x'0"~-L|T H ALzXG,"1f0ԥ-ΪQ'$)[_֖X8C%%lOh64Lդ 8˹ Շ4$~di#1>o6MTK2fmw6jSo֐X@t#>.qH[iٸa[&uzap/c/O]XDdE@A$ nH·qzmL#ۙ/cZjȾZ820=i/=kn8V61Adt,ӕZge&s2;-*MmSvD4z' L{櫉lm m|9x%>Ce[I턷=#0u$ߛ]f)1fMSDQ={w䷭vˇ(ڥ:+~JY pc ?r%{ifoki,3UD1 'pM9q=lVK.G4.M"۽l5@h+WؤmEx7CnO_S+jUwC4hdcr)VToj;]YZ>ue\ /qBcE-%kG7K&`0B@Pqft#V'(]n[f3_n |5ys+3]]:϶zQc6cmzB':UEOIӵ. ᅼIa04ajb2t=.9Pcl7,ƍhf!\,LP0crr: b %+Z<縥?-!` juvAB](fG F+1%uL% n-| ̷||^曮rFH MV?ot1GԔVg'mxL`@&(HGiEZyV7gɅ*LAtrV,N2 wYIZ=Vz/TQLNaIFaj1wktzX5%%Rvn @֡$^Z>f ,Gѹ1UҘY/T q.<6R\&H1r0΅N7Y.TfTx{&ϊwЮ/G>jnk e {2q{ \}_}_{2 zw6ٝv=JUx5$gJF+dHWu4Ƈ.,[g2GU:OQ۳ܐ\ NvG"0zkP+/V3|_Ig'dyvH'2#/0 g Uyp=QADcS<觾]#_ĸ Sl]Ua2?.x[:VVv\!ʺ.ԆBkwȁG>o\|7" ؃9%*I endstream endobj 19423 0 obj << /Annots 19425 0 R /BleedBox [0 0 612 792] /Contents [19433 0 R 19429 0 R 19430 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31111 19431 0 R >> >> /Type /Page >> endobj 19424 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063057-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19425 0 obj [19424 0 R 19426 0 R 19427 0 R 19428 0 R 19432 0 R] endobj 19426 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 116.2925 148.0577 127.2925] /Subtype /Link /Type /Annot >> endobj 19427 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 100.0925 150.0652 111.0925] /Subtype /Link /Type /Annot >> endobj 19428 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 83.8926 180.3482 94.8926] /Subtype /Link /Type /Annot >> endobj 19429 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19430 0 obj << /Length 19 >> stream q /Iabc31111 Do Q endstream endobj 19431 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31112 20830 0 R /Gabc31113 20835 0 R >> /Font << /Fabc31114 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19433 0 obj << /Filter /FlateDecode /Length 4392 >> stream xɎ+I^_Qg$'/Ւn#mIBx-r3fcgVedDdk5]~[Y_)M~e3~uQiVUaMZsZ?ç~ps|@wyx<^0듊N Zw H<(s ],{#'d mH}NfLRaT>Ïp MK1<.LzL(yJ4x'v&@Ɲ6<^Yk׉C?-H0I%/96@Ӛ̕[6{y,$Y @%** ,|*n!F/yCȂC! a㖐*͠A 4Xqp68Z< "Mv2_``9Baϙ_YO)X/t5p4"8ج;1aQHzEt\`mig'U|tB'8]X&< ߜ@pf_2 rƖ2SEw"^4FΣB[nT/DS EWV -n$>3,(6f߲ӌ/v˺Ye8 y9x55Y;BZ|6̋(FPUJ\C{xkSb;ʄ!y7R/bU>^aX26x~$1bYuo6 &*F4<;k颕J.Ś DE+S$vV"A4OxM۰+чz_G(c$I -H2(C)=%s bF}D_ ͠{mM{Aꧠ:l\X[pVoi7ksfwKfM -1NsZ-CS,mͺ58 ]j`m0#{FoZ#HLi!$7;,G OAI'< -akK/J=$$dB~kw-D%gװ۔Qmt 6]?zONxh}W'u>4Gr"CR+2OU/-k:iAQG|?J5d#qΨbhb|Yk>& dqʱ@XCdӨ#.R1-60ZSpWRBsP"!6|DCKg5{>o&S D̏Z XN Ś =s1Z41aAoq QuOwEZ\FO+S=(lErDC~lDz;D%o9%v>Ȗhkư]JWϊ[9&{&t"𦾐LbdL|=9CEF.uhZIly1`(Џs}RsZ6~V?nCaw/U@ v(Lus72Ĥ%]κ!$Νqb#Z2٣g3zt=Fl"5|ܵhfW?B4Unɣr%Aȕo !o"'wx*^|u )ߩK+ 4ZRZ/K6jjûM6G>č9rXwFsL*+q b!pY`&An@gBk!jn5Hee-l=u^Uf!h8C"[zUn%¿e*ۋ$1\}e*@(Z/K"RxvMjٓi 4!W8`5%;v3]67u<;7G8^whH.3⽨8LRM@O#vNX7qY`>/{Mq*(#oBKx <^i\=8uo vvjdsٻV)od{K,6lͭ^žo6z.sGO~,KVT@v׻.\rJun<\p_lMӜV*_z%Jܤ|`Sʂ ʺiy28ɲa-de)e6ƫy-`ؔ+C6U&?4蒪b_uN]Bu1Hh纘vg'5[!7kbktaݦrRϱQ1j,77xѧMH6/xB^P,ޕɾHKӥvOE5'UN\n,Џ 0zc>Bn߁s6OzO4nn7U4@a-XY]|_w(tϭlMk ;q0;X"s)މa5NTkMs#\W;L})Ja~@tD nޭ] r\|>g{|ZuQUP)̝t }l4#gSv_op.+[(U_M&3;2|7 .`l4QJ]Jgj}@C*.F`cɖq[b3ٷhWw=Fu}_-Iw!lA[8ku|qG:5̻M'ˎo?07-=Tg{o{3Mզy[(`<\XUa\~eIpfEMR7o@;kiJr${]i &+ I([ ._XA *&*&3BZCq4U,2X^ |o %u ./j9O?& &6'iIʀW&M]țaH7iR5?M $8AUJnmdI.نTpضPP_몝>=6͝dHF +vYJ&"R*fzk U6Q}NzEywSģY2Qzro {u5ԚlVT6j͙.ȐL1 z!Aq #îg71_n1_|>!l_?<ܗ\gl (~Cp hCZjͩEq+ָSXKS9,㨨ըP@XWۥ6(27/ZK`39}) R~8 pOSVĒ .Ҡ9}peBzW ^E } qr2Du3aum++ωQV0\.M\dk;7!^vdVB;7e[(`,CH𛬤w/qzhRdeq_\4C ѫT\ws\D <7Tq*amfVq{ />Rrqe/L> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31130 19441 0 R >> >> /Type /Page >> endobj 19435 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19436 0 obj [19435 0 R 19437 0 R 19438 0 R 19442 0 R] endobj 19437 0 obj << /A << /D (unique_378) /S /GoTo >> /Border [0 0 0] /Contents (update_module_reference) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 229.6007 709.9] /Subtype /Link /Type /Annot >> endobj 19438 0 obj << /A << /D (unique_380) /S /GoTo >> /Border [0 0 0] /Contents (validate_ip) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 155.6367 693.7] /Subtype /Link /Type /Annot >> endobj 19439 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19440 0 obj << /Length 19 >> stream q /Iabc31130 Do Q endstream endobj 19441 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31131 20830 0 R /Gabc31132 20835 0 R >> /Font << /Fabc31133 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&Oj gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 19442 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1805) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19443 0 obj << /Filter /FlateDecode /Length 1207 >> stream xڭWMk$7Whm3ۇ 9xCSU[m%TRիuW-ojC>ؾmNvm9헇hhQ՜|?? @:i!Zfp;O8 y>y}覒6xV>ƻw 3+ .0_`6ӚFhn遝IB;:qll(mlb56Rj klJ3\-5œ%(*UyˮpUj‚T6Tbb.E[\'ٳ}\#KcѯІݥ}qOw3w{'ȋ 7.lq!?B?@w cBA`^6\7jzXD\ӫ<;.Yt0ظ 'riP}Fwb6{21Ulhg3?6Tʎ4R~ЌّUD)Z?ƄOM]3[~ XYǕ!0VKm께me#w5OpU]y.Ԡ"#:MʵJ5JGRֿ i\:1Kc=ߤe.Vx׼lCPu @",X iwxlQ; l7ADtk h:bK4) !L v{tQiĥK|h2 O) LO%Â! !H 0OWe;Ca= ㅔGicFc`*>*(^ʣN x.4+ oMĭҥȯ&iJcm K-Ih%}>WZǝ|W֢]Aۗ U_Jo 5G):d Dsku0+QQ^xQ39t,ETn> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31149 19450 0 R >> >> /Type /Page >> endobj 19445 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19446 0 obj [19445 0 R 19447 0 R 19451 0 R] endobj 19447 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 406.825 112.8525 417.825] /Subtype /Link /Type /Annot >> endobj 19448 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19449 0 obj << /Length 19 >> stream q /Iabc31149 Do Q endstream endobj 19450 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31150 20830 0 R /Gabc31151 20835 0 R >> /Font << /Fabc31152 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19452 0 obj << /Filter /FlateDecode /Length 4720 >> stream x<Ɏ8vw~̝H #3ո>m.~7ITɞ))R'ɫ^t:],vU%hgG}XrH/wrOW!{%}G]"v7+x׿Q$ o_C@ߵYZY>ïk)ƿ/, , u kq]U.3gx{ϟ tpG{, JaHuIy E|fYCB?>ik5m?S7)Adaf 0ik o?Q;|1٘(gglG{(x 807؏olr/*nжQ8B %z0. 15)x"JCA]|YRU)ƔIYP5chamP_ؘ;sTXPzsJuwa h"*kor)EӮ?yCW+&}4G %«H௽`YBQk(ZS/H2Bbw /l פR ὀDBUJ2R ǝfl>TAJ@q"s }Ġۑ`q~# S S&ZFH8{*V&4I AlhR# k '/'f#nRR{sm4qs=΀D`*K66kk0bSa,ْJ>@e@3kgE$ڝ[Hz]Fʈl+E\ОSXr Ff?ۅ mm>`&n>yeZ26_t5M?Yel7na7na;r 7np 9;p٭o5YF0W|b 63Ud #'r#r;]+; Xkqr'{NnNNu7wr[wr[wR,#|ԝNI?ÝNIL0?ŝTOr'"};CwRSv wR>?ǝd~ŝQvwRϝ^[C δsxelȂfJJrR:E#9HHa^HK x$x|蠾SNyt:~}^|g%~C~ аnI.]^ɫ`anxׄ?D-$& lM#%}W.$޽T 9r{nx(@Rr ,<;YQxiƩ R@x%#GX*@Tݫ}5>p)WIY5$F9'*k9YD`DrM@ e}W A1q(}`l#HKFNd#q+70.RLIBL+KBQB!!y Dh Y%ā׬YWkj$ nr\{dr,)1;k!, 5+csSRl칇nKZ"Goo/~</Vq~Ɏ/kQQC. h;헜 ܰ.ౡS6\ťWPm4oPkLYL.^_`OsnJGj~]7/®m[E)W{)Oī-(3ЮnJqQzJ1qlEiQVDE"dʭgmBKi'MܗI)Z>'cpkGn2+O2ܙN(b|/Db ׊v,@#(q[u65&`8g ~ **y<lvćtx!^g׿;6N.A]:|%isdZ s/ߩ}6'~HD*C#=dDU(`l9 hH endstream endobj 19453 0 obj << /Annots [19454 0 R 19458 0 R] /BleedBox [0 0 612 792] /Contents [19459 0 R 19455 0 R 19456 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31168 19457 0 R >> >> /Type /Page >> endobj 19454 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19455 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19456 0 obj << /Length 19 >> stream q /Iabc31168 Do Q endstream endobj 19457 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31169 20830 0 R /Gabc31170 20835 0 R >> /Font << /Fabc31171 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n" ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19459 0 obj << /Filter /FlateDecode /Length 3750 >> stream xڵɊlu:P 94dzso4ݩJJ̃d4Rÿ~b_d{fd2|߷]-KOcDYYkS^ # ?ҭpZpy} @kP _q2 ?/jKu͋,uN --sV% N7'E9cc_Oƶ>p. ќ ~# %"hl&?ˌ$\V8B̈p3JsR17il@!Wr 4Ujr>kCzŠmk7iQ9s~׸6Y Ơ*kUԁ>fm, 7\`0~lB)\ְXvc2J"u)C-w^JT0{2EةhJdgLl\0T9ЇX4+Gt(ǒ.6dgC޳.Z(G>!hZ7k&.6{1OK%̈́Mn&kޗb>%P:J 4f!,u G]A J6w#}ni]Ec^2**"1y7;N\ۺsJ[1Y֋TM7*`Q~ﰫ+|",^4O(@s'2T02,MQ&*^"ZΛ]Q5O21ywdamQЦ;:I&8&FM|N.eM:=kyȲx#['ѥVelεѸYቘu ;y,8p_Ԯ-9ȜÌi#:"+N Zc"nHDSh^ůP( ~En:pK'#gzB:3\ No- PR!TPp7`D3T|{?kBd ;kM4+8AAD:R`̍T\P6.3תڍʰ- $&6-UNܹ! epZu\ +v7)'7[SՄކͻ4?9"0wr- 譍ZC뙪b80S˹+#; $?P7(>72ŞɏuhD=%%we bKzCzow.H>DbTk+|Df]@%Z "ԛ&䎱)*Y|*1VYkf<s~b]sN u9/[<5h5==7;Sd,l哏oGo ߦi:|6K#,2kV@|r@,TS<ɺ.u[ j"VMƷ5=~>CFy l1COqٕeW>Ρz,J ]!`F >Z=7kɺzuf\%LQ-&u8+Q1quҦr UCȯtN9gq?Nzp-htA誯|܀@}j񽽮jQ`TsV}T$U,^Ρu27lPeZ k>{pY$V3s,9*D> /<5,.5t ^NzZ$_F)-!,;.L\MvOu\:= s<+p}`"h{IFeP[ Zm& R|9M9.fQk͡EL'NW}?UA$-2 }M SQLmf6\lbPݫ`Lj:i Dc7lX!E +44>}X>u 1gĵ&> ˔CR~is)A1{8erq{HU>ĒIH>bPR5<C8RcXE/M7vZƂ1*di7;s÷eXXE46|- o~P&ssqhv UfK"!o0O@@ c*lu|4L)~@]*'8٣\Et7Js8NF@(!ń-|Lؾ !2 Tc&Ks :$Ngƿ577Kgi 3AmSNth D=1ـ&?p*lY.X,zU^U>dDz'/掍AĪQƌ^SFHL_@~Iل> .䤩P)]tЂ@< v7Iirsݹ|z3z;6ϳw[p,=ÄPd V"glp oBDxH8bAvV:bi5m!`&ZeLcv~fBeMV8 c@da{\0p0ʜ~᰹%)jφ #1I p42@l~2vr$k.rO<'CVGxzy( 78cVPp@Kۢ I43SW_vqj0tjpu]@!XX[F'nu,7ZDG%NUfO ".Nu9کa&:bB Dv K3 @PqCՑKulfDR+_6Xz xCF|h;*[lR;P~[۔$'lU9غѸskZu>=&^Gt |_Ӿ/Ie"e" dV'0T8 @Ȩ_KHdTށ"X}pVwc+]xVىoTq05,ƦVb&亖">OΗzTaTÕp o-GdD~ endstream endobj 19460 0 obj << /Annots 19462 0 R /BleedBox [0 0 612 792] /Contents [19474 0 R 19470 0 R 19471 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31187 19472 0 R >> >> /Type /Page >> endobj 19461 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19462 0 obj [19461 0 R 19463 0 R 19464 0 R 19465 0 R 19466 0 R 19467 0 R 19468 0 R 19469 0 R 19473 0 R] endobj 19463 0 obj << /A << /D (unique_746) /S /GoTo >> /Border [0 0 0] /Contents (create_macro) /M (D:20211013063105-08'00') /Rect [104.1732 365.1616 169.0347 376.1616] /Subtype /Link /Type /Annot >> endobj 19464 0 obj << /A << /D (unique_747) /S /GoTo >> /Border [0 0 0] /Contents (delete_macros) /M (D:20211013063105-08'00') /Rect [104.1732 348.9616 173.8252 359.9616] /Subtype /Link /Type /Annot >> endobj 19465 0 obj << /A << /D (unique_477) /S /GoTo >> /Border [0 0 0] /Contents (get_macros) /M (D:20211013063105-08'00') /Rect [104.1732 332.7616 159.1127 343.7616] /Subtype /Link /Type /Annot >> endobj 19466 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 316.5616 166.3397 327.5616] /Subtype /Link /Type /Annot >> endobj 19467 0 obj << /A << /D (unique_130) /S /GoTo >> /Border [0 0 0] /Contents (get_sites) /M (D:20211013063105-08'00') /Rect [104.1732 300.3615 146.4572 311.3615] /Subtype /Link /Type /Annot >> endobj 19468 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 284.1615 165.6412 295.1615] /Subtype /Link /Type /Annot >> endobj 19469 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 267.9615 180.9312 278.9615] /Subtype /Link /Type /Annot >> endobj 19470 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19471 0 obj << /Length 19 >> stream q /Iabc31187 Do Q endstream endobj 19472 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31188 20830 0 R /Gabc31189 20835 0 R >> /Font << /Fabc31190 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n"5thǬ_{8u SJ* ,䪍(査=01H[&#`6P\PS)4GCID_E+%̕')gXk9d Rɐى%_@}}{Ԭ9*{RvaqP@A fѯG&DS384hwm-־0Z|fOr;L$u$r!o V΃sV7ӬZl?7‹!H5j4>S~Y2c e / §9 ?ɒ F|QH:I*dz)rݝCr<3/*_'Ŷ& endstream endobj 19473 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1808) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19474 0 obj << /Filter /FlateDecode /Length 3167 >> stream x[I\ W<@=k_B]9h ANxmojs=II}(J_ 'f#?}NKdXY~Z|ܻ0)j/Rd_oG{>H2\x?u);4 gt..5AJlOaJ9nh Q$ﳎ<\ :,~MJ,v%~Jw W<00ݥc5BiwHMGM;gi71w))u)dzwO\W\A ƨs(%@/`™%%R)!$cJ;W9#WŜ%g:M9iRNlx mzDǥY"uOsel#A"\-C'&֎N `u]mWlz/Kh@W#zDർ#U\OwVkWm_?PkLTͪp"#sR_KtW8L}!q @k蹬#F :SGs'DOkCB;EYGH>F-r{9Cx"OWlHLbM`blTyA/J!:<,Q,jSB&2uqVx&{ hwnLBdӄܿ ׏2~YwM C%Cx> h 7^G&56ʘSKHd䴜ΜAsCsک/x9Qȋ>ȸ.N@ :N?\C9)RFp$el8;[_ͩ(UD<6_-ѕYK\P-u+Wf~"OZ2k&@thC|se07V}l.FҼoIZh~#ĭg z7v_~fP-\|!:7w;Ř=ռ09L[\" CpndYO ZYΘ"F}&։2*R~nDx)*'ϰlRMxٶ9nSMTڵt&79kÜg̋%;Vj]:s|(]p1#jv#`o wG~Ml#F.Zt+Kl=W6 m\E`/C_XK۔H~)z,9Y{"#E u`)q1czF.hw غD_PL`] y*Lbt}/t9_$SI nF/!Y >PfqY,{p[zf:4 > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31206 19481 0 R >> >> /Type /Page >> endobj 19476 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19477 0 obj [19476 0 R 19478 0 R 19482 0 R] endobj 19478 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [90 375.525 123.198 386.525] /Subtype /Link /Type /Annot >> endobj 19479 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19480 0 obj << /Length 19 >> stream q /Iabc31206 Do Q endstream endobj 19481 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31207 20830 0 R /Gabc31208 20835 0 R >> /Font << /Fabc31209 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7qPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM endstream endobj 19482 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1809) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19483 0 obj << /Filter /FlateDecode /Length 4255 >> stream x[Ko$W9~ieM䴉R݋~WwFvlvXU_"Y4wŸTuuf ~47uy^~z5ίogC[NV㓫O>'ަ;%uc[ݞJ?PaV;jL[˳NrB_럖@/^cJך矕]~_,wY.ZRP֤Cw[>j`tľ@!О44:>b(}bc ~̲W ģ$̸Ԛ@_ŬQx#c߳gi^ὦӮd8թުW0[mҗ5(Nq7OCQSU7 F'h]a6̶IX)DOz fwx&A.TdzL l)PN-/RjĬ!d2CLϊ<ًwk􊁈 "4rhc,A.=Hn5FZ'y_=bwAVf+ >$Nw BC= CFܟIlUovn;;uwHG&|evUe!.W^u:k V$BM*K:$":C}z\'a-bjv\-,, rqrg09j}y/Ov/6m6Lby!ެ`lԣ|1a k~sjf~xmHkFbt]O3[n7J$JٚUU9JL)%Kֲ.L*r1FL8_ FY35iwgUVh]ֳ‘F8WIOs $WPa,9V\$C08s ;*SOǠ4yh1egP-ԱKX m԰=R9cD Y}Ɣ?OG(nrr0;p{gSԫNNL0vqQݔC7f^z$%hP\g}7DO̹s2S 6'5x<*A#o 8|,n߷AGN9D8>`1J݌ 9Csmbis~DRV!=wv0ULM;5?[bwD-i5.(O(s*VI7|%{m>IZs "~ 6{С8yӒ5MAHG6`HkziscvlZFE^/SAn'Ⱡݺn:LSԉBpb] I,\@{<c&Eڤ :"tf9#6$v!P6i/l#w("ׂZ% {P$>]VFa/SaW ^W⥃=6ǚdL/cgk8)y7ݯcsx\vT3cLӹ|:M1mb$fK %0ӷY3ZI=wDgkĉrL2t hCC?^֌WDU[<>3榾!i.*r , :Utnk0Pc&Y >CcL\Md^ŭ)ATwu}YuCd>W}ȎZmg sxg-x<>gtC &qx+akR/tf ˒Vүk8 +qwꠒVD:PҮMZ5ovs/|$>1/`[wԙ[;`T99Lq9X@:C{޺JH뺃<}4}B{ ̕fcM8#8:2݆}4=;f7_R[t5G(]oh.FIԔNȗYN8SSBGG>ʏ+ifgEr{W~ %N*FJ5#y\ f85_p,)@0g*|#I7:O 'ܗ4B>>A?RrI-ekgZ;2JZMmҿѽcx*|PCs֊++r Acɥ^T^b+,[X\xizCeeG7wn7kՃ!]<.|ldQLU}w.4cs=l/gT9WEr1[y|gމy>3f jg9X$_h8l<|R"c @m~KL!6p;b#[@y2}!@ {K, #I.ZsUr`MWZu I#^^?CwM;@AND56q(~q dr]`O#U~?e{墆 A:WY^[W`l9e endstream endobj 19484 0 obj << /Annots 19486 0 R /BleedBox [0 0 612 792] /Contents [19493 0 R 19489 0 R 19490 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31225 19491 0 R >> >> /Type /Page >> endobj 19485 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19486 0 obj [19485 0 R 19487 0 R 19488 0 R 19492 0 R] endobj 19487 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 528.7346 172.7747 539.7346] /Subtype /Link /Type /Annot >> endobj 19488 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 512.5346 187.8502 523.5346] /Subtype /Link /Type /Annot >> endobj 19489 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19490 0 obj << /Length 19 >> stream q /Iabc31225 Do Q endstream endobj 19491 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31226 20830 0 R /Gabc31227 20835 0 R >> /Font << /Fabc31228 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nbVthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19493 0 obj << /Filter /FlateDecode /Length 1999 >> stream xڭXK$W輐i] iYc ӗTfM{#_HiYoO-7Ǽ.ݜ^쟮ξ|[c˛ۓsx)W8Գf_ .NO /*'^u.= :ai`v\\Kku%^9AS`ǭހ8{]kB8jf`ŧD8ٳʌ`hDɵ]X5 ~{%zN\_?I/StfCQ a΋oEUAdY- z̪54> ߋ& J̐b+O˖F#h 1l !}d)|hk|}bI]|?d=:f:O/=Vg5EG_Q !4#%.)tS ,s-%d'eNs贼&3J'<˝qE\9ށR~9¯~l7 wx8T>:h)@2. +@PsXfv`?u6l?z6ͺQR߹(4wgabP0bFmc#ev_~(=-U <э+iqmΜ.6}I#xݥk{LiK^=ޡkaĩ6hm($WIZhMH(ڰ#i"rJT)U"9_+I^f)vc3,ydZ}ٜ%r4LڧU꽉eT2ȗ9V]3uy^N@$q;lz @u_7+oHkm9,jGlM @_"ꖩ".^kqDqf][;s}n%J:\]ȑ&0uf*f"SrCʨ14WֆYw>fCOkeS+oByY6uꇼN]W(W ;3[x*/vJI SHٞD!ʱ^N%ئsORӆ\:یzI%3ʞ[P"N=5{gnV Sb[-Tfy\AJJI/|PR}٭\Q3'G֢hoaЊ#Km-T:J_s~FBп.sYv_/錉G._srP-퀬uCϔU*X]i}_Iƀ80;0Gn3u݅b9 G6ɝgYH'_ F FA|N+*Tfh=kTRrul'guYO2I5u74bê#KIʢ{ُI6R.OLxe㐜D'7§1%5] ?,} endstream endobj 19494 0 obj << /Annots 19496 0 R /BleedBox [0 0 612 792] /Contents [19502 0 R 19498 0 R 19499 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31244 19500 0 R >> >> /Type /Page >> endobj 19495 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19496 0 obj [19495 0 R 19497 0 R 19501 0 R] endobj 19497 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 437.225 114.9865 448.225] /Subtype /Link /Type /Annot >> endobj 19498 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19499 0 obj << /Length 19 >> stream q /Iabc31244 Do Q endstream endobj 19500 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31245 20830 0 R /Gabc31246 20835 0 R >> /Font << /Fabc31247 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gHkCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19502 0 obj << /Filter /FlateDecode /Length 2764 >> stream xڭZK8W<=zZ4U 06z'Y,/_z\JTۤ%"Ie6oKN?uY^- {So}WYɘ.ztWzOm,>Ey6v[nԿ^=hpӏ:n\\ oCy+<2':!2@GL,.r0&9c|g?h<0@Frj7:-j>4O(_-4 :!Ƭh|US8՞8Y 5!\[<<-^;_[c礑~[MEeI]jYp{!Ga~N?cxO3v c` zpƢ?X~2 >AH{?j;ə;I YfznA%7wnp~Dp YE.Ut)UX).yI.zb#[uvKuAdݩxOʙ4J>y;C UjEr:[8$Ӝ &ùNNȘ.8vcj6 l=fz!c~:_h]xiȉh=Xe @8.dgp-?cf/ ̨^SٮL%pg-/;ZYֺMa#[msLa.b q&}GK75V\u|tu䳼|u} 31<8 -aZAtzY$V j]bL~dHj6vٷAmWû?m<P H%j (!wXd{r4Cտv׿oY~TlCYG7'χEN,9K펜5ȎXy=|%lxuij(<\n=*hX[j^lۇ3tºh"XF*FxZ^U@,X*hSg4…LKU9?X(D  z4lG=cȩ53MOb*mW'.GT8 _F0zfB pP9BJ\TQM^IXg3HG%ڗ֑vp(Az͑F6)ţ6H^锈 U8&,\R, Iy)F͗d.E6e(1 QE@JyۓTvhYՖ3}t:2٧E C]1龐XnܮY^o(fph~mз)Nեun`a7iua:Xnٕ׹DY,.Y+X)5MUن 7]J]l$wpR`NvNn ''p 'ITcR^b\B\e@IhL|yzTѸ[@ _ǐy^@izݗӬ,C:;~ <5 ES0?Յk-؂C{_u;څuNE>.[3~I{锃R--k9gp}9I{/ab~,q~3=R 9bTnN翹{3J8Ϭ2dJ!@t q!Tm!yܓU(OE7[11ɣՉEMT$h38cRPBM)h&<S%hli<\ĴVyk72Ⱥ~rxG}LS,‹2bS2xȪsڽQ> +]3FQ1vgM{wS-lHJ]B2BVS--Hn m^Iuhn5,\qɍM$⪾sW 5AmzƧC9y>񙕻~Uis3/'gt'v(6ʉWXbl{9+^Jm%N 7Ȁ\#cܭ9k#É~I;9KrXkXA~=]vPOPu> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31263 19509 0 R >> >> /Type /Page >> endobj 19504 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19505 0 obj [19504 0 R 19506 0 R 19510 0 R] endobj 19506 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 452.425 124.1605 463.425] /Subtype /Link /Type /Annot >> endobj 19507 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19508 0 obj << /Length 19 >> stream q /Iabc31263 Do Q endstream endobj 19509 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31264 20830 0 R /Gabc31265 20835 0 R >> /Font << /Fabc31266 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7qRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛF endstream endobj 19510 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1812) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19511 0 obj << /Filter /FlateDecode /Length 4052 >> stream xڽn$ί&0 $# MA ؽ吏$1zx=&wHju_s >os.GnϏoScTh^ƞçOinOo錋miOo< Z2ӯ|%IN>R߿dݿZ7+7 o` L%_K6ֹ&4 @0 |❃l'9v7GBS]2s1اDyF\U̱:\@d/E/??T(Trp(>#Z@LOZT@$7Q0~TI&2OԸcJ 12eGBۃقǯwbO (e΃Ѹu (>Li~@ƹb}gyGHUXq }q: q/(o{ 4jY=PP}PS-%"|3pZköWa:sƇDB!(3W?e)Kɋ‚m r|a;Ш:@U3ui㗩3}Tl!"m騳0@j?\AUtԥ+P ޅ 3+˙y; aWz¶ǐX(a1vz F%坺! fh @H* |kt,'RG`e+ {EkeU\GHnFJK<8~aDS ddo?n6!K;Lˑ!l!;_*تY`m{NZNݍt2.U_;H G;EH<^=S-x26K`~&F kJx ZDh_E@3\hsYdɸc)Pp PG;‰9eRY-"eS8Xoe:nqTh#!Gc͊ad!/ 0Lլ;Ӻ-sG1]s.]6h7mϙZĀQ = T|hxPɃG^ iPŊ(7۶a@ '0)E)ЫńGgNAwBye1)6ӀYR ؆!huHތV}S=wUD KsOWTCЁxj\PU)dX"T{re3C}4lnB݄C!QTt]6چD+vѴ|Y*b}:OOLcOJr5p5{_L۾-64i4>MQvwt fk4#0OZH g5yDW_wL9ڡ|v Jmy-H|UpTcu~vNU& /;#J`>6myBDcTx=1Ck;LYCӄׅ!W+sdätp͎y\y\54Idy<_0%YcPűXPүq*D0C=Zla|ڻʐ0q%€4,8`FKB̐hμNZS~%bݫ! "?N /'S6m*9 #~SN8Ðç:(x#uqQOFȜJO~J Ln C[ޢe0 1@sj1ئ9,43k/i!}[:j45FF[i)^.;@ ^^j&*+ A=e :nr>y 35B'GApqۋ L7 [[ׁCrܾx%< WBiwQ'fS#P˖T!:| fnF2.ӌ:fib_ rxن s\D3<rg]JwfHͳSz^{I IVg(DxF4}]wC  ̕gfcO8u1ZnjnC>^؏}G1㈮Zrx,G<?\ti(k3?L[1#'gd-!@#_N NbOtbHqSiI^-I!"-Sq28s|A^憶Ōj.%35>3 Ft~/I+"niin=:qMȨ#e3:eP{8N8i{a%(Y0QxnzHqtVd;@ 1jJݶz040yxW7uPV?q |$o1xk ;EM6ӯ87~0۽~Lt‚ozGto7ޢ/M 1޲BgB Iz3Q^<`] J7f“@!sĄ[wq=^@=M;-|fyd r=ffa5nכQAWۉ[Dr$߶AHg )+DtDP3١ *`nXE^~נ?WG'RU1ێ91 =dcg*v/> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31282 19519 0 R >> >> /Type /Page >> endobj 19513 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19514 0 obj [19513 0 R 19515 0 R 19516 0 R 19520 0 R] endobj 19515 0 obj << /A << /D (unique_557) /S /GoTo >> /Border [0 0 0] /Contents (refresh_design) /M (D:20211013063105-08'00') /Rect [104.1732 552.9 174.3257 563.9] /Subtype /Link /Type /Annot >> endobj 19516 0 obj << /A << /D (unique_528) /S /GoTo >> /Border [0 0 0] /Contents (close_design) /M (D:20211013063105-08'00') /Rect [104.1732 536.6999 165.1077 547.6999] /Subtype /Link /Type /Annot >> endobj 19517 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19518 0 obj << /Length 19 >> stream q /Iabc31282 Do Q endstream endobj 19519 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31283 20830 0 R /Gabc31284 20835 0 R >> /Font << /Fabc31285 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v~1OEҤYȵ7-$G5U|t%w JJhx&I 5\fP=(%ypf%)Rwm[;0ROMˡ|72ْԑJnRLsVWӬ.~7‹!H5h}g:g("G :gy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19521 0 obj << /Filter /FlateDecode /Length 1991 >> stream xڭXKk$7Wj'ۆBNlB֗|U% j髧J_rqY71pߟV_>xmͼ콾//4?t=bqv&0لN/-Nt;E=Hјd#TI*kDt 3=xN u^0sM#$xXM)^WerBQ&t I|?QPb,ʏ֘e.%2? P|2 13݁?^ZH`J&T=Ke0e ӟ@X$u7^|JJOJ%BtavnP`!P$.Hƹé.,.yG{kgVֱ#3g3'L'xv*X=U +j_-D9ʹU2$̶N@лB5Iաu~d* hgsXv6as%:[bBA|Dc{F/Zov✹Ӟ+u|Eu@ S笮hf+oW2]e.ob9Q>4mӹ-/ji멐+U4YH9i}#>rHmSX5 >e뱽ůWZ ݵH?Z \"c  mȒ)jxnR6Jc.Ũ/q LjGLPYy-`g*- -KUuN#%X*wMK(ri92Um3UL F܋a-aWlN* $SYI.3f AٚEH>@x=3R);!U:I-!ʱVlܦ'ԵR@.izJf=7fH=lOޣØQoHצDjb^o4jĭˁ[kKe%C˜ sޥEowZO>8nc/R?hR>hz9Xt>ϮO }u9.$奯~~,5U!!s/cU>ob1V%8 #G!F5"F*Ui{$֓H|RߒO2 w\#`йH>"ɍ XނKN;Ò̡y endstream endobj 19522 0 obj << /Annots 19524 0 R /BleedBox [0 0 612 792] /Contents [19530 0 R 19526 0 R 19527 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31301 19528 0 R >> >> /Type /Page >> endobj 19523 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19524 0 obj [19523 0 R 19525 0 R 19529 0 R] endobj 19525 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [90 437.225 122.648 448.225] /Subtype /Link /Type /Annot >> endobj 19526 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19527 0 obj << /Length 19 >> stream q /Iabc31301 Do Q endstream endobj 19528 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31302 20830 0 R /Gabc31303 20835 0 R >> /Font << /Fabc31304 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 19529 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1814) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19530 0 obj << /Filter /FlateDecode /Length 4466 >> stream x\Ko$ W@k~ n{& ;e~HQv=$*(GR/\q _^ySYV߷?|\+"X ~;J?hwl{X_<)=<_<:SZ;{zf_s/IoTZ__>Ex$4j4^hVI&Y&Ɖ| %^ʠ4AJ}{脆zsP__/w@G')?;k^?: 1;iQrBzz`-I$^ h+DXV'' xP 9 @ 6Q 㷿5BߏEGi/˓]Iy~*W|vν7+Q`o@Y-QA#y֕g-*r2աKFPϳ? &U%j0>PbǠٷGa Hu*mm GP'(_< ֛لA%'R5)bVz#!, Dۨn8lj:E5~;U$PAu[x{(\UuK%.Nr,WT*A)DrnLCn5PXUBХc(N uvlZ]yKmWոĉY +d"GV{ڊ7a8]1E<(TDr~P]g/dXzIĶRѪ1·zB{(^mߝ#5>0EZx|7ѰZ{|=p,^H,BExE3RP8X.-|rS?&[/Ŝr[v4G"˂ߣgv[^ $e< ߡήXBz+]n|̏^$kT%rW('q A <1gp .7jMD[9̱Wd%"T_y_<(Mk+N0V"`14J9XPI>sE3552|2 S{+.\a54o:Q*YeJLuzR9%K$8ڷ`ƆⵡCziRkZrRj+BU:IZ z+wΕ`T6_`/ hny ͂L^Z6c|ml:26WJ{-f.hσ)-F)n:t_}$!@N0Pp.} ;ۇ25W0觯> g.ވ ^\f&;#:k ku9bsDfb&W(!Sv; J%pGonxy¨$ӡ. c sd'Y/*'8t9d0S+jWCUL}dzX-P , WX=X:, 9B" bcmH Vjfll =HHovK^y(pbEž'8=8N麂 '‰\}NC0HG(K~.0F> 99ocɀĀ ~cX% Z1hͱ,+5yV:-hh(#C Cy.:|_x}!Zz0R<-< 9 xѬs^s{`Z<|,W wά(X?# 3ܰİl#Erر"2]fvZ5`F x^4}}%}*kg¥Ǹ]Xyd{h6 {؁x,\Yd]UZX lQjP-X ^x+wЙ<5Zȍ;nqS}yD}e \2K}`]ڞ觋TTgt]E_O9ڧHdwL lV. Zr_,VBʄ^c3~;tn_S%! .hSoˋ@llMXФY %b)N,pܼJ4 -}-9!n|sTw  o㹐]9gSWBNE`!q oyMUUԨ`Q?)D~bQ=W5XPGinPB%YZ-+{ѩײF/UQW6m#1;`C^(W߰1(Fv^pى䃛v~ə%}0Xm<ƕ쒇X[mcX3]lI])%\[{*jS{1PS7;v+t28Q({Ln'ـp2ۮ`9&aS5!-p+ &+o`"stxUvVC}j@yvp=<s^"Z\A EWpO[0!ߣķZPvجP֎BZ0R3Ka'1L4ٜ_/a[J)n6t2D' yʪihХהyjYH-5)Yf9绖~yυL(ŗ|̑;2{|OJ$G3ս)J-5!A$(.FkCHي?$>mȍjpvY8aTx3=wt9=uz-j4 KQYt{vb^딣kmČ02 |ko:xm莍5ՀWyUP{#! !xI!&<\t},NݱLlU}/cӘ,Ս $,IET[nZwChvÈ 射űkog0u*s lN{ypYG?c4w%_K9{r=!WC^m8K$s4S>ߍΓK B-`('"xl7--6Nt"\Hx)D[ M#f|g+[r)uUZ`G*4-D`Ec @EM8@Rׯu)L;{KI%niLoMfEiȄWn;"@܅c r2~"^N<(caa-Nk:C ^uX6h HRs%.{s\b{Z]^naMb~7zWFW-pq <4`)܅|AsQ::JZB7Zʗ/`UTBbt҈nŮ+!wܳL,'<5ICyIg#Cm OCa˜*/7XK/Den{WTZ΅/YRt7J0v . EܥLKRCJCVSxpxm,WXdžR[ST]|>LEKWte%i I /MosYqve[3vnW]p3_R7+Dyy˘#6m`t_RP!!1$݋]_w@$?ag ߰׏-c cNQ§uDzv*}*W)%^3`B:ÿPEH=ټGK>eO7֝.'#BI&PGs*_? FAɏ[DsFeqIi~ȇt"pvDӶ}5QC(fT(v&5QAe}9ZO֓8Y{H;nk l'0z#ԘEU(,Y"l endstream endobj 19531 0 obj << /Annots 19533 0 R /BleedBox [0 0 612 792] /Contents [19541 0 R 19537 0 R 19538 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31320 19539 0 R >> >> /Type /Page >> endobj 19532 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19533 0 obj [19532 0 R 19534 0 R 19535 0 R 19536 0 R 19540 0 R] endobj 19534 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 490.1346 169.4802 501.1346] /Subtype /Link /Type /Annot >> endobj 19535 0 obj << /A << /D (unique_616) /S /GoTo >> /Border [0 0 0] /Contents (report_timing_summary) /M (D:20211013063105-08'00') /Rect [104.1732 473.9346 218.6612 484.9346] /Subtype /Link /Type /Annot >> endobj 19536 0 obj << /A << /D (unique_622) /S /GoTo >> /Border [0 0 0] /Contents (reset_timing) /M (D:20211013063105-08'00') /Rect [104.1732 457.7346 163.6062 468.7346] /Subtype /Link /Type /Annot >> endobj 19537 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19538 0 obj << /Length 19 >> stream q /Iabc31320 Do Q endstream endobj 19539 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31321 20830 0 R /Gabc31322 20835 0 R >> /Font << /Fabc31323 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo? endstream endobj 19540 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1815) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19541 0 obj << /Filter /FlateDecode /Length 2091 >> stream xڭXIkdK羀(E3'=Ɣ }ǖ+zZyz/rȌߴo*WǜNQWCN/OU;έ!ÈUZ%Oaᣜ#7Wb֤|`]hZA$%6 0@.F !sޒ\"T@y.xnfVDЙ #$Baw9[xr]r>/"X6*kcf0Gk)}#uD(S*Wd,k'㠩P $0Ikysф%jH5CxRƽv[}ϙE_n9(:95 hM \ 9@?eɀT Ɨ z fdLqKVb9bT`G~=Nh{Knh.bu,&GX"h#hI=g4(XއCs(6e~靖3)t=}}] }sssi}}Qk0@9V҃ ̉ <#}aA`IP% bVt " Ǻ{d=GŜG6,xRb@-g(N9^(HL: Ŕ[p=8+lR Hy|V|i19|Xw%(%.u8~8_YxWx4@Ʉ {޿*#lgJL?~#/|Jտoqԥ֋]2>;۷Lp@Se_0 ͱ.ZrQT#27^(ؠTuw#]pm/)(7\AmkCXt]JcOŊ9}\%62Ko:`u®$ Q/>a_H%_iՎXmBz[N:+HS ,!X[;KPfo3Y!([*hnJ1 ~3-4@kEλS3w5_WPWEw]ʹy'ݺyd_܃z|ׁd L:M鐨۫I oHTK­ .!_Tϼٜ~EW AWcјy #E-]NiZ>)Fޱkै7ȏRhMS3xUCmf]vtCݳR)3 FY -{uRT"ֶ~28\q/ꖴsL¿>_^N [sBOP>p"~*;vx֓ULl2Uh4n#=6Q#MW%uPBN6%^DZWhq+3!U~x^yYB܎c`=Idޅ@L+55&!<9 V*v?Q4QR >z눛KԵCv2Fhb[,füT2g< \Vt_,dU9w?v>ȕTMK6ZTN5P?T[f/1S?½ $Ң[=O,ԯlҿ|6aXxɓu,"=Zޤvu`_dw.dA̝<'O)45 L$J~(Tp3VB$b#{#A=78I'#xqǹo¡I8cUiG֣*{lkO3xMغ B"0qJ, G7OChAރN{|ߦ<95d endstream endobj 19542 0 obj << /Annots 19544 0 R /BleedBox [0 0 612 792] /Contents [19550 0 R 19546 0 R 19547 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31339 19548 0 R >> >> /Type /Page >> endobj 19543 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19544 0 obj [19543 0 R 19545 0 R 19549 0 R] endobj 19545 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 369.525 147.728 380.525] /Subtype /Link /Type /Annot >> endobj 19546 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19547 0 obj << /Length 19 >> stream q /Iabc31339 Do Q endstream endobj 19548 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31340 20830 0 R /Gabc31341 20835 0 R >> /Font << /Fabc31342 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 19549 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1816) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19550 0 obj << /Filter /FlateDecode /Length 4127 >> stream xڭ\Io$Wlܙ*kS3/_lܲJUrI&32^rqۻuVǠWUC__OA;__a!e_>8wޅV[]xTr[]}ٺVvO.>5ᄔ-~ݿW$DNhBr p5fIeGf1 WgK)?W֘v֘K)$˒MjsppW{p3 ) W -N{䚘ldQI7]`.k6W䅉GG]Urd:dl4|)&Prej ^`C3ȝ\׉Dփ5~Δ,)9Č> ~_񣥄hBh1v6տEE7R,}, c[ 7tB' / rQ p::#?e*J%Hdohϟ ewGG@XlrMUb-B r}]#fҮ^9ɮ F bU_}_%u=G.!Dغ K`(sۣ=PphKƵ.%X>xʌ45&a`г甪YK~f4%0MwIςTC= T!<n--罹,4q :/Kvuˍ&q !uu0TZM+,P Y bPRgʲ] =GWGicơ,HkI*B#{mp:Ipe/l_iU{=-R΃MxkU{?waaO"]ycX VTV&y`QnST 9}x| cmÔ3xi@]ҋ-rSY= <>AhGE}y|Vfw9oL?eΏGa'Ms%x֒4Xuj!J3T{e:ΓT"XTo-.h.wƆi'էȂS#iRkRkKm4_k:WЉPU/glk0!Ŧ\2,%gI 6P@sd"h.-aRuTcenK7ڻ?8:Ӗ|<#L0PGpYbNi*%RK9$%՘$șBKFViBW"WjHafDg{S0:Gllocu#$5tc@*Մ]>zwKU;F ߭d.BX*zgTӒ,7 +'8t=vmpʁTyW*|N7ul7X`p{p,eXHsہEEv`BMU# X=OA+C @n;Uʸ0QD݊NNt Nn '{gf8_?Nj$8Iu|v1+pRSv 8['jy5;2z)v-||,eMi)'2?adnȀ0G%P$u C0f/_#u7~:!|x]:SȀ8`^_:;L\]YA5Zu4$듔<)ݏ?Zܡ˴k[-2[V,-[:^sU*7np֥XPU 5fws_Oe]R֗qI0~6nDV\Ey(.G?.# x0Fi%umVM#YŲ}FzMkWсoQ= 7g#b6w֐Uڔ! պHb7ocBֽ E˼a/6=.ĐW9p791hW@.ȡjUW~G-yl=vvʨݝ2qQD]bXUѷQWv8~ >ɗFqM_SMQ]ARūRAr:,6ں< iIvm;ǜدͲd:IʲGYBQSʗY-Fۼٶys=l) "hUr G3+mj\RI/{Mwե~1gœu xQq =;dgEVݹ@0^|wF٫] U}Ơ=15Gmb=m7.$)م2y^ 7^av7lR; _mث갍n WUFGYӜCv #9jt:$@2<$;Ju5בk|M*^N9jgw\>H=#J^[aÍTբR]F`f2~aK!V=4T:͞%c=$j#wPeKE)%b@. 2>?!*L/Eʈ LOm-Y_*9HP8nqӿ?EcZ3`PkY;v%1GLmj2//cgN#v$ATzMq$3 #Ts}Lú6vs:<-tgic|7LE:7K3<{MQ8'\hK%ZMqZ-Ŭq4y~J 5vp-.O%$ob K_hn\o:/.Fiw2(]"ґV*ZL:۸Ϲ:\H|b^m?<2֙[[]~`P9ܧH7EDu(!| e.zrVNKG Lm'E\#O 28ޚLw= m³:  ], T:!;Fu[Bfw'\/Xl|o$H F >J~ ܕGfcM8ƹg v. 6<Χu.R[t&i>  yFJ2a#N-kMJ,:bՒLo Da|J)d|Ϣn);72ܑu r[-;w,o"QEj(uZuB>|E:EWdcsM#EEe)d[?)tnK1Y/|lʽ1Wuޯkf+q{02,`e"@7Npp[i(ANpd>Ұ*'7pbNgN"k=IRO< r4$ގa=(0'|z\sOXs<=ÏOrONeOGq/'Q&`:GFk0fbsHxŧC>L<OXM<~`ӒFmB8ꁏibN=S?{_Յvi8{&FЩ%>«qQi(9ߐ} endstream endobj 19551 0 obj << /Annots 19553 0 R /BleedBox [0 0 612 792] /Contents [19560 0 R 19556 0 R 19557 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31358 19558 0 R >> >> /Type /Page >> endobj 19552 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19553 0 obj [19552 0 R 19554 0 R 19555 0 R 19559 0 R] endobj 19554 0 obj << /A << /D (unique_389) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_cell) /M (D:20211013063105-08'00') /Rect [104.1732 400.9423 172.7747 411.9423] /Subtype /Link /Type /Annot >> endobj 19555 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 384.7423 162.8527 395.7423] /Subtype /Link /Type /Annot >> endobj 19556 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19557 0 obj << /Length 19 >> stream q /Iabc31358 Do Q endstream endobj 19558 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31359 20830 0 R /Gabc31360 20835 0 R >> /Font << /Fabc31361 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛC endstream endobj 19559 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1817) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19560 0 obj << /Filter /FlateDecode /Length 2625 >> stream xɊ#@RJ)aa2t][Rjn2{ċojwg欎ASN竅5>-xmM{cS1cNΘ+aʁV1:dp^LaQiM;yLZ렀 #|/:lt85<ʔ:A̚y+.7^z9 ; T`q6"-ayrP㎂B 63,% 9(c)Z[&%sKjHlh/*Xg6z.~F\~H$z"ueZ f#%" LA|lIgH-M f P7ִB G!3tT={B[Yz_px֭//pmM\Ӎeٱ n n<z |+~Khg#x0i'SUenhM ~LfM6%r9YGNEg@l2b Aù Yn<7ε8Z"Y\qI}Õ7Fuk6_K3[Fn<}+|0J7^m"4AGl C[.~0 KUc~0nk="A^-.V>YkcZTZ:MuFBM%"|&Ǟ.m) ʽsFMef+^ݔ1.>TI{:- hQNo^DbKKOS#Uܐ=;OS)Gݕ*Ccb@zs85Rd:jb= M :.%fs{$pr{u:?O#'Ze1O_%]]l)>2b,XRHSc(_ Q3P/Yǰr}ҿ-GH?K {NUp hp̖eC4kɟD6DoU]do$юmʜZW3VYNGAԘ|x3 ]V˓=)_; =KU[K KUn;iIW#i26g$uD` |XY|!2!x}"#Է,ǀw`'K EAD\}9N`RQ x!Ƹǣ'ai#:DJ$)WL ٤mcR.2!~Gx|;f;fBau93;*̠5 efP+.Xx֞  Ywf> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31377 19567 0 R >> >> /Type /Page >> endobj 19562 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19563 0 obj [19562 0 R 19564 0 R 19568 0 R] endobj 19564 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [90 209.525 123.198 220.525] /Subtype /Link /Type /Annot >> endobj 19565 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19566 0 obj << /Length 19 >> stream q /Iabc31377 Do Q endstream endobj 19567 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31378 20830 0 R /Gabc31379 20835 0 R >> /Font << /Fabc31380 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nbЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?- endstream endobj 19568 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1818) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19569 0 obj << /Filter /FlateDecode /Length 4501 >> stream xڭ\Ko$ W+z  䶉& ;e~ЃRdzX*"Q*~oҬAI}]_vV&oa!e_?> |-F>RʍV}%ꗿ//iꝜ~ф^;͒.x3|;%r³{{ےM]w鳶 فO ]}@}Vԛ???%/-ߞ}юE4UmP2٢ 3X1];fe'>GnlhDfA亾EP8YkmIy^L*f >/-^-~ߵduEW^ 5zEP-a+E;Eɀ~|. ~;VѿͿ?t2 Ĉ5{YWt c/X/!׫ωUzX *gt7v*^ &@rZnfY/ <ž|L7#Y_d9pmJFK^a%-F U{Sɯ[9C~4ĹxZ?ECOAB.GB| SN'sݲja\R dՐ4XSnim+gEճap2KIPzڶ/LO;=CZ6M jThgSXvfT2cItX0x0R@.Sy:B^s,(+>K Ůqު[fDk∨FMSB^]\TL5ҒN`umFR7Il$uFR i .mT0Sk`ŀbN*_>1RiH7P?!ӓPyWܺ-v!\,q 8ereYJR&i {t͖ CkI  |֋C7`,u0=v$TGW&gPx73KKƙRnvhV4) [fSS;s&SIgeiBzyC&u0b~+ZnS2.(į:WUFy4EC}ck3:7NWv0*P4Z[GSF ̃BiUXdLpOJ ~ R;c7ɕ C3˩R~(|G7`As ȞG; sc;XjVv^ 0G!3\`ZG#]$9p0qgsSuvo_s;K?nok_'Kbic19j;(XILMT}61֯+?_H~7/=^YQvnG2ח\ R | $jf ALݎvhIg8-!ϳ'yJ~:>{srY*LlSQjlxECNo|7wpUV5R,mx^-(ޠ)MX7zM[ {ZR{^@,Xk"%\O DKE1,)D G%Ovl(+2aN4zSeI}sIGnk|Tx9_{ /x͔::ТUsTJe:S!՟AU4WڽT B1QE.AHڼԚT^ߵXkw#/ۖm*ެ50!ŦH\Rz\(̴͂q z-aWR#i Tֽ tj gJH2` g_j'۷AcDp ՊQufshd!on\"Q`KJ!8ꕑ} ^%ND^QYUj#h SbSSlv!Q 1PrŦC5u_Q 8 .8o\X٦RX}Kc׻C* M% nCPpIX[j CnPaF IXTQYaբ}@aKJ,$f!yT v(DaԽ;w˼0ppR‹=DM?UUq5v\8St[ :@gJ|OjēdS=$zj!_185,PUfF{Gjr}f#!y8<݈#! o2jFGTbGǔ?v ]s+RFPg:>9͈BH0C. 0zP˞#A#)*RfjTJѪK8(z n( &Jų'Ϝ!I ^<>p%H;Vo:BJRYAJ ? )u9RY .~Rs| R)QH=πe@B/{m\RhxB4,H H̚R1pst.en$Tu"YƦA^E`m/k-!$P,4# (Rڪ@V?=:0J QVݍ@xd֎C|'O?bRw:Ɍ!%lt6[)'6*jOU;DMz#vb+:Z6>ZsrZH핊m^x/~4H`JAek'L1P?Zy)/A#֯Kk? _l{fQ/<J\zPС<=)"oD.|)v#UģzSP=D6 )i Űx~w V Ɠ`qZ^Zk9 bo^^Քz ^PrMjnJ v :l9OFc܆2]sPMѯ#E]F:x.:;x`{Vx !:2/[«ĝ'GHQ3tCi< )J*QU~0Rd0wZ$8?NO5i9jƲ)7헜Vj5Tx6q),(0J!GRQVXY28²-k6|Og+P;,4gxX C|wєb9CpËZHz]kd5WG͚Kj幕VgmaxQCSp3DZwLyoH\괡@O 2W=r%cRKaxb|+U|p ޔ ɜh0¼1ogspG2qms/V}Pw099p8 yYhP*n`m^K?^R.tZ]Xtz(1A?I^~'Խ׻Tsݵe-YoF"2׿rTi܉{ O+P+GZ/~R*bRLd~v d !ggE_s1w;72,R(kt^7EBT5k&BY1vYWU֯& vjn"W^JqQrv2z 7v~P0(ykf_G͉~U+ a҈K q;6oG5o~+ gq3m8Ŷ@?RuO}I&ءc~'.n[flE;Xg"OʹM8zg0{ww_bB9t}07 ?K]k G\F`ݥ{.Yow`F+[ <, t?G;6[ѵy--zЉFr&n}w۪bv=ix⛢8εcvI.l.ѦoS1xu.} <8rץ; endstream endobj 19570 0 obj << /Annots 19572 0 R /BleedBox [0 0 612 792] /Contents [19582 0 R 19578 0 R 19579 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31396 19580 0 R >> >> /Type /Page >> endobj 19571 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19572 0 obj [19571 0 R 19573 0 R 19574 0 R 19575 0 R 19576 0 R 19577 0 R 19581 0 R] endobj 19573 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 139.7734 148.0577 150.7734] /Subtype /Link /Type /Annot >> endobj 19574 0 obj << /A << /D (unique_409) /S /GoTo >> /Border [0 0 0] /Contents (get_bd_cells) /M (D:20211013063105-08'00') /Rect [104.1732 123.5735 162.8527 134.5735] /Subtype /Link /Type /Annot >> endobj 19575 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 107.3735 138.1357 118.3735] /Subtype /Link /Type /Annot >> endobj 19576 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 91.1736 150.0652 102.1736] /Subtype /Link /Type /Annot >> endobj 19577 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 74.9736 182.1687 85.9736] /Subtype /Link /Type /Annot >> endobj 19578 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19579 0 obj << /Length 19 >> stream q /Iabc31396 Do Q endstream endobj 19580 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31397 20830 0 R /Gabc31398 20835 0 R >> /Font << /Fabc31399 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7)|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!KF>Y# 9]%io#Ƭ.PBl(Y}ɾ 5Ժ@AC5ypf Rwm-־0ZOt⩔L$u$r!o VNsV7Ӭ#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶD endstream endobj 19581 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1819) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19582 0 obj << /Filter /FlateDecode /Length 5132 >> stream x\K$W@ LWMM>>- F=o||eVVulCj2Lx3_hK*vy>d"]4qXЫttWW/aM|?,cVc6bWk)DlB,r˷?g-@R `00q׬6*‹f-~a kԗL}I-k $qVkw͚x"MA1`^tL74?ֆ DL7'{Ot/yM Ow,ɮy9Z|Q3a] @Drv st-bG]`61]jH-,xĜ4/9"f}]Ի\pRye4&DҚo%.GԉNc[ 8Viu5cZ󍘅jqf2\4z,Ҁb3tz#e6⫸yS"[$Se{˿Ҹ?_x9V8AH\K"ʚxv2QB N$ffIݐEhOyY`AX2-Ђ0u8e&%T$:}4αㅀeL3q=Ja!8e0:-{2e F#"N&Y!RD<Z9$?qT`X&z+n$1`#Y2 &qqA N/XBLt&؋"C$Nw)-cE7.<4%-6Hzj ^飨K'r`veb8{CNZ4;t/ݡ톃1d7hZMX7dd>USzli&fOmU4?L/_~F$tX|]=~+:-_DKM;|{$WfLB ?O4 ҟiEW2Զ9/0L;tUF? XuU{Ogu$:ҋ "`@}bP1T83 =}Ogl,c.=iR,yIk$bdul@zt-h۴MxdAW IYTW[ǫS E7цnܴ?3pۅy|F(crtQ}(^)ɋx4 !Lܺ,+l9޶yOM])x81b?>'(NX4;{ļoz֨@$~_r*6Gqʅ<$hr I nZUnfy=f5me&,*޻&׳XYژD5s3u`2Е*39kX*jܬ΢2wzh)CEht\+I7( h38Wdܧ6) p=HTL9 F$ۻ Ҏk=DrAdQ H^x'vR(መ>h8 % 埽xMY#{36n-X)NT 3ؚД?XX:o*n~eiU O47+K]}BV譄|PyV8~PEXឆV{~V; ]<X!7V3DXGJf@ ~m->!2RG4kn|ݏny1wEN7ޟF"&/J_ҿOm?KN`6"ATbpy}uŕVc'"3HXt7"JCڇ4L$ׅCc 1oP1|KEic;%6ks#}Vc~J;µ@ڴY[7qdjÁmÀ:= O:lN쭳44ܴ|S ? Άe'"K"W}^-0D}pF&/}aC֎ޙ >qYDWy:XpeVrݧЅsX(Li%Db["BJMl[ݢ¬nVϯb= w5=b JHYOKxzM >ݖOͫmlN8><F]gd6qg.K &)JDb+ \vy$UG1MYc۹>XˣF^ QJ>zvr[Dݬfyڪxab5_uLgk\cq9׾Fb~!^Wd*]WT[ qe哓B'I ( ^| ]rG6Y8-I\A oݦ^'*u7O>&E(pw+oZ_yܛCj Kקmmm 0];q:ulAҹoi덯LokKrʃy;1Ϣ,Rp>7Ne.B +9o+vv5^9:*cZ=e o,}5qnJJ!IκQ{= $֠T³|78uR>GHHHj*mê)ۄr;/P.6g4phГE-qߣa L((Ki\Q=YH,>rQsa4r1\Gd]`"0$-_-}~_^ HqkRdjr+778c47(!T8 ӯpŋLI+ȄIj? "'&)z5;ieujO +3ySER9Bz2M Yz|zeF`ߜb4#a#zMվ&e: y~n*X *Ib8_|6Y֦16K& O46]2˛wxeMKU[R_˸DHӼ5)lHnjͽ>A&r baW`m&}su03 j􄻺Ku&,0}&dtQ_1"*rk*1gmS{Gl TªEt$/X_9b/?튂fp+'@~6"[jӨ p_7y3Uz 3gU| "U߻=c!ZG+!Q9\yf#tfR_ЉOsĩj˩S̩5`jj5cI ,3ـ_xS32Z h` DVeU>=˵vIѐq sMN _xZ )<{mfn-*xcJ-NF HtaD!Xe"c۾G1zL\Gc2an ̐fL([0\4mn2I"G6{߷\޸zo,;Z }kܴM~ //0=ca#V?r~ؼAkj*+WST"zNaL |Na}i8.9+ێr ~:r#Ѧ IXPM:E7?[ pSo*7dWw"v*m\'M{O=PABY9 >i !~W4Я]?/{+tViy="όBł"gUO<$s;o _ js޿NŹ0C(u;d5b$U#M# 󥑰o%}bOcf/3AFWS%x\\uC8Y% endstream endobj 19583 0 obj << /Annots [19584 0 R 19588 0 R] /BleedBox [0 0 612 792] /Contents [19589 0 R 19585 0 R 19586 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31415 19587 0 R >> >> /Type /Page >> endobj 19584 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19585 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19586 0 obj << /Length 19 >> stream q /Iabc31415 Do Q endstream endobj 19587 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31416 20830 0 R /Gabc31417 20835 0 R >> /Font << /Fabc31418 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `XI*dzQUh^@wP".*x P@E ۾{w endstream endobj 19588 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1820) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19589 0 obj << /Filter /FlateDecode /Length 1075 >> stream xڥVK#7Whj`0!C!'o6!xzI- T)uw-o`/oɼ.DM0dR:!:`ΗlCp%dO\Z 0h0UKN\z.)/:ʫyˮvp5Ԅ.4POV|Ռ|Ņūj_&s_g!X3:3Ѹ%[8 y??v2q]soϔ"؞qg~_sKO=K7_%$0'0G<P"Ggp:?0\q<=>EAA(Y=<}FvxQr)b5 }V2^Kx\5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31434 19596 0 R >> >> /Type /Page >> endobj 19591 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19592 0 obj [19591 0 R 19593 0 R 19597 0 R] endobj 19593 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 376.025 124.1605 387.025] /Subtype /Link /Type /Annot >> endobj 19594 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19595 0 obj << /Length 19 >> stream q /Iabc31434 Do Q endstream endobj 19596 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31435 20830 0 R /Gabc31436 20835 0 R >> /Font << /Fabc31437 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSoU]Y=1W^NTs_RƐ ?ߎ.p' d Z-LB.FPBDqs)NF8%eJW3W1/)b6M CZNNѼ_5"]zPL.;uߊ dE4 <ު+QOm TϬ>J#ج ^v6Lm6)1:ɓ+,J]GOI먥p:3ϳ-n]a|^/5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19598 0 obj << /Filter /FlateDecode /Length 3308 >> stream xڵ[Ko6W@k%0`M9M2Y3ɢۓ$JWo8^W[yd,Gf? >]cJKϯ?7֞ûX]KFJվg(onOîKsE΀}>>dt fk?`h4  OOq@uyYa>M \},w@0WlmLh~̍gn|@·(P=7-X9j J,,[E?M8qpƦՆ ,hW=RD/7JHU"w!iC@zLLR7BӚ˜J~ lSH~~湨*5nLeZײ^jc?`}gqW܃O{:8~g 0wwӧ[;?\vuP_(AVϡ9ч~<ŖS*wΣOJ&!T;SDzƦ;GԓT L sE)iPrf!$.Q 7Np H`K/eJ*`b [."7Q[ub SO^ yOwbZ}__ZjšOFΒZ!O Sʴ;k 9q'8?It& %@<(I#IE)X x2xV7 GB+O22ڞa 60X~ `ͪ,0Wϓ cb;|6*)&zZIl'ul^[sK:r甍k|[Uv(^q)GzbmL3ycƸ<Wծr{f~;:HIvBR^yɉ?l._yB"1^N-4/ 9K~zerkW],n -}}S/ͼ@<O]8~}=?x x3x#rw:˓ņ&O2…6}E`rf+1^~$Dn}tt2ũBuVܤ?tDcG| #V; zwa"Ln ա0RYu+eʒ DKDR)~A.9ҟ(&cjgZۍTw"%>%R 4942.)Y檅yQ(o%(y_R"htjs͞=kLTm|`|A{ JM&g0^llr=7d-s]!OʙmZGLKS ݹU攉ҴʐB ic&PPd1M;2[F&FUg㽘s\6}qX]q*5 Q*M;)_fC=R*Fc&T1z\Xb]u[.w9sV rR46.NU]dȶ,rEas5&쎮w&;Rf, of}||>Tr(ٖ< fO fGCSv%o֘mvViT9\ved~(Z7ir eUq0綊Z2xZJoXԬڠdߡ`EQjJ*2WVU,󼩢 WJrUVJC%i% |7ʨO߷Kk+WSj0CK`Tg Ȩ0J\O~i?E|IU 7cT+&9|֠зxKMνo3Zx ,N1et[d]EeD՗Usy]d::`<]1.Pc1 9 .&nG걘5܏PzLH/v%]S7]7/]Ȗ2Νg9hy=Cʉaq-ӝHw]3W|#e+@zp`\ endstream endobj 19599 0 obj << /Annots 19601 0 R /BleedBox [0 0 612 792] /Contents [19607 0 R 19603 0 R 19604 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31453 19605 0 R >> >> /Type /Page >> endobj 19600 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19601 0 obj [19600 0 R 19602 0 R 19606 0 R] endobj 19602 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 384.725 137.278 395.725] /Subtype /Link /Type /Annot >> endobj 19603 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19604 0 obj << /Length 19 >> stream q /Iabc31453 Do Q endstream endobj 19605 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31454 20830 0 R /Gabc31455 20835 0 R >> /Font << /Fabc31456 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7EUthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 19606 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1822) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19607 0 obj << /Filter /FlateDecode /Length 4228 >> stream xڽn$ίp' |G@ANA 6nUխ$=d& H>MLO`_PǗ/?Y3u~N>&7M:`5/:-Iɴ#ofXO[AYCn: u^~_=u ڷ#OOMes\24Y;P (`8elHM@-= lG}*PK#bGKHlG>gT=]m{J̘Knm$o2~ EEq&-A jh|Ѧ(=܋K1VN_ĺkC; }x)l )-Q=؁5zHR9M\ZVY;BuJyFN@-v+CQSUYj(h;"x33k+9='=q2Pwlj6XP"G;.DŽg]rWS7d-bD1<,*}F-ڴʬj`}bK#"\o%FR'i/N(<aT"5W͚)J >w|FiLe_uxA'6nv)KUT}A;moc2 2 t,Y,fa;@Y(]:6PmU抭UMUf+]h@vπƀnJWʆ3'% nR왓]t]՜ڜڜ;o1'LiZѷz!6k1 ʄ̨G@U3W?~q46v+2n7;lu4lEp2*Wk"*(Չc- Oٺu/t9\_4it.AjixCr};ct>>Wr/;zf!OUoM۹KK>ۻpO3a=.3CjQx,AP MzUNńM]_] m-X|Z.aջ\<.PÇY#Pri8Kxg}"Xr /X±`3_Ňe{߽93(dK] 'O奪P[(l/PqVh~+xnks^P],NKdDùdd<D>Uvx`0^SHXJZ9&^{НMwq0ՙØCPfrlaؘ]O/sqL^kچIYi]yXjrpLրZX g}|an$W9+X3[}i/[ #g8 _;Gn4Ra4LuG@t|>dx7T"8qñr[ n\Q XEy{0HFkQ7-Q34'`IHd*;$BNJY~g~DO.h;j+5aDZ\BIB#\(C \1HDN\?c(JzFҁzs &䕳>8::0'뭯~ϳo[[" ՍCp/Vn_[Ի#m6>?jYvAC}x̢WA{ҩ6Q²ِ˱׎_0eZl l0O-_~bB8,1 _C=S&z.`pVpl$YetÇTkdP>N9`OnuMdLX8  Dѽ)-f5wQU̼!:H6iKAC߆^KDbQѸwͪN?To0Nis~@xN )tAW`u&͚#1W[̧1ȫ57 Ͻtu¼@= a0[0;S46DT0 e"lr74`&XG7Qp_LH̠ ܊}.:(͘#Р>`gX|;Fw+<~ӳA5BM֨Nb0ǂZ+qcIjGޭGEǕozGGT|Mea+t3j5ueC32/fSe-!#'#׸D5X-zv8 i,@ĹV h0rapjA)J UT1.TLj-:! @gKF'yCJ. lKDUR\kJ6Fet+"2URìTV*$QDR+:YkRlA,Kg8^܄8\PTV\F{u|{39(R~fg؋>Oc >әVl> s.x1D\sZҥ8O \Ku^s=1'xVc{at:RY}{ /O>4mEd9#`ONV N LW{gBx9xWO[&cQ~}O!-5a"*@at'$C*ߴ`BG<~)cSԹ%<ËpIkZ{ endstream endobj 19608 0 obj << /Annots 19610 0 R /BleedBox [0 0 612 792] /Contents [19621 0 R 19617 0 R 19618 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31472 19619 0 R >> >> /Type /Page >> endobj 19609 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19610 0 obj [19609 0 R 19611 0 R 19612 0 R 19613 0 R 19614 0 R 19615 0 R 19616 0 R 19620 0 R] endobj 19611 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 156.654 195.1267 167.654] /Subtype /Link /Type /Annot >> endobj 19612 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 140.454 174.7052 151.454] /Subtype /Link /Type /Annot >> endobj 19613 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 124.2541 200.7917 135.2541] /Subtype /Link /Type /Annot >> endobj 19614 0 obj << /A << /D (unique_265) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 108.0541 199.2737 119.0541] /Subtype /Link /Type /Annot >> endobj 19615 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 91.8542 180.2547 102.8542] /Subtype /Link /Type /Annot >> endobj 19616 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 75.6542 159.8332 86.6542] /Subtype /Link /Type /Annot >> endobj 19617 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19618 0 obj << /Length 19 >> stream q /Iabc31472 Do Q endstream endobj 19619 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31473 20830 0 R /Gabc31474 20835 0 R >> /Font << /Fabc31475 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ$L endstream endobj 19620 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1823) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19621 0 obj << /Filter /FlateDecode /Length 4608 >> stream xڵn69 P0લ䖉9 $җT*jEwG*V~QΨU6XU+\'Ma]L`zJlfEJ?hYW&-ƬЋ>ì5~q!K)I/لX[٪R/A@Q ,Z8A64ǫK *,%C]^2Y&%Z 5KC͛@$}BY ex->:-c}E)gm<"Q,q{ި'h(9GPQ.yxMXIL3ŷ1Y%UWy1Yjp"xDÄ4ߔs@ hf4Ԫ\ pVB qȚ{+xZ|U$ cGP'4[E=ZA J*4CNKF+ hPL;0e 8I+sL8^7%z'TQq/#~_)"=Xt]X =bfu@,١-%*p]ln l2+-H{)/63,T (Z8T:eB $8@ ]Y8 Tꢗ@ZI}K_G SFA%ȸ) ҠW8.bV QwTD k`Ӫ$$?ȸT X#^7 : n1LwIH0ꧩpz[B5pGE^V$)Tk-e{KX GK 2Shꇴ^P%9`veVq(#=ml%L+Կn8t"cKKF/ҳy߹'}E#l2e 6 E} ߴzMU/_ԿZ[dAW4T>w?K`@~A"碍?j '{v:Ä0:6>F>꠿OSzl rTv*H+A"?jkxl51R2vqWH̜6FXG = Ӊi>0qvz *7&EDOv&cD@G$ ^V5K,ͮ2>&vMvEL 1@пaffquNº*7NFlr6W볋ex"xāO-|3],VZFD~@"8ФN>=Oڧ8֐ :B bE-^k NAKp3^T6h4q 6&`(^: a< _?6 ʘ;U6uq.Df uV>L{>LMu!g$ ־6=QT 02*i0*t1ڎZi Y+C[ò92|] +eDMΉų#0(zmF]_^fvE^ qI`=A3< pނC8'i&NzhO&ˎi*;V#RIuWZ0΂TE#h1T1ue 3։U&B0 ed:m>ȈG2s`'L\ewK,6Eޢ"V`mFaBBBMvۣ#k-QgA.yv/ W wE234$ Cl$ # 1|F EՎ4T fapT41԰*_YA Zb,ɒ.婇b MA[` fkD4 :[S'ɏ»r%F+{ ]~(PsOWH~ĀXj0C"B+mgztZ°Yjn');c :dh 2F7ARp5o=rו3 WGzEYA2݁p1]43H\/#.0e H)Ŏ̏]Mnc)צH@҇!%!hyhQjpu=09K=OU'@`wW'+5kSxO[l7z6y_mDkȸ9(vZaMQ֠iy=$6nwv9a2!oar7ۚyؾĕ6ܰٷ 2Gh7znhFdMd,dwrx3,덓'e$>{wze;G$=ވ,ϸܴ^B 4oTdez#ԟxr,}xyiOqs\./@ p~O5 {u N|ߵkvLE.yܷ.)>2ΨϔA' :#R352_7(@8Sf%"=?fcR{& }NS{6.T@1KF 5!,_s0ڮrhsOD x у"n/NfaܱIP %gx6q1wh[wܶ&kLnqOga;;pj#6? F8utFiQ8#mK2xV/xWPc|nIti/=е$NQSjUMN [BCvr݁ SkjhZ.1قkGѸ&S=$x%MtpAX6 CW";bhy=D+M/]nB7a>]bލ|E^}ynܧTglR9@wtU8}xKm.8ȠPdB F+NV(AW5a9 }]k1]ozFAݎ W" .^.LpVHlAWI$y>(V7u?ZdU <{zMGL@UvUĈnBA+9c͞NkIUBRQRs՗ k&bItaFI ) +̵pOe @}>*j@%+ |3VZ_ X%&xT0˧lpq*,hxc~&WAZz<s-QI$#S(x}oL}a>30 5,QP˯ۚ}`݋‡1- *|'BYXriUL$h&r#(΅7.1ުvdVBcGٖ 6-v&U$p%O ~Z9RCl8=]߀ Wϩ^9f~uyW:*)'[ܕ҃0G$)-@\d2>|_g'I1nTZHҚv7n  gG 1>>D[~$',OaA70T~2̼ m)<E'!I=okE5;E aφX*h4>k"yNB:r$@2c'z$#bw%He?nh endstream endobj 19622 0 obj << /Annots 19624 0 R /BleedBox [0 0 612 792] /Contents [19633 0 R 19629 0 R 19630 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31491 19631 0 R >> >> /Type /Page >> endobj 19623 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19624 0 obj [19623 0 R 19625 0 R 19626 0 R 19627 0 R 19628 0 R 19632 0 R] endobj 19625 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 185.9197 709.9] /Subtype /Link /Type /Annot >> endobj 19626 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 155.9997 693.7] /Subtype /Link /Type /Annot >> endobj 19627 0 obj << /A << /D (unique_354) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 177.7742 677.5] /Subtype /Link /Type /Annot >> endobj 19628 0 obj << /A << /D (unique_357) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 190.8202 661.3] /Subtype /Link /Type /Annot >> endobj 19629 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19630 0 obj << /Length 19 >> stream q /Iabc31491 Do Q endstream endobj 19631 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31492 20830 0 R /Gabc31493 20835 0 R >> /Font << /Fabc31494 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7 |:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭf^?$jQ<<9C99 3/s#9p!gf \09 `FcU$!īEWmGyݝC.;p94C~j|=B/}* endstream endobj 19632 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1824) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19633 0 obj << /Filter /FlateDecode /Length 1232 >> stream xڭWj,7+- ̀,3 doUs6aJ*:Z_5z)ڐu?ׅ!)fatrW~AsJ==|PPP#B}fk8~%E3j&.|\i<.'>?oԫ&|!0M[Bz֤I[JHJ,LoZZ3\Z,⋎ujhcA_t6X>rhmp!7f:]zec.s3sa#&@]gԙ-wPG'N>fLo<4ꥭj|.M3jzgvrΤ> 38ɵkdMԱ_N^_jQn⾁&~V9ɛRV6_K!R H9" %2F1R#CVanP 軨ud#+il]W-OeXd[q٫TTT+ڷfpMc/Xh'EݧV^N H ;SsLD+ Rc-X‹O`E9^ba 8ufkhL:> v;X4 b-S%LEJ/3v@Sqp]&pߐALV yX 9?O#겮aY Fief(y F)rQThB[ 3"eɛK[K/& icmr5Ik&}\_;WǍ| )GV;n>,\@lokma=.B Dȸ3XwKX nrnD'6̓}~B\{ȎC ;iɋ}\$,y$3#{Gw;%JM:Lksx@'8*#dDskq0 QQ^8p37mrY Myjxt $8$~$j׹__J:Nc/Yݒ%Y)x> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31510 19640 0 R >> >> /Type /Page >> endobj 19635 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19636 0 obj [19635 0 R 19637 0 R 19641 0 R] endobj 19637 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 310.725 147.728 321.725] /Subtype /Link /Type /Annot >> endobj 19638 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19639 0 obj << /Length 19 >> stream q /Iabc31510 Do Q endstream endobj 19640 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31511 20830 0 R /Gabc31512 20835 0 R >> /Font << /Fabc31513 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7)1|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19642 0 obj << /Filter /FlateDecode /Length 4655 >> stream xڵJ xW{6"wȣ[l_ "$! g( ?5\+.nJ7H:h1Q]y)1o5 r3˺)m侇:_(aXiw%SL=1)33u}lu8A6cݟPlЈz|9x .?^IJ "Em!5hUu]R )y\@m/h  Pg,~z3hHՙ3L_?ߟ3HSJ"Цo]Lcu..Gh> Ok1KIeثeWxZVձO".ă9TZ/5+0 N#˘cyX}%| N鳠nMoG  wH;!2?Id&= Ep5u]KJ 8ym{ bٮ=^=y< F+6P\`j  /kkpQKdŦ:bQ=2Lgs 9.vIT渓ر{?;2GeZ๢HNJæFO0NV6K{]em?|دN+bSڂoAՋ{$o`M&6{$o`M+mCE|,cb 1R+Xe`L_(S=QB`.[NLd/Fq8bX&mgeyFˬ..J5Nc]X6CJz7 &(Sk4yrE͂whGDFN. T|,M(Vi* | …U}7 ߩ], 󤤣E Ip<@giOjR#rn,շ0 QbDX) n[7V,&e A@#ie9P˭dlF:K;>w4i}?>ބ۷d PI32,̙]Tl4U\-sT٥ObBKBVi U"UbjDì Ej[g{NemƾmV$BVj *m_Vb]:V0睃o'w+3O&a l㊔Wjp˜ereo-3R9 mJebj48, `SDǍ,]F=bXֵ͢ճA,U==[0VCE㶾tƀJǕrgNbJ|m`ybNWMVMPs,kN7XмL]*?2'1 .KOQFHDEe p2f'ʘI41̅c6$)ҍԡbfs{wSbNS'!:4TN5:̩j-; J\OI\O I'$$R|nPO4 Z_OA$:y$Xgɤ6F/(S1JL1J~9FM?'F!b4tG_QbWcc1J1u6%.:{ҵ$nlCjcC0ّJ4hKjߖ vK~̝v |d! B݈ZQznZ{kt|ɂ`=3Dy&L3݈:؀&rjP@'c ӾS;+H|ʈ6z^{>J<ʏG#7|OG|j7wyU]|3aW 7+2Et4Ba rz,┴=}tNcf܍!oFO+dls=[ $]t҂~P tc:e`HtRĞTok-˖cgpޜN(Fiĩ / i%h8E O*,ur]\/5B8sk'E@TYAWk9/@ǠDaD)n3'Sw<1S]G cqMn%Lůz_ri3HU#UIiCxN%t6a?6mnp`2AcZn9aÙ):fowշ&MbWРNƒ8ێYR@."WkƯb7M|Bl"DV8~Orp RY~qPı$fxX"X$F{{Emg2 qBcysKOp-?4"Ԗu>t n! ; bزIn3ޝSn<yHUyL#CDyy%zjTl>*ZތʃΌLz5'S)&tUSrfa]&g*Q͵h*ujA2ʸ>t$Ԩ:fa|MI;W II*|nHGөN|b%{I0nGj  ZʺYЂoxLlp BG0vWAzgP \-|˸0oF,=u>y}M~9Qq˙ybU[Ǡ3%ٽxHq&߼74m%nR8w~@= |Szx-sa9[5M0_6GF9W+g ýݨRl2-NVMlZ ĸ-eYXuX$cWW]YO1vQCOߥ]bLެj(KP$ՊGQAW4}C-&8ڼQmK/u,$B Bp[.̢sAɸN|;6p0+tJ.u,-f{b&^~Ju\B (APv@n/ "ǀ(%U?\B?BypW 6yKO*\LwiU`^7#2"pSGX4cw@0Y8oQ$&Hip iuMvn1]s$8hd֪@]3pOެi{?^@sv!^&Q~~(WZآ9-] Es5ɚf7RM Cc !@#cȷ nhP=Z,bʯBF ~f"f*LF&(ٿ`_>[)q)w4o]/\bTFjO?wZrKpFf7aHg ֔oۭ 2p^tFY-VT+xT}VY{]1L,?-s<ުܸs$8/ZW62ڭnWAPC},mͽ1ѯq yP+EF5&;#o!˛&땦v v s4yajqMU3Ħ!p'e1xLM,CY#!<x;71Vlv@yR'?'dzr}l|ٹ^eIC}n{y<`NݘuAi?Cc|ZuZz^A9f:_y]Ò8X q=}/17_9 yjm"\d6?5 endstream endobj 19643 0 obj << /Annots 19645 0 R /BleedBox [0 0 612 792] /Contents [19653 0 R 19649 0 R 19650 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31529 19651 0 R >> >> /Type /Page >> endobj 19644 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19645 0 obj [19644 0 R 19646 0 R 19647 0 R 19648 0 R 19652 0 R] endobj 19646 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 475.8346 187.8502 486.8346] /Subtype /Link /Type /Annot >> endobj 19647 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 459.6346 182.1687 470.6346] /Subtype /Link /Type /Annot >> endobj 19648 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 443.4346 179.3802 454.4346] /Subtype /Link /Type /Annot >> endobj 19649 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19650 0 obj << /Length 19 >> stream q /Iabc31529 Do Q endstream endobj 19651 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31530 20830 0 R /Gabc31531 20835 0 R >> /Font << /Fabc31532 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IVL_%ee8>϶fEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lME endstream endobj 19652 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1826) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19653 0 obj << /Filter /FlateDecode /Length 2144 >> stream xڭXIk,7WY<^0rr^%?i.TW%Uj ruY1c␡/m~<#ӏ1.`!W#p1E9 |10ËHo 刓)Hx3$Qtv)eQ3a |'csWIN'Y$fcv2v[q aJȃD!}ʔo2$֚`9h*X*#أD^ҢA^lX} 5Eb$jzMJ:P"9!`a q=#, ɭAC9Hñ޹cA z2oX.T *4^wx+d=f0>[8(4!|SPH, NnU_+ ,rx=7P#֧m[ƭ:%Tp]"f*,I4n0P[ +5D|f*kIil/Ox]ړsU @p Ғxl􃆣;ޚ+8GL_Δߜ˙A>'jt*SࡀW~y 9 @Bzba>qUic͉JNYQm4{/`aʪIܳ,:]8 ش:*3MKqh8 ƺ&~#چFE)|xL{knyWum—]D;$MFWhֆ-f|=!֞tb !T;kNqL'kj[2p+i`inLpŪt՟!rքGh5v֊XG@Q7ލzͰǷ5W5|fQ^*&{pW/B6ՇjGgcK µenVoHXpAͅ|F0"Z9i$ȇ&=C3#y2枤KI`[FR}Ål9(d9^Np= ~p?<;6;6 Bgu95ӝ ̠[I\dzxyN']鍈kWP%l *IbQJy'<"q]ͅ+Z|K>эSk܉[p1mD endstream endobj 19654 0 obj << /Annots 19656 0 R /BleedBox [0 0 612 792] /Contents [19665 0 R 19661 0 R 19662 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31548 19663 0 R >> >> /Type /Page >> endobj 19655 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19656 0 obj [19655 0 R 19657 0 R 19658 0 R 19659 0 R 19660 0 R 19664 0 R] endobj 19657 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 279.725 122.505 290.725] /Subtype /Link /Type /Annot >> endobj 19658 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 279.725 161.9785 290.725] /Subtype /Link /Type /Annot >> endobj 19659 0 obj << /A << /D (unique_77_Connect_42_xps_commands) /S /GoTo >> /Border [0 0 0] /Contents (XPS) /M (D:20211013063105-08'00') /Rect [167.2915 279.725 187.0035 290.725] /Subtype /Link /Type /Annot >> endobj 19660 0 obj << /A << /D (unique_77_Connect_42_board_commands) /S /GoTo >> /Border [0 0 0] /Contents (Board) /M (D:20211013063105-08'00') /Rect [192.3165 279.725 220.999 290.725] /Subtype /Link /Type /Annot >> endobj 19661 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19662 0 obj << /Length 19 >> stream q /Iabc31548 Do Q endstream endobj 19663 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31549 20830 0 R /Gabc31550 20835 0 R >> /Font << /Fabc31551 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽? endstream endobj 19664 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1827) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19665 0 obj << /Filter /FlateDecode /Length 3801 >> stream xڭ[I6W@ wJ@rWܜ40<rWSHoEYV3%Ngu zeϷ/~Oa!e_QO]R.?EվXǹ=w$-Tң~]?d 4&+WC?]9 <2g:&M9]hlYhYfc`M,5OƤ1ZUqc>l'-="3sva]/F3ym`sea$ }.cYV#ak|YJ.Q>,AB|_Z>(>l|?0Ko95q`M!OI[,$ 9W$NL6ٮa]vFX%f@ |`3M\lvɊݖlw{NK?ht&l@FT?(-lgO'aWKƵ˥ #Ym#y  Xu &֕ oP~Y>JA0kAGűQ­ivfP\*fŸ@%'7V BVUPp٣DP _禜7R XS $,p܂UiQ-Ob3Y([i=A;INq 8dpl ɍ0< !%R'NV,oĊogU2E3<(PCDPK2]? ܮ.os!) :-6zKwXL ag%Ce\Wjx6Ë}%Y8# v!f)+nJjk{L-[˂YT)~b[B$㾀(f.[+p!yXlpMjFFQ^a9%vRpEp'.L˜Q q01VP ,\<A ?(Z2>E ,n=iYeW뤒/Io#:P]q(< qnbzGT}Q1;(7W8q[J={7=T6j L.y!C3@*tۀ^)i~5:wBA}6 7}R0}{Ixm6&溕.J yhu Xf?dIT;wl(7Scnp7y>.ϝi´ӬL{x0lyjA&2Znmz_o]}#_$id*$1f  |HbĪkq[Y&0Nɻ(K #@n:sRѽt{wϷ0.t;5N%qHdHӆbSW+獿t۸(D 4,V? c ՘Ёl7bGKoy￞klCħ':"f#BZW&[rmf A/KB-BF8Kfwc%%+BJ!.m( Uc`X>7P/ Z^m}T3$nGNvCmo҂8Jw* Ph#xZ3.PMO1]i6 61ՉlZShRw/\O)i:F!rG Ag5KP`=)/\K~'5=[Jo$ш ,7O5_*0[.m&)q:rJ<CҔ1NCsjTwD#u[Y,8!)A(<)n ogy&վLUh7Lw| -ΆrOi}f'<D32/>wifd] >1nM$^QG}Ifp1cLZ>MW&@1bXx~u2sjm)߹)- ^̱{(z[Igg#V@wh&d!5~$<'::}sz7W9ɯoWzѠ2-}+!rtħGYĠhjUAt\AN*oC'$Sewus`gz4#5>sOp5fCKt endstream endobj 19666 0 obj << /Annots 19668 0 R /BleedBox [0 0 612 792] /Contents [19674 0 R 19670 0 R 19671 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31567 19672 0 R >> >> /Type /Page >> endobj 19667 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19668 0 obj [19667 0 R 19669 0 R 19673 0 R] endobj 19669 0 obj << /A << /D (unique_77_Connect_42_cluster_configuration_commands) /S /GoTo >> /Border [0 0 0] /Contents (cluster_configuration) /M (D:20211013063105-08'00') /Rect [90 427.225 191.6455 438.225] /Subtype /Link /Type /Annot >> endobj 19670 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19671 0 obj << /Length 19 >> stream q /Iabc31567 Do Q endstream endobj 19672 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31568 20830 0 R /Gabc31569 20835 0 R >> /Font << /Fabc31570 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;7o77Z fڿc}Lhj[ߕ9p: 橷൫ C;fũc8I ko ZH&5UJ8QAb2AF7,Ih;ɘҜHNIx5s剢xy"q-lb@r2dv"& g{ֈ.{ (ıA1oH!6},B>UQ 5\fP=(%NѬɻ#-I*YZ-<8יq|mau3ͪf//d"Xl˒5_@ʧ9 ?d#9(` dT*I*dz)UD=ΡLH9:2FKh8Xu^lM3 endstream endobj 19673 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1828) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19674 0 obj << /Filter /FlateDecode /Length 4037 >> stream xn$ί@Y @-m99ɱ@ 0s۸UU8fZU|$"?k ;#-N?:- :>vV?waJaN^?_o˭>8o!ԷvoVTky/R;osEm] 滂9n1tiB?Q}&#N?hB{ 4?gmyPe&Otv'WYpMb9zmG98-KfqEpj5[Fm6ߪ,hEf:WK&>T -3ۯEGՊM"qvCMB#.XY*#ӏBawDؘo#BZP9d; n78`.-/^_ 2\nIkʂK<-,g~;\H0ԂÒ|zi~}Loԏ]0(eO&Q(F M%ns[100sE2ı򱭃u u8 dܳ0~]"uSbP #ͮĖVbЇ<}%RMΰ"^O)-ej5OaLoT1C̞IKACFn@iq &}ƅ8Dl2~Xa-Ņu\Sdf # "ԑ Rܦ>jK~3=x&^xne:U3".=AP3ɹ)RΨÂj(qѓ*<%>gX}U\z;)n>&oihݶ̤i q,^kS*>b&Z2`Q]jA2ˬGx(q8LQjٿ\A)=K'iϱpIFq՘Xƽm>|RI-eF\.w_.?UHi6nED^#@+5j GBtK>z+deEsLU*lCH.NLv彝5)vu~Il\ˉ>Oa02"6f˙6-qN_ G9;-bzYuqJz,WPս>T!#pMw"|3DQ%reAڞ$= 89X109:4j9 p=|ϧ <+;%yw ~N|PF<(,.xWHGaC?\,t@X#lW/WR"ٰ%^.Mz>q'aݏ}6n8]9'Pzd#%Ëp^`A /  endstream endobj 19675 0 obj << /Annots 19677 0 R /BleedBox [0 0 612 792] /Contents [19685 0 R 19681 0 R 19682 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31586 19683 0 R >> >> /Type /Page >> endobj 19676 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063058-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19677 0 obj [19676 0 R 19678 0 R 19679 0 R 19680 0 R 19684 0 R] endobj 19678 0 obj << /A << /D (unique_37) /S /GoTo >> /Border [0 0 0] /Contents (create_cluster_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 429.8346 240.8977 440.8346] /Subtype /Link /Type /Annot >> endobj 19679 0 obj << /A << /D (unique_98) /S /GoTo >> /Border [0 0 0] /Contents (get_cluster_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 413.6346 230.9757 424.6346] /Subtype /Link /Type /Annot >> endobj 19680 0 obj << /A << /D (unique_99) /S /GoTo >> /Border [0 0 0] /Contents (remove_cluster_configurations) /M (D:20211013063105-08'00') /Rect [104.1732 397.4346 251.6778 408.4346] /Subtype /Link /Type /Annot >> endobj 19681 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19682 0 obj << /Length 19 >> stream q /Iabc31586 Do Q endstream endobj 19683 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31587 20830 0 R /Gabc31588 20835 0 R >> /Font << /Fabc31589 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7i)ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bLIH!6hxfxoBD>.PkP=(%ypfE3Rwm-־0ZOt⩔L2:)WIkp:3ϳ-nYGFx|1$I d_ ECC 0yW!ӘV;!JϡmтGŶKF endstream endobj 19684 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1829) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19685 0 obj << /Filter /FlateDecode /Length 2392 >> stream xYI$W<a AKՀoc |0>cL2[ʪj&*Bߧ'bu?ѫ`?mNv_q٧X3brpη|O'TLpsn9>3<ʤ]+43M&9/7L$eTxm:g)BЉ)!j*hzq.?8G;r'\cu>\ }g誄&0s( fyGd^mt ^fA0dkUBd?1)dr+f^ר{Y;6os厍6>\D^5A,G8B͐E$e 8ŏxNB}[2j|!9()ʨ55o|vG+vWɕMu. T!Q*&͑a=g SP- H]Ki81ŵf81oN@ͳl8È99g<AP}|whO~Gmɺn:E Cfz\F*4xG~"'?,CG4nRa{3Jo90E97w78>6 t73߼,UI'_=ۚm%UʼRĴP 󎣆t/AK@bsPgMr6\a:MrQE:`_3d&O_وCaY@lJ%f *Nx% rDq6:y7w [5_-D(L3JShM3m1<3lI>[g]8B7)JW҆IaN&+mkwK> on? r]mnoB;u L<yS#HnGJ3rL2WQ̀]`F;4LG k-Dk} 5j09RGMaNU" ߝNhR?ޑkRˎxƄOM3^ ^zںB<3=g#=M*wUR(1Hy>Ns/R>R#Rӌ{^w,%Ա3D嵛ÀJ@|yYICeSu ]77.j̲l mc5d4&ZJ:Eⵎ$T %JЅcpOZښ[̨37>:Vx5+zir4P[`1掴0ah11[wfܑ$`nKylźkY\ϫT]vz!dXɫk4ƶjVrXٜԅl䝒 ] d-~ͷaԼHRNO/TaRZ7ϫ|ys#C^f-F*SPN`*d0_1?MΔU*똟= j]i}[I@sĀր~0iP40p r H6xw%c%H!2֪`V:g}JBeSf۝2co{>$\_xqN>XZw.&e;RhFê(sˢkv.G<ē,RӥMeq+~?mp Ɍi-ݹgcC"4ɕ endstream endobj 19686 0 obj << /Annots 19688 0 R /BleedBox [0 0 612 792] /Contents [19695 0 R 19691 0 R 19692 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31605 19693 0 R >> >> /Type /Page >> endobj 19687 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19688 0 obj [19687 0 R 19689 0 R 19690 0 R 19694 0 R] endobj 19689 0 obj << /A << /D (unique_77_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20211013063105-08'00') /Rect [90 384.725 111.626 395.725] /Subtype /Link /Type /Annot >> endobj 19690 0 obj << /A << /D (unique_77_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20211013063105-08'00') /Rect [116.939 384.725 158.4255 395.725] /Subtype /Link /Type /Annot >> endobj 19691 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19692 0 obj << /Length 19 >> stream q /Iabc31605 Do Q endstream endobj 19693 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31606 20830 0 R /Gabc31607 20835 0 R >> /Font << /Fabc31608 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMJ endstream endobj 19694 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1830) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19695 0 obj << /Filter /FlateDecode /Length 4181 >> stream x[KoW9&0؛7rrrR⿟z3>$ylvUb5}̤l/S3SbJT'?Y3=|Lnz~~:`5nR}ZwoݢLk߆LϿMW`)hz2q ~L]h>fdFͺ0gBE>\@^hv:v;i2詔엧("rR?͝aINPo TI4HR~H"ʴyK'ѷ@3>1x~P$Ƨ9/N[0Nc1  Gg;g D?'Ri3 &N53Ę:D g;×~ou7Q e?YmPg?=q~?'0_Q߀X3k1[ 1V1Y!;S?k쓼$ca" aL0 A5Ujbt k'-0^]vϔ@K Kî7ĕkť:-CN]CC`ᔁ(eD!aF9P`q"wC 5Tx]FF() =4*zXqԘeΖTk*kCJWl(vOQJuPTU >mg X|c9E?g<ƛܖܫޭvo{?Sk8柚K+.b'G2͹<+=wtAi8 0g-qÀB+B w+֐ GЫӤ k [' <PRT4?@RJJxPB |"6k2 ZX,,hQ(2R⢙ TW_%W& =Q@T PH$|P8/PH6@8QH{ uApǭ¸q%Si 2H VZH:[ Wk2I+Q XT/U.L\RwukSSզd@Hy[TŽvc~UNDhclRG?+E2,H^:*h伅 3f@-V[!V(=em/hRܹʓC0B-Y/mo|H%8K_bNj9P s8$9$AyvsAiBUTj-ȸY EjӣԽZ=6{d/Y(FA*U۾XQ j@Rʅ:w+Y]E uE{Cu1Vc]'ܴrC9ofM] ki{X f vt`aw`Q]:5PmUO V buSiak9Ȏ{ݴMr'% nVddp pk85SI5(Mk} B굧 BVL `#}0PF/Ξ!󾒁$#wkiҊ!R?jWk(hÄ A|}]Ͳ[כU1 i/EYݬ|ۖy/۟6nۅr+Qw65l+Ӷ]3m;|O2бl q.eu+o%uay7lge[>RW<ǶŰ~_Qhx~Y@7gɂ; lrOce<aseղO}}:Tw&=ܡ1]QeBr"/`hV'lұY AkqV7EힺM!rVi[ UlZt2yui='u&/]d7k>/ͦ쓼g R&N!oB{Ѳ,] 0Anv%VsS׮lXvˋ;̱@1 VnEx;%O0& ґZwiv-@"W)R.=CH &z7+CS 5gt%zvx)/ۇ KjxZ_8Y&pk`XGyNW<9B3'YN?tP=`zEf ժ$|[q~~Po{ql`ưKKK{۱fFfh5 9]`VgOBAk+$ 7Dm\Aݴu\v.7$6Gs@HZ%+kԛcP7 aB{\^4ħʠ8\]1)Whu&͚w6%W[ɧ%«V]:K )UZG4})נ(qё+r.9.*^,_[)iMN3y9ў^8VoHEfDn}.:* />; qKE̠ ZJ?$f;ȶf֨b#cVEIXR{zG߭G׃*p>^k]*1`fZ2c<,n?_]T)[ ?J/A ]-2?f~qE]73-y>|VDlo4$04A^-lPD^[ bp$}C "%u;%L/F Da8L!H]8H%QiifDԚ}cxaF>$J9y_rUeKWlE;'CMNO'+xC垈rTs\sȨ`FQ=u3$I]x(^ٻTCmzZΠj1.]bbp(ݑWwv͹̉O+ml^}l=s=Sr8{M,pD  endstream endobj 19696 0 obj << /Annots 19698 0 R /BleedBox [0 0 612 792] /Contents [19705 0 R 19701 0 R 19702 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31624 19703 0 R >> >> /Type /Page >> endobj 19697 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19698 0 obj [19697 0 R 19699 0 R 19700 0 R 19704 0 R] endobj 19699 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20211013063105-08'00') /Rect [104.1732 582.9 194.4502 593.9] /Subtype /Link /Type /Annot >> endobj 19700 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20211013063105-08'00') /Rect [104.1732 566.6999 195.1102 577.6999] /Subtype /Link /Type /Annot >> endobj 19701 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19702 0 obj << /Length 19 >> stream q /Iabc31624 Do Q endstream endobj 19703 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31625 20830 0 R /Gabc31626 20835 0 R >> /Font << /Fabc31627 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< + 5pZ [nRVthǬ_{9;u SrYЪ!.p' J<jeAT  cʙh;QLdiJU$R)!^\y( ^T!b6M CZNNٷGӮBxg( G7uH!6,>U]d߄}j]֠zfQJ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19705 0 obj << /Filter /FlateDecode /Length 1675 >> stream xڭXK#5W4d&HE8 ,ev.}r,bʸۏ֟JM)e骿D휾~ܦl YSs A_ߞt6F ~}PA1`75\͏0& A fXb+xBeHAhuO]ib |ቅK <[uP?%dB /O1>`Ոqo`Ji.#&%6$6$bMN5}jn%ShoR!R)$i n(w7]'O 1cIJ YҭЪC'=/b,Dhr-wƫ{0dǨʹ/bW cdAG3bPo4;H hI Jcks&!%^m`wmp&Nin03687{l{~sDt8Q29_obJQв3ZWQ;wp8%ذ/| K,(9SVYmO}黩CWe z.>e_q$c?!dUw_V Ү^K M4}|i!ʐ䢁A.^`c5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31643 19712 0 R >> >> /Type /Page >> endobj 19707 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19708 0 obj [19707 0 R 19709 0 R 19713 0 R] endobj 19709 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [90 407.725 123.198 418.725] /Subtype /Link /Type /Annot >> endobj 19710 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19711 0 obj << /Length 19 >> stream q /Iabc31643 Do Q endstream endobj 19712 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31644 20830 0 R /Gabc31645 20835 0 R >> /Font << /Fabc31646 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDOJϡmтGŶ$N endstream endobj 19713 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1832) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19714 0 obj << /Filter /FlateDecode /Length 4307 >> stream xڭ\Ko$ W+zK~,I 4n\/3lJ*EHD6:]:cbWWחկ䐲ׯw^K{lw^mܞ{U}#~уo:o\\ _WmxdpuvMVBqYWd /$gLN* tuWOX?WmB?v^Yʑ+4%O<{GcC$ރJJ$GjԈ3g}$D=;!lX_u^؈1_+sƉD# ;v ؐLK2Sy]e< I!U{"h8,&sŜϑYRo𩻧ܠ4^C`X8uIyڿ;hh8#e %ܯ4?/h@><l L^[\gݿ~뀯|~Ay\~~!ލUhټNiI$]>ڵu]XUee5䗐<}Iq:sQ{a N1duXcQH:7CY_E2m^fx@8އŷAs[]M ܫy6ok,bm+vli5dUe8RJĹpRcשުWnҶW֥P*[sBq3ea[  wQ[P4TU+F`'i F؀sw4+Nܙ|]YV#Eqƀsqx]V8^\_hb21[ (,Y%89afOջw&>:;: "bѭ8a᥉Yr,uGvyg05%Eỉ,pE`IAJ H~^XQ"M˜ ρ?+]ψHo?&xb(D?6]<EtDTkGe@wTLhr?2*WAW봹>(eP]s;d 1vEU' u+Wz.o֎K> ,7У7\5$TKwx gop@;x97-OF yxG՞hYh׺ޑe poPi9Kw Ht0,1(J3ToqzU_?`ND:SQC PH4|q~\ 3ݼ3\ZZH: ^N$UD-`ͅ.P NjD<8] >Nj/7IzacI;|>>RR@ʉT3u0Pg`uTZuqHR0sKӷpnOV<<OӫBurVR'/9/Wݢ&Č.ÐW|JOUc.}ʢ}}ؔ+Jg`剾- EU'C@wݰu2|=pgS0fpkLx_i+ nB )Ή-PcߧHˏ a=h  ;agc$"WF;-Kb"O=[H"=R2pXXwdLǙ\SuN0حJe݈*7PӤY[Q}DLY59Fh.N=;bY :y;^R!X:ၓb^P=%f] -aF#>([Pd0M?mHtXq1% óNAGڤ$i0oICR]"՞{R|W}N]!2Ѧ J:6K:A]C%mLCҴeICQGqcbUպl㯑Fy%#y%9=W4wR̨/X5o1\  U" ^I ]|j6 5S@ea<2 ;sz%Dd=ܝ 3AGO}CZףn9{m̶r;1OgCk`ZMU>ⰽ2,8Ƥ BU6?m5lLGS˔ 5o6>y3Md|~yP0~b &Z2d够Y\ x:.Om;cIO.[[b ~Yl2gfN aK-CX.797J١ @QW\iy6oS՜'Ֆys+3.JuYZ`Gv}!.΍D9EuXC3:\dʭOTc#io;)^9Ѧj8wUk0yiȌmb@YQ@(KiW[nr #PSNkԠ1cF ̕GfcM8ƹ#صG۰0n|S_R[t1S5nr⟯h.VIԔoʛqqJHJ BGG΋@4tYJ{EJ{b=+]r l$x)sq69Բ|-IXaX$=S+I?1^|@EJ>LpGAYIk-;w,o"QEj(uZuB,>|E:e( +%΅7).>T6N\FB&{T=(jsWf؛}Q_l04"6jW ѸկCzQR|OxZܤxsϼ &8"UjnK?O39uCǫO9ӒBN`ǝU8zogJNm=B@"1ƚ#㋥CǗ#~Y娑wǏ9o,7z?'/89 S~Ӗ\sf-?:D5rn^mRa)ףMP'u=K"::DZ惏0 Nzρ{~4t eDr+0D/ endstream endobj 19715 0 obj << /Annots 19717 0 R /BleedBox [0 0 612 792] /Contents [19727 0 R 19723 0 R 19724 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31662 19725 0 R >> >> /Type /Page >> endobj 19716 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19717 0 obj [19716 0 R 19718 0 R 19719 0 R 19720 0 R 19721 0 R 19722 0 R 19726 0 R] endobj 19718 0 obj << /A << /D (unique_364) /S /GoTo >> /Border [0 0 0] /Contents (create_ip) /M (D:20211013063105-08'00') /Rect [104.1732 582.9 148.0577 593.9] /Subtype /Link /Type /Annot >> endobj 19719 0 obj << /A << /D (unique_368) /S /GoTo >> /Border [0 0 0] /Contents (generate_target) /M (D:20211013063105-08'00') /Rect [104.1732 566.6999 180.3482 577.6999] /Subtype /Link /Type /Annot >> endobj 19720 0 obj << /A << /D (unique_379) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_ip) /M (D:20211013063105-08'00') /Rect [104.1732 550.5 157.3967 561.5] /Subtype /Link /Type /Annot >> endobj 19721 0 obj << /A << /D (unique_377) /S /GoTo >> /Border [0 0 0] /Contents (update_ip_catalog) /M (D:20211013063105-08'00') /Rect [104.1732 534.2999 191.3812 545.2999] /Subtype /Link /Type /Annot >> endobj 19722 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 518.1 150.0652 529.1] /Subtype /Link /Type /Annot >> endobj 19723 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19724 0 obj << /Length 19 >> stream q /Iabc31662 Do Q endstream endobj 19725 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31663 20830 0 R /Gabc31664 20835 0 R >> /Font << /Fabc31665 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19727 0 obj << /Filter /FlateDecode /Length 1665 >> stream xڭXKk$7Wa/Cɉ7q`}ٿnx^b#꫇J%gmDMN?>>fu X2IA[VQfcf.){wƸbL<Zǣ^?( 0ßw{]Fx6a0ݛ?uX)!tnǽ"rt2&;력AI0@> sN"O[sWVn-kgNX1rF|6) #!ɒȾl){`(Zw?/2 pQuX\]hMK6s9pVr$!Ie=0hI!'dNv.H_'2NêX1f,*aX)F8V9$_g8 }X,(/,QLl=~һOɺ(H=dm{ׁ)93r/"HTNI% b<^XCJCN-^[=݆sK`L^b41V4;wg5z)UԷlf;<b粈l+ꝖnU F?p(1ʽiloΎIVqszxNX}M [A62l]O_?\+̵!)$%6\bFyư̂\] TWdrsEE g+<;xb{BMʺVC b8'Dcwmpg7-W-m1^]x2Qk\Bw;u oc8;7%F=멒 V!>hBzNsαtNdƚ4ld0c ~.N/q֣hGmpIm9#hCLQCkZ;ը(r sLfu~0RaVn]:u,K(,0TTd3ڷ3X5놭;J_GJ/ۆ9 UQ:S"I.s:3f4ށ`lYqt)81^}+YJS{U\h:"ZE4538JU/T4j)S-JM3)1 S4SØQЊ4>Bˈ"zD]V*n+I]8Re6U@H!]w1%F)|eޚ!do˞͸%Ko t@<ܾDl674ŗ!`C6\|q9_B}4=8k̗,o.vj~{!!6ȱǼvK6G4NF?cu59q9ޟܱGܸMhxz{[߉މkq06c{FzۣPd;fMMOf#s Aayvj;ģ |0j-_Bm!4JMہ54ټőO=$B-t]#lê9ˆz_|afX9Pm+R endstream endobj 19728 0 obj << /Annots 19730 0 R /BleedBox [0 0 612 792] /Contents [19736 0 R 19732 0 R 19733 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31681 19734 0 R >> >> /Type /Page >> endobj 19729 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19730 0 obj [19729 0 R 19731 0 R 19735 0 R] endobj 19731 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 313.925 137.278 324.925] /Subtype /Link /Type /Annot >> endobj 19732 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19733 0 obj << /Length 19 >> stream q /Iabc31681 Do Q endstream endobj 19734 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31682 20830 0 R /Gabc31683 20835 0 R >> /Font << /Fabc31684 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19736 0 obj << /Filter /FlateDecode /Length 4602 >> stream x\Yk$I~_BLU6[ðO= B>_R*5ӰEU*077X.fTyyf ~4:~^kϿ:&[>?/:`5\BhyvWּ؞})M;m N=_OW+v`%ho\=\5,]K"jg\5%o?).4+\~fٹ̲sq\BYUXMnQΐppyIJ{E]r ,\ O`52s~)*Pb6Q&e:P/X/g+/Z|0x>ߠfM m\c/( h 4XYLeEkI]}^QRio;m,pvwOwwpգX!s^A!2;}4Dhl]AZ 'MD ?6Mt9q? RL6,z!P v (LVt^1JT=?r#a9$WK)ʄ~oVlWV}fM oC)eyʫnGH,Niv^Cݤ6ȥ~,>4{'*qzh7P1dF:{ ) j ]pTYr͋0F͙zaYDOH NZBo s"dQz*yL_|Aql#8 { j:dKXսLc426rx9!y/YY4e9e8kK"p8912{9 уU{\V/[I< 48q2{rZ#'ꖗW AӸߛ aΉύy@/Oo`*><\ڙ3}zAҟadu@!wtiSJUZWuU*6LolMڹuUGF{8 (BoBT4 m}+CxՏP{c}Q+mFfzq.=sot:z3iV}͇*bTZUi(OZJyB=J4|\F4/ ZnKjNjApR 8v1=pRpR>?N|#ԕpRWF>Nj;:?8P?Pok>~~ zU{4`GۃP#Ŏŏ73m8PWpzjMq/ml@7s+fÌwU:qIBWR\\zdq/r-.$ H,GQz* ܷsK='m"a(GyYb%:=7|{gOm?TlM[>u0)Ũdv''7hS+`grB'܈,cdw"y*H;ס+*^N\FCr2t`AyF0 :0*"=2Fi0$&tu`O?#Dyܓ@;t+N": PxEcǽ xRC!ؾ(M#mc3 u,!4oԞўHkiJ7[* V^b RϯA'E)ia]&F<5Dޙgn'n)'ګp&ώdQ EVf>)DQ'R*@ 71jHWW[?z XdW^3.L . zB ;cp 3/GD9:zr g;\ru11 n.[SXl*ùi|>Uǁ:?a[ucmjY2/&01 S_J_4$5=XYagߝatC b>+qWkS91ܦXֳvkjZ3;ˊ5qp+ (IqGcIyxvV+aT:tü/yJEQ'"6+`tIiBV+gk= ,Kaix@/X/R̓ `. JkTk% .քk9>ypv5IwT-r4cVju $ma|q9dR4ʁ xTc7PO!O3K>EO $Xci4<.؂ ~c}%N1:GC d ܢ^0ߵ\;\ Hx?2#kdВE< l:?;r8Tsf Mr$vׂ V[@|S(Ck{0 iS!Ljyq ZʁS|v!`\ V_=Qopy;MtQ/z6|&6Й"SR*)!7\VZ/_z<fY`p<jCe{.nM֔`@IxCkֱF_TgÝpԊ-5xDr owiZbx9ۛF9ѧgaqiWbXr}w̼e~>үud&WiU]>M@00EYy @50[y'iA$tL]XCRh|\ aCuPс1ͽp,Χ埛MjU눾BT"a#JDtG@H]Vg(,5fFZ^nzPEΠ1h,V|,)2Oo96sԞy8݆}4=? Ƨh|-Ƨn0  Gs # l5UeC3$oN$JH'dZ!x{B]qB] <=˒·.\)V8"Jpq69Ԡĩ/6$u1%R)$4ҡh hin j6qް<ݓH6F>Ιء)+r6A{ʥ)i3ЄM@}asMXU2N\FhqhC!ֺRbWRek26dnoNT&_Z2:ӈ)=a2 qNŕzv:,G /<3b;os=yl7\F@!ger<% PX  b8D^b@j`:ؓz=Cas;W>baz_#QΕp'x `A|HQZ endstream endobj 19737 0 obj << /Annots 19739 0 R /BleedBox [0 0 612 792] /Contents [19753 0 R 19749 0 R 19750 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31700 19751 0 R >> >> /Type /Page >> endobj 19738 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19739 0 obj [19738 0 R 19740 0 R 19741 0 R 19742 0 R 19743 0 R 19744 0 R 19745 0 R 19746 0 R 19747 0 R 19748 0 R 19752 0 R] endobj 19740 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 213.9347 197.6017 224.9347] /Subtype /Link /Type /Annot >> endobj 19741 0 obj << /A << /D (unique_38) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 197.7346 190.1767 208.7346] /Subtype /Link /Type /Annot >> endobj 19742 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 181.5347 187.6852 192.5347] /Subtype /Link /Type /Annot >> endobj 19743 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 165.3347 195.1267 176.3347] /Subtype /Link /Type /Annot >> endobj 19744 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 149.1348 192.6352 160.1348] /Subtype /Link /Type /Annot >> endobj 19745 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 132.9348 180.2547 143.9348] /Subtype /Link /Type /Annot >> endobj 19746 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 116.7349 177.7632 127.7349] /Subtype /Link /Type /Annot >> endobj 19747 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 100.5349 182.0037 111.5349] /Subtype /Link /Type /Annot >> endobj 19748 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 84.335 205.2137 95.335] /Subtype /Link /Type /Annot >> endobj 19749 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19750 0 obj << /Length 19 >> stream q /Iabc31700 Do Q endstream endobj 19751 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31701 20830 0 R /Gabc31702 20835 0 R >> /Font << /Fabc31703 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBhš;[TqݙVUjj7ze_Vp8جax} -} |ﻒ0Nˠaz^B>17^NT$MZ\1h!9;Pьb `6P\0&U$c"KS¯"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG ͼOѯꊏM٧j gV#ج$VM^RuTkcb:txzɓ#-IUrm.\fy4(//d"Xm뜡5ڟAKiܝBj2gԜΘ9cDA^zv,Q) )evgP(y ?`E W۾{wG endstream endobj 19752 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1835) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19753 0 obj << /Filter /FlateDecode /Length 3180 >> stream x[KW:|?ad-7ԃVK#x=ĆnXb[~Z*KF~*3-I#E&//g+/_PZ^)d?ûO@@BE'|uqJRg=Aip4p9qIAmcBF_~%9 @+gNp''nϬGÝ#Ls ӑx?>c02eʽ©p;!Y<>I68i8@bҾZA6uMn9Pŧ+΢BvEBWz}NjH;ժ.Ib0GX4$lՑ! `WArg aaR8+$I\ɘ%""d Ѻ|O~s+恊*!dB4jP&K4]5j+ˆW9*r"nX^{IO2}7F{:lQ\zdgrTc(ӁYX5!\L.hU0{ B \Sb mɩ`b;ݵYTI)A2 R1U𦽝SfkD3PB*pk`: <layׅ7ؿ 8%)bA¥cc ڀI}"h3"2re ^xh8RN)HF9V_GSq0%ϲt$KPjڙFgҽDm/%")r!Q\h\%q ,O?Ŵ>L_[=#ց c j{@\iSJ#3BZ5,KnIc#F9蛀{!o>hÃt+}K=CɼţW92A:Zse#ހg% r-b8[6VKX¶/ahMt5CY37qL D4GH`SqT>lY 7$%_צg^=cODw;`֤2e>U#-p]pWi4̮w4|GUr,R 4<4^w*V& ,v]՚Q7v-Wk}ŞߦɒQqӢяKL홞S.9ܬsŒTDWƔ`JZd~[UkNATD4Α M7dSeIsX5Y<-W+؆Sla$Sn:Sq'>FqݛHNF[37T'EBe4uZa\P-xn6qpZ! RX4v0]$,Ěx>]FLpOv_R2)ӢT6u=RYz6?pfn JT6SȺC53nE*&ҝ*.їPsQv>/> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31719 19761 0 R >> >> /Type /Page >> endobj 19755 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19756 0 obj [19755 0 R 19757 0 R 19758 0 R 19762 0 R] endobj 19757 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 179.7817 709.9] /Subtype /Link /Type /Annot >> endobj 19758 0 obj << /A << /D (unique_360) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_svf) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 168.2427 693.7] /Subtype /Link /Type /Annot >> endobj 19759 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19760 0 obj << /Length 19 >> stream q /Iabc31719 Do Q endstream endobj 19761 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31720 20830 0 R /Gabc31721 20835 0 R >> /Font << /Fabc31722 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nR&thǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 19762 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1836) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19763 0 obj << /Filter /FlateDecode /Length 1189 >> stream xڭWMk$7Whm3=r9y8`CJj{%TRիu-ojC>>۾mNvm9Sv4Ύ(j|C>=/ya}r~^ oJT20LZ<=Fxf/J4J <yfyؑG>l|0 thM$@6I_dL}6WBoؿ͋Z;aRuTkȅ9%JlT0Nw#]Tj‚T6Tl W]1"؋-.~\#EKcѯІݥ}qf>țO-- u4^Lم0<Sm/u`Wyv']`6Qq_NҠ2n -Dieb&^7Of77g~m .3̻iץ|ҌّUD)Z?ƄOM]3[~ XY!0VKm께me#75OpU]y.Ԡ"#:MʵJ5JGRֿ i\:1Kc=ߤe.Vx׼lCPu @",X iwxlQ; l7ADtk h:bK4) !L v{tQiĥK|h2 ) ]f_ƠafA[deX$|Ƿ+沝ͰލBʣ11a0t/Qe'~WZ; E?7v/+7 ')9E hFs "d `~9MCc!׾7 0OT{HUka'Ϫs|ju)+"؞.w]k.HN[s tG;<Lxb<- N N4ZV:3i/RD6hi<(5= x/o?5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31738 19770 0 R >> >> /Type /Page >> endobj 19765 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19766 0 obj [19765 0 R 19767 0 R 19771 0 R] endobj 19767 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 384.725 122.692 395.725] /Subtype /Link /Type /Annot >> endobj 19768 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19769 0 obj << /Length 19 >> stream q /Iabc31738 Do Q endstream endobj 19770 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31739 20830 0 R /Gabc31740 20835 0 R >> /Font << /Fabc31741 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ Z endstream endobj 19771 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1837) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19772 0 obj << /Filter /FlateDecode /Length 3820 >> stream xn$ί9t&hC;4v/ԋhw4ɪbXdqmʫӯ']aVǠE_P砝/C}XrH뗓ǝ1qg)c{JkoU?/2ppMG߆/?Y%^x3|;&I98?󼼶|\5Msw:w~4$Ƥ'g~əM(=3BMZdxvOLbZde$o,KŽ.)9 -|`||ƽ[!ZJ!h5v6ԿEF!`Xcf |%,*aO闯b睱8;,\?8? d |%;`- 7R` r\u7X!K-b fK33v SWGvu)g7r0ϹC@_@u%Z5 k \^N`+A)Hf&..SsYM* ^'>;ZԆ&q N]`f]@VCd|ʥ@/({.N]KUqaia Hcme<"`6_;3/)nUqol h##G?rb#[v,6]Uey_EU}S)*ߴ+8圶rlJ fi*Ţ|g WvQ,p}@]w_C*MNB#ߖA ߟ8 Lh(䀂 (ݤ<(AO > .K$vI,#V"*_s'F 3QrDw)ؿS4cKfs"%בp:~W^ㆃЁVT眡J:O:z#FK0064/U?'f#mRR!gm4~s:@Dp* {-fm  _)0 Ed,r, h|ie{ v-joj=tTce$Wҕvf36GDaC̶o'L#w;@Ց3HaL5&mP=l*m؁ȕr5q6߄&T7Gl#}QfB";}bGײa#?Ѳz_p)jےM7;dKPʅ&R/Q'/Z*ayv~"B.U%893^a`-ls԰.\*}8Z+kjg^a]Lk- F7K!gLPԷ3'x|Y݊gM&<+aсϜ&.7΋|w: Lf[t6^qe6Q˜s͜'Ֆy s/3JE\`G*8C\;+#uP'Snd?~[_HvXldq,܌0!렭 [c:aݛ#@jhuZrcTX/SqM, ,qn5HqQv2m7ۥAQǘ.7^7{)q/'>Ϸ_ Shb\j\סx UF,a kO'NL_#2Պ0r`x[v+irʭc] ^?ßdc0&U8zJ0l]FO7x|^E3/T |4?>[,ώ'P3 @3>$dTw[oz%= (> HJx<~%qyn$Ua5C\!Ev'{A"~1\VSpr`ʏ`(FkwB[zp endstream endobj 19773 0 obj << /Annots [19774 0 R 19778 0 R] /BleedBox [0 0 612 792] /Contents [19779 0 R 19775 0 R 19776 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31757 19777 0 R >> >> /Type /Page >> endobj 19774 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19775 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19776 0 obj << /Length 19 >> stream q /Iabc31757 Do Q endstream endobj 19777 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31758 20830 0 R /Gabc31759 20835 0 R >> /Font << /Fabc31760 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=سFw!g37FEo5o6},B>UQ 5\fP=(E'\YZ)][{i4T>Ѭɻ#,JɭURj.\gy4)5ۏFx|1$IjQ<<%C9% 32 GKB&K.҉ `FbU$!īEWeG;!%=uhw%TKh8rXu^lM: endstream endobj 19778 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1838) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19779 0 obj << /Filter /FlateDecode /Length 1380 >> stream xڥWKo7W`Y Cۤ(%pfbubcEp_h(/z9X?V!jP X>Y뫵`m-> 0ޛJ.^7 :8c<`K{] sNp+w GkgnׅuX T3-0X,Dw*=u\1;`NlV:zކ=,W A@Vr_ާ̰:ybƕQ'ǯHa0k"&%6ckH^/Ś?d!T&ڄdcɔ~TM-RrmlKMKuDŽb<"}–\k . ۠UN&#+Jys{>_9n!xGck%9(nH?dYyN4 E.+ R=2#Rخe:XV dU6M2R=lM"nsS*^\L]@U'vNkr'{ƊM ¸-ǃKp`H<[hﯱ,rqca$:#&5QóLyaDyiBڌu ůndm72e7 o\R#T._cmL 2(g½p'f ;n郞4׸ +w2<Ƶ]=b(wow5^`c5ttR :jbP6.ɊP$29;:Ez%~$>D/krՕiKM` t8Rȑg}wFg{2xdm&93@ܨPPz!?=J9kq> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31776 19786 0 R >> >> /Type /Page >> endobj 19781 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19782 0 obj [19781 0 R 19783 0 R 19787 0 R] endobj 19783 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 412.825 137.278 423.825] /Subtype /Link /Type /Annot >> endobj 19784 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19785 0 obj << /Length 19 >> stream q /Iabc31776 Do Q endstream endobj 19786 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31777 20830 0 R /Gabc31778 20835 0 R >> /Font << /Fabc31779 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nR-ЎYzrv"ir\1h!9wr ģ$V-l!`aL4ECID_E+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI ]v4Lm)1:}ɓ#-IUrm.\gy4t//d"Xl˜5_@KiܟBj2gԜo.9cDA ^zv,Q9 )evP(y ?`E ۾{wQ endstream endobj 19787 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1839) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19788 0 obj << /Filter /FlateDecode /Length 4364 >> stream xڭn8ίyM 0 Y{X)^ |%x2Ywb7m?//Y-vou|ҿvV?}S}rH;cý>8w!SܝWϵ,?s郘e.OOOQd~ф~c5EfJ d.?~SN(0+e KqEn1ͣ1O8.N) hޜ`wfY>CRvv0v<9+ 4T1 ;SW.{s>/Oƹ/H5u1NqINq.::N&u1i1ȯ// ocacA>wO l 60!V0qu%)ZRԵkIQoD@*)*ԗ(@KkfP O/w1ɥ=9 Ѓs~}yC^ЁVeE1´Za«qR06lN1g=t`DL6ͯj-}t5SXlM 1iLQsa%x.+ .s6N~l !gBƠŚ -^"fB ިss+rN*L(r!vFXJG*Į{?7辝})+n ]MifZk4gFab;vy0oՑ٩ސhJd烥R f5xcJhkPfO}̰*XszLY*K~W=xbŠ&H]cs6?xCF^>USw^\`YZb֮-AzA*\h>CE~aa@oļP#i,6{\ ~-G*_@tTCq$R;]#mㆃM#J*pUT^Z`4ȴFK KHTGBV7OڐڣjZǍ6kH*_+١BىIMڴ>J6?N"U<0l(֠vz lSBdPy db_^Ān7)CF|Kc{EyblDW G~. ևto>/F_iS@m=>ص57I\ b([ bf^}GWʑ -AwUY!xYl-­V̠b_e!1urv)4i橺6`|,I[Ī5y;%OA^I$%(@8"[ !:{2E7n-X[(Wqdfua]վR{p~a?Lf@5ql6ûWkkς M;545;w4qm`V2(Ĩؔۮ`w΀t _w|}2g 5mSD> Bl6jT>QjuQpfhFh9NKl}\I^9uX]ݗT%+sJ!.Qp&Cd6=sa!qa;!.YB[YxFw30&߶i:Uar 0U?*Lkd3d(}~ynQCC~if, >drL.8{rMn8\TTV\FBLv㽝 9gifˉ>cp0"6׋?o`D9渗ԣP^c- KofPM!λYvg([X3b4^H3o݅9zHpvFy[10b-F: r@=쟏>dzC~@ޕsNvsXO<'W ]ҾҞAatݴC<"xlBTs ck_ zreqzOgO|<I{+^"DzĻ.S{A% +HsЂyk endstream endobj 19789 0 obj << /Annots 19791 0 R /BleedBox [0 0 612 792] /Contents [19804 0 R 19800 0 R 19801 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31795 19802 0 R >> >> /Type /Page >> endobj 19790 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19791 0 obj [19790 0 R 19792 0 R 19793 0 R 19794 0 R 19795 0 R 19796 0 R 19797 0 R 19798 0 R 19799 0 R 19803 0 R] endobj 19792 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 376.6423 195.1267 387.6423] /Subtype /Link /Type /Annot >> endobj 19793 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 360.4423 174.7052 371.4423] /Subtype /Link /Type /Annot >> endobj 19794 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 344.2422 200.7917 355.2422] /Subtype /Link /Type /Annot >> endobj 19795 0 obj << /A << /D (unique_265) /S /GoTo >> /Border [0 0 0] /Contents (display_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 328.0422 199.2737 339.0422] /Subtype /Link /Type /Annot >> endobj 19796 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 311.8422 180.2547 322.8422] /Subtype /Link /Type /Annot >> endobj 19797 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 295.6422 159.8332 306.6422] /Subtype /Link /Type /Annot >> endobj 19798 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 279.4422 155.9997 290.4422] /Subtype /Link /Type /Annot >> endobj 19799 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 263.2422 198.5697 274.2422] /Subtype /Link /Type /Annot >> endobj 19800 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19801 0 obj << /Length 19 >> stream q /Iabc31795 Do Q endstream endobj 19802 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31796 20830 0 R /Gabc31797 20835 0 R >> /Font << /Fabc31798 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nRO}:c֯޽:H/ jcBrt~AG31H[&##((BBq˜Ti,M $WJ2%ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 <~UW<7!fZ5Y}S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwoXL endstream endobj 19803 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1840) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19804 0 obj << /Filter /FlateDecode /Length 2802 >> stream xɊ_JǾ@QPKoc|0s1e.~[,]Un4%R/3kWm;O_t{fu X|dEhk'x8Emom#ܤg1prO~3`1E9 x^7Wc; /t"% s.F@!u]Gi,J]eMI- ~ߐ "|f}>=!et]b66M`'N .;Z#Hq0H#8ae+45iT3!du-B0#o'2$Y{"yg)')"#VӳY =4=ez[Gqov| :f>%N`3\G` F0 ⲗxA>зF$!TBز(Î7> vl7m\ꐗ` NJn+&n1 AYtREX[i6ahSˑ/1dmj6K3a 7.V=&zL͞Iܥ/iFXM͔ؖwv/Fc !Q։^MDXl_Տ~HMuvMB:(Ac- ~NZ]ƺqjDUnYDM?.7{]<X8/ ޝ#;JKXr^;%+~T2Oܓ6\F:NtZ_U<%f|c7Ɖs,k%U\2lz2z^oܦ4^ aeLM9{xԲVt9j!%﬉ք^n5"gU[56{ܔy3맢 ^l~jiQz n PkuBk-s6% Q!d5?/d}6Fr",$HнeӣLRUhqk/EQÅN pUڏbF`r`Op Q7Kbᆱb1Z/iꞶfs ̶508v1AK;KDpыsJ͸&7y2Vgmb=9&zwqs&6I1m^Gv^gI%< ] (B%d<g7g)r(.ߏ0~:A+S0V is԰)@چpuvI}/ݣkLs. 4sGljx#ū6BA` Nw@} h}1l>NEdüu0h"`i .G Im4 ߋQ(>-b0/Fp㰍v 3,tU1K ^ƕ*U'Zga6cu?0(v@Ci)2Aw1akhdfP(o̱i=Re(otmCWjş{7yLy>E? 5-0.3>^-Rp*jRh}]!8ӈ8{-;$iG]l!(9??0:𷵯9jHTEl74~ɹFWh G hk x֖/^%7Gn5X8A&nÂѰXRg@꼸^gbEׄ?<V:. 4p76rKnrr|Fb^m`WwuWm;5 qqnFڂ"WlpP z'hkD۩ n_C/^ǸYz}Tk!tW%^'ӟ ]Ba>m疐Stߨx-dԊ ZS[FbĚL vdU V׏yƓ!G$*WE!Onba~rSST5{VV,fuC\8R$Ὴn?{YyLn@K!>ͮ=uTp rhdU `V|t,ܢg'|"gVxU Uq@kVۂh'l0sĩoccU6%Z|"MtS3~Vԛ ygdl|4?έq&D^?mױ ²{! ?I9yOr/y f9 !l|8n".CeBJ+Of osޥa e+-/ #5|=SVTq{jsXK/xo;ƇyIs;G'hqhD`y!ӈkYFn|(D̟UU=~pew,w, Fsk;$*`Xi*3 <Qxp΢3'](J5r9wU0T1Kflnڑ(H> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31814 19811 0 R >> >> /Type /Page >> endobj 19806 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19807 0 obj [19806 0 R 19808 0 R 19812 0 R] endobj 19808 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 412.825 137.278 423.825] /Subtype /Link /Type /Annot >> endobj 19809 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19810 0 obj << /Length 19 >> stream q /Iabc31814 Do Q endstream endobj 19811 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31815 20830 0 R /Gabc31816 20835 0 R >> /Font << /Fabc31817 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEu櫶`ѼΡDHI]@ U8Ρ\j|=B/}$P endstream endobj 19812 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1841) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19813 0 obj << /Filter /FlateDecode /Length 4360 >> stream xڽ<ˎ9w}Eh Tf9g bO \ ؗ%)J""_NGH!zP~Lf瀏T ViOu|~;ɚ9>דy:X o޷S} N>+7S־EuqA6-}O_?`Sо~zxj?6/T}s\2|ҳv%xZD3wefO7.0eN:Ty _^VtP`;G s/Gh<',_OuC=4(get @ 0!;~ :侮RvY!,҇0'C,OhLj-hcΩbN(XT95<ۿYG?99`6sl?6}E Rգ2oc2>XQ?~cI^<ϯ ~ԆϱKg(˟f@MH(eMð1(FfW HyG[H>T+da5jD9$ nSp!~čl  ^R(ǁ/*C?&a>Mgf0ِ .*_W/Ҽhݷ7Ⱙ1ԩުWy]wث7Eekl+ՅpuP{tPԍQ<߫Re}(IoKpf1?K>OB utyMba ⻥0VkZ141Z0<&c@"1 ~SߐI 3[vuv .]ef![2i@KТwjSXfV6ܾࢿ83 -xg2b`k BjDF@\#. Xh`7|`{*\ '\:,W>mbwv{#6YbqE6ƦqggЮ|K ;tw_z|@wGNuCv&>*a*=( V_ *GC}At!q8cu(W!T}_ZR2?J KDXk,R~?)|K<Ă%;4ũ>-48(iUCYxQ >E<ن.IF ,kHaZʐ s$x +,PA?@tVF u4@puĽ¸°+0O`aoAC T gKPZ:M\Nd5At+LR D{$HڤԚUFRe@0T勃y2YKBa|2H%V*wɖ/́V%[Xg[5jHty-/]h\l,TiA* ?=n߷:(f.҆ٓ9 j{7Mvs9P.*s>t] ٧1^T5U#b &V]:]Sڦx=vm#Qzm8ՒJaGJ5.R^ R:ݖ+ OEE  u{umHˣ0fiJL9Ԣ”lS+ѷY|,4M:f1 QK܈Xl! khu=lI6hYb[%ƱyT v[-Ebҵ*]Wvœ3跐m`yjNWMVn?jNj4I4}9)aN'z`~9n;dNjDϐ$!q%ao%u͊`־wŊN@R[P܇|:d>M YHg?i@ِzYugoFZdDO*)V?).p~eZPñt']C|>AxX*ffD`B%6g1pX[('A4)*8170m!3W3$* HW#6NiGIcg, z"H2Lpsy0`d+2 &p{A@*Ӹg6LAf<ʽ.C}>fot)!XOL\ #qcJٵLϙ* Y*4r 8dlbC 2| r:ɺ%+ ʛLhY"[ %T̴ٖddIY Ph#5944 N6ՠ#(1Y aɤda Lz}5 k&]Vf=u\h^$//927әHaYq:!TzL Z(:>+^T IUfE}-`Ǡ P=flx8 3}0'Hr\y.CսnJ8dwR6xVF>rC%0B%$dO%줺sȦ R)"+E 0ۻAq*dIi -~ugE#-i,r.YzB1NԞn\H-aLq 8Frƌ7bVS*U&q͋9M۵KW=4dY<@+1s\j.c9{!,}´mcփm eA[%4zNyvKl&\Vvs+V~Vi9%k)ٶ&fE`<%+mriʅt6pQ% ZML荻`l>l&hۈMSeEZwm<&jS.QEM'i^zx-qYb'T?0=¶j94q89'#D1OwM.=RTfxJ4N?[\b힄 f`O,*h&(syeCԵndO-W.spK,ޘXy fLUd5&Ҵ-  Vuv+S]}3ԩWM i~(v1-/K&-<ݭY ,,}]F=9DTS}Sd7Lb\[8+M6 m#a'洩a3jeV\1#xmk?lΚWs?[s{7y5^r^4cJl%V !Mih,EtsDBz]N^\;66 n_J&NLDdޯSZj(:c !F+ \PVω΋]Pa`:e<( ~^ uNx ˩ROi$Ar;q0]gUЊ@9R[]KTV)W[ʧ)՚ėVZЮrP 3@*0}#7$euX!j R):RVsd3鈵"f^l2G]2a5`&oIRAe2fA@XP1z>u%F/+(QꔵbCev4w%zQ5d;V2tYb~+/Mn<8\P}Ee;@r 1ۍfrP0 7͞W7'~3EiI s=͞hRo_9qw5E7zmhCu}LpbV{]6^mwb]uxW'{ڙWLÔx=Ջ۶b`pFʉ`1E ~_|K/9+.Y1pQnMC3*)&.VJ2 &%c/HL#:ThKCU2r|]˧&>OSWxy4}}-^KۻF6s endstream endobj 19814 0 obj << /Annots 19816 0 R /BleedBox [0 0 612 792] /Contents [19828 0 R 19824 0 R 19825 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31833 19826 0 R >> >> /Type /Page >> endobj 19815 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19816 0 obj [19815 0 R 19817 0 R 19818 0 R 19819 0 R 19820 0 R 19821 0 R 19822 0 R 19823 0 R 19827 0 R] endobj 19817 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 504.1384 199.3617 515.1384] /Subtype /Link /Type /Annot >> endobj 19818 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 487.9384 195.1267 498.9384] /Subtype /Link /Type /Annot >> endobj 19819 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 471.7384 189.4397 482.7384] /Subtype /Link /Type /Annot >> endobj 19820 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 455.5384 205.3787 466.5384] /Subtype /Link /Type /Annot >> endobj 19821 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 439.3384 185.6062 450.3384] /Subtype /Link /Type /Annot >> endobj 19822 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 423.1384 190.4132 434.1384] /Subtype /Link /Type /Annot >> endobj 19823 0 obj << /A << /D (unique_358) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 406.9384 194.3402 417.9384] /Subtype /Link /Type /Annot >> endobj 19824 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19825 0 obj << /Length 19 >> stream q /Iabc31833 Do Q endstream endobj 19826 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31834 20830 0 R /Gabc31835 20835 0 R >> /Font << /Fabc31836 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuDth۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XL5ۏ"cH&`E d_ )2̼d9 M \09 `FcU$^o/jj; Jt١Pϡh#bwo* endstream endobj 19827 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1842) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19828 0 obj << /Filter /FlateDecode /Length 2207 >> stream xYIo+W<8@ r{99yr&[xhw6Hi ;|ӳmN&K/ӣR2ֺv.GG8/2I \ѭW[kA(PV:QNr$'] Vމ}tt&% 'r9[S΋ }>g@u%aAmY)2bt#Ֆa%Pڅ㬠Shk093ZҝA=)@N zvt/wP!tzU{F;VƃxF1E5f.INw#>SgA3$ bz{!2WG܃MP/]Ĕ`{IhDZbwcx̕'=ivdF)oL~;ASsтzdf(S0-&ƘwϹO\cbNB49)OYkSkZ@$("ACw;H!淪]9v8olR.z/K젙]壙jb0$0}T|LcuM %ۜ|9qgNJi ';" 4L!Im; 풲 *ɼD$/ >XS]Ui}k$NJ%\f :x dã-FWe_2 n]*|/߰V0~TG[($xVv}XSs:Ec瞒| ׯ$տa(?*G7k*:aͻ`]zp cEz4X^E2pR!lM9xP?axXi=$ H2wa>X+l*7AUQXR!ȨJWS%cCǂCm_i%` ƞ*"d&iXF:`.+"| by<qe>8)={aHq} k-p;v.O?W9(pѩ,*kbYӯSZe>wPDϧ,U"Yo)Fm@o5R:-ȵshkCKկ[&mf扖-Cn{@Ueax9i0Omg__T.7V&`%f @m5Uߡbb'] p9'N`08BxU](qc*n"kh+d"Rz+xB7iL> >31ʯP& -/˂`us,̻0ji2X%r奟 _L3ʆ}`k%v>Z7QR!-+iuM ٪^*iI$66;%շБ >%ʆXЂ8^K M?>!Q&tS3kJtӱ;i_}WP5{)oԾxFι|楳\Zo,t1xȹXCΘcy$ON!ZiSZVp65 ^Ot- @OP45x#> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31852 19835 0 R >> >> /Type /Page >> endobj 19830 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19831 0 obj [19830 0 R 19832 0 R 19836 0 R] endobj 19832 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 402.825 137.278 413.825] /Subtype /Link /Type /Annot >> endobj 19833 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19834 0 obj << /Length 19 >> stream q /Iabc31852 Do Q endstream endobj 19835 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31853 20830 0 R /Gabc31854 20835 0 R >> /Font << /Fabc31855 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19837 0 obj << /Filter /FlateDecode /Length 4361 >> stream xn$ί9@ [|G@ANA 6nUՋfVYI>ZVwG^K1bP9hg}WRM[TbuJ\jX>u}K] :.?_($88 3߿EfJ d._VN)0 LYHYe qny1˳1O OpqqJF͟u~0Ʉj2SL>>(@`4#p~RΉ;diV}T8Htv'yOOuApyH Z7c ! '<˿G ==)k,S9;]3q6%~n 39g7烱. gcJgy?9jC{)Sߧ3ghm,!molrD+pmM>Fg?\I#ΈLei$_VKf[myLvvl]{'7ݲ,FGs۸iyTQ;~Ǚ3;ƍw蔧#_7űⰩ8[SU ua @*k&$\ܯf՝SNE;}Tԍ࿮xrmi/Pꍒ5nB J _PtF'6z0`ׇ"h#zqbQTVk\14[Py@֡6 F~U#W`vK6}}tB#$CD%=7ԦxYHd>}!=E{qK- hH;I`a &qKIYRX.&QĸZW&-rz'Rgg6rc^.QϴA_%Q?)|k,+V1h'/ߠOZp*!fƨ7DƒF1<+TZk.6@a&j ğ=" bq9WZCժF䄤#45~× 'Ȟ+!Sp\p;B*"TFeT"Hͅڗ C+^Qmo88<@2RV.&Fgi7j-qA*thGlnR'0!ɦX9ZdK’ 굃rMƑ)@-ْB*ҳEGUT54I s'J+I[[[ fPɢV8RgP,zW}cw9EqaZĤPriM"%]CybbDqfM,RN;kݫu62k-u@Q)uP"R zGnUq)yBϝLӮEKX{uIkgyrP\98USRT۔EWpۀ>^-Pm2tPOn].r=겠VZVOV`vXyXTumҵ\H _Ln@W+qeaܩ|w\n%v*UK"U+:kU'5auR:P'թ uRoQ'tIHNjW~DTNNǨ'T(ro($Cl d d6 d3sJ+rbpEH>EP)+&x)kZQb-;I["!!|U(ӝq'Ϸ_9Y' $<_@.Frh#T]MQ9uѥ`*ONsM38?}:3P(n꡿w0]9L X,DͿ, :GjPr!#GIp)BXgHA:JGl舀R/yv# auJf-6$]O p€*9$8;]F"T,-$e~ IN/ [&!`@=,T.eꅀ{y)ARrRP*"R#!Ej߾H1Кy Eb!J8uZ-JX UsCΎ~ Iˁ2i1-t +MQlӓ슂.> "&b`Ltvh ~1"j+B(7{hx4؃xSi HkCJDhH I7بe2 ȅz Òw{GKrwaұ#97b"̹ 2V֮PU?X`.qA O&/]GۉC .Ž8IIugƺǦ b)nj{]Ɋ]ѝH:ri(e!#ڑbijy swjn˙ptʻ\p 7g.jX`hRrA[*pBq*& pԅ,~W(@Pdޞ7l9d晜XưN'15UA^Ӓ1P`;y`*`_~?;*Gke;F{XQ.ۨ y`RӊVkq!VbZb4RjEzӌ ]j n/]cL}\~w==do`A\p s ˊ{Tf T}-x7,lb+\^8+V6M2ߺ47#?L/y%۶EPo"N Wat$=֐rR&6!/K!2 J^@lkgo9KɵƐn۹}7C!DjKP}{-RsX#h$Mkd3ede%}%Z #,1'+[R)xhO5/AX @[wu-aw{( :y!xj3 Ik9MSgi#N^AI ?×T!%Rvnex :*TRRkJǴw+"2URìPN*$+Hs+:X.SqM,hY\x|jSemdW߱)4ٮSტ1[/|l#{1gyL =e3t!ؼ^t$喕F^r7eÏ|zg1;r]NWnwYX[mVbO; q#`XtwV۱RFB9 Xs-Anxd 4~B\|?mWE_XE{v endstream endobj 19838 0 obj << /Annots 19840 0 R /BleedBox [0 0 612 792] /Contents [19852 0 R 19848 0 R 19849 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31871 19850 0 R >> >> /Type /Page >> endobj 19839 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19840 0 obj [19839 0 R 19841 0 R 19842 0 R 19843 0 R 19844 0 R 19845 0 R 19846 0 R 19847 0 R 19851 0 R] endobj 19841 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 504.1384 208.8492 515.1384] /Subtype /Link /Type /Annot >> endobj 19842 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 487.9384 195.1267 498.9384] /Subtype /Link /Type /Annot >> endobj 19843 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 471.7384 198.9272 482.7384] /Subtype /Link /Type /Annot >> endobj 19844 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 455.5384 214.8662 466.5384] /Subtype /Link /Type /Annot >> endobj 19845 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 439.3384 195.0937 450.3384] /Subtype /Link /Type /Annot >> endobj 19846 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 423.1384 199.9007 434.1384] /Subtype /Link /Type /Annot >> endobj 19847 0 obj << /A << /D (unique_359) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 406.9384 203.8277 417.9384] /Subtype /Link /Type /Annot >> endobj 19848 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19849 0 obj << /Length 19 >> stream q /Iabc31871 Do Q endstream endobj 19850 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31872 20830 0 R /Gabc31873 20835 0 R >> /Font << /Fabc31874 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEu櫶`ѼΡDHI]@ U8Ρ\j|=B/}7 endstream endobj 19851 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1844) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19852 0 obj << /Filter /FlateDecode /Length 2212 >> stream xYn#7+x ZV&0CIƗ~jc-ˎ[5Jxڷ``G;(1g`K'cqi>i1w Pal8Wy0TkLTDjK0mad* 4gkq撃 B^1mÉ@J9vcɢ8R~{dEpwė+"sL`eA+Y)n.|OmԝF~IkH$ ;D<їo`=f)מ* [cdzc -~.vчWw[h>wüKs 0[j?@h|SKbM6i{];[vϡoxs%2nRߠF|ڡ\ؾ39f[|Y܁DPR!l9xMX kv|4q{'/0n<ڞvbor $ `qIRSIXggSm#j;W{ Ž+y'*))&z*.p܉c=@ BMcilS* {R2Aޟn'f<[\i4xG!+J?lEH .Yoz ^[Yh2Sː)sleHaH1XooD#]GM}TlM\f0JTmm^ !U &|ݼLb߽ 1ΏFQá]Lߐa9mc 6ȕjgʹ*b,7iufnLFx: ԂdrAG V.O/ꕌwȇpȠiWҊH9$zͳ)/"Wϑ7#okyWhzQzܩ!M3DF qLp옹ɦv !ތnS[To mcC'3a:˰1mfdz\/O("w#( rڐKGMn-E m hג߹ڀސ KIG4cMS]avSAYvm3HGEGW[d<^ll4=,Um-U KU^"n%-yJ7MexY^Lj4tidE +6K2O(ȿ^hDH 3 mfYՎ"\E%W_# b)ۮPK22b]9̩o=0!Q9̥1r=kd(Cr"w! h?Gs bLW)mRLJ `k>EIBDȜw0Pfyx[}dv̤w2ZB(^᠂ ʊZPfʉG ւK&9UU(TQsjlfd.E~cOHDjL +ڽ͡x2Ɲ)O40tQca3 endstream endobj 19853 0 obj << /Annots 19855 0 R /BleedBox [0 0 612 792] /Contents [19861 0 R 19857 0 R 19858 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31890 19859 0 R >> >> /Type /Page >> endobj 19854 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19855 0 obj [19854 0 R 19856 0 R 19860 0 R] endobj 19856 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 378.425 124.1605 389.425] /Subtype /Link /Type /Annot >> endobj 19857 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19858 0 obj << /Length 19 >> stream q /Iabc31890 Do Q endstream endobj 19859 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31891 20830 0 R /Gabc31892 20835 0 R >> /Font << /Fabc31893 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJ^Ā0dD/P|}{Ԭ9*{braXt(~S@F QD3Wuœ}"juZG)zr8knT-=%CO4yrCodE#2yk|Z ip3S~3ȚCC 0yX endstream endobj 19860 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1845) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19861 0 obj << /Filter /FlateDecode /Length 4590 >> stream x}eIɌpa94<'840hS<5ЀV89*e3"|wS"://V<'fgxŦA ') $yfXz 3>Ezg+ru zqdEJ9,mhH9OO4VfЊ!E2o6KMj޽rzVԹ˲E  Y/cBzQOyoY}%RE慡]œ\ 9F `: <49`}jOD͜&,8鷿L_ш@suG _—%v _&;@Y_NO<A@_Wy?["IJ>G~AgJhTCpĢ|c v67/BuqO!^%#1> p]F9KО.zƾRyYd˗ZG/lC@IH]F!$9$#ZF> >,G8@R@n030L>< .48NYIbF~K"a6>bZ 5 %+kځ CmPcC3L&P 2h;9<{=C< ҃m!޺Enɳ[j׊bdwKѕZqT׼0&r Z\T Z <]*6\ıيcBQ.EcIόN@x"ˉY:ǮFφt<-nRQm#K;nb'2aa2a咝7 1Szьn]dΌJT:`2;fշL(^:B_{;Oju8cye[Lm7t5%)0I'iruCO}rڍ>96-ڡ1!gU6^v&2q"VxW'6o eg|O &hqI2QK[0ۡ%_߳"v?ےB/O0_'qi jhԤO7f[ x V+e]8]|2v'@(| ^߈ =EeŷYF *o}F #QCD!GfA/PP5P;tƣ Pau Cb&&Q`aiAC *ePeƓ>[Ƣz'7ąڗ c+^:TГ48PW)UKI(Yڍk+PE.=(,`|[$C r̀F[όE$Pm䫭lAJOnY旦)VsA{Lieɡ7At~և+۾o,n{ 'w {@ՐL}s s)y)s9麌;,X"s`l@J 8obtYa4{g{뛍k/}@RҲ`FnUy)B@ihzPk7X>0ո?YnZ-P ܡɁIsMv..U0[--~-|e[ -[ϮN /b \ByXTumҵȏov%l< ;wR"`ܞ;;yN\ɯɯI?PO45^P=CA S9ZCyw8TPJ8k ŵCU-R{vC6atCu(;jCuH$BbY:} k hoCOxt?Oz}Ɲ:Wڸ7PȏGB$x;S|c~Ci'SOV܇y?_ebN"gm*/`2k} G\ڍ YsTJҳ0)9+TK HT;b=T{`c ?5EiŞFEv $X.lK̊}R)N%(압;%nyΛċaah3 ^NJN>wCz܅RwqqibR7&i=1}vqK7UZJoE蕪"??b`t 5aT'[`q4^aXk/,Ocr!4>GDj&gɘD7k9]'`"9QڎSQ:Vƽ,z>V䒛|#zR蒥c!YfIb^Ad[ZSaH0Cv+94[ڲHc2D Ǎŋ: T#NßrA\5+$n՞fJ\r)9&Æ"D]g Z?o :qp L}u'Yf2hrhwb2l'w ɬm3(S^Avi>frhЏ/5 S&WNDW#ׁn)0C,)wf>U uaN[G}1K3~ =A]30srteW'n<q\=*}%sx8?$pQ(]Z9-[bLoBӒ?M4 }{>8-'!_? UBwu{Tm%OYC+UYo+\v/.{#RͮŒxZcS Wїaє0SG_q8(].;~9iH y5~>N [ -rwEhO- ,sdҕ9~DvBE,# )xh#`=FN!щ?`3Oh_aą}JM} ?ʂZ@9 RܤYw}Ֆn{j 湕xWW9_v`P8fAtH9? CF}Qc5J\tdʵ焧fZFbmpaͩ&]_zB< |R=3hB Ơ@rOl\S.Y '7k=$a ;Du5+#4qcI Gku1vbM㗤Ǎoa|QkC*sMe5J~0_\IԔ_f'&!$OvPWKZBGGï3w4t3ӗ,JwEȖZgK-0I.ja#j 8һxK72Z !?u(~H%qi&[1BJG7һy!FTWjb%e +Z%ZQUb^b YQ\xzjCemihSo]eoE C ]]/|ly{1WuLVK%"OMV%eT[zC-:>~nxͯRBRmrIzЖKBcfzҎڞ#D8#`vW :9Crv|<_7˭Gc "tDTE5xް^b ]y6thy l[ݽ!cݔz^.q#ĝBwq}as(((=S(Srx7Ye`Ao  endstream endobj 19862 0 obj << /Annots 19864 0 R /BleedBox [0 0 612 792] /Contents [19870 0 R 19866 0 R 19867 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31909 19868 0 R >> >> /Type /Page >> endobj 19863 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19864 0 obj [19863 0 R 19865 0 R 19869 0 R] endobj 19865 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 297.3462 161.9837 308.3462] /Subtype /Link /Type /Annot >> endobj 19866 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19867 0 obj << /Length 19 >> stream q /Iabc31909 Do Q endstream endobj 19868 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31910 20830 0 R /Gabc31911 20835 0 R >> /Font << /Fabc31912 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo \ endstream endobj 19869 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1846) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19870 0 obj << /Filter /FlateDecode /Length 3155 >> stream xڭɎ^_QԾd re~?oՋ36bU޾wm/t{fu X|dYXb,%e71.IGOp_2p W:_5`:S<`jV_/Ɯ1xc7»;_aN? cz"VƝ4MLm]YH椝|RMhjdL( PqK thh8"f+6.-/qI͉ tL[9մ\,Z=DvnDA4džGa/D( EbA(iXbM6 J%2@h4N"(;1CIg x'pHLm W̒l XOUu6Mi =g%QDl 1p rXϪC bhJ 6NxB Hkk& Qt6h:RliJiƜTeCfM,up[ߕBi+¾7ELУdԚbY4gG(pYrk+iW8psA=Nm"LM6Ӎ3rLUdߨ}O7Ż,6oiyiD+d6(8-46\Qe WqX|nv0%iB(Yk#b19r'VL>^ӼUR!_ Ճ9bM%7[= Phvi[ml!L3!9bFIl'[[*oy`&536U}"U?b2ﻍ5\zIZOx:dsv#.bɮjo*+Q%r9ip[dӅ-T<<5`؄$æ&K274n mWB2[I};LΛ8Լywatg U+t9iMNun~Qv3ރ&f9vjy8nC}SqÝǶ y2WsHH69, w5~@zMLKf@[av/Nb39K'<%*RuWbAx"GZٛjCr798t(,D .a/|rʸS⸩$BjB"%txۆ nr6y*2̈́1^nڣ1w;s\O0avJ^sdWGfzfu,5w55vmzU|Sa8U CK)Ϛ)%}qo Gw sM3'u?{g]ss|aFW`1Jc"ӳAl/5<~}uei|/tP8)J́C,xX8ۯ?kC E_@ 8iEZ?Ni2~$~̢HR`9qEk .HdMZ6釸[`Tih*ԺMȦ~ VJ ذ&>֕*I(d$&1-?r@fقvCڅϦjF>lEC8L4!(Cƅ'tD@=KO^%ӱR]}bAH]zn~yqLo2v4vЊ@%'6z fc=ݘWȫ-'Yf]u@=4 a87m?PqtM8v<}ZL3N4!a2Kq o(K&8 pZKH@;%d5ݷ]VHm  \ X<H2 ҔgjRxRIY]?2=^x2q3ڣLeaFxRRZ0?TTgh5uK[ gI@dIWT/+I h*+9gW_U @7 ,Ȋ[j=+2By Oz x8h<4Wی h0renыӰ-S%ٙ_S1Tl=ӶN ǹ5,a_OXcƲz xی8f VN q d) &f9΃.7!G/Me@r+Ob n sޥ!d#<NJy5h?bU$௾^ۚR^ zOZ Z""'Wd[iZNe}P\H:sM鳴-oo"e2.j~gcụ̉t*fPdf20ba)dܓ|?0ϯ{>11,'6 ? >"a][n4xOc;_@鑍Sk< Ûp6I校P endstream endobj 19871 0 obj << /Annots 19873 0 R /BleedBox [0 0 612 792] /Contents [19879 0 R 19875 0 R 19876 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31928 19877 0 R >> >> /Type /Page >> endobj 19872 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19873 0 obj [19872 0 R 19874 0 R 19878 0 R] endobj 19874 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 345.125 118.9685 356.125] /Subtype /Link /Type /Annot >> endobj 19875 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19876 0 obj << /Length 19 >> stream q /Iabc31928 Do Q endstream endobj 19877 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31929 20830 0 R /Gabc31930 20835 0 R >> /Font << /Fabc31931 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkE|բxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 19878 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1847) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19879 0 obj << /Filter /FlateDecode /Length 3251 >> stream x[Ko8W<@y RIWs{X)3݋EjKK/ەWmI-ɏU6sK^~:B:=[$UՏ_>L9YƘxw],wrͷPؘc;moùq Nrwӟ7&+WCfJ d.?>+owvO1~:.S6-:xј uGOmNܪFi9ЇGtf tbV'nExQXyPFᚓLGPRY* ![FeJy?%Ne2 \NK/)v̮SضIKE~) |iFӲ0~ӏ1*cX+LE-h~JL>DM}ٽ1!V a):;5 J62p2 X~*O~ `k>^'fd49̥oV\UcMSto+2H,)%rJS*9I3q@SEfi±Q+j؄&YhϪ f:bU2k"qBTvh^Ub37zt%M;B0X78jbf f}B]\kzX v Ln yvp;(Ȯ obK\J]ӹ(_-c֊3bʅOX8ʗes~eyq>Wi]wLMGR=khty઻J-$ X rÔj)PMd |ff54znfGJ'68{4TqFIl;E N#I3GAi)F JRK GgM09zK –#g(m1Z{3NA( >HiE#U_#RU빕b=OteLa@]9 PhGҮ/ `⦑-)Nz ,øP,-l[{q f'5eէDQ#M-g%)KYZ9 Sb%L'OLe%F42m>32/>w:heXu]iMs#c`ݚȼ#B}ifp1乼!:| wME&jĨp\&=LZ ƐJB2CaVs장椢dޖ̲te`qUn[J*XE{c쟋K5~', | R!rPpU NHعZUPҺjakIggœ#,L3eܝ7X%rORnbx:§\ ߸VB5;:ӷ+?%ؙ|(u$x\d]?TSk%TwZ.5r^sQye _^*iL'xAbPk<2ꘚw$,NhSx#/}پAxp P e8 endstream endobj 19880 0 obj << /Annots 19882 0 R /BleedBox [0 0 612 792] /Contents [19888 0 R 19884 0 R 19885 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31947 19886 0 R >> >> /Type /Page >> endobj 19881 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19882 0 obj [19881 0 R 19883 0 R 19887 0 R] endobj 19883 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 289.525 118.9685 300.525] /Subtype /Link /Type /Annot >> endobj 19884 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19885 0 obj << /Length 19 >> stream q /Iabc31947 Do Q endstream endobj 19886 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31948 20830 0 R /Gabc31949 20835 0 R >> /Font << /Fabc31950 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nhЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ D,o)l,1aSaE]r6Y2pN\0cDA |նOs(R]@ p%4Cݛ@ endstream endobj 19887 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1848) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19888 0 obj << /Filter /FlateDecode /Length 4381 >> stream x<ˎ丑w~R["PhjncO=/U t_/$ew5=YT0ObHVw˜ bd߷g痠՟aC`L|O],w [>){]_RB0v`C~Ҹp@'_u4X?_R^L[?ٜ/?g7+k;ɧxP<&f1ˣ13&5 8r'e7XRm`.x/K~< v7 "Ӆj&gg]{'<fmrRzN4!  ?"CFDpS%] تӬOw ] 2P2%),0 K_NR<`/0'|xOd hy ZfZ9M Ph $B0L>.Lepe >7:-H?$sUB.OĖ۬34M<ش/^D )Q:@d{*$THꅥ2 {ܧurM1C3 ZՖ֗2Ez_4m: 8x?Oo:4NeDžP2JrTpu 혴!V#Ce/RNsK<ڒ>y;8[kgyOfУBr甊) 5>pHHO C}h~֠ -T)a#/ ʼnG.(.\x}.CW3^g_WK[wW c&f_I;zOKT\,7 /,Ys5k{M~涉`a_6Lƨ+Ff F_Q'ĸX,0r~Rq=J #I}~XaGŕ"AE_ &WQ 8`E(A]B3Pzb ðN)7Y) "M1v:}Ǫo Ʋ>L0)A߾yZu;ir9/J **>'IE3iAD&S|/RdϖT֢kڨ}eiWD.X''R{.8ϬDL)`N9 UiE ,ufuna(dEw1J~&lJ2)AC_U208MgU]DXMQԋmԇjeFBQp"#朶^;KYrxR{O^n]LER&@-Yr\9ϵ6ߟYJ옿N, \+:٘'E,1;ul^N\8\$q^%: AN $܂Ҧa-^X "YY1^muƣ)ɕ_10yB$ țI!L>cf^Ŧ |r4 ]ĶnfRu9z?nEX$*c\$Cjш-*(8tA̰W aRZ 2WE^+z75oMF 3boLeJFd![Nw@\d~TsJJy9´pRq#走3am|<c_ʅ [<}a|l"Q 6v'>`̙O[`N=/#a:͸&X|g>F;w0ȂZH;w)]G+my۾ORS#WkwϭLGu\U\GrO}!{)ӏwQ2vET4yu;R >8i'E~;O|^kC*>b&Zr> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31966 19893 0 R >> >> /Type /Page >> endobj 19890 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19891 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19892 0 obj << /Length 19 >> stream q /Iabc31966 Do Q endstream endobj 19893 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31967 20830 0 R /Gabc31968 20835 0 R >> /Font << /Fabc31969 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7YVthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMXN endstream endobj 19894 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1849) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19895 0 obj << /Filter /FlateDecode /Length 4176 >> stream xɎ,/`9 A0/ſRRU/~A?tJEwE~l/_zM/-I%U}Uߗ4/YRvJzOJԫS(u>ogS)|O^itO#y}Sϯ0x`h+9ˤ 'cv/ye-^=/F,2|Gx <XМ&oe] "L~^Eh xzH"WJG#b% sEقbJG 6mqE_ZM{4B ZM``]i݃Zq|:f=?/:>9^DF{^ӚA6 s9`T%&fe/mBqUQ^C3,%iL[w_'l9 ni8AK^T_,½2ׯK4?%_3qQ >A`ʰ*p50eA?蜤7iGvT `> xzS=u-4_ $k%XCEv U/ Dj_W;x z}S$5=5*~ᶌ B[VeK,4yhx:5{ŦPks,-G3`~sS/$Gb@{evH0` EwRvGUœMMvؿ"Clu;vK2^@O[z}Nhr`މNh<*^YZZjZU(N bi\˿#UV7`J0v]f\4ɉƴI | JJ4zYh(b{-jP ޕq=Hl9G!N*"."<ؔ$D @Osy8fxLªT_|dv D03>6U p /.,])jlf@aXOheߚb.]ؒTl`f\ZK:PlJ2cu!~8)Әn].a1p5MQ sa/zc3=}݂m}GQТ4lLxjVfD%Snjuzr|P3gα0.xM:F~<(jcQHtK[jpn>bal-QWd> 89'2 D!*]*$pT),Zbp+uwXǪBwN9t_Q8SbU]hR6&O"s0{t1"; NNC;C $M$:UGuPyҔ#{55C7<&H!ZR<_Kk|{O3Yj#w$ w[`m:yUgSacZq1da]).@t W7t4; .6P-Jv΁[RlEoD-(CԨ2 QJNAe^e\VF1+zO@1CatmmgvFϰ# xݟ8 @14VP7 24p쨍 ݒ q[eMЮCaE`a0ΛZE-ne`=Y kzf|!Qeqm \AU fEr)c"c JTXZ淉Xwϕ"!z],۳u׮:廲ڕlZ9SnVQx'CE~}LuV7AAŞ1sZ߬q{*YZ%k ; Bch!CɦӶڂjDHI@t}fPX>(mPb.<;^ ppSo|tSaw-w+xU$NM&>xK9ekkN94Zc ڳٵ>l}=w`1ոi=˽{t_NI~#.ۊO`:jMI!lɰUvz{r#^OVj <0wpe0s/D e[j{G=bo| #СLBL2Xf].1YN4R|Zꔒk0_NwR#nQG$l)jn0JU=B Ӻ*9TmymR^62ts1s>Iyn\lEcչԷ -]m==aTv#ܭ=-<[qѧ:츶[e+|;:\9RzԮ:l9kZVA~٢D);Ոu@ng?tWuXiWm~?k@"Ns:ɹ\\B|?-o~T4X5XZW{MaۺMa>֪NcjTIHS[zςEQӝ&TxWW`Pw R~1ŞDЮdn E-Q<g@,GC0HH}@qն1$qFv渙ࠇb/t ~±xC/uOclN1 E+~ދQL&_r.~k .o.`ÌsFIJx<;*r]y7U`)=ȂgF[>v0ƇX tp*Q.nMd9Z$zCA`'Z2@A&< h6xWS;;+ƎRG@˿Ah1+<8k5lu,GB"up>Y 6y5#FhƼ@j w'~]@cѐ`X4j/ !d#àx|i`hQ@{<Tut2br7#bF~yyU^r>byi< Y!`ކ" m6bktb Av1ɪ vP AMgtMTXbO"i&Fg[B8teƳI@FH.8Q pؽ 7#үv 8_q%XJHOJY݄>ÃR _'x3PV[|Aq|uJ~5O_CcBGZ)1k.kY^<,;JX'#m@KP!>1w AL5% >xD2LW.epQ=]>,BO96Sy⑍nh!vϨ0?݇.V.8/QǬ R/F8E[gRLE4 Cc|8 >ھ1qe褒vdv&eoQ&{auvb8htcE=/_)"s@c@S62FZ|XG.> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc31985 19906 0 R >> >> /Type /Page >> endobj 19897 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19898 0 obj [19897 0 R 19899 0 R 19900 0 R 19901 0 R 19902 0 R 19903 0 R 19907 0 R] endobj 19899 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 187.8502 686.7] /Subtype /Link /Type /Annot >> endobj 19900 0 obj << /A << /D (unique_399) /S /GoTo >> /Border [0 0 0] /Contents (current_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 192.8002 670.5] /Subtype /Link /Type /Annot >> endobj 19901 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 182.1687 654.3] /Subtype /Link /Type /Annot >> endobj 19902 0 obj << /A << /D (unique_428) /S /GoTo >> /Border [0 0 0] /Contents (regenerate_bd_layout) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 208.5632 638.1] /Subtype /Link /Type /Annot >> endobj 19903 0 obj << /A << /D (unique_191) /S /GoTo >> /Border [0 0 0] /Contents (write_schematic) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 182.7352 621.9] /Subtype /Link /Type /Annot >> endobj 19904 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19905 0 obj << /Length 19 >> stream q /Iabc31985 Do Q endstream endobj 19906 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc31986 20830 0 R /Gabc31987 20835 0 R >> /Font << /Fabc31988 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3j#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ^ endstream endobj 19907 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1850) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19908 0 obj << /Filter /FlateDecode /Length 1316 >> stream xڭXKO$7W !F!ʉ]6Ha؅U@Mzۅ~N[]V׏ϺNQW*KoQ;OOciڠ55lmt|o0>Z@N `7uf0ErUڒv[&a.,\Eƅ0=P]ߩ?p`8qR+'y}Ƨ*d;Bg}&$@k "L@OK9u#p=cG4oW8kvf6Ňqjeݲv;Ւ4~I~U/:RZfm lK&e'cc$Z'obAamoٖQĉ:USY;o ѓr2!| 3 : ]zcaʻ[g yuI^ye'Qgʹw'.u̘3hrpSϸ:㥡3?ba1PMr6p_3?^j Ś8M2 zfwV[%g5'̯-•q! OQQ!yrD3(ƃ귚)`mUP wVC3HB l-Oez.RZ8kUV?*U͕z[28q-X;N¿X^NT4 K9^}Pu .7VJReD`1p^c"aCg="Fphk}7"41uV_Yekh(e)Rz}bW58K.?p1U2Eno,r~/5ҁ)*MC;n*W W7T*8F8'8- V gqLGX )c)psuԔqF\/Xi9pi><6xaI8^Gd Fis> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32004 19913 0 R >> >> /Type /Page >> endobj 19910 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19911 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19912 0 obj << /Length 19 >> stream q /Iabc32004 Do Q endstream endobj 19913 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32005 20830 0 R /Gabc32006 20835 0 R >> /Font << /Fabc32007 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19915 0 obj << /Filter /FlateDecode /Length 5126 >> stream x]Ko$9Wy[@v60)`=Lba/Pu鿿DIBa== 1$E(ţ$sŸ۔ijrvZdǿ׋/5v3}}a:iG.٧B urE~ee_ m_8%gi _~'?_%̤ZK7]9H!R.R-'fΩm=8B<^hBힱOf0/I5ѵ}J/Q d^|׳WF⨾kR_s~7]u4E#1B Pu^Xġit yN᏷d`Ra¶ӏMe,8V\<e"_ ag-:~|IH\|qrm^506`\Jg5/Cن| {I;y.52"{ѭ )ʈh( Vhe~^.d<W,2՗4fC(M%]J/xMBq 0K (dpeF:&'`-oκ٨Vt0>Ҋ#({/=TQ8 SCP}e7j5:C&S`J"wXF) PĦ$FG Cv-f#C?G}@0cȏ` F⹫0XA ɲR ͐ ϡt:ޭ-PCQy8rKv )?so]f35*T|5>f809\suf$ҫZ(ͱ8=w Xtx]*aš(3POb(fA1, X ڱ 8Wϩ BTN 9VTa:/*4HOհ})Uy/m>.2fNM^X:zӈ ȈGDa8:謻n^6TF]EȧnQK[mL-xko['xKޚ>-19j~[ke{oBSFRQYŗ8;$qk[ZLO㦸e ɨTL9>TrCr<ƭE$FaQE[mFY+%L * 3-{kޱLUޑ;P7S+n})H1*n\dK-cg[yfgU5ѢE/nPK]8a;@GѠsHj.id<: !:uĊ=rMGdN}YePe=+Z\ ,*MNdYf4DF 6ɮ7cO 88( fO\J X.k裉1ұƊf;5NG-9zzd/]D& Dq_I|a sv%-d+8)mֱDʏ@&ќXe訐/FK`|p?ٗKRhygii?3 xmt>8=U, |t Лr ї4{M7,'Ye'g@ǞpT4X2P2Fe4LpFġL5UݢbH'ena]2L}nCllw2](,sSFgoBe1Ü/ԞS]l2m%.1{b{%WJeB;dr:́E_= Iүy(bt!Ae ?FQ]P|#󋌛GdŠ'5*fƻvL%jSfUI(G&mQ8@]a+Ab$TN =EWDgPNDzܤ%6kRcJrmlXk*껨у;H=[TdHSVjk&xlbcwƶ%",>k!^kJB/dҮX gKjCGU7jpxfECnO.s'= Hf7pf(*14eֽ^\9HQnR\JIa9'r6^@rqDJZ9ςMh>* M&trlrd+,BQ1@QA@7 ( ^El7|7dSF~!D;U=Etp2S7A%"á铉TUu1a,Ťl8 6m@c|+#ksaQhuf'-aIn80̲+g%2QnM_k7]+ g'ANͼXc|LSd8]u0uQqm$n<-~NMl3$ނhępN8KG2!/j8158!pfB'Q3c3plHw‰ e€xN?DȳԨ^1>&&_`$^ppUʃp T>Nbgv^'Ac8|(fϐxQInW9MIơA#V%fb 3€i4M&FzRo$&(if0 W=@c—SЉZ3"r0b/ CjПhs%ց%bNʳXbI)@9So/`Uص{>QNx&B+ %PSU}dlFPb?\2QxaxJ qаI9ĮI~,0X&J;i8:/Y3W ^ģ{I"祁ssQ yK'U &lR} LLLDM3iD< &ƄڤfOFO'޵yOSe&/Fa9_*"wbc8q V!Cs̾ G{w<>Kx߶1UO˾=O/iKZP:^/d H]ϰׁ 3s7{F+o鏷 ?@E%%**k `uwI "WYٻɖ\L#W7Q7S־KuU ,hScV  y#E"G endstream endobj 19916 0 obj << /Annots 19918 0 R /BleedBox [0 0 612 792] /Contents [19924 0 R 19920 0 R 19921 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32023 19922 0 R >> >> /Type /Page >> endobj 19917 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063059-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19918 0 obj [19917 0 R 19919 0 R 19923 0 R] endobj 19919 0 obj << /A << /D (unique_77_Connect_42_ipintegrator_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPIntegrator) /M (D:20211013063105-08'00') /Rect [90 430.325 147.728 441.325] /Subtype /Link /Type /Annot >> endobj 19920 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19921 0 obj << /Length 19 >> stream q /Iabc32023 Do Q endstream endobj 19922 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32024 20830 0 R /Gabc32025 20835 0 R >> /Font << /Fabc32026 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f5ykEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 19923 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1852) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19924 0 obj << /Filter /FlateDecode /Length 5758 >> stream x][:n~ׯsj[@v!lva~H(YuH0ScK)JGRk&3iscyf ~ f %\3Y)9fݕgf+8ʌavX=[keϪUhfc&rj8=Q5#~9u9jo~vC ^#$uo~/Fe2f6Ikg^=O?~^>N|Re[bj+-p_j ~+[gqjyS)=m ڄi!NbY?/z _>Fy:G_}zvwݮԗfߓ3;f,Ϋvp7D`)t\-#ߨ3yxIy<?7I*D`+!?ִ3% &,18F /u}G 3ş/ K$h $R`EQL.;x5+ Z&[,#UP-: NInY7ɃYփg~eJLf 0]RKϥL? ;͂TΞ\kbҫJ]>Խ}>(uQ5=]>jG|с>JQc>J飦|GM(uRN>jzG;þG|壦}GM|`^5)XwTg¾kR CJ}>{`𢡄R CKS}cHυ}! !nxtj\i7~ԇX{ Hf[D60X.y $}$o* VxE bhN[s߬*ǗSP}pG%!˅+e Н?4ݞ=a¼D}R7о-}Wqđ;oni^Fcir~Vv stxVܞ3e(?oL`uq^@D < -3 Z2'+B1{@C֦7~W{cjo0KivLW|&-\74>Ǻ2Ϩ?+cmڲW_k"'He,?-ܱNoW{.rNqц & lWfi^.zFQlΦ9k8 4-叼yxX9rS8NơP7!O-K!gkT:}>{^[V\}5ԁ |H%9:ZrAzd܅+8h?ʀywj|;_"bi{FbkZ36DH5k, m/\(Mt460DblԗJi?p;ҏȆ w'֟;XyKn[u*\cF'bFËц+C6;MR=Dv{F}g0zmԁf[L-,:p퓒ALؘd`]|Ē66f<+w9q/5:. bձtAp.Jx <; 3J~0A M/JM/>Oc΂8[$m,ᴷ'X.D+`ue #ͫ J:v&ޭ$ ű#:/ܼ v6rtCF7Id,v6/v☗Yj3L'۳fb[lE ׺ߤPt6;e D/Bn_fT-]M ]/[j  ;2}&MqI~^qj7!^bO; AeJ#0\MI:8eVq]0HCE8^8m _; d%9*a ;a$5Le;AMS4@R7Ƙ;'͐zB=PS١ ΫG>Kҹ`ă@Ư1h!)"K֡ҷm{8ҧOIKۦꁸb&ɃZ.&-:/a5Lz2 Š W&E`ŵւBSs򡝵pl١$Q/ e9o S%qwIۼ ˣaF8n˃d\k-RW4 8U'e ͉a\+Y̓aW].[z֒(NzZQoȄ/4X[#ӝc v|s+㊫{O 6X[cq ޞ]|c(. Q9>~ç˄<&')$__^L.9N]we{.n 7!9c8]a -#AG%)^;WuK݀W9<·5 ~]iF:`poKɁnTIUa16/ŇhJR)+VZ5F=lnuϸ.KZ=}tF((Ce1{&tӲ]S58\9\oW='O]]-i-{i(ѴfkqNFcݜ9̴j@1߶uaMF'C@EqxiZRwOR4/ńR@DvkR!Ο+(,F {.iDr^}$rPuMJN86F Αy(cU'o|QM6-p1Gxyp6!p6ɚ&L7gMøb^3]/ YJvMt3kz"b[yg5WJ"U~%ILOf#1Gd_-idNs*5TQr^B%?+`W\dԞ&K{T)rwsږNO,8]+k(%R,UY_)֤*d|NŖEn8\PIe+A2 1ۥ͜堨`Xx?u]|~i'224w{Dzm.Gq86 j>X& #/ bvxl|2^9YϾ8wǴ||Yz3?8%5wԄ} q"]ׁ7Ƙ.,Nj }|ã_Cdߎ::>qփ=YgpQH/.gݕ"*t*b9|\xsu.',W #i" endstream endobj 19925 0 obj << /Annots [19926 0 R 19930 0 R] /BleedBox [0 0 612 792] /Contents [19931 0 R 19927 0 R 19928 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32042 19929 0 R >> >> /Type /Page >> endobj 19926 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19927 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19928 0 obj << /Length 19 >> stream q /Iabc32042 Do Q endstream endobj 19929 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32043 20830 0 R /Gabc32044 20835 0 R >> /Font << /Fabc32045 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ9 endstream endobj 19930 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1853) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19931 0 obj << /Filter /FlateDecode /Length 5422 >> stream xo\[H5qo/Н,kZXX2~$x">Rjgi/~+FoxaKk]PėGUp'yg~'\S'q2_3>_7|[:vSx'sq}x rUSx\p5g\Y-b[RPLF\m0#}t{\x: hK4no{"m9;ޟ =p 69FvfJҌ: KdEAb NP쾾oc}DFbȂպP[8#|Dv'U %zG1f@,HyI]2|;rT3/b mR.q'SQW2$ja˜~BV5@NqCΫ]M#ɲLw8ozX~[zK*`1+Ȝ[  r?JAyƧ%8/_"tt\'T?t:>_gG?-{:#m5N1=FMfΊKb_CQiw4Hf"(xx<*03-|,})[ DS^NE>@.^-_4h !F9b񽥂x*HzPvkA0Iҥo[wOH7Mo%8#1U<_P ]%40 Q,$(7}-|?όxzlλˁNb ,H$F -Y3l W ;4ޤUhaЫMqEIV1VopOt8&e0)F/96%ztE_,8ٍ$ae L" T V*lhh7.dOu|YCxG0UaNFdYlYLkY/lCtTD`…IzyE˔{ NtCSəӑ|+6Yϸe5ҟ!Ya1CS㕯=pO8m=Md>7wOltxxblal{c2r7ur2orv&{biiJP}5'(K459ͨOcի"^Yזku.c|]n{SYI2׼F^2\(`XVu2͟ H݄]qlWw]Z%j9k;NP-V[))x>0USYfQ\ZxX ƽS*8psC:#K;-7pj&qz9S[m<֖*Mf# l#dGIkt^mk %8.XW86'NaYlD A oW,vM"}2gBuQ {Tڨtƌ#h QԿqp&b M4(xMdj}~P~Z3i!6 a`k^l 'X_kJ; cp1p}/䗎#/BX_}J^ Bv7Z}emI Gip n/__cXZ25&,d8LASdfM>x M`+<}Hm-3! q MDȡ@`n&gB /N, ݑ5a4H(4 @g4ǘzX\ b#b6^N%:Ws"Nuwxo?Z  /hO)> 8pd>JX h0qCGqXԉbllcJolAtv֌C?Tcxp(kMS#̆N@J8ċgq#~[~LG๟Iƃ8r:~E.U3h=ReyNYsĚxBY>fA*0b{CB[o$=T?ǐ+, DdR@%!'GaJR?Rjm[bb*y4иk8Әc">*&#<$@T8l҃NrFǕ*.xpX~bU}N,*f7`NO!xb͐ģTB;(E|0!Nm |}CpVCvQSVxhO8$r Cg^:Fz_Q"} 6@x`7]մ.ny0?wJ_ؔQ^ _E%n2ΊW`ﵭuj-;gWF-Έ^vw1Q[fK//1wXM0Cfd~i:OmoH SHi^ 9yySO'A)`~ 5$7W>iϝvM[7C7vzlENʏK\u?NwPkF'~Q7-ҸPd5%^ќ+fwrܕLt17ZF&_X6ɗ-8ս9se Ϣ^־p>9[?ڽ3ߺkU^wkڛsˮeSWLdu6|u>Z 9!(y~[멿6gIڂեЩH?YO(QzXWRmbP _$Kk ṅx ;GuYl;F%^DqEv T_n G.1yl Kׅ05ǯ}6ca~z фJf}ؗ":P.3ؕv{ζ։h¯?#(0_t( ~K?P#q_k`n OT>iS}iY&xC h ǃo֝2ﭯ/OJ__ Ńח+|$m& !ùG;G0QAQz2<* —A> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32061 19940 0 R >> >> /Type /Page >> endobj 19933 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19934 0 obj [19933 0 R 19935 0 R 19936 0 R 19937 0 R 19941 0 R] endobj 19935 0 obj << /A << /D (unique_390) /S /GoTo >> /Border [0 0 0] /Contents (create_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 132.2347 187.8502 143.2347] /Subtype /Link /Type /Annot >> endobj 19936 0 obj << /A << /D (unique_427) /S /GoTo >> /Border [0 0 0] /Contents (open_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 116.0348 182.1687 127.0348] /Subtype /Link /Type /Annot >> endobj 19937 0 obj << /A << /D (unique_431) /S /GoTo >> /Border [0 0 0] /Contents (save_bd_design) /M (D:20211013063105-08'00') /Rect [104.1732 99.8348 179.3802 110.8348] /Subtype /Link /Type /Annot >> endobj 19938 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19939 0 obj << /Length 19 >> stream q /Iabc32061 Do Q endstream endobj 19940 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32062 20830 0 R /Gabc32063 20835 0 R >> /Font << /Fabc32064 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19942 0 obj << /Filter /FlateDecode /Length 3790 >> stream xˎ#_~3@ni'fx/ԋVK5x"*MM_X}F.Mky~fԧ_\W2z :O_Ĭ54;_,O%EO88Ʒ 28/:'e L0/O;xԥj/YayZ>e)AD@ ;pp@{҇Ipm |.ϰ"xꇶK68<->x$S*Bw8S"?=-3!bFVo,OYqCI z0~ %Xk=\#HDwqĝBDp4@1"o@b^>1ё9(D^D\ Xi?cDXARqDSI(Wr9Ƥ.xܗQpA܁yuk)B5X(-0uDŽ,0!z8LFzʠNAS}f/#jYY#a°[8-;#&(-#^Ih\WeOф9 <1s)lRL /~3nMaWυݢl6krك}f'eէc6pq,Fr x~CM>}"Jp4; - /ʉ! 6Qk4M6cC k B2;e/o6o[Ӟ >A V/kږ0D*lىy! ONl/_Mm?1S @V]\cʲe/v05TJɦxkq.>qu&M* Gxg1B_Q^UUdR^C&'|lfУ?RFn&v -жsk'VVY]zZ7H\1;FRIT_|Y3JO~WbXc`$1nQ/R8 ncvo4z^T.pԓA$[F,H} 6cG0^/ pqb !k?~R`*6erD 0MkU ֭)^ԭڌɺL Ѝy=e&|E[C-nyP)x1/|w (˻ tHSL'ۊH`G.lI2Ml8Y{ieٯVicib߮sWMBݶ*xI߽ŀQq'YwANgnGWѥuy5~8xT_8kιY*ZieUݍx}1fGnLQ ~XbpEZ[t w5jE4e{ՓwJn߭*Œ.\y-%,mZn5(AbjBEXdpb` rщ\Yc DuCqi"{E~1Ey),XP5˜|/P;LC1?[vw,_ < dnmH)`ӽ[ъX;Wg6hE鈡 Ns}^߫Tۖ;l/ Y#d Oc;aaMtc2Yj4!022~Q~`m5Po 9]Pb:M) n7i0&5aG˖x (=о:S &,*0},Z ^ 7 p`Lt$ӭWxYC y]zS`j}}: 㘯o˼ Aԯ.b>,e"5Y&'pHI@#)%!-}!с{]deҒ{[zO_GJ@UvU#QH9 ԏ={̒I]FIݮz#v]eH~~F2Mb@CY >C3<XUa릦 䁀XYB'o3Ldeq? ;9W_iYA/jH% 6|7yKb-3^sHg]]$-x (1>sLD9KqN,v>GcOƥ)FҐ5@3n&WY~y%_.X,~I"EYmA3'0TAUZ Ѳ@rt͍s{,vxs m6A [vjxX^LzĒen *?"O> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32080 19949 0 R >> >> /Type /Page >> endobj 19944 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19945 0 obj [19944 0 R 19946 0 R 19950 0 R] endobj 19946 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 246.025 118.9685 257.025] /Subtype /Link /Type /Annot >> endobj 19947 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19948 0 obj << /Length 19 >> stream q /Iabc32080 Do Q endstream endobj 19949 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32081 20830 0 R /Gabc32082 20835 0 R >> /Font << /Fabc32083 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ethǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ? l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM L endstream endobj 19950 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1855) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19951 0 obj << /Filter /FlateDecode /Length 4770 >> stream x]K丑Wl |STcnçgF`)QRf |L FǷ.NxA<$I"7vp%_Wq^o_|g ݓTv $~ P;8 +??oGV"?\L.HLBKdpgW,afeop Po i}9\1{Jg޴B̎'^8/EsCE@Ch5L) Od Y,[:p=?oNV/z׎K!'1m/WT*oS!DHk)df޾_~I_TW^g%$jWګKW5{~?`ÃfȇbEu%@jVY^1k*'3bHǙtz'C oF92Tۧrܵ2,d^i2Y_ uX<@{d27uaՠo !+r5uA/o/Tx?*xaٷ~~ LX^ Q 9`eZCk[36 J`34Gl,?W5 1h9_VQ5'g ok-'{Hl=MT~{} ;HHsv T0Ƃm&ip M4E2=0y9Lr^pRt,YU KISJ]rQo#M͝ 3(*t cEɵjjX8IViꇤ&k H}=Ӛsz]R@4J΋K+l( {e}޸WѺQ,Mأ džumm2Z+SӺΖ֮}e&88GM헱Do׌_3S_aD ;;ػ2o̓npc2L.>q횼v{+۵񡶙AG$c)=ƻ}jQJ.mha4° 3;ܴ]~ >>tgG4 zq!)Eu{=v; ?=]bUx4$L..Nޱq+ڤ2iZhR700e381MX\!ڦcSxFoy׵L!t#Cg);ơ~ZTƔ+cySld3ptۭ4n(d=zGYo>oeoI0Q>^ԘiZéIѾ ӜKY*] {g^:ϭFG2dǯFj#Qf# dk0so?nXf蕖R&rR=j|0~PI7~n܌vw#E˹'Gd~^7k1'`,x@S1IrR+,¨ւ5S孵rB1%kT0ƙI:MO _,{k\r542] I(Zۈ՚p{֤uX-n^ڗj,ܸ“m05Y\}fA2üC%j#S]d*14E\9HvI$6)!O ^OS8V"JŖR< 6!̴7VhbEfycRKHQA@M$"p0*d弧ηF!t]D`ղW\w8YY:'4_tPDPjC'n]U\};U Ա\B.`pB5۰P ,g 5EFv5jm"wkRf+cqShbHR#.Z7+ N,)8wb'9ZI%8mI-ᤖpbWvo?N 8U|bqpbC'N'cA8f88QpbNt('> vP@]9O<}'>uPWO,f~RMbof~xH5!.)viFH5{ Fkvnو*o#؞#b;7v݈ *#j?ؑ=b6ۈ*vl#y[vv2Sh@%n˙շz*&݂h=FA"^َJ<30L;;m،zذjdϐxa҂*)8%Qi"٢!T{&^ٰ*^1[6<2ydā#{߲Lj5 HXA$ +IH!l=>0aO:hHb|L/DUi/JT9P+CVH/D~ܪ|׫1N&7reRǸѠNN)[4EՏ˝&*ʤY{'cS?zAbؾsf ixQqс(:\T1*^DeU_j]Y*2kRANV6!jJY3@qIo9ʫ;U(UP9:Gd1@'SXE+ 'KOgҒѢ)ǥ..^F]!d* KVlp2 J}͌]K_Gfd# 9p,(~2ZBW7ڂsjwR@7oނe} e\sis`팂nʈSrLjlJr4L4dr,$ب [2FVCow:Muls }4^8{Mci4E]tGK{%t}s@γ M[J\IJjQBx)"evfp[Ua3^`'cDbnoެy.ߚΚ7)J.o 'ew)`/:38Iy,Tu򸙮&I % <}k 8{![}OYcwNm3ӧcZr[y'<[ orFvvQ$-*6y➲cˆ)}n',;,W5><%utv0?Oz[$sFq ;c+%;yWțjIo"VDv hT0;;䤠"w;rw^hCXJpi= Riv06!߂AJmlZJ>F 7:[[n78|*6^bjZX9p "9:f%S&.}z)>؅)݂ pUt"<)DISz4ZQ)IqJbpQRI օVs-,&f-c{T}"lyZaaPxI)TKPA1-KswD-8]< Ʋ&U%+RJL.mJdƠAtiUci`Cvc~m?|#*'J> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32099 19956 0 R >> >> /Type /Page >> endobj 19953 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19954 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19955 0 obj << /Length 19 >> stream q /Iabc32099 Do Q endstream endobj 19956 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32100 20830 0 R /Gabc32101 20835 0 R >> /Font << /Fabc32102 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶA endstream endobj 19957 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1856) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19958 0 obj << /Filter /FlateDecode /Length 5474 >> stream x<ɮ#w~E (}Iea>GУu3<]}gPN!J Tyr03~VPSo5| ^i~yaxϨ7DJw Qq1˰1ʧ5BE@+NDt1-<6.C.TvUƇ˪ҶkJ&%`D䕯(J~A2s[$yߧ=x:㖃re{{wo#FQ1h%abUq hR q.S&:As!S~xS?23XoW, Gw:DҸHq{ឬ{z,Kv]ZZSp3}@*a(wZmzuu^hLXR,>aRtz$s* ;XQ83S 1AڤU6ǥL$W^ pnu*J52Q4][\H&{F rǢb&ȸO\Xd phU]Ezoc񨨘gQ <*XpX WީލTquicž.̌Sk8Xih5'9l߁gryh,XruW&p^ UEi֛H|a3τd_+ M{Rg=(VWA(Lƨ1ʨ@Tpg_52hR8 I4E\Q VfQF=oU[{Ai{= hHW4_'FTkB!u{j25V«ٹJ"uE0ZɏL1)rZs Y A)Ǚݥgˣ-΀LuxbZw|X[Y5yxG@ZU'?¿n6=#mqeaD9-ۄsR|&éƴ (j%^%Dξko};;Lmʞ5#-X v=c0i^ /`Bcv>؆^~WBfǼǧ2F'}ߡG/;r+ E| ^9*?^~i\1'-3j@wWVs`!}s(6xl0]6v>xȸsh^VV9?]&un r֠6O:cˮ3ZA5{^[ uד'WSm?GN$jiXdϢng*H9J6rDZҷ{{S3<߷]Wx^dsSa?[AjegwP#!A)!fnݐB@Wh\Q 8Ff7x9؛~<̮)ڴFZ&jUwU|{:໏TSj%+}X*c9uHL OEې"6ʏ PEP'ԙ{Jk1T1S 1x6F]v@bq{"tGK+^WnD򾘋]1(lrBINx2ioG{)~?ȴN 1Tm.s&9f6hϩ#WF7&hV{I/qXMSؖd Cȍ 'jEVM~璟\K5DGdVQٗ H&jZB}r-)-a9] G+GU乑ˀxbXZ7خc.v83/Ps# LӅCulES߉3_q|l9 ʸ;.WB!1;.Rt| Z`~W{ʺrA t<k.΅n>J k.Ig'Ải]p x茉4l.raoWO({ZU%h_ӝ/σc(R|ks}.{32Y AwKtCQcRfW\}ӃCcق RisǤo-q5֕ҥM߱F ' +P53@$5ui!= ә$RW9](`Q(]k{ q b6[v%mP#erޅw8 dz|ڭ1Y,^Xy_<6dgMv5;NyN sKJ0lyGǮx#nW76^ƫ,hV~0=k.ъ"̥8OJ^:ow['MLtL|8|dCl(ѕD@;d _X2mcX3$m\ʃiKZ@zGޕ^FK!Wya@oˍT[RԔ5h rփV /E C܂SPpRP۠9^iE!a<&5ցch}K5r\2Q O5aAE?%t 7`|lb8% ೕ0܆ŧY=xip z(9`54:` 3J_{Ɂ?7D<2k<a*̾*/05^kx&.P*nWxrB/<Ǥ0Xڭإuv0[̗1ȋW ϣdKu6&,ϣ{(ôS4h i >JMC\~a+|&?sBM>;H,nALgQh609J;ۅp5Ux~2 @@SYyPQL#K?̀S-isv օaܑ6{΍-q=M#aBW0īזTtmḱGJ_Unkʻ_O'C!WN`SJ(#6D+.]_m.Xwjp&~6xJn{<8Ww8d49T~|#'/TP3vP)LOT#]}DIa$a/eB&wnk=’<eDn֞2k{Fӭu:]aVu*;P:óoqN&H)΍uhhKemIe&x{~Q2ͣ~tF\JF>Esr*$B(WqBz(m8OBb\[_v028LTI< _py L:?{`nO k |y=Wq[9-f@GBJ@=k^Ѽ\.e8@ vO5G)!W7~*#"M9g:\Zv mvߥ !6ywW,&^'~(j9G {g`z$#Ԙ䎙es?sƪ9( j endstream endobj 19959 0 obj << /Annots [19960 0 R 19964 0 R] /BleedBox [0 0 612 792] /Contents [19965 0 R 19961 0 R 19962 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32118 19963 0 R >> >> /Type /Page >> endobj 19960 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19961 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19962 0 obj << /Length 19 >> stream q /Iabc32118 Do Q endstream endobj 19963 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32119 20830 0 R /Gabc32120 20835 0 R >> /Font << /Fabc32121 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 19964 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1857) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19965 0 obj << /Filter /FlateDecode /Length 5718 >> stream xvuY r z;=nOIU(Hۦ7vlnNomIc/m7i}7A*Jzon~Pڽ>n{TA)Z)7 ecN=ßIie7Ga"6Wn4D FNol ߷o?¡"F,?K@8Yc|ggCSI!Q7ŷ-Jo[,\J;hxSy#5¾CzL&0$pzXesŸnNy4z.|@APHC0{#U{M5 P)݉^ՐڽTN8p?lg7@(UF0X*\D^NMD\{)E {mXzJoyɬ&h L8?{Byhފ8HiYey0)aϮ9:c_,_/ : S8Q.2wR?VOwH,.:"#S=.#ʲÌ!T`ČBP~aN % vTP(aP܇BZ$Hi ZԄء9%Cqq58#L!o3>.~Bx1fx)e!gƀ(.I6rh{|ԙczHHl9H@ zMDs(4~ѥUpT{sSoӌ~l#f1X$jp҇Q5x[a0B#!'n`EZb4 hBۣ"6(*G5ǘ9r@hq߇? ΈO3'SϐMQ XA KI Aw x% uiw5G8>CVj h2.AsY,;(R^LB^E| 6!a9XO8EuO:5[ Ɋr ZidЧq8x/)OeD0I&'.Cūl$!1g-Y )[kF)F6l#H *,8Ŕ6eG8;L Y|\؇ӄgo=\S6 !>q{z~EM&5*qvo#ghjBx fa^p'G ѣP˺ lV?ʃ",O;ГrRoΔ1h>d,-t`tCc N-9%cfF3bхW~8:#p!`G(Έ`ƌca̰0%%"ڏv)”%\B 1xs8\F"MSFLCq(1ʅj6Ӑ:M;ݠ%8L0lamGOl⨻wJvI+2p=ʹ TH q>*/MD<4L nHv* 0<;v୹50Lσ? UE0,hL1٦hꜷ@;=3r(xcPf`k[GzHŵt$}U;dUuzͰsS{p;1mιժ]!c5heyEAfU_y?X<2@YqFY6og8Nn2so5}{p֩5ЄXԙζù$ g ^ݬ3t DG.:Ҭ&=}jRe u=%o\>ɓ %t•+OmHUpXZ %Σ&Sn$UWY_5VR==R?6Y䫪~qAl*zk,+Xsg@ x8\$ Gq&f)' V {5wd>2sK&ը˪ yP ;F1m:Iw){6fWXء/ݒʯTUKQspGw0Zaܬp@w;3|ODme>9UGCW,*K-5:ahtmsõ:JQNږџ{Nj5dh۬4&KX1֭c&xftV{FG 7@o`~`Ï3g“L5E[$4 Nw8>yλ[HxʺX~<bB5 vsjvCH9i\l= q߈Un1!{Aug5?').TS<'߃sfW=)q=NQYp`Dl xCs/BUs) 1At>/8F?SО^D?io=3?ƑN O"u^WI9.[1Ax9q;ں8v\/qgTO-[10 KXvwd>f/gP~#F<%3~IaS⚴=7p>Xe )ݸŸowmMLd5aI7NgGw}RUGRL30qioh@;2ft.ʊixKmQ &AQ,5;Hs{Y$MI۾*i݃Ƿs u;;N+9vr.)ⷍ6z諩0ƜdGmjJ '[ҹ ӷC1RQ9bINb>4|,&FKC5{  p0˻KNfصt8]ts5nGbE~+5.nR2_|^1<<ݑЁrPgYxa]RXɇJ9HJsS]M)b>>w%9a>U*:^K=N K,ߑ]tOyau6xбU-!cG"myz E\y,7J]ra/p-c4\/t8>J*a_Tz;QQ5{<Hɜ&Cy)% K٘(M{_|6w\Z13p^ Y+L Bdc/Y_b*xTo\hVGE*lH*?a\F{V}ޅB'D? m.D1 qfՃt`8:UN龜ShH$z's9C9MP)q Xʭx"*#wˉ\M&jhVz+1BK[UƄ'z~{WB 72>_}X 0}\r XT_q<`QEm $hwMM~.^ہS 2<VD=]W~Q!˕%q `{v 0=hjmur_W{0,o endstream endobj 19966 0 obj << /Annots [19967 0 R 19971 0 R] /BleedBox [0 0 612 792] /Contents [19972 0 R 19968 0 R 19969 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32137 19970 0 R >> >> /Type /Page >> endobj 19967 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19968 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19969 0 obj << /Length 19 >> stream q /Iabc32137 Do Q endstream endobj 19970 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32138 20830 0 R /Gabc32139 20835 0 R >> /Font << /Fabc32140 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=1W^.NTs_R!I?wG?{`E'15V-dQaa9 }' #Y~)ʜf< ǔ@1r& Ej92;0G g{֨] QbѱAnEMdME4 ~UxoBDM>5TϬ:JщWlVbVazֻ^k: -?=&CO4k.$RG"erk|Z-<8יq|mau3ͪf//d"W-j}'dH5aSq ܟBd#S:Q|sLѨ\U$x2X4@wP".*x P@ NЋm߽?  endstream endobj 19971 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1858) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19972 0 obj << /Filter /FlateDecode /Length 4447 >> stream xˊ$_JMCUuׂok|0>ǩa粿oIxfVuv`/CMUdF*$ފE-+$|kjqv /IqZ>ܞ5~,JN'|KjHyuRT֮X7^^5_ù,>V6eacVD>IJg~^hi ҬoϋV< %G'LUJzEH,VߗOVaV(ϋA`Yhj.EkdЃ :s {QP)(Sd^y>QƼ)SoL&7 O~b Z*sG;;|4اƳ .M^j?/Oao팿f'nڸAg!91S Ln AEm-b[[ɢ [O RO[F66ȺiP1`/5-zDpUNBM4?YAh/_gw#m,}=l3G+TdeoRQHJ`@{PLamc[a]yq7nwCqրQhba/XfR{"$Jv1Zki x-(e@n\Qڛv cEt+"g@B>Wr7?= Yh`2Eu}%ZBIρ[mlgҚL:S$R;]w2"C~5рp)H̞ؑ%H.MwkpJr'7=cҷlmTKsIDTBXCDR( ep1["L u7:Sz%mu1-fovzOoR*wJ[MD'i@TYO֜!81 )t6f?w m >zCΞE(ڐE,ˑEkٹYW2iG'Y5\z2>*(Eo?:!ɠ%^l&!'$Ć_{Y7g^4f6 ]] o⯝S>Ċ4%FcD}TV%Q]a? $Ŷ)$Ϧ6ѫ#Zvɑ ,s-W&ٺ/H5P 1#"0.N +,H N|[GНA %G"9ZG(;Y.xy6qc Ȉr֟#a&^ =\@AJE5bѬ<ս-pƅ\f z)X1$ykZ&"{g?w<(GX`P;\8zSh5d ]1WêkXV]q?-g!׶=6bcI|v"'QXݩ %W8 L~JD9w$^7'7U";€s%(ti8׊> `d2nۙ ȣo,wH#"^I?,!xA*Ig [&xb̍v,>6=f\K`|HR5Y#);Rڣ` \ {eN+4bccж:qHAjpxfxy !G#.Q!{5Fͺ՚6&Θ $FA{޷/[+7I`|wZ$^"J >K]Gs8F-JnMX&μEoh8rw@/ueE.UbLX7U.ah"0ʧxMUWs(ϥ|Z+ÊlIH'*֦-׺K(64v'a4D -nr@4 mI6ڣxkltȼV!Cy*m6ർy; UaG w5r[7JȒgao$848UvSɼ,|14wQ֗m-bms][˯U'ѕA(6t.@Eߑ^ }<+=(A#n+ԃ'VI&D=c 9h^ԖI^ul7mVʰw9TH5,#S/O'M/]ck)mXm&1}tݻYkh׍k|=yѝ-xtw(.zC'.AIju_+=l.}W5@q nA;5MBZNa>r0ϩ Gvx!}9%Qz˾mWwkX|mvtsmgNY/  1Z3lӹwoK_+r8p `ܢtB)Ψb q0بfu+nH9_2?Z. J9vH+-uz~ެasYjpNIGe$l ;_si,=i%cVg[p9[oXըՅ 9]}_#@Kd5}8zMj(ѭb>ye{*rum|dI<To ٝw'Ae`r1{dhGR d $AH+j[B_ϢGja\YTfZ|{#az'I#|vO$DI$&tIbx벟@hey}H%iJyt˞۟b ʯ*B; : HAdAq`%lW)Ο:u0!vS]NR^\ACDe_b&ŗEUe (S_ BؘWm^!AWaW}s|9y|@^/@>ϒ!3 ܅r߁`ӺU(= )_0۰PCC\~ Xܴ܆ų> FR g,9bQO}FqQ|]5O: @WBGGb-\'JUs  }7Kożg=Skuw3'F##Gl_d4\yGPAؑ]LĩvGX--H$zC\ nk=’y!&?+eƗl mbt:эfuX|uȄ6uvIM,O}σ/uߘ88'Yd@26ٮ`[D\w>n[O !vdj6ڙV*,#O!fU@10^A6|G6OI^6:I endstream endobj 19973 0 obj << /Annots 19975 0 R /BleedBox [0 0 612 792] /Contents [19985 0 R 19981 0 R 19982 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32156 19983 0 R >> >> /Type /Page >> endobj 19974 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19975 0 obj [19974 0 R 19976 0 R 19977 0 R 19978 0 R 19979 0 R 19980 0 R 19984 0 R] endobj 19976 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 204.6032 686.7] /Subtype /Link /Type /Annot >> endobj 19977 0 obj << /A << /D (unique_547) /S /GoTo >> /Border [0 0 0] /Contents (launch_runs) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 161.9837 670.5] /Subtype /Link /Type /Annot >> endobj 19978 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 205.2137 654.3] /Subtype /Link /Type /Annot >> endobj 19979 0 obj << /A << /D (unique_306) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 203.8277 638.1] /Subtype /Link /Type /Annot >> endobj 19980 0 obj << /A << /D (unique_353) /S /GoTo >> /Border [0 0 0] /Contents (verify_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 192.4097 621.9] /Subtype /Link /Type /Annot >> endobj 19981 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19982 0 obj << /Length 19 >> stream q /Iabc32156 Do Q endstream endobj 19983 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32157 20830 0 R /Gabc32158 20835 0 R >> /Font << /Fabc32159 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ$B endstream endobj 19984 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1859) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19985 0 obj << /Filter /FlateDecode /Length 1332 >> stream xڭXKk$7Whm-x=r9y8]bӖ$}V~.4ӳ!On]%>|' h! 㬸`K;H5 XC)dp= 7 F^6TWb h tGte~6 ]AO Aݚ;͵']0nh] 6f.t!NG\^:I`=CU9xX? ef#+X\cLgkAŜ(~ؿޢ w"`8 3hKpJi>zmŘ;^Jy c;T\@N/9STQX߳0O̹fT3gmiǭkXϕD O(9 x$ēLrʮ]n&A՜e);`4 ֔x*/v#d.m@$Y(EXS/o%s{B]Z& Jf=eXpxf{:^dcfAk2:yX |klg`70f7Tv;Fy3^YM? +vmj]ڮ@m^>v ֹJɉHGu)(ljcUlF nqr*vۼ}7=SVcy>h1_W/7i싐! Qm\(2]GVx6xgT121 "gf93+_Tx3F ކof)bׇ|T' xZZB01jyXk8 HYXOR0̏Rץ>\mLxc$'IOnD:|M̡B2o endstream endobj 19986 0 obj << /Annots 19988 0 R /BleedBox [0 0 612 792] /Contents [19994 0 R 19990 0 R 19991 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32175 19992 0 R >> >> /Type /Page >> endobj 19987 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19988 0 obj [19987 0 R 19989 0 R 19993 0 R] endobj 19989 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 360.325 118.9685 371.325] /Subtype /Link /Type /Annot >> endobj 19990 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19991 0 obj << /Length 19 >> stream q /Iabc32175 Do Q endstream endobj 19992 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32176 20830 0 R /Gabc32177 20835 0 R >> /Font << /Fabc32178 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n&UthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 19994 0 obj << /Filter /FlateDecode /Length 4369 >> stream xڭ\IܸW8)]͓rrd&{DJz|=u?M_ަRgb?RǗs^?A琲^ަ>3x]8պ\GEw'j]"_oӧxCnz 5п?m2:-3<2x3\]~U,4>}fYdGY}Ƹe⢳E`{xu@ qG&,aGs^}$J%}naƪ޽(Cu~a5YϬ]w*~ݔS],iRv^}hi A"w`OΛ c.M]ףÚ7}0Nj5E88] ;}TgϏӭwImA̦I|xa}0`8}q[H} sB;>&UE0q̇z [N.j'w…j7;I+`^osa/yܰX>N`tCGBcua[q\CGq>m,ouWuI tC+9W-~$.&Wc6xv>"쇾ʙ#JءW*H.[uu]oɮ%@a s+̶2u`(Xku( 2\^]#`Ka"2~-]釒n)Gtܼ̅ =iA1DBߕ^N),ut~y-Dm;6͍<$Om\ aHP4[5ŢEg:,z g9<:Ev4#q\Fs=uv`$ק<^ GzY,mkޘ\[2%*ؽLe{I;8 ^k fmm}= 0XV}ek(S,k0w9u<jБ1drüǖPn~P랙c-ѩL5I?cs5[P`Ax"6WX]}6u#ma/~c g8 4r.f. U6훐LC%Ņ&6#mXfM%ݠӭ#s*cDZS Ja:Cr68zmy6irvHQ뜗fzk(afTC)nrhB=OKA՗wcg4`y9I/&2n9m0N0_Μh'mfPl`l^e ҊHGڭ-K2qe5}s|Z3?xWWBqQCpf0r"kaqWch(sѓ+r^屏4Ik'Eܭ&- g&ݷv"6KYXhJs.:* .(,.6C:wbRl 5K ܕGf}M8Ʊ3t$jw+uwO|M-L \fJ$SOY>t+dkzoʗuQCskBGGL[$մXJ)x\3,'Mr fYOP(b[S l0sĩx)JUT;S,Z4՞X?(LKBYhK|Ϣn);727X%/ھ1axi*BT]qV)'`s+X{.& Vl|8^D82\TMTvFBFB{s장fۍ}V|053ؼCa 7n]q/Qo㤱[BEqι|qyĹr;sBwLOSTW34(@T#Xt5EkqU'u?GPvZpO-˱2ԩK|QV9j1 endstream endobj 19995 0 obj << /Annots [19996 0 R 20000 0 R] /BleedBox [0 0 612 792] /Contents [20001 0 R 19997 0 R 19998 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32194 19999 0 R >> >> /Type /Page >> endobj 19996 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 19997 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 19998 0 obj << /Length 19 >> stream q /Iabc32194 Do Q endstream endobj 19999 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32195 20830 0 R /Gabc32196 20835 0 R >> /Font << /Fabc32197 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1F endstream endobj 20000 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1861) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20001 0 obj << /Filter /FlateDecode /Length 2303 >> stream xڵj$G=" ]MCjþ"'yNjF/+fDuewDF6WN|mt8ewuzm~<3_{cl=ha000gA[?ƢYb<ϿNUBm<`2@9fD "> %@y$Ʀt=*{JblYXL!3#lL:!et]b66M`'s'U`R#}o0SLWh-:k҂egB Z`G>`ObeX$Y{"yg)')"#VӳpY o䉅gqrvY>sf~Qx6;~ZJ|ZteƃB’LdOXNp xpnbP/.{}kLBu.ш-2H{Spmvv΅yIvOĚ!bpE*P?ܚM {$G?|}${SY{Nw9r1e"мnL.xO5RĚlR-nv7=ŶDCt~5LNnHԋ;E˦] IIײ\ge:hVbQբ6'%F(8u9˜a~NvvP+8_,xSr@,~P0Ƕlum_&xA-PKًvYâ+C`~CJ ɭFypo>-3:AƎ喕a0bH[-[NMxf d6WS]U988E$r6);2. Qe_tUt;{-=6M6I~ J~y7n9-vYs(KwS~i.ž.쉥W[Q8[Պg79m5nSBwr 2yv-I mαğrZ,L}󴅻X_afsO~ׄnVl?* )7)׳ XXnU( MsK96 Ě=1~ܯsNkDymsrBYVЪ?g U󷊷50/uHӳ -D +W.Z$" $ -",X>\/\>Pq๜IF{\ :%9ÁlD$ЗXPD=3bxI*&Ǫ(Ϙ>p8?OW jv*2I FP Z]pu5W7YK!ϊ@ͫdTWfZ  j7~a6Whˀ~F_g!]Ԅ=x-@Q+Bڎnc<\r|ż2ye&7BJ} (}]>ftyծ"Wj@(3ɕ;M&\mGJ艹vC1nx 1WICLgRf)|C-.9B[b~4ٚ_xksVN¯(Թ5rQT+C`(] 2VMI ͧ ` dfiMN`SeAOЫ@,"Lbش$ x!M?_ B[Y;C"Y-sk\ a-c/}xg ,1QMଃ R|!ΐTXQ\c" kK6>jn7 ]v 1~2SudhcqZKOㆻS}R155nlp"K/ػ@)Z%h;JI-(^Z7vlPKN8:驌Ё:7dYg;}X}cgcsDO޳|ј *0t\]n+u*dɁw4P 1b?Z}d;;Beu93.@}&`yn䃛޳(Ɖ'^ xH#l_Cs4lVIKT}<#ćDu6wA  8(|G5Nq/ oƽֻ=s0J?)8 endstream endobj 20002 0 obj << /Annots 20004 0 R /BleedBox [0 0 612 792] /Contents [20010 0 R 20006 0 R 20007 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32213 20008 0 R >> >> /Type /Page >> endobj 20003 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20004 0 obj [20003 0 R 20005 0 R 20009 0 R] endobj 20005 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 354.325 118.9685 365.325] /Subtype /Link /Type /Annot >> endobj 20006 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20007 0 obj << /Length 19 >> stream q /Iabc32213 Do Q endstream endobj 20008 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32214 20830 0 R /Gabc32215 20835 0 R >> /Font << /Fabc32216 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw73)|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR~#xq-lb@r2dv"`V g5kDN 9!M.%o#T\ #Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72THL_%ee8>϶f5ykEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20010 0 obj << /Filter /FlateDecode /Length 4499 >> stream x\Ko#9W p3ݯ s`R^r&^@GCZM:ǏmXtJp5K>rdÙ8)히l|Lbpn $j$Qs~ױ` |vN fua\Β490q#AmQEǣ8`_pDM ȞF&_\y -Qb/ Bg ,f%`]mI#_#?6 yo;<g JJ l0A-7YCm*{fz4 ^!b={8)G^lfO$d]ڣTH/|Kh7r\ zAh,Ȁi&8&hH0+ CE|.B8RQV/I=[]ߞ}9sil ©QYkYD 8<(c*/Pt-Xbձ(_ bypZ6jq((Nq>; 4'U]bX:]^҆.ؤ6:D(.,T2wyohdBehZ+`A\tf2Yrv=y0pdx8BuVٮF3ahyc/uUg)mX50% BV!b']jÚ bf)f>0 > j"eL0j蒈dtKh g7gΝ5i]k[u}VqAv_ÔyQW=\+&MTX4K"EZrELJhٓFd t`{V@KWcg,qZdD,%+- A˱ҫ!*ne|#8j r|2]zEhTL] 9*`e~i3C|œW٠Al =qbv0vWȠ<_fҏ+{wlTSeASҿٛn7htT`_E=mX(* ?;\+H ,˃8L_x=/Ph2D Qr8,#U*( :u.VLsEyﰘK\)hq2D;C%ջcHj\OBV{ @:!c30h4` LgP:O^yi6TkHj{K KODW,8R6+Kj zjwm3 4DՋŇ;:5kkpܢnLʅx|@[h22C&a v`7Bm:2ҍ.σ+<ُÄijo߶J" *b Yp):}h{!sG%rHjLbm%#cSȕXs5`hNh3ez `/SCSYw\c(Z:HC=?JX [*RtJpPgT Cex7MDquNڝ:;zV'' ߯eOu9V6rTiyєsyVz h QkL9&YR7*2BϱҷEϥr:*e|~u~Ej nITQad'Z +WL34 ;.F,XP(Ki`U\J_\Q)۠yyzQ3(V$bq`rUT岙0l0Bc|LțD|ZVFKYq0+{G7L>k{ڑ7i "C'=3Sa^r$8s@I)YΚБQY$x`NBpC7_'8sIA5^^h9^N\ &fFa]4sLy­S?Qlc>N- C7[-qKDLlUgK_Qͽwt kͼK3F&<"*vH+`%XDS2P3a\p߰V7SԘZTpٞG#b]rEHE|!/n=\s7dIMꡚf5~*gwXgk&VTXVCv֤r +nO4 O9ga83k_?wq\R]p^ה]?2#ꡂ RR07ܼu% >sZlwn+Ve!ƻ%7M X\lf[Bc$82Ӆ^<['1v;Q.4l,MU2ǧi(ֵMm)=s[V y 7_mJӱ{k.>ZlAKRᑪKf62qݐ&cXi0wY4@lia*~ظĭSujgśkk4=cW֌j 7 ".1foRjj\^Tj> +L]}]<]w,;2Q t*&|OE6`-.M#r^mPD*JZҾTTڵu8&~AϹr.o|$>1//0OWw։[$i|;>M@6 x8d_R*< Ejʭytbh݆X Th9_Qurp-H7_$HCWJu.6*̰6D0rx"ί)2TpնOQdFz_,'m{`1|.pW"K4{:-'x?IuL~bߖߎ '8ujxE7-p,eW u?]4w[KP4߉ ?T@:Vo(SH;p7EtZ)cQvF-6oi >f#Cc gAN5$j[$(4|\ߋ-#Lȓ./n13-E-+=w&ꜵfpW(}l؛ ϳ( l 袒v%dC;unK(saً3ro\PT?cB8m5\a,!ɨ"_Gb^6Zhsy7hChΫækCi+_ u!]{Ir3`X4W XJԮ$f̓:)|^x.#|SفIdžwhG&g:GF 2*XUc);z}Nm.}KCi6'cSMM?Īsr0S'pzT#Xոݖ A_4s endstream endobj 20011 0 obj << /Annots 20013 0 R /BleedBox [0 0 612 792] /Contents [20019 0 R 20015 0 R 20016 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32232 20017 0 R >> >> /Type /Page >> endobj 20012 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20013 0 obj [20012 0 R 20014 0 R 20018 0 R] endobj 20014 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 334.8462 179.7817 345.8462] /Subtype /Link /Type /Annot >> endobj 20015 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20016 0 obj << /Length 19 >> stream q /Iabc32232 Do Q endstream endobj 20017 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32233 20830 0 R /Gabc32234 20835 0 R >> /Font << /Fabc32235 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f}#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20019 0 obj << /Filter /FlateDecode /Length 3011 >> stream xڭɊ$_gAc_h&i1݆~o%zF&32#޾goj_^u{fu X|dӳ;>-xmMϯGcS11sMp}2⍩gx9]`)-puCMlKvVڧt?hdy$<3G>p$^HD vpA]xEyrk+"?q`($R{Ob.^চC;7Y:%й)Lq)`hQa*xs%Xlb 7gCZK CH8 4KQb`R1M>iJm$02хF肝kadEn%.UL7aJ9S@6@& φY`hxw N#F ӵc4 7 Opz<5!ZhF{X!vmVNJHGQxt& cEA[`AoZb@M[g{ ñ*?Ǿk?,;Hܪ7\[M.0OmqDDwc+98Dg2K.h2 H,Kw;0IWɣ2LxZ"m}%b폓ZDAV2yfL3Y_M  سo/\ /Cm<0a(P@`'+EfQ*W~ `P#Sj*##E77z*"Y/ }x'ᢳfr9Ԟpz.#IAI͡񾋬Ϛ֙l' NUAr#sh~WDgH uA/8E 2n[.Hto<9NnSF>Y;i#}+6]E@olR,8 -eѰt3 VA\(V E F h Ej1̦Q~Fnk:GV֬uZS~;T/ƪn:zs#,ʏc zQʛ{l Q׿"%:],{,dX/@,eetj@oX _,ؕSrpuÌFƁZ5&vRnzwN!|b" -qg 5~m89遾3=H؜{L!>ƥ$G\eJ6#c.IIݮP`~#1[MPh6Z>?n=uBJ9;ָٍy<ֈ&f7a#C"n#GVE.IS N밉'ۀPo/~ƍ}lXUH3AS&m@Ǔ-7+|޶3vc4|ol U H[*Mh0-CJ'm+<-D7 {KދխSgLF&v]j SRM_<,E VēC@DQD0BL2zkpCX"ʅGs0=yݔ iupnoPMN-.Ygo$KPOF7.Au"pspJg70K y\!yS}q٤[EhzS>Ģ_Wz;ªz᪇ʲS%2_t|t<2h;Y6<,T߼;KOA*&L 8 7`xB輸GB4-fvЊ@%$춺fh}-ÔWī-]f])u]X`G0C\=>T>yɦ:-p=Xa_H~iR``0m8xZs HJE [BfKQ}ò"OQ+W*H)`tD;`A)/T\< 6^x2q\edF8\)YiYZ[fK2{Z7D%-y d 3'_IT 7- Ċ͒[$r=+B}Oz,x/8h<4mNt28rT^ȶ1EViYڊ)`oL Emkv`FV$!8e}eD3f c2zᬔ$('BW>wE&daGM%K3Jl¡wi(;I rmx$_zm`s d+ ^aMܺP5rR{幗*ۍ Vv=Cjkpsyvg?_~И},RZJzExt[F!sĸG9]{"OWT׮rI ̣:Q7;TP#w).->#ʉ'~)tq ӹ:Vc3$ sXͣ={R'>$ P洴5s`g0z#"+*M`AG?~ endstream endobj 20020 0 obj << /Annots 20022 0 R /BleedBox [0 0 612 792] /Contents [20028 0 R 20024 0 R 20025 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32251 20026 0 R >> >> /Type /Page >> endobj 20021 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20022 0 obj [20021 0 R 20023 0 R 20027 0 R] endobj 20023 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 268.025 118.9685 279.025] /Subtype /Link /Type /Annot >> endobj 20024 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20025 0 obj << /Length 19 >> stream q /Iabc32251 Do Q endstream endobj 20026 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32252 20830 0 R /Gabc32253 20835 0 R >> /Font << /Fabc32254 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20028 0 obj << /Filter /FlateDecode /Length 6216 >> stream x]K$7Wy  u ڏ ß>5[_Kq >),YOxR53kUkSʚtha AqZG N,?=G j-ږ̿7qN䩂nlAcؘ`X]4ef?BRUbB\% Xߑa jE_ Kw{ļAU[siM`@pO"ToY?O-͚,C.m Ę$ 8= utY?/v'tB ʺ`P]k=_@/?v.ɮ1}:4mכM^ЌiOXȭl/U'_$@jV^WCAZܵQ$*<( lWYm+Hwd9H3{bw5FV+m<>vGYlSŨm 5?rYLD<*^?:RxgרRc&r发LO.b yzޘh˜9$547z/qyk:@͎ ?}]w8A77yg\ kl .rS>30@onާz:{=@#v<`~P{W Y&R %\4c )KZ7@A YKMuMܚtqa0ONjkئAVJR[ra`1]Z $,L%!dCIan|jź'sѪo<l ))`,TW0j¸{. o}s5orj5E6~pBf|r>'ZvIg'5^z^٭چ;_oӼ=d|7GG9i2++Pn=`EX/yz[c1L!ٰ#M{A&lj4z w[?ßt\=%W݄Ǿ+be.d H_gVmV& %{00Iwuk/}6eAr+4gbIuTAh8GC5,WYz|9,m3Xcdxc&2c5 I'RK~;7\݉:@{Krp40N,L(CA33 EIXrwab9%`먦[ПlS k=T܂_?^\3_NݻL ?n;$3y؄U[wRv78o(OD"}mxKiy<3БD6v>v4q& w Hݠ:^_7+^iy['ū ĬڽFH2Hg4MuG>bO#}0NeMݵ{QvsQaNiqΊ~fOcCabagJh!#P[ z>u&MIO4u}T\QLX)IimLbeЮݚ@csDJm<;6HHt6ګ4]))kc7<u ䷲QD5`WīҔƓ@.T]1 FG\YZprf CCFNNUCp'c  +`@v ٝ&ԠeM$VZJhv`E9{G:k]^W&N=8nd=N dp[8 HoҌߋrvf8I&[8W~i!ЮtNxNGM<S]ف`'q h Yd=pL~UcvbraXu>ڢ':g#(ub~Z;?IO'O=;uv'OjZ}=?g~RW?P\Fj*6o`t9N8Df@mʵB6k< 7,y6@aq N=@? (V P{ě%H@08|Xm1%ɼxjP~LQ?kNE\*užčR%*uf_}Rg%>*/PS:/>Ps:/P}kNezHnfH+vjHeH۶.CJݶwR궭煾O;}( {[@O;vGw>w (}@J||g~<0èF]> `$!>70-5 @|)#unW_;wbCiH˞ü1]:Q!~^DZ-1_.pxKhrN>W_gF!Yj)0]K1?5U-W (=)W(/>wuV*Q dQ~.Seܢ[-RSvSz#ߜjB-cC Sw)L."`X `}敖S&/0I#`wd23d[/52FryR;VSO){PP"59NfE&Vw:`լ?cڦI`<2<{anMۦ*p\|*ݎu= v9m&zPaޡ)|I,B9=a9gT3j&O#āQ";8r]Q48^nv+*z3`MFćUW8|oR8a$kRO|S5j#K/^J' qSqwl od 5ՁTOF3>0P c '@nAKsXo #cfA&cPT7~#/?ʠmx_U,@lØ)lpEVRl{ 0U%t?ٖ,WלU*Ў  SZzxJ8V:lqU_<9k {mdamKBg<΅7zL YxtC=<V+j{ED׬ z)8'zP ` 0'J })ppb·.nDBF&+. q7H0:n zh˛h:L'9-zRC^ J6u 7^ u5erDk nkIZ-#$!! bT @L<<+E]USQa9c4  z*e`Dz@ NVkN)fPoj7,p,^<F ?SwA7ɯ>o!N_УKEYp>"ߣV AŀYa'IJ͵!WpĂ\A[˯T?AX+/KZt-l8UGZƄz 8:<,9c#-JyX :D+85+^LoT⮰qp ZX%Ɇ`i qQ=կe #`tu7LplżҋxUR2&{/b7n~/e (_{) CwL && 1/XG^*g\c0 EV[c瞃e$I7r-75L]9BTjLH>V, H풌+ . Yln̙W^,%k;2|v 9=>n:0"Qڞv FT5Z ӐZF(C㭕=0i`תl62M(6DCabRj P\8!Ve8pmm c%ٍ02FN)U*qk<'ůgTW=s mMJ Tȴ5ok&+]jlr@}NuNQna#%ZQ,wQ9 %>e_c ͉ D X~el_{ F}GW!F 7cM8ƭTt㶃ڣVo^ "= &<@ުk MW-`XE 2 cC”ƶxBoFyTm3gM5"V+%^XY.88W;gGKJ.ME۪!"Gc1Y#Vbn7[+sX% i4NK2޽.#5!85/io7Tb 9W{U$M 9o;rb{:]Og7: rۖ 9[vˤxNZ즜yIL+`"FQGMAD!nk&hGw Sz/r?Y+*ZK!$Ӝa?CdhFߍ,dF:W<3}$z!ܲg6G)P-T+9oӌ9˻-D-3Թ›q8 s oE endstream endobj 20029 0 obj << /Annots [20030 0 R 20034 0 R] /BleedBox [0 0 612 792] /Contents [20035 0 R 20031 0 R 20032 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32270 20033 0 R >> >> /Type /Page >> endobj 20030 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20031 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20032 0 obj << /Length 19 >> stream q /Iabc32270 Do Q endstream endobj 20033 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32271 20830 0 R /Gabc32272 20835 0 R >> /Font << /Fabc32273 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDUZ ip3S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20035 0 obj << /Filter /FlateDecode /Length 6001 >> stream x<Ɏ,qw~E Tz-`n#=G}-3kfU Y˯Y4wTc}f ~4_bЫנ?-><{Сu¿X ">0O _ ri1|pC'`?UR2_β+~;Za&E։d/܇dK>y2>OۜpGO wxg_o%N^g=´/2"XrK^mZWŮ9Lt3JT\sp'ߞ}nčLw&&:R5>)5!Mʲw~G*"sd<&c)8msJdcuCukv§ ǽx~yWk;s:!$mEKݳEFŐ*gXGqa@_wHhPƘp\"'$"6GrB*iWqړ<'UQAS&'~ %Ʋy<uu[Wnr\?aW+Itě FLቢH2W\;¥IJe "P" `ye@1tm9dY]$5ڱ݄.g.kʶ{y&-b% Е;\fpO;(Y޳d8)9[W0D(QasW6LBը](ppg8IeN.5T'7鸤L(xDW>\'½PЖڸrV d)ˤg%zٮ35O=HESUMRm%ӚM ս9'ع\A5>Ȗ mZ9x#T_ì(b؁;He-6l%= RϓZ_?$ѬNxd"`WTkf^obh Z>i uEtB3YyT33]"Q '9Uuw=*g8ptC$ޑ-C~ 7%& 2`w%r yf-P%ً 6dgշw)uۑ=` < =[ɈA`{]-du(?l-D k))Y,"3-mL@V;Ƭ󁝬Ǟ>z(>_o6 /""fw@/Cb Px9nހ卟pp/}:%#eN'хO8CH +kvȻ@u\ =` ϲؐrNUǧ̰dؠE©gG9+ Ot aW$ވR+UCv-8N޵u<;K>U[`4(⸒8ꄄW! 68`De?x _tyG.NY+.yǪW#Y\n,;Dc y:)KYhܓQt[ 9fXFcyw(=|8Ɗ8-c&@jOQ9ԝ 4V`ԤTp_* -( 6uN̏/Q!tps9A+gԎ9%6Lx-6e^mY@%`qpO޲5d5aIRZzC* L|\e[ِ CZ}(3ր+ljԏ ?֋pȸYN*5usZJδ&.9NE~&4Ľ{f8vPW-)c8!,|iGs +W9xױdP9!hhARgy*' gmĻM܍}p0 ?1Zg{.,?Q9CG|.ŬZ\ymGIA!iLJoԑ ZrE.W7>89Umjӝg-?_Ʋ ߵ@P!P-]/ZzV'C?x2QTb#h1#Xj~4\Fp6C*P9>@>KEZɼEf\zا (>=ՙ%Ưzš5oYp6"msj!\OYr$q ek|db{rS(]#Ϋ%~-`hTMܸM=N\q@_a@3Piy^K8N&+K C:e[Zh;>&Z VyAKri,Q1lQ\=CJoK,б^ oBgQREhݮ?3?~y0) i`+k [*,"/"-#@tlb dCjȰa}1Po<'DŽ}w _d*6apNI]AΨ:%Q!N%Vh_Mq|r6%6I(VA)*K75b?)mA>Uzu`lM.q95!reedJysX0 AqAɃ51K2=0]p&0}6\Ӽ9vxusT5?` `vuIua;EZf#G6c vkf1+LJQ5NH#FZ55<X8򀀌1 WM2"yFՒ$;'H֍DEQ\|BAG0~l zz4J֗G ?.JML&dȒ'n{ҷvIW[6dEz]bxtaw»5격?TvSt 8^'M[j ;[9~i{.6s<.fN5]@ I6WPc-(sxʹᷬ WL+"mKj^ڭ:4?. 4fvgZ7MԭlSKbt)47S11i7dTlv8NZK+#_Gl\^ha'%,@8j2ܸyRXEp5͕Ad5BHMB Si9d5*\o3T7/_Pd9~@%"CZ}( .Yм_%a I,l 4y_+G!Jt5WpɽdtfOU}!`|k\XR6EgcO)79 \" ?>[Y?CNx~cr!n^ @zTs#:S7afxol~{=܍nԫf7_`WCc[Cs˴8ČϻBؼ ^r#W-<ˌ]uC5G 9C>maͣdDM/nr(jq("Xk_'8rH`jr1a䝥F%\_vESv}y>Z^`ݻ;so\]9tkf -5j:cMŵ< ]y?1iN٤ޟEWZB]Uzk~\ǒ` #o¿x@ W B`Zbi x]ܞ%OɍdsDVe;@;o70h`HaxsD?qя6tW 9a,Oo%T$M*pyCx P=|Ӆ{hZ.#RrϥJe Xm~x= Lh:WY^ݻW9x endstream endobj 20036 0 obj << /Annots [20037 0 R 20041 0 R] /BleedBox [0 0 612 792] /Contents [20042 0 R 20038 0 R 20039 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32289 20040 0 R >> >> /Type /Page >> endobj 20037 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20038 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20039 0 obj << /Length 19 >> stream q /Iabc32289 Do Q endstream endobj 20040 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32290 20830 0 R /Gabc32291 20835 0 R >> /Font << /Fabc32292 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶR endstream endobj 20041 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1866) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20042 0 obj << /Filter /FlateDecode /Length 5499 >> stream x<Ɏ%7rw~Ex P(^.i /}-o=*e%Ʒ|_ 1Rݒ4V)ouozkkT.ZIۓ!)EW[P*B9*uwvxnY|b$9ˬt0|RJ >jjCV˿^=/FC}~:'3@f6境yü.a6" 0>2Q=sol#? yO/*22AM?lKwy:>񲢯8S:㈀'GU(`{zgAFNx](`Z9hlG K9D'猴)19sС!= \A6ח,&ٙ#t!4 ĀS}AxAe:m Q oL5Dlf:ki\ 0p+ub]*"o"vDf$liD6Dl[K:Q@![C* 0{j($D^y sHl˖mk"V0+ҿ^_7R#tkz5YNGSLkۈh(.%=ϊ>hxXږU, ,,c֮՝XJῊe4?a 0f!g/_\9w[XN]+entT~uzi`vX~6ܐ> bl̼k@Έ5Hrʼnion{@&5 Q" )Өi҅ꉊMy*!]9;ev$dٳY ,ZP5SR{ A7㞗*y_Yy}4*t[1RY"~aytF^:mSwΧ$ǀ:T.U|_hG^#؟? q!%`͏Z~ |P|eCFD1#W4_~Z76ghDL3K:z]B&FijD[0vڗW~ p'ߚQ5͠ȌP٢QE$`2kuF[D0D=t"/Lc^NJ" 7+":(h$jk -Ěh ;&pćur.!&VBlҙrʀo  >byA9Ek7`SS7g\@EWngLZqx=jg!n|yHJd n%(:Vn!qn>CvfX \07n)7OT>Y4ͮJxDSoW&^Tx.G=pEQ2A9w:,gHTAajX kmCNI] *0I/BiJL |+ yV @e/x'jvܞf ;_Ed8L+%8x>:խޱvϧUY;ISR%s3uiVgWup! !ɝhf0I9"oq#6, sQ1yWɠsӰvTڔ+^lVs$Q#6k5 [ c[ teU ϱ?XS/O(2=f`۟ni-C@R:_a`DKpHi!c7A RHx=q  #W1ׂTX 8<ȭ ~KPrB %p kmV8@Z8,k}sPPI 8>57h]7jp_`>P!Ov(/рb^X^Dhuhj'͕1{PC Bj5e:ޫP'/FRcg74J+`ÑZ%^E9+xRHAܿd섡llUDzJmy Z :Q&ܟRjPbk!D1ئ O__ 'lvsN|->O{ƿA+t 7eXt):3jzZ ~Xp®#02B0q7l!fl3-?{@>&iM6HZ83pJD >p3RdvQKO\;N!@0n<8т]+pP;\*dA. ,Z0P[` Z /+){i -a"3#!{L/vv5ҽApk>7rqȢStЁ[[8Xw~G|$H?53~% CL "l2!"$i@ T]l><N~W"r:v(OI}cqn:t}J|sE,$s^i~6^sިlUrB:_X=7 -LLhR|ϓKAsIO o~yuW ϒAG  EϚ6ۍFtOй[;=@vghF>, !9 w%fPNeM 7WEaԓyTz̈́cօ9sa:: o4PdQ:ۊݰ╳ͩb05(O֫1.t'l(CFwhbbF ( XC4g/xg@Fh%Q0fIP׆?T? Lޟ#(tO@h0TOdA&4rgB<{Ѣisy,a?E`;88 G瑜5121ռ5YZ LnMLv1sFs~x+Ml3H n!C{fi => ΎE8/ Cuϲ埸ޑfGV})]HK\@Wv+~xP}}-;8G4w#(C9̺;%㙹IflbΧ ,0BcfܪɺAXImAHq?8H4DnNn̵&, F4DF ;ܬ<Wqqu&d~V`F8s_BX L0ŲF4j*=&`{&P?BTB887Vz(j>8ȇYi@Pʭ0O2(KJ(Y7ɴdڷeKӇ^~8`X +''i6J# CVDF\,*vo`ܓΧERPI3>Y88f/eknVfyIrʘޡe-_f/Uq;MkI-nocݔn fL@V/ה/^_'P (D+f4lDP[(6Ż*; mT?@iBwf *,w 2j W1.F/+ƫx9qS@R־jޫ[:'a|NQ=nk?Ql}\x+vOʥ#NYֵ8\U}~MH_7yY<=-'ᇛ]'6:N%HI]- fgoZƼJƷ, W%Lp.VpBrdHZBbwīhXPƫDi(cFġ*a0O T LŭC ɔlZKǃ(b r7+ ๕`WЂzu8(T!ïfzB'k8ebD%Rn >$Tl1)E ("N`́MPv15ΚܯxEM Qba33&Ҋ9Jf}5$Čhy{95S+;UaH9M5b1LXU@<'i6t_yTGF|G|'%Y$9ESF|ruJ*e_Tf;aQuY5e@Z% fdcAw)KDբ[Mm>q݋ɨy1:dئ2exΏ%{QS΢)P,x.|}+/IH"a\{ jBsZt_FK+VU.ܶe8E cKG&fzyPB]R[IujLtlC Y"Cp7-NU %)y4> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32308 20057 0 R >> >> /Type /Page >> endobj 20044 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063100-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20045 0 obj [20044 0 R 20046 0 R 20047 0 R 20048 0 R 20049 0 R 20050 0 R 20051 0 R 20052 0 R 20053 0 R 20054 0 R 20058 0 R] endobj 20046 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 406.6422 197.7282 417.6422] /Subtype /Link /Type /Annot >> endobj 20047 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 390.4422 195.1267 401.4422] /Subtype /Link /Type /Annot >> endobj 20048 0 obj << /A << /D (unique_260) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 374.2422 197.7557 385.2422] /Subtype /Link /Type /Annot >> endobj 20049 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20211013063105-08'00') /Rect [104.1732 358.0422 192.6352 369.0422] /Subtype /Link /Type /Annot >> endobj 20050 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 341.8422 166.3397 352.8422] /Subtype /Link /Type /Annot >> endobj 20051 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 325.6422 208.0022 336.6422] /Subtype /Link /Type /Annot >> endobj 20052 0 obj << /A << /D (unique_305) /S /GoTo >> /Border [0 0 0] /Contents (readback_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 309.4422 211.3792 320.4422] /Subtype /Link /Type /Annot >> endobj 20053 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 293.2422 165.4707 304.2422] /Subtype /Link /Type /Annot >> endobj 20054 0 obj << /A << /D (unique_179) /S /GoTo >> /Border [0 0 0] /Contents (write_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 277.0421 179.7817 288.0421] /Subtype /Link /Type /Annot >> endobj 20055 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20056 0 obj << /Length 19 >> stream q /Iabc32308 Do Q endstream endobj 20057 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32309 20830 0 R /Gabc32310 20835 0 R >> /Font << /Fabc32311 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMG endstream endobj 20058 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1867) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20059 0 obj << /Filter /FlateDecode /Length 2961 >> stream xˎܸίy~z9{r qzQԚYUwZN[[i^)po'OސA;kMѺ\o sƷs'oJ,{Z뭋g,)>*w@I{ C'Gk +X"v'~ "x[0 @XI'Ua`[xg j+e ;)ٔEzl$Y\xʈp$p(yqBuل@ [uB@ܾVPCi I-@4mt'% h}4)\Γ,H#.9 0,Pҹ& %;C㏉(q6۾o9cWZkVN0gݦ!հ([ 3KJ;ᏎΤ 񹲑_xwIV,є67BM-< : ,?4ӲY;: g_ÌG2<j!QD CRr5W9eD_ t\j_9 xzcM mv~ʥk ']Nh\jqTFnn\5ضBbj ҹP>zpz^'a8;(z)pRM)Rvؼ{rgڅA΋;@Z'HMhr(MI#λTه߸ D!6);dsh޿QWapǖzVŖnv ~83BڴD!:/7;bH"\z-ܛBΎԐլKUrЪo'V _\,غH\M7+>Ȝr@ΐB={' K=\9/_rRn+[DDejGnN; stoH8-!buX!wɗ]k.} "O`ŝI靗PM XsN>ܢx̨#8X`W 6X7 jAa p#n;&΢-84$zV?Я¦V&w.CVZ~^{5Z@1iClʼԁX D$'"'~ud8-ٵG^w yUH{sHr-L,QM&76o `+R$ӮkJ.Ȏ'"< ߙ߲./M{vT9ު;`_d֚Êg%^ʳ_aȦ|h./;9B .cop[Axs1oԟf2+.]w*v* {WKӗ޿~3^Q'na%{r%lpk노aȀoow Ԟ#Ԓ ] jXD[z q>bl&:?rۯSoS,*ǏM`-&6z):\xcZ;y#ZBYy?`pye.|;͡n#_tȒr1>})yd:-~R;)?q_=4 ȑ`Q0@xHN`TNĪHGA~o Pq؇B&3+17Fk:c]POB d9rcb]Ș/ըC%Oޔ<גyr0Y :uƹAoDt7N!7a*[ 8ąuW(fԟg}h]h6'wz]¥pXwa4SG ]7rMQgIlgˈ][gT3=@14P AL[d ԏH<;*V [4IVe~7#;% {09}a:̯<d4mEU_KҪ`v |yH5);N 'TIjYZ;K7U|qN`-q VX=k~x8ϐzB2a3x"dDG/wXX"rfg+Ֆx*;Ѯ^:SL{Q~N>̘3nu蘾 1?c:ca ^LC"^õD!d}qZ2 z g/>QnD4j.accY Z;Ѩ+p )@8G̩!h ;&eLRdm=g5f9RڌN{aFAJJ[z=MUkM?CSլ۳pŞ-Q ю, *zdԀB|CsB0ts@@,XxD@Ab&`UF(PYA^U/ q-o &(u,x,4}D۔pI0qJ/on {v}Li*B87װ,#®2Qce"&bG2lpA=1 Q( F `bX@,5" ̓0Ih,:Z9^J4T0 [&+ɁPr؇G"ߡ3\E=2x>0  [`G|9S{G4 ]aޅ]\^\!/o84,ƮVY T[F?=Gx.BTB'zg<0G|G6OCh( ½ޗi9hP endstream endobj 20060 0 obj << /Annots 20062 0 R /BleedBox [0 0 612 792] /Contents [20068 0 R 20064 0 R 20065 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32327 20066 0 R >> >> /Type /Page >> endobj 20061 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20062 0 obj [20061 0 R 20063 0 R 20067 0 R] endobj 20063 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 261.925 118.9685 272.925] /Subtype /Link /Type /Annot >> endobj 20064 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20065 0 obj << /Length 19 >> stream q /Iabc32327 Do Q endstream endobj 20066 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32328 20830 0 R /Gabc32329 20835 0 R >> /Font << /Fabc32330 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶fUf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM endstream endobj 20067 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1868) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20068 0 obj << /Filter /FlateDecode /Length 5923 >> stream x=n8w~oa2l`n=]{l``/Pux%)әw/Sx1"ӏL𒲝^ަ3Sb?׳޿|Ln6tB K<*;[ap=h(ק{\]kJ`o?CNSоzjo q h,8~~eɌsa8<' l~3ewWg7g}\.ߋO*!׏G  |PKcr)rK[#npO??DfNm~__@SPb#w _zdy' tA 8CNx>6Ȱo铥2?9T#=?iH3؄eA8C;mٶmgcjX+~f㉛> 9Hfte6V{|)q.;7vM6/X ˡ Y499GH"gzz>k7MombAmM$#cL wE4Qmr,j}XV{)vl /weDZsd^;(s.#gfphap aE!x8.;켸|qZF%Se91ĥy1;'|}Tꪸb/,A6Dv.Z={r/o5,Fa1q-TՀ"q ;} X+&?[p+`K r:eݬwPF%&ulXѠ. @}T% `} B_;EMBg(vvc(IzBǶmm3Uj&"{II"j"]r^BSqbh-@!FRi\@ǹlԚZ["t&Dulbe01saxhrE۶ gn2k)V ̾ VE!BkAH.0[eO˭Z˼ZS_$ic*+Ai5UEUi >~$q R[F(DG1ԯ"Jl0?HXٶI EYB3GGgyNQ-z*QsoJYwj s9tmD'| KKz?t2t&#׎F<`IӛIee/Rٽ4BPgTcJv<5]L˹c2}/s|S}_I@J3&_RiR'^5"*cT‹ZȲm6LÕ7q3D~2Ď;łTTgKPijMz#laio@t-L\RwuKU\LZW)OjQk=K-sF*|qDf)u 㣟M Ώ"RXPk 45M̘Y_zK h4-kiB{bUNVzDzn6,f>Xe-/Vl4u\-s1aNR4r2PݜE|`l@JqVۄ"魳^Ui6{ˬofmD6 Q/V+`܀Rƅ^:E @] _cVsh| m̡SCUT}A;moc2 2 t,Y,fa;@Y(]at_(ԣ`+cUSqJWs0 ;3 1ҕ0`I Es2{ddz9]p5'6'6'·{9W8X.=sR9H`N6ή7R.&D/{\Y6x͜zpsڜ*=sRvv̩CgN]!Eќ( b9jx9uH~SmtJI>eQ& V2ۗiPj0/0(ua|A}A)i A&R1s& >dRj5F}ޤf"R!'LJ] >lR9R[>kRjmRWMꮯhmJݓFM7Q4j#RQi1nMԭitknOn)uOuMҨ4JݗFMQ4&YJ}ڨMtg&vJ}znbרԧ&J}|nbߨ&ZG'o05n3m\}>,1=[3 IǘO0FbR6̑Asw!T5j%$FǵQI\}V-H-2&un.oZ#Z@#d$6ns]YKY'0mFcw,ll6֭l UYR?v UݷVv˫cvh{E)<*ȝEEړzt+-m}kE[đ4KSڥnN֌lk([x;`wl -m!Ybm m;6ոo ;t\ޣ3ym鴃\ӣr\EP6ؗ}{>*_v[NWhˠD"(YZw%!Fv\5,L蜟Cxo߀",n-~ rp& n% /:G'\l#F=#Oo.ȼYRmWwnƌggxoF3ʵ,kR%BR Cl3scTE*3P.)ˆaŅQf+ (sp%eNᛈQ\4e=$(p @ExL@̹\0XhT_@bu͜A0¦N8XEPJ[ !H "rL*Dyq}HbEp*yµ C$ e{{ t0]x5&_-l zR@e6HYCT!ҜziJ!@t/4sx?',`hTqH1@J!Cv6DK(CH(ѹ=7k_+Cj4^i-J!"tYPP?UuZ sN0fŧ`[!` ^"'\8+TƉ=b?e53i,k:~Ale|q.D +bKĕ[ m. "f6Z. P~pa\h, tТjaSluD%RZPU(:W2q_!%>}P]30iAE9p`]tnu)!|‚'@'{"(8:BpEv5A60b0 i7)t _dRu>GQ <9+ޥ!U nxC) D} 1!5^gj Gy'P+~Q; db=-+6ޙ4uد_Sy\֏v͡>_{ d9"?eA2Q:c8.#dj9yU&%'ɚ,),j%ԃj)L4_Ş n l VP[_i{Mx>x~NKP$8.Rd1,ʗ&JJ)xtKnUU"¬6f5̒8 C6J":2e ̩uN;S) M_Y|VN k<팣.8@2ˆN@{m\eyݞnvQذVUzڈea-v}֊LߞLܢTzrr.سHg Kln -jBXW!{"Ry$QޗQmim/ Α! O}[HMk+y66&ٙt4T{#[r[cø%W{st^ʺvt;eئMmoB*nϩjI#Oz=up, 3ZgHzq~jug$#)ծCn{ GL7Nh5UrNZ<3 {WYe>̨;L0n9? 0ơԪr bSkL? Ẅ́[#8%v XΗxtҎ;x$ rHfcM8vN5ݞs>:]>2d nwR┣I4s2ۨ~L8Q]P!ʂۏ hlч,ȚDi/ |bL,97qNCSBFG>og\1󌥦+B'V'7]A-,`ĶLA WҫK e*+Y?v BOck H%aqinK)THѭaymՕeX^Z^K.[443q.VIHpA62ڭnWޛAQŐB,ʧ^D_EK~8U70&e@"r"A?`ZΟ0+ :_NY#|!+:6;Ԭz8 =W3 !:;Wg r@sIݣOlKҟz0_;WZZ˞[ӊН=u;1HsЂJi%o endstream endobj 20069 0 obj << /Annots [20070 0 R 20074 0 R] /BleedBox [0 0 612 792] /Contents [20075 0 R 20071 0 R 20072 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32346 20073 0 R >> >> /Type /Page >> endobj 20070 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20071 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20072 0 obj << /Length 19 >> stream q /Iabc32346 Do Q endstream endobj 20073 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32347 20830 0 R /Gabc32348 20835 0 R >> /Font << /Fabc32349 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7KTthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5o)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}* endstream endobj 20074 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1869) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20075 0 obj << /Filter /FlateDecode /Length 4015 >> stream x[Ko#W:|?À%m9,rd7<v.Sݒ%@,U_=ȖH-?WF~&=-I-E./'6,JYՒBV~>)eR?K NQ5|"|³|+ժ,?xR ^<@7[zټ`3v1y,fy[!ګgi4tg;rT 4EDfE%xs#1Sz{0;@xz.V+PԊV3:< H}]]V},9jA:٢]+ҢCV>2L'8Ѹb_x~fQK3/yIN+#sĽr9JQG] g+(A:)Z>VW'쮛F[ěCO Gp C]qc,`8Ym86\0MAa-O$QLGgo5$ZSb=0vq9'o?ncfR{tqҊ&NW'R-w1*3Ydi5ZK*k,mR ^iJɈjgYs5fޮhD|K Xz7q(sǼ k }IV4EZ]\?j95XV<.ֱQ=Y{C``z=% rC;~/;O71ct{`Rɼ a˛PXhTǬn_{>,)|[Qq֮qc\3\F*,(cOE:ۃT) !huZ ~@H} 0 =LܜyipV=]ўMnUCWuk. ; qj-n1CcsO{1D!(,Gicq7"aT%P-R`~G@e'R}]=؋f 8Jpuqu# mg^ qc> b9/JD=wEpHy s:3GOXms=oȼRZ?*5}a6{Vl:@+~n6a}M^H">[_u,IT-좀&N[nֺNYl#:LC-,n6sxO=!i_nku5k%zS)KyP-cr\lBn_ r8lr\Ip*bt*(*} 0w˔443/:-n[1]z8L}(&ʹ5֏Ė/\` 道4g,-:4t]D*բ mI2,-?UY>kВjWJIy \j0x,=(/scmy<=Ck*q Jevݝ!o3VZCA00&? 4m!=qv+F6C1Ycq%c9 Ӯ(U&2XmpJmM}Zv\]}1;'f_ݍ?ڢi'@S^9z/WZgH붘ͫllY16`/6 ѩ;};H4zNy,:[(3ٙ*ɶiʱ1{s%Dg%(`>&Đ%%Y좵.%V+gfz[k_W.%S`NU{#MK.6"Xhʋ ^~T\I\s_7C։ROʹYurD˗ я~NKR >yCr RR:ݒt(NN9Rzs/֍-Ĵ:_ü :[Pڀ\cڞ >*6ڱ\$UNv^Wp] =:x$sKq83~h:if oY' nMOe[ 0׷. (3.wv[Zg z8Y}tᄆAg>+p(C B*8u{{8k41V`MY Y to;MÂǂL9F~,ds%FvJ47k,HⶀxX~SȎ mzİqgjQ󝓽w/ o;P{$ Htb (]c&Q@@% bp>YFr!ZUΘ_"ϲEohJp 7 s.Q*//䛌o7] n@yYi׫!^P*b˹y13/?4)PL]cԻ9ׂ2meqDezE䦉+tbҍߋ"``)0D0x JakIV t֬uel9~}%&3::<>q(xT*klїD`R[|Eu655U?.=ᯉ8CcJr!cGm O:$:ϕ߂D{A$0\|q(HP)XSP`SsAMF⫷rti_TF$DP8^a!H*J5Ul,.Ƭi)-vx}THF7U]LA2㕍R2SxomhSe 2O;,doA }ó/Dэ1V<6~\u7N1 iky {4cRXS+q ]*V_08a׉9Qe|1r?p\s R-mxxC"7| V2|S_oÓ'AoVa9x= j ҂"'A>x*;7̵+URx8U>۴υB.ejh/4>;ԗ,'TDra3Է׉X|G1L.M/5 Ț? endstream endobj 20076 0 obj << /Annots 20078 0 R /BleedBox [0 0 612 792] /Contents [20084 0 R 20080 0 R 20081 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32365 20082 0 R >> >> /Type /Page >> endobj 20077 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20078 0 obj [20077 0 R 20079 0 R 20083 0 R] endobj 20079 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 183.5217 686.7] /Subtype /Link /Type /Annot >> endobj 20080 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20081 0 obj << /Length 19 >> stream q /Iabc32365 Do Q endstream endobj 20082 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32366 20830 0 R /Gabc32367 20835 0 R >> /Font << /Fabc32368 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[VK2 ufg[XLZ{//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo1H endstream endobj 20083 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1870) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20084 0 obj << /Filter /FlateDecode /Length 1189 >> stream xڭWj,7+jhEo x";@!+0HR_ T:Tw5<@y VO,ǿxxq4\Zjp~A8V9*BlXk)pv|g ;d}Y:Gj!=?Mg?/g$Az/ h} _+D[kae1-Y`5Ά%JMx'; ˶rl -!zV+_ ٖU[|^@=qzVpJ!7hDZ"Zn>Z|gyo<"&ͿF]m}uT`- a{LyF/xɡ*dbq^o R:pq6Mx_ѮAŝ(qfQv w#xGD0<\!g~m 6U3x_E5fgaRkS@K,XI<3l@ 9SVQY۳\GΔ*Xʱ`>4[vNrdHh);hLu'㞍?>Pp>- N NC8G3A~z~Bl_))b4 mͷ ;\>j{ϰWIiR!<F`vhNEOaĘ\biXR9tQ endstream endobj 20085 0 obj << /Annots 20087 0 R /BleedBox [0 0 612 792] /Contents [20093 0 R 20089 0 R 20090 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32384 20091 0 R >> >> /Type /Page >> endobj 20086 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20087 0 obj [20086 0 R 20088 0 R 20092 0 R] endobj 20088 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 369.525 118.9685 380.525] /Subtype /Link /Type /Annot >> endobj 20089 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20090 0 obj << /Length 19 >> stream q /Iabc32384 Do Q endstream endobj 20091 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32385 20830 0 R /Gabc32386 20835 0 R >> /Font << /Fabc32387 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7fthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ_EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}7 endstream endobj 20092 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1871) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20093 0 obj << /Filter /FlateDecode /Length 4139 >> stream x\Ko6W){:@n5ENMe֋/Imw}XL)Xjxɋ_^t:=[2_>~Շ)~z3&>3x]xuu;w˽]??=2upYGڍkIfJ d.~QN?gk;,qu\lbΘtk#;s'³^?6 '.c{r("ң\|Wڋ>"b"k 6ݵN(t, L1, dRr@b -L \~[ :-!Z_NYc&ϟgtR҂ h5uSMNh~N?}Cou WA9pkA}Z+:/SX>ﵩ)ߺ£,hRslwR)5dJ`f)eYT/ӆZ`E(eڪu M; i,gm4i`U̜,1_EF$4n}NXBXNk=q=b gb(SCK.\l2@ZkގϻMIJG=-2EaIEyLW8b"J.)wWƑ؇su7i"y>,Y\4߇vKwz7<F&BavA7ʤu9h CḊU4*2I+ŻFnܹ:7}f\0u;xq&YRcu"Yy/နm@Hp/4m!(!O9ڋ-K].(Qd…z13TZk.6-DFWR !& b~P`9WZC!ժsґKQPH4|UX?A\ Ò<wVZH:[JTx3XT.h.w:ƺO}2 =ԫJIQ,|-R}k^< sff)uRl>3"[rTPhy MAfZ_Dܤ%B*޳]GUV} 4H sJ+OgiƷ 3irg/V\TFl^Ȝ"8e )CRRI/{?e`d&[%r\4+6HmztgU f>6k'ʬQc@QE@J~+ R 8u7ЪpNJiW"B]`8mQ㴥&'Y^Wphz mз)Vخ`aW0\``:X˰p,f Zש,U= X)=N +]@nUʸ0PD͊NNStNn 'zcpR#F8鏀?Ne!pR ;'"}.'5d1ppR5b{XFR/=ǂ]Vrp>#szq@k;_#u3~!i| <ծ uYȀ<9`}R__9mU,JAcf=hIB=ʷu4ڷEF)˹ݷB]V 纞{׎e OVi!(ƨynX-OWr˽NViĥʜ s BO9hNk4{dw"XbRzNEO,kЀ3d  v8Z캧꾻\p).o ,h[tdSh;P~dOu~6/ɈykA/Rh/ʿto݋ꕨ~_3ֈ[G6_iBwZKur* )#7T& bi#DA= G/wWQT8*.NoAaS|_UE"K E:+-8S.C-Fk{ 0Z(D1zrBޡ0P6|`>"pgk٧Q ]+(ݒ-]Րmf']Z^y!Fu3 B)f[T.h 5|ٲ\֥݈t$.eM9?7KLɠą0DDG6/Ifȃ>1\ H4$e {u&f[]=F}={b˫CPLjVD:zb]J\s9̫5V]u&ti=i89孖 QM >2=r-)Cmy#}Hm'E\O% o3QLw=!m³:XhJs.:* 0 "70e@9w*Z 0f֨bǂZ+qcI z n>^o;~ԭD*1bfZ2gi_../.VԔoʛQCskJ!# Ht;z/Ƚ"bs}b&=+]r fYOP(b_S l0sĩm)=KUT;S,Z4՞X_( P[< -6yxO:_䳨[΍ wBZSZdzp~,N8Y$Ϭ*`:GJ0ۂSd^/08SЙ> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32403 20100 0 R >> >> /Type /Page >> endobj 20095 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20096 0 obj [20095 0 R 20097 0 R 20101 0 R] endobj 20097 0 obj << /A << /D (unique_166) /S /GoTo >> /Border [0 0 0] /Contents (read_csv) /M (D:20211013063105-08'00') /Rect [104.1732 529.0039 146.0447 540.0039] /Subtype /Link /Type /Annot >> endobj 20098 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20099 0 obj << /Length 19 >> stream q /Iabc32403 Do Q endstream endobj 20100 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32404 20830 0 R /Gabc32405 20835 0 R >> /Font << /Fabc32406 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20102 0 obj << /Filter /FlateDecode /Length 1927 >> stream xڭXɎ7+x6i P(.hcN=#F^jY2FR)3؃_'z˫cVǠ!~Wgb^fcf&׃ss\Q? /5yeoc S{FzأlL %xȄXmyq+wT?iͱZ4M lZ؁_6&Z5v, rm22/- Kw"$Xko'a ,X^!^8/w+B}nOz%ڪFL2UHNx-=& ǒV 6սF݅t)pf-QAN>t>Zi 4FԿ-~D=fe,W;3qV F ̳8R>oH׷UŁ&b"Ũn'7Me-Խ e%.ۢ-: V$ZOБ>SJV%0{+T/ʟ_qY.dDo{}Rpg _+oR!qhiސnky^[c~ۇUE1?Yk/bMkq@30z\*;&lCw2 ݼyƯ?OeywMIkQ0 Y~#QЙzQSbDpn|aGr/1ޥ9'\X'89.&F8w TqGӦUn'Դ'.E xROm.nB>ʄ~;C|AГaSk> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32422 20110 0 R >> >> /Type /Page >> endobj 20104 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20105 0 obj [20104 0 R 20106 0 R 20107 0 R 20111 0 R] endobj 20106 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 329.125 118.9685 340.125] /Subtype /Link /Type /Annot >> endobj 20107 0 obj << /A << /D (unique_77_Connect_42_debug_commands) /S /GoTo >> /Border [0 0 0] /Contents (Debug) /M (D:20211013063105-08'00') /Rect [124.2815 329.125 156.3905 340.125] /Subtype /Link /Type /Annot >> endobj 20108 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20109 0 obj << /Length 19 >> stream q /Iabc32422 Do Q endstream endobj 20110 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32423 20830 0 R /Gabc32424 20835 0 R >> /Font << /Fabc32425 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM P endstream endobj 20111 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1873) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20112 0 obj << /Filter /FlateDecode /Length 4350 >> stream x\n$9+xn @- [CcN9]hHd^njf=Pz.S '417i'Jʯtwq,zy|LN>ʿhnj ?WnT¨kcL}|eTo_ӳVFqOVȠ0[׿?P* θ֔h~V4_HNU.yJ:4Ur+A^]Nt#HH ?*f`nD3%R+0*]P[ը aۺQP8U1(xT pbj:UOKP~GT!TV9Zk#UR9=࿩xYBCQ>yU4OhF[eKv#.ٞ_ѽ O7kIvh;D70H1#Oxm1lAvQ\5tn!RuW,3 >y7=b?T٫h i/ 6l_ݓ `iT\kբYHws_:q_x5iߵ~+:>׸Xa3ۚ!}23Fv{vuTu ]Lpk&o80An[\ܰ{ق{t^ʆ eWáK7n\h6 UQTy-WsORߪbPsn[<٦ၨ{{Obw45jSK9sh9FU?hG5`0=ʻ,z:n0syOni.nb tSEK 3)|b]HÏl xꄰ~Ěn趖"zE$֘?ew}=|N׮߀8^C.rosqJ!}2R:q_Կ"a _W(H"M÷WpIXA:gR%1ZQ5rtFRfx;TI<*S0:}{fǂU:[҂+3F%WVjA&vo/TT}kOMK}x[-P>v+&FZwkzrAhzqd"[&+ke&X$S%6.D-ZGC kVyėaW.vwA\iav&llu{gB^ `2*[̲Ŏ˃J1CS7GLj *qH -&1r2vhN%`$sLc[ցgPmft4s}(1QGZv.vpXppnyiyl 񇕤өb؅ s{Gu)X2 ,'7U.pz;9an*'jVwcp=`!/N@,,{ݤ=d\JyTLXZ.+@oZו' fV\ddpp[8-;|~Nbi8N3$&8Ox]h~ 'IlH'qXfπ9p$.N? 'qav8$ӟp ?'>&0c{&:S[ X&Ǹ8>KtH3/*_|$BW 0>||]S??IY`0$trFRY˳٦F|$]*"g?D\{_SMqjx+18ڞK{_h6]KC\MhA9Tr+6Ȋ_G윐MYJ`vћ"iɞ7k"$EM`Q!= 1[F+sV ԭExv䇖qO"LBb..$p)dޭ(ӮD6B}t;FJ'b6}\Ho$o$m"+Z&ζo"̺u;f7_;DPi6'U|: q+n=uRX6eW)]B36zdhmԂ'{;X&e oȜƕP [(B: 6Ejza5 |L\oo;[9y8fҽr]i ҭdV8 ,]qZ{3cPWLJ%.tmUrÆ(S506迸f c`d31:{|é=3læL\L BBFmǒ>4k,}T юv~6E9}(]Z2)k/0pBzi,~0~vuPkD}A_EIe@v|أg.iiRưU->U*}]'6L/sM'\iKYoӪX|aU^Wϋbg,I\̇>|n2dYV|'r/ ĐM[w51{Hد~Ҧ#dZ?Y?z::A<Xv,e} Oc)U&ttw&aT͵ROtS`,?S}ȎpAK> PY:|E2>|U+$U%|?`|ID9էaS.at v+]&nR{t̹s.|&0/`Zw։ۢEZ1r=1Mܥؙ(tloaDEW]J ݆D4"&nTu)V`2u<&)E /De9 DNVD\/%2f*˪zIlV7l1Y (hTi>3iđ$y8݆}t=?|u!R[ |kW puumJVJVg'#K(ҩܡN!##ҠKFrÑK/АT8L6 EkK\ brj(,^DZ̓14-ȵjjѡw(p<Kn.[48\kZG("6XALY/,WTK(`>b,\9QTxvc5dK77AԆ!^<.|el~Gk/˚й*0lƤp6Ω2 緁n:ŏ;a -_9۴u ھA?f9?|wE6ܩ,+`XO6 R~S!I;??i Z蚏kQa/Gӹ\ר =*l T= 2}ꩺv긟4|.ͧ/Tm? |Oҗ.Iϧ5Giɂvb5.>ӣAΕp '& ?zA_< endstream endobj 20113 0 obj << /Annots 20115 0 R /BleedBox [0 0 612 792] /Contents [20123 0 R 20119 0 R 20120 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32441 20121 0 R >> >> /Type /Page >> endobj 20114 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20115 0 obj [20114 0 R 20116 0 R 20117 0 R 20118 0 R 20122 0 R] endobj 20116 0 obj << /A << /D (unique_109) /S /GoTo >> /Border [0 0 0] /Contents (create_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 363.4461 195.2587 374.4461] /Subtype /Link /Type /Annot >> endobj 20117 0 obj << /A << /D (unique_107) /S /GoTo >> /Border [0 0 0] /Contents (connect_debug_cores) /M (D:20211013063105-08'00') /Rect [104.1732 347.2461 208.6622 358.2461] /Subtype /Link /Type /Annot >> endobj 20118 0 obj << /A << /D (unique_116) /S /GoTo >> /Border [0 0 0] /Contents (implement_debug_core) /M (D:20211013063105-08'00') /Rect [104.1732 331.0461 216.3457 342.0461] /Subtype /Link /Type /Annot >> endobj 20119 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20120 0 obj << /Length 19 >> stream q /Iabc32441 Do Q endstream endobj 20121 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32442 20830 0 R /Gabc32443 20835 0 R >> /Font << /Fabc32444 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20123 0 obj << /Filter /FlateDecode /Length 2792 >> stream xڭɎίyqZR9h x;}۸Tvl"|JYN[{,^~muud?Y_ِA;kMh]֞/>Fk8'@X̒Gk.>(۲l[LqA.;N| ]Gkdj°F`~ݵf)&Kk3 p"kfwm@x/\ȖDEhRa@oF # )I /bONǺD,FY{b7!t}d<؛Kq%" 6 `\;$ LKs!JD͵ xabLw#&h%`$ +lwGP&W&qB&2l6?"pR=Ë{ e6na #L!yH- [pabti,nS jdNMMp;!ߺYyGL$Fx'ᢳvsANjD8` #ӒuD6 >#TJotCrڳ1/-Ԗ/lWD+?slS Ƅt|4eU+ߖW*k6w&+hZIěBPTgMwC],{[I6SOSf6Ӓ.\k9.#[m!R%/z,uvXXv6R2tTj>FW?򍵵I/ոr ˩0#)jP`:]5;m3|3),pgŲ N]/&slǯWnLYFSu56)g]02Ѯt^Q\ݦS-#r㛭V`tЦg9sw$䠥!=9r<=t.S;ڪMD{~ZƖҲ_K쮻wÜ{9ɶHĝ̍kgyy=6Vo.tojDY&ofaB2HG2;ǚjbzoڝfBb l| }سYߖdsy7gFol0@1`s-gߪN]`Sb&ѣw Ms>܋ PSL=Awi O)SJS>EMX4D*U1<;Q L(y,zB*łE燖Z}ʺ`cV )PE;]h.(]_gtpȱ۟“jҸ/ M?esolRol_WgxO` tjGV;=>~W:+S77W )>^ !&@Z˅Hk ;Vm\qv8SŠ?H8m8L΀&SeBBfρg\ٛcojBv>+S 3#Nv|קyu)T ޥSqS{sߥMO`!֒QU#}ʍo2UfƇy?|`Ǖ OE\;R+ZM&`@J/;<2TO&o^%S%H A٥m(\ Db|zE( "O5uЊ@'A v t}>rng+Ֆx.;Ѯޔ:S y.,r}1gaPx:Â_5-lϳ"IsH s;՘ lphK,V;t66X/jQn#*-8EedG-EM ˊhZ>F^[HAtD;`Q)kj rXve* [K` J'/]o.)^VRWMgXg/4}CINi$ȝjHީsȪWo'm/b"fZܱیִC4Iz! ҷqՔ'1fjUmvE.:>jex^\}AgLBcsOދ\ͅtҪVP oW$2@PG"w) gxOwLL C(`8gyyw@}?NMUf1"ই |cs]X9bWЭs"ӹ_"`nXͬ`{ L=brI}Ğ..MrM'ZO00G|G1LCXQśM`AG endstream endobj 20124 0 obj << /Annots 20126 0 R /BleedBox [0 0 612 792] /Contents [20132 0 R 20128 0 R 20129 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32460 20130 0 R >> >> /Type /Page >> endobj 20125 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20126 0 obj [20125 0 R 20127 0 R 20131 0 R] endobj 20127 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 301.625 118.9685 312.625] /Subtype /Link /Type /Annot >> endobj 20128 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20129 0 obj << /Length 19 >> stream q /Iabc32460 Do Q endstream endobj 20130 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32461 20830 0 R /Gabc32462 20835 0 R >> /Font << /Fabc32463 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ ӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪ_ l?7‹C2O-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١POe P/ڢ_Ћm߽?T endstream endobj 20131 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1875) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20132 0 obj << /Filter /FlateDecode /Length 3722 >> stream x\KoW)=^`o1CA0sٿ*խ~ r1ӖX"U%R\/~VMrk$$¿˫JuQmFo }zxgӝy4i# wReZ4\[嫹yHl -1/ aLPm0ͣsJCH:(ŭD+zxdogc%^0 Bc_⿢@sL QqVwh׺o?s߾B*0+TPكҾ gOSy C(j&2a4y".*T5J| bR;c3FxVxMޡx(m`꺊d`:4}0W2%xE//AZ>[OqVHÊhR+ ڸqM^Łcs ZQQ=/9ԂJ>6P{FZ``!(V`?d:(PVN'iro~_?S0׷}~&""z<~z yl[$!f> bXP1s ^64s/l?.S"GKE{DK-^B=lJ+IO Qhlqr?R\{sp/+W5SeϺ'yFOuG6z[߸s/߸7g<5L?Ӟʃ v|Y ZY1;B@VP$1Q)Vr U!w(MrZ-TcxTBzQ&cBbR&G$gPJJ~EH:n+S?8))ˆ[Yy]3b'̯5(_3b[kWQub̯-}뭙ٍ )n^iS=Bb !L-`r @fxBI%npjęwO]\7p+1eyg{77Qz!ȏ +֛S8^ɌzV8LUJQ 5N;<vvSP9 H<5~ziKBH:|;aף E ffT8x<-SIkbMޢ~\T`p+Q:'mDjCxL5drv05 m$)9.5gknkl"T^asK&64}PJ=]z5tR  :HC6NMME*k##RY˺AbE6KFrnDT2 |0Ue@@ynAEQ~&fSL';^C|4Qa8$(+?M \TRuCʔJN)h(9$ރx~"21CcR.W%HP8ɜK |(cDIX [f;#*Q*>MR(BE5b>NG[v!d Ь]ԱkYog8))A9 eRM!ab|.?&^}򳞫!n:ƤP]3[D7}(?yڤ#q|6D.>PT&EOc:riyWa;G%r$함N, hq1M1!QOY[<,%s)x Fr,б u:ïL<8!ruR8G?:s/=< ZU<NšbUO;:<.'*MgB`%G\T$% 6z\Ȇ]O <(r{ endstream endobj 20133 0 obj << /Annots 20135 0 R /BleedBox [0 0 612 792] /Contents [20141 0 R 20137 0 R 20138 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32479 20139 0 R >> >> /Type /Page >> endobj 20134 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20135 0 obj [20134 0 R 20136 0 R 20140 0 R] endobj 20136 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 280.325 118.9685 291.325] /Subtype /Link /Type /Annot >> endobj 20137 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20138 0 obj << /Length 19 >> stream q /Iabc32479 Do Q endstream endobj 20139 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32480 20830 0 R /Gabc32481 20835 0 R >> /Font << /Fabc32482 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 20140 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1876) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20141 0 obj << /Filter /FlateDecode /Length 4443 >> stream x\I WF]]m& f ]KuUw  3om2EŦWl7iZz''$}M_wg'/Z7F/oJǃ3ܩbMN4| !_~/k|^z߿E?H5yKjTVGO #g_k+ukFRNxeh8gOIr%G|~ȠFﳢU:V6Y<3u5D;>C돠'3qs ?|"O':ҾX8ەrGl^=$d:wQOEk&rt@(: ~gxn0.@.e#.¸2sBXXE ~VW kuN$>+ tdq8(QJ =TOLqϩ^?5}i{Ld9-g=Cv1E{~ = /{zeEW UDi.>6-VG͌cg`:iZT s7/WӓOk@y'`ȉCR 8-9j3*C`Ш&`ڎkGMiN|G>9mmͻ <M'YD|l\}g>jEUWW}n9,H щݚUxr2)<.,C kDd 3[dƽ]2JZAx^RᙗzK&&iJJDFpH D] g#DxǙ, 9qb;f\ mjK2J,?Us^,vPexJ #%Pj2`ծY [%**7/qSxHIro*FWԧɂ]wzXTAV^_*w4)qi?⃐ڏ>D.M u RK4Xg a$S^6LjLb1>+Qat;;{HrA ϣe`r'CUOA(v1 ~da(+^lC,L8N1̀#~__)/=ַ |}M|9i*DOiRP=VJj,p~pNNJ:]Lڂ89՛΁̼N݂Yyo֚|:_RkTӂ1%n6%lտɐiĭΈ2`3_7!dd:q*u]*\$fSF--@MRaK٬e8dw*I1$\TS|Fj.r:JSೖT4U(_n( C SWC6tn.R~L .)\ޣj|w-"X"8/RKS -dc-X]1YL@VjS؍; E*leBF=\{=f;TG\ ۅ/ͺ{5'Q/jJ#6mtSyGIƭS\.X4N;-ϵoA׹6+Z\c0N 7%̜_4hkMάUN|e+8.3_F.ZV0,5=jWUH=ạ”3GE>bkpw_[.0֜;ƔT)7~(GDԉβӘmstNn2ͅ /pyw?t?)X[PNŅ|^/=sd endstream endobj 20142 0 obj << /Annots [20143 0 R 20147 0 R] /BleedBox [0 0 612 792] /Contents [20148 0 R 20144 0 R 20145 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32498 20146 0 R >> >> /Type /Page >> endobj 20143 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20144 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20145 0 obj << /Length 19 >> stream q /Iabc32498 Do Q endstream endobj 20146 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32499 20830 0 R /Gabc32500 20835 0 R >> /Font << /Fabc32501 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7kЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20148 0 obj << /Filter /FlateDecode /Length 4539 >> stream x\K#9rWـhP@um|X]Y`A 3 ԕL1d<2Mپ{znKoۿMƣ6H즕L!Z}uU)ep^:G5 /⿶d"@l q׫+" U Drq\ gNpqq)48.)ӬuMN)T]u&O~<c_KA,Fm(B ץ=ZSVj~F "GՉ Ќ̥(6GQ@u*쪹j)c \fs]}Ez -Ht.c$c˧lhoyD僨7ӷJyQ+0M WA 솩9tz"ƿH'F{BH vcU Db,LPjZ"3Jn|!MNfs|'r=;^B )g:ƀUڃ$AjmP@li¹Oz ؜?muY"nbꎛY- 5PS>hg!W< VB5f!Gҏx0j0Z^yF'rZFs5u20ϲDfIS5C8\Yy3T *nQF4|twުd NE)#ͭb;i@ê!Cߕm"-?jĝ`OcgRE tGp1C0jq2hɘrq0wwu5MrtqR uSsMvu@}KO qN S nwxVTf>_jwߒVs_ iNBG*>TMk]3%ۙ>f*/KY))NOpu@ !^ey1B@W C4=HgFbsR11(=W8\/܏g$Cɤ:-$޻[(_ByKd+~sg\;NI;(@8򋊸~evMlՁ'znO{}m 8!Mj@ѡ˾6~S2j.Q"zEF[0q !i>c`-u'K qLQŠ4kcd2ŗ8 YATx&TS}OCB@nAp1JFd^]({8]^y+ߍiYOlgtSMM0AGuճn?}#'>H?cCsV sk^3 /+} ,<Kh)9ޗ5Ę9(ŘXW2`7["Ldqjt AM_붍hAfPAlu tm#xC -ڽc&ndhtZP:ZA)"=h̦@y2buy&' :I]wPy4׊Qvf΅}f{+ݯ` ٕ8y xH!S C??A2i!Qi..5,]bIq/~TJ}r8k96Y$ldCRVAG7ź.JqC$R\Z<Ã]'luG jQp*b,ݺLq6y>ft5|#y< : m!Gإ&հ#Vj=ż]Y,[9c܆`Wd۞%ޏ8.8Ƿ?ͽ#@Cpͫ[2<ӉgN9N6BX1-/F_2ɭΤm͂?,?drvð%GM';< A3(O>MKS_Xd-/{wδ [mѾ`,ޡ}5j}kEf=NO$pC!U,{>UW8r5oݵz|GXT7۳zX2Gv|GYx%ic>Yx|ts>4fm>6l=jc}v_θ$'kJ CDDIrI+N/fۍm&6Vl?Z]Y Hmɟ=WA%LCvffi9N}iwC )5wܦw;D\yٳ.l^xUz6-;U W0hY_<5{X~Cm[߶6+chJ]\*YH^/Q޷&8l}ߛwy;2 !* NK_7*)Ե}PD߶_)64ni;iQH{^~k[뜸RAә̷gΉO|Ň\Qt/m4D˓5^\2܅¯aXroY.Id=m Ui. xfž2JVv~.[WܲuB0k r1ŪD\T`) Uf"Mdsk$cBCZ1c8Zh]֕]KwQ+@go,x=hE9c(*h]d]VvOӓ𯉸KcB`tlS*9X(|mp>F6.U2/"ž4Lu/dt\Zu4[vK4ri|+(/tMzr96SZ6mLKՁ~>Qc`zz4xp^֘Yo (2Dy޲q4El|OK1m`Ynu}]n"8/ReKnw1}6"xXKT"v 2::f|EqxBtptC:`NO|*L*f/5bC*O!bˍT!iUuoFੵ_Ux̴ ԣjZKd endstream endobj 20149 0 obj << /Annots 20151 0 R /BleedBox [0 0 612 792] /Contents [20157 0 R 20153 0 R 20154 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32517 20155 0 R >> >> /Type /Page >> endobj 20150 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20151 0 obj [20150 0 R 20152 0 R 20156 0 R] endobj 20152 0 obj << /A << /D (unique_167) /S /GoTo >> /Border [0 0 0] /Contents (read_edif) /M (D:20211013063105-08'00') /Rect [104.1732 532.6 148.8992 543.6] /Subtype /Link /Type /Annot >> endobj 20153 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20154 0 obj << /Length 19 >> stream q /Iabc32517 Do Q endstream endobj 20155 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32518 20830 0 R /Gabc32519 20835 0 R >> /Font << /Fabc32520 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3*o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 20156 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1878) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20157 0 obj << /Filter /FlateDecode /Length 1829 >> stream xڽXI$ǯy d  T ֦3eŒd)BLC1MNyrlu.l+5F\hs)atEW*S~Xs0Bݢ ڞBPw8dʉll酗Un ;mMEZZMXWHʼڳ~>:?`^0-w[m0`1m_3Quu}Y/PR]Ƿ?&U ϿO_dGv} 4{wf v`|p>oOL#d=QOg3vosIESyV}&pp#aN,綾\n<5hsp!3I:}%IͷBiBQ-?$ (A bmf(x//??_:L{˻آ]j&=8DM:mDS,Mn gQ iۨHX- l3$oTOgÊ4*})b~ ǨT.K1tKY : QD@G?"HO'3dS!O_%~_ 0Gʹ$)ԅ?L2iW9zBN,|HҐdpsHC4$Hzr_7±EeD*4bi4Y30KBN83"`l a ￘ :.xՎ؀ qbFJWxT%%:Ż`8C=D E _M=CU[,\M1v5(waHj,4V _'ζ{PC},^rݒowO›^VW.v?BwLنJN;_QnÎU1bfG^̹YwVR%Mȗ o-ŮWiQv wW#D0< )3hsJLNhk?ʹzaC@IsDƫج]uf#&H)az̲Ӵ>R"Rm6NO+yݱPx$; i^*"ICeS @WͤS> ,X!8/gkJcJY_@#fCcIT%±MuORlbI;3–2`h8Y]cfAk2ĺXN6q_ng֍{% |l)oU2GQgCKyKź#`0n^OMe-u e%/7ml k޼+Rz@i>V@Gn`L-YM݇|{@G D:[8{LJ8߹G8 7r {_\bEB½?G>xso-kY{m}x\LYe:^{H/Vڵַ4[Hqi5haNOgYq.w'xįs%c%H!2֢`Vw@Bg*^utkn| GrWس,'y-QQaWmč#vD{ڥ)o]`QGIt F`w\wNAOfMcA .ΥOA+&%%r(Q =p endstream endobj 20158 0 obj << /Annots 20160 0 R /BleedBox [0 0 612 792] /Contents [20166 0 R 20162 0 R 20163 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32536 20164 0 R >> >> /Type /Page >> endobj 20159 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20160 0 obj [20159 0 R 20161 0 R 20165 0 R] endobj 20161 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 289.525 137.278 300.525] /Subtype /Link /Type /Annot >> endobj 20162 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20163 0 obj << /Length 19 >> stream q /Iabc32536 Do Q endstream endobj 20164 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32537 20830 0 R /Gabc32538 20835 0 R >> /Font << /Fabc32539 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4t//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1J endstream endobj 20165 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1879) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20166 0 obj << /Filter /FlateDecode /Length 4250 >> stream x\Ko8W<@r& 8ֳ0Smw/U>%3ӕ}Z*-1DIQ!]iۛ4# IӿKkΫcrMAƳPSFΞmcWfO ~ulh/__w+v` SRdv%8ZD#³+I3E] J:TAz')h-*g K.i]|a v\ui['.3A~UzotvY/tpm=ȗ!.*F"t &Al`}ʤF%eNgg,aI U>QEZ-*@Ox-dõgǯtN'ꕖ~@[6DtV-`C$kn n-^ N+HkQwS>Ue,wtĚ,ʻ}mUS;2FxU;ȟ})z؟OnЦbˋ}h"Vҁ}J@Pa1%sf,01D/IcVnlk|z4нXU<,t!Hp(JԉEm5(k1.Xh PBj|4cp@r-trP G'w钕fKqxupK'cè䑕Ci!lZ'P|{kmzl&>fUk 3hZ"X~ ΃,+)Ӑ0R Y (i(X6r,A<*קM8z|mXmFkӀR`kgs5 ͘шtJ*e 3K Ԣ'͓hRO>+_Q=yBѕ3@hv}XCi} _z ]l@t)[L} ༻t׵|Ý$bo&=C7Gޠ*>Vm`,7zM p" {ZY{h6@ 5mM7Z+ gkƞLPN{NeBªq1a;d}K8TVԷ[WT:s^0ˁxN0N|1VӉ2قU( @U2q [ArK?6@EZ7WZJk-XͥvV9C#zqŚ48^a 9KRׁ{4fAf\_Z zϖ4h} tbsVF).zsƷ{^$+'TFe Υ/cr(:}h*{!SR )CRRƤ7sKL+;kG P:#:+U4ftfkD5 F !s% (&ћx5Yr޸0Zg@.u:] Bgmo10?68jbrޮcJ kOiGX f vv< ;y;nA z,bKٜJmyT XjN3@Z+ N(w''-ip:o']I\xhQ8N$f8{I pwxxNcNp%$v13pt8ӵ7I> 'qft8pNtiP O@}@]m'>t.& (RN+f6ꉷcO[g6*Wc29ƉrtnI%Y3HQ'i $(i+ TO;(NŸs("Mm[4HK;ԛ>hWkC5* S?qǂ}2c8#-buwn|laEb!FC<:L!N}k'*&TVo>з.doq7 ,Q6g=[pV & D.}bU-jv#' kPM2zE뱢g7Ұak>e f"r.(7^crs:֎89q@O%4;㥸yQɷ/`t 1:MY25Hӕ3lG 扏E+#E cgIi⧁+3)iLA;q}* ~&Q?609e ehW]1TԴ51ڮh{ЇPIz|1=xyP[Ïkc2 V?~w KRZ}YBυq3f~”heD<+q ?euL$tʸ0wŽZtU%|i|`Nv8!U+kA=kb+Z$X /? <҃]Z?7{5#SΧ  6O4^r+G0P.@OCbۖ"4Ei8V~0u( ys/U7w!3hb> f LV0OieN?-"/=, R"Hu(yKŠ+u+3FJ8$:=:G[$O|K5M R&Jc\G7.7tSdkV'J3N|XWh?r*P׍t/炘vE+--MrP.eP9b[UP`HR› ZJQAL>9M3dR`@ }԰Ǔ/OG#~ _{B,o,7~%JQa'mLjfT@R _:uc4-TEPx̶%:,kΩ;տ/)?[o Cp{b2}{˞[.ŨS( 2x{"\ endstream endobj 20167 0 obj << /Annots [20168 0 R 20172 0 R] /BleedBox [0 0 612 792] /Contents [20173 0 R 20169 0 R 20170 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32555 20171 0 R >> >> /Type /Page >> endobj 20168 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20169 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20170 0 obj << /Length 19 >> stream q /Iabc32555 Do Q endstream endobj 20171 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32556 20830 0 R /Gabc32557 20835 0 R >> /Font << /Fabc32558 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7fthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جRwm-־0ZOt⩔L2:)WIkp:3ϳ-nY=~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM7 endstream endobj 20172 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1880) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20173 0 obj << /Filter /FlateDecode /Length 4007 >> stream xˎίy+|x6h If`&e?ClrY,ֻTOLfo?ioSyflVg?3}iC]vsɹk޿}K=\Euz#5ZLkRLDp8j ֯ض/?aQn`0ɵ9}Q-JeN{!&`/ ƽ^OZ3\'q>yl^&DVY}c0R!}/p֞)_&'pB!Bt\f,fH8ë2'F'NGhWME &Y9^k<(t'~SڎPu6`0}!*xVcdl . [Y =īFRY]TY0II")M8J8m`x>0-{OtO ƸZ;J.uCBXR7*4NƧ"eMR-r;UI6Q:746ùi:D)@Y]1snk4=Є ¢s~i4:{МЁp>ehK*8c&InU!y $F;PTTH[ N!V IBb0T-_H ٖiG x>99kRZ[ [  W>T_ YHg].O=C4 e- Ίi1"\rPӢ?W] CZKדa?cDHARrbkK*a()R]5.9@X@RQ>шX7/KSj^epLP[ k< JN& V=%v,WDR0kyI;kVr/.Bo޵gq9iJ\G5X+E8S s_b`7n+ʊw%M7Mg8tmr"uX*/*@#+I YףDCklѝu%4/KEjU/ih;݅b[KԫηKU>rX>7n0ԒJr1dk bkk^K{={}Xڨ*&Kd<ӣ噴Z~:ϡ h0=M_+^n6H@n,;͝1yBџJf]:qJ-H\|&'wW.:)&xKKc2=E* 6MeuCƈV=;^'"Z@|}nt6x.̴clYy>oީSrKx"}V Fܻؓ} .KqK^MMui_WTU Uc+)[&J_.z-us^J6#Fښ!hi:V >[Iiz+r=vxY"14}7U`9ZhUab8 3ZtaYdG* /T͞::^{Ur&n H iL,LcY l5։sϻn/d9JguP Z:K yGl!z ޏ9ڽ >Xb>wg$e ՆZ p[`Ϻr'zY=V$"C.vB$\݀4\=aj_O[ڜX崇@߸3BDo{>ƣ5Yךּ=Cpoų8'%M}RVaIj6I3Ž&?/:'pc:!HX:}=ل $Je4"Шwa*K:p<]b.1ƼB^O@{wu2/Wa' aJ#aA+0Q#Q8Θ9.Ph+M(MV]b;=;I72l^cr~ 4F)3dA=dggXV+RQ\ь kփ{X[u #-Ҷv KDP(iOJmnDe2zZ ڬSYy@χRk:Y{/KM,:魹maT¸RYd@2ulM++Rg͟ۈ~R}Xc.csߤ7 ߾a /wrD'窶m0!4 `` JF<?{f_`AH:?w >T<}=n8*$#`H+Ho$2UC #\w=U~_Jroy"nA 8@_J끈 ?vW^W׎BAyHvB.E ,^ U^i&^}?@c?O/yAB:ɀ"`_̞g>#-a/g2q'] ,9- endstream endobj 20174 0 obj << /Annots 20176 0 R /BleedBox [0 0 612 792] /Contents [20188 0 R 20184 0 R 20185 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32574 20186 0 R >> >> /Type /Page >> endobj 20175 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20176 0 obj [20175 0 R 20177 0 R 20178 0 R 20179 0 R 20180 0 R 20181 0 R 20182 0 R 20183 0 R 20187 0 R] endobj 20177 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 610.4 174.7052 621.4] /Subtype /Link /Type /Annot >> endobj 20178 0 obj << /A << /D (unique_255) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 594.2 200.7917 605.2] /Subtype /Link /Type /Annot >> endobj 20179 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 578 159.8332 589] /Subtype /Link /Type /Annot >> endobj 20180 0 obj << /A << /D (unique_275) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ila_datas) /M (D:20211013063105-08'00') /Rect [104.1732 561.8 185.9197 572.8] /Subtype /Link /Type /Annot >> endobj 20181 0 obj << /A << /D (unique_339) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 545.6 155.9997 556.6] /Subtype /Link /Type /Annot >> endobj 20182 0 obj << /A << /D (unique_352) /S /GoTo >> /Border [0 0 0] /Contents (upload_hw_ila_data) /M (D:20211013063105-08'00') /Rect [104.1732 529.4 198.5697 540.4] /Subtype /Link /Type /Annot >> endobj 20183 0 obj << /A << /D (unique_354) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 513.1999 177.7742 524.1999] /Subtype /Link /Type /Annot >> endobj 20184 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20185 0 obj << /Length 19 >> stream q /Iabc32574 Do Q endstream endobj 20186 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32575 20830 0 R /Gabc32576 20835 0 R >> /Font << /Fabc32577 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7kNЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVڰ//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>N endstream endobj 20187 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1881) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20188 0 obj << /Filter /FlateDecode /Length 1683 >> stream xڭXKo6W@ c}xb:E._ )QukCKp͓/oj\~xҍgu z2UNkkqh f&ӓ6Gc3&'&v ۣϙL&^qQ[=݉LL'=m|ƒ7E/뻢[!w?%ϙ:)QQD˜t B%@7sЧ_T8CN_ eF'MhI!/W$V0zMKOAď + 1( kG3!T_?iO8w@@({.wddvz:uBB6´U;za[64G$g޲]=Xلlo4A-;'#65fSQxdy-mQ!L܍h|1R+ 26d+~ qJYx%h#L$' >0WǬں :=b㽈ldH;C+cB$v<\\\=xxEt7.֖\B1CAۄChGPH6@,皞4ʼnvț]jZȊ9k|qr-xYEp=Ou}ӏjș n Y*EuMh)ߨF^D)RrXb@SK~kNU(+kj[#/}gp?b|A]S+˔2 )Yi9*U+UJ}[,I\ʺa+ᎶH׆ŅV|9o]NL[.95KSkzXfb]_ZԌI ‘J>ϪdMu_}/H\H/DUHFpi,Kh[†inkW@_Z_FbR> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32593 20197 0 R >> >> /Type /Page >> endobj 20190 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20191 0 obj [20190 0 R 20192 0 R 20193 0 R 20194 0 R 20198 0 R] endobj 20192 0 obj << /A << /D (unique_77_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20211013063105-08'00') /Rect [90 259.125 111.626 270.125] /Subtype /Link /Type /Annot >> endobj 20193 0 obj << /A << /D (unique_77_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20211013063105-08'00') /Rect [116.939 259.125 158.4255 270.125] /Subtype /Link /Type /Annot >> endobj 20194 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [163.7385 259.125 192.707 270.125] /Subtype /Link /Type /Annot >> endobj 20195 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20196 0 obj << /Length 19 >> stream q /Iabc32593 Do Q endstream endobj 20197 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32594 20830 0 R /Gabc32595 20835 0 R >> /Font << /Fabc32596 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nVSthǬ_{8u S,!?wG?{`%Nf2 Zn\&₅Qs9N*)Wu%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxoBD>.PkP=(%NW!ӘV tw%BJC (aNs(?`|۾{wD endstream endobj 20198 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1882) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20199 0 obj << /Filter /FlateDecode /Length 4851 >> stream x]Ko8W<@jvs0Sv/U/ȇƨNK`0"m=3xY8MN֕ciUu^r]gl[ͣoAcA~фzbhE ͐ .xG8:;'riee`0G<јsPGW xeD}:3=5PbP;f<Q,r#$0MچG* R_G7 p1qwmH+hc,'T<iШPnj/o?: G:9F;È擴s'ѿZ*"p!A&cqrEqj.x/r.>U7='<}N/IڜǏMsx9qo ՚-!z j:P">-$IE^`jIk( l.MGVo BdKJBH`z[ti͍q%}-m{]rC[5u¼?@3*E4 ebݺ^q  lƸfٿ$F˄p_ƺ[J K_;V=bw8Gx$BGeYre]%P=΋`-.ZˍNNt㴯5nt}~?VD>*2r7 I"\gztN>VZ;98I1;d7{(*8, 3|WP(+ F]Q꟪ ЈYO'33=O/7O?Xj;Nڊ.ϯ.RM \LsLS*=6\fLSݮϛ)m+t{oSAİ;(4s,u lSD6/M\yUfwHR-?Ѵhix?: g0*cR VUZkZP^hŃO~RJuLI0Hͫɓrw=Ilb(2Nf7 ]JK*XoO'rލ.{Vr!h }"&>CDҷfͻ8 ʅ)gpgAPTN31(Tm>omasnd^$D ,8 s[UXYV5ci'řIJ9S a,zHӌszĬХD'W^d i~}MHmmI4d\'P7>5Zl4غFƖ{0!qH:To)Rۮ3Y0=J<ޖ-]v,%չn [G1G%gkXs4BBjir;ѩnunoLiPV^X|\wq&Ws8U3wB%.8`nCeC}gkfcMɜ౵ΕS3"L7Hs3]`<_ez|dnUnl6ԁvhm`DYgc[yFH: E#OC 9ݪu6'SNygM,CZ  l= ߞs#&^ twd)NS IِXB ؄.{};7`$ jm.XS;:Cʨo `ro+eKHˠY\4+S>b]3;AoTB(i܈{J,@ u)^,C\Dl@*m⋒yӰ)3 )l-xzv+:֚-'ܔLh!QM&l,s5#=KҽyN8u9M:n``z=-:g4iY5XTWkJgryEkgKEuQQqe%Xqn)j ήq;9Wk͜;Ւy湕ixWwQCpfJXC?ȍwQf ^F.z2RNÈKuLNlhDWfMsIsǗHGYy @],4T*AA09tqy 8[\;K?^QuUwaMi]A3G\#yrc_R[lZawb|^C>bZr/cYO_oȧ\T)k;r;܈?d@zt@!x{/t+4tXN}AAR.pK%x<*A`SOrUJjܚ~F.7(bǸLZ1a4ͣǜ7p3pvPq2%{YdlRw"Io]ҪRFr,M3`X4^rDy-`0G=KKZ/G.sIy8sV`:GB0e\ j-$:%FNVSg@T#MəecךT˄{oхִIZT2,J=KEI2~"pV.+^^y U endstream endobj 20200 0 obj << /Annots [20201 0 R 20205 0 R] /BleedBox [0 0 612 792] /Contents [20206 0 R 20202 0 R 20203 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32612 20204 0 R >> >> /Type /Page >> endobj 20201 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20202 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20203 0 obj << /Length 19 >> stream q /Iabc32612 Do Q endstream endobj 20204 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32613 20830 0 R /Gabc32614 20835 0 R >> /Font << /Fabc32615 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(RDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20206 0 obj << /Filter /FlateDecode /Length 4070 >> stream x\K# Wy+z?Fm{@n4CS'A`?H=JU~t$Hf౫J(j_({uݒ4R_u-Z/?6H좕L!Z~]qJyx^w_?Y.4F&C\^tǓR'BG˗r/e:c\iSgQne y^=/FC}|^2|G'>gqV.:hdVQ]E3;E;a@2}hOϋg F)8*JT}g ` cˡ@ޗd`QVir[71Y.МڛvVjg"s^tiQm;YWxO.!g܂6$u>h'}:Ա\X/!.|{VJtT#Q~z$(el\ȍRdQr) w>MʯA [`x-j&.VGF4gPYUm``,(#Յ$ށLsA7Ec~ Ye}&EBC<tmzqy)lC"yNaEbݵO)<A82g4Ο ?3)I>!S} nsbo(2 V SJTnKA]jA] FmH&*d> )b|(7<"@tWEY}:ĬF2l63WDfHMmV@n /c?Гdgr@B莑"Jj!@G-{Z:ȟ&uY3LJK w@; TA"JeD!>53ӈx0g'}R69XT9mvt}|>NJEM-j8$TPՄkVV4gޯ0/$ϧ %J9ȃN$VDעenLvxCkBcU Η{lC< Uo#vgʤs[O'%88Y„IؙXI:1NLrg5c3c~/d%d 3/ݠWAP;!YΫ@gҭC2lّ``YA衳(Ҙ*w_Ve@!VdtjHinT1#Ĩ+)2Ƃ\+ݚ@\c)0v ]7 "3Ϟ3ce.E=LC{TDGM~R񯡥L}`0IzW~}n7NNաrUVA*e;vy kk x$y3e/s~"mFucI]U3">)l"ãI6Lܙڴo$JCgQIU6vhU0quqe{<?ۼ 1ۧ`B~qV}XSȏűq(@Әs35Q>gd5Ti2);*ee#vk%bk^âZM cG:<Z\8ܫ*\ 1\UAZFf9N=hkkPA<*&C,(:vv؄6鱢ֵgH=j5\+5 rC+;Ø ) bQ)VOJ%$-y@VmqQwwŖ+f"n G5ܽ!CpMk~Pq\nA潤D~[Sf*{TøA0wzo11VEVnU+ӃFUhnPs8KRv\ܮ xǙn.=d|KuqEgUwɆ(YkhL cæ&~LA_oS\ga>uP5ys7{ o0QKGL-ʝƳZ'(J%ek5kXNAóӬ32N`pD0fegB&ky.^ wç&nCX9Z@sS~S`QbʶumPҧU*) a\;tN1@X9<#_]C0x!D(q17c߾߆Z~D~kwZCz4@c TiThnѡ>=TTKizo0wokH 6ǥ˿` -ٛTZ.bJC! _qPfKb vƼu(':g:M e .NfSQC'5],K&bI}i2&LFJ$Qi׫u_\l9_|$b^/`ZwqS-8@=Ti://|SSti* #֗ ֩1&6| EK cdke2DfaI?h"2*䦉+tbҍ/>@`+₈QY eyPv"3BV b~ ԕfα+7~֕M9/Ƭi)D;ڝQ}`/xp^ Wvن2|0=+h<SROFn>54b&Α?u "*ȇs;=J itMK Xx'̵43Cg)d^ -cUhҗe>)>OԉEr!H~P楏h(=Qj?iqy{>;X"iZ endstream endobj 20207 0 obj << /Annots 20209 0 R /BleedBox [0 0 612 792] /Contents [20216 0 R 20212 0 R 20213 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32631 20214 0 R >> >> /Type /Page >> endobj 20208 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20209 0 obj [20208 0 R 20210 0 R 20211 0 R 20215 0 R] endobj 20210 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20211013063105-08'00') /Rect [104.1732 620.4 194.4502 631.4] /Subtype /Link /Type /Annot >> endobj 20211 0 obj << /A << /D (unique_515) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20211013063105-08'00') /Rect [104.1732 604.2 207.7107 615.2] /Subtype /Link /Type /Annot >> endobj 20212 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20213 0 obj << /Length 19 >> stream q /Iabc32631 Do Q endstream endobj 20214 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32632 20830 0 R /Gabc32633 20835 0 R >> /Font << /Fabc32634 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Px P@E ۾{wV endstream endobj 20215 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1884) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20216 0 obj << /Filter /FlateDecode /Length 1483 >> stream xڭWKk$7WZ`fƞCnC!''ƁWUR;% =꒪zJ_Ҽ~~cuu4e:=ϗO/hZZlO;k};Rc<ND[.xA9A&A|$漗$05.Vwۂw΃]? &ccj~<2ўaߓodh"2l| }ůzll^'U[br4X^h&eOI?Jhڲpڒ;L-ҦrU-BL:)ꪝ7N}{9_mb656P2nV LF$wXkrÖ;[O/\v]gfڻXsamL|AĠ^I:`yiikz8gX<ȎlŚ8cLT/N^_jQ w#MmD0~Q;L M,R)jzZvFcA5jg!J 9sjo43U?3e~ -f6?RzC[+ۖ2=)43*U+UJ}28⸕uVx'ѿg*/ק U Y^1D}P @ͤf9b`SP$Yop E2I׺:6R'|ڦsOkb1գ53̑]04 ܠٟ;a$hUPa~eE_#vF]7)ICO4Se>FO|_@L#1 -Fm$".u[wRQ +iMMvսTҴ$ #S6qzqG!Ȫ!7X W%5W iBs\S5â5^mP:Ḓ4)p <so~ۊS|{m|^ZIn 5P<[Sv<'65'~C4]ۑ5vٲu'zFѩٻN6z93ˆ]$W|}ʡ/S' endstream endobj 20217 0 obj << /Annots 20219 0 R /BleedBox [0 0 612 792] /Contents [20227 0 R 20223 0 R 20224 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32650 20225 0 R >> >> /Type /Page >> endobj 20218 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20219 0 obj [20218 0 R 20220 0 R 20221 0 R 20222 0 R 20226 0 R] endobj 20220 0 obj << /A << /D (unique_77_Connect_42_vitis_commands) /S /GoTo >> /Border [0 0 0] /Contents (Vitis) /M (D:20211013063105-08'00') /Rect [90 375.525 111.626 386.525] /Subtype /Link /Type /Annot >> endobj 20221 0 obj << /A << /D (unique_77_Connect_42_platform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Platform) /M (D:20211013063105-08'00') /Rect [116.939 375.525 158.4255 386.525] /Subtype /Link /Type /Annot >> endobj 20222 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [163.7385 375.525 192.707 386.525] /Subtype /Link /Type /Annot >> endobj 20223 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20224 0 obj << /Length 19 >> stream q /Iabc32650 Do Q endstream endobj 20225 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32651 20830 0 R /Gabc32652 20835 0 R >> /Font << /Fabc32653 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7'ethǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4(//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ ϡmтGŶ endstream endobj 20226 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1885) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20227 0 obj << /Filter /FlateDecode /Length 4237 >> stream xڭn6ί9S/a։9sd0Om$=.fl׿_Y_u~M>&<.hnxh`V݃ vU, )¼?\vOK3 T1|?_g;e #WWM?5 q ֔h~Qv&8+ߗO,Y*.ZRP֤C-wpb5<6究o(}^K93#_r$9"G1^0a*񵶁eCQ6kp&*4>yB%t(a hp$h'fɞp5b/LʫfPhcptJW` ̃j->D_ h&._ 1 l@,y-%=Ճ#o,vyڸ|/`xi[mg#0 }+Kϱc_hz|bBZQLvD+27NѮwy$2SLt!^.6 N%aCd1Ɏل4y>By!kZtYA?C X^Sإ?fR$,|3k?7|-|^7w0RF-q[kȆx`c(5z fi1`aVฅyq~'u S?qO'Mꡩ TcmW@ =ް'/{B'=pGIlU*z4-'b(Q\%64j{X CyzDTV1_nj2{6aQ1|q-\pئ ze lɐJz@{ˌE8}dAF8\Kګ4>0* zO`w}]'f>Ye\-el6<4u,s 1aMRr6Pݚ,>M0v RT8mBYa/4[he7m FY@Jz;6PMvpHGnhy(".a]Qv-Nfe@1p2R>8`Tu@շ ӎf<60@7\blv0 9=0j 6AXA# RG065Ug ='#;zSWv&̃9)awdNȜ֜XO3ndd.~Ԝ_x;Yp c7K@Us1 7(z 1UHup*hA3N k߷!uqSwɆS{Q_ Ҿf3؉~PymBERPa`Wc  F,ޖ-[PS էl~P^ONҚaBtnC,v9dp Lr"DR(6SrGM; 5 fm}7C` x7Pg C= H Ϝ݅Ԥ2(1(rH6 m+unf\*fyg H0ǫDZăq|3qϡ2L̹0\ؔN PTQ&9sԝ8tI Uc}+͉4vXsdT::cqQsP"+.5i# 6 zf5۹tȶJwC4eZUfk(~gjq&?LjD1Ԃv zIKs'܈kOvMM/4Fc~!a}i6`p#2Se& LCEƕ;;. fٽPvW>Sս^\ld5n*hVl=ZGiǕ1i,R?7\Ԅ9 `ߋ{6 HٓsxD55<6Ivrägh#C]6=0Y^ ȝfXsEu|aX:qx⇚x. '(8Ve^==pL;Ɲ=n`9MW \X|3S5n_W/Դ="6Kr\^mv<+swܚ6쳃}lHU^ɲ};BBd}TIG X$u[K)T&\ر"i)$jZK0ieWܟNfIJ5+T`lv~r6,Kg"v+?&ǃ.FB/nU;,{F찆➱^j0L77kcљxS3:lk 05q?quΟt~6Z@).#7 |TLS\M|"^m_x՛\gj\z?@RxӇ)Wu*8 fF4>7rk5a, t:V%%ܴk.ѫ ::G< |Yi4LD_K7f$'0Oȥ2Mb1b+3?>O벃@'Dy)an 'ې ʷ|קT}]@eKrT*~Fu1&YSWg9U71}pPW \ɷ+nhf{Er{W_i 'yYf#Sňc O ΅"-fC和LĩD xa_LEH):j2YH%aqi>OS KGDu0V5PVjr7U?J&XO,|87^dr$TYe;Br b]Z堨cHax6{F(&>EL~HgZ9K3T9g=I\k\{7X~k7|7qsJuOR~VFnCy?c|RݩAye28Y=|Oa` Y>)wAc O \WwT'V>&ϽvÇ^CDoᐼ +}R7^kW+{okU?kvKH9~# 3c%|ҾkO$|@%a}L/c#ػ> s%Y, ԃ5-G endstream endobj 20228 0 obj << /Annots 20230 0 R /BleedBox [0 0 612 792] /Contents [20238 0 R 20234 0 R 20235 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32669 20236 0 R >> >> /Type /Page >> endobj 20229 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20230 0 obj [20229 0 R 20231 0 R 20232 0 R 20233 0 R 20237 0 R] endobj 20231 0 obj << /A << /D (unique_161) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_platform) /M (D:20211013063105-08'00') /Rect [104.1732 553.6 194.4502 564.6] /Subtype /Link /Type /Annot >> endobj 20232 0 obj << /A << /D (unique_515) /S /GoTo >> /Border [0 0 0] /Contents (validate_hw_platform) /M (D:20211013063105-08'00') /Rect [104.1732 537.4 207.7107 548.4] /Subtype /Link /Type /Annot >> endobj 20233 0 obj << /A << /D (unique_186) /S /GoTo >> /Border [0 0 0] /Contents (write_hw_platform) /M (D:20211013063105-08'00') /Rect [104.1732 521.1999 195.1102 532.1999] /Subtype /Link /Type /Annot >> endobj 20234 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20235 0 obj << /Length 19 >> stream q /Iabc32669 Do Q endstream endobj 20236 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32670 20830 0 R /Gabc32671 20835 0 R >> /Font << /Fabc32672 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQNtD'W9F&Y:>b_%ee8>϶gEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC (KΡ\j'-:A/}$Z endstream endobj 20237 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1886) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20238 0 obj << /Filter /FlateDecode /Length 1883 >> stream xXI7Wl(YMC/ӆr9Mb\6T069dfjTOvҼ~zֽuue:>ՏҐxY^//^I^qӏ?eI pY_0#D :q""[.,4<{ОA?QZ Ky=?z-"+ž ?9itRDNi:u f,Bs .>DSuN48 x :AIt S^\}+~3Fxu+ydWjuW wt cdA{:Bqu!+y0(W:hhW{5Hܵ$!q2 zfuT[%geOjQA[#EMFhZ"GzH> oJm盞ɫm Z7QR&LnslJpRgr~䝒fHS*;aOZZhp{מT~F |;rg:׏S)ƍ?ȅWLWEXׯXjsI:Ʒנ0Id,<{^)o+i͡ o ܀dw&dAp xO/ #<=SݳkU0 Q^QAefw88r2"wtX?x'vN:X\'l9.BM|Ma7@X{Rem7`'=ċtuʷo";apAfN e_ǖ)%9r0QP endstream endobj 20239 0 obj << /Annots 20241 0 R /BleedBox [0 0 612 792] /Contents [20247 0 R 20243 0 R 20244 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32688 20245 0 R >> >> /Type /Page >> endobj 20240 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20241 0 obj [20240 0 R 20242 0 R 20246 0 R] endobj 20242 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 354.325 137.278 365.325] /Subtype /Link /Type /Annot >> endobj 20243 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20244 0 obj << /Length 19 >> stream q /Iabc32688 Do Q endstream endobj 20245 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32689 20830 0 R /Gabc32690 20835 0 R >> /Font << /Fabc32691 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%T)C9PmтGŶ* endstream endobj 20246 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1887) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20247 0 obj << /Filter /FlateDecode /Length 4266 >> stream x\Io%9W־|-{ ̡1j42/-i F%(Gmw'|lYN&Y8?ɿ^4Z>>~j]V>ȿ)(|]Z^ly^6-U*\1/3s=E_Vɧ_';y2Yz槃*/!WEYm#> 蕒~@[6wfV)]E*_ wvq/2{DإY1muQW.㘶(ǮF[4}3RG.KݮLX`@mRmգ!;l;T͒l `Utj@wKGeB)cgZ\W_gsiwD3[E:ﴗ6V1Em(e&<䊡>i|r;(ǽ>!y*_*Yu6!5zct ƹh;ʀOH!R^tViݚ o@vFC2X!Dyyt@H&fa\f@& *8YIGN~_Pz+ެ9hg֛:ǰbB0ėqjNCYә C]< U̔箤:ZKVzzV>rl.u˰bh.i \Y3^Jƨ#nM]1o 3bN;<w Er8\> ,+llM5LjC7 3ܟ}*JZ}̢kjfFXﺨY;nm`|~s|./Lp) 'w=J*(Xnúda KHX$-Jw[h@x)kӲ5/ɗ >a.M$iZe%^e Ҋv!Sꐰ/X"gNbwIN8~Nbot8o8[[x >6/# HfR+P:EH6  yc",4O"Xgm_[ĻԹe;w~O*|̀\yC|dFezFq)+K#> p2ӏZȆlQFeCTFzaPT2Ag>uiq;u) R8U>Kl]v1k49pFJy݋ĺJRV1N,-C҇A):.-.0~2:=׮ $ABTšӺ:Ńcv3@:F60f50̆F/>yA0AP :% B>t#K@YoE5VL *8n*W*xL[$a~ΠmSd*=<`¦v¯ ~n))$Lh5 !Ra}+f^Qj4@2 V fN0]E5 P.v΃J' z:Tצkw$e$]Ĥ'1TCZ)bQ`c05?Ehf?h}Xx Fd18ɤGIT-㘍Kk 3PFsgA`3kn1=5TKE3@Xc{퀖۸ךj Z^L(/a{Fk {4?R,1[ D71^<dcۅmp]VVxi5خlK4t t`?Pv `pH_Mk+5G<5T{F{ݢ]&QHL=#+xO\J<e{կY [ӳTMڈw4+>'Bu;1pS=Zn)ۨ=KrN E:ns| [@zrnh=ڔk_֡N`"jfoe{ 7PbM̱L)l6E魾' `2e5J}TtU[ ״tht( U -qF8t}2˲),Ga{ՏH"UtE6$!)4mSa< Kwi7ԚvQ.a)*j1di#Uvp;.dhp75ݒ=tuxdM ,b"Ŝ^w(6pXUҢҮc:ֳ}Ŗsy3#yf^~yūR'na8vrOybRL3_┱A݊}4=׌o| 5ޥ5.cKƶ9T%S%FұUABGG@ EB,X6J𓖠&)qD: *Elk(%.N1W8_/t[SϜLCRK[T/Q}^8",) ?ticfZ*GGZNܿQa'xmd8/T%[S3^cƞ^]1M@έH(qJ<7q]%vPdQ*臲{s*N?蘁)fzf> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32707 20262 0 R >> >> /Type /Page >> endobj 20249 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063101-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20250 0 obj [20249 0 R 20251 0 R 20252 0 R 20253 0 R 20254 0 R 20255 0 R 20256 0 R 20257 0 R 20258 0 R 20259 0 R 20263 0 R] endobj 20251 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 349.4423 199.3617 360.4423] /Subtype /Link /Type /Annot >> endobj 20252 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 333.2423 208.8492 344.2423] /Subtype /Link /Type /Annot >> endobj 20253 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 317.0423 189.4397 328.0423] /Subtype /Link /Type /Annot >> endobj 20254 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 300.8423 198.9272 311.8423] /Subtype /Link /Type /Annot >> endobj 20255 0 obj << /A << /D (unique_340) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 284.6423 185.6062 295.6423] /Subtype /Link /Type /Annot >> endobj 20256 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 268.4423 195.0937 279.4423] /Subtype /Link /Type /Annot >> endobj 20257 0 obj << /A << /D (unique_323) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 252.2422 205.3787 263.2422] /Subtype /Link /Type /Annot >> endobj 20258 0 obj << /A << /D (unique_348) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 236.0422 190.4132 247.0422] /Subtype /Link /Type /Annot >> endobj 20259 0 obj << /A << /D (unique_355) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 219.8422 207.3807 230.8422] /Subtype /Link /Type /Annot >> endobj 20260 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20261 0 obj << /Length 19 >> stream q /Iabc32707 Do Q endstream endobj 20262 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32708 20830 0 R /Gabc32709 20835 0 R >> /Font << /Fabc32710 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20264 0 obj << /Filter /FlateDecode /Length 3054 >> stream xڭɎίـFL999xOm\V{@#Q$Wwm oyq7]Y._:܊F~[}7ӯ?mt"|ԯ((g1a9Yvxbkye`-<)(J‰]<]CP9'3kCގy I{-_}QmӣkGȋImK~=~}+W -"~]LzTGXZ!;s pT{zEX9{kL b%6! ^%T'v TIA& VdZ&Y`?,^GSݱM\9)R=p3a6=$)Qb'r=:Hy^cJC&aPjmӎD ;&zT|)r6 [ae*#e OzuEVƮ}+/]j1PT[?T>ChBwDX*`Rө%-msN'~\B-RLXOa`pN&E1ԪlX+ 믱ÃBShy53)ȨidreɟURVzҋNe]މ!X A[G~najgW=ΛU .)e [;K ִ%QeP@*||yUߵo)~+6]`߹[I]t 0t[O ًnI%P}5ہuч|ǐr3J}/*I_:TF ԍ epwyz8wzYi2& {EMޫ_(cía;YGǪt4序~]8΃K^ǽ{p% D$Lӟ!"1BB&Gxݮw%E‹?# 9IrM7ϧDQle 2Q0+5fZy2TJ$nU;=˫vP%gTj B}^>C=W9(&g,v/[Wg#R3VAIyO9C>Š'>|{w~|atf1O9%BVp>BΔ/R3dNX3Jc(6fkƙ!"<Ņt{,Voں@ 'WHv!C_~>[m48Qac6<\S|"^mן Wv.יZ(=J w h5CpiAo:yI8{ [2Tw;Շðe63_Do#_ Ꟃ69d5'he'n\ZLJ x t[b~ڡOĸߜo^ m-p?U'<;>w|<20 \;'2AstmS_yN<\hkL5r8wM@XD|cU+~|L|zRdo%lDx.,3XV[W90 (=xp\;$kSB endstream endobj 20265 0 obj << /Annots 20267 0 R /BleedBox [0 0 612 792] /Contents [20273 0 R 20269 0 R 20270 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32726 20271 0 R >> >> /Type /Page >> endobj 20266 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20267 0 obj [20266 0 R 20268 0 R 20272 0 R] endobj 20268 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 354.325 137.278 365.325] /Subtype /Link /Type /Annot >> endobj 20269 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20270 0 obj << /Length 19 >> stream q /Iabc32726 Do Q endstream endobj 20271 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32727 20830 0 R /Gabc32728 20835 0 R >> /Font << /Fabc32729 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ \ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20273 0 obj << /Filter /FlateDecode /Length 4352 >> stream x\I8W@22=0Ky7I8 tKǷ}h][m /yu۳uVǠ*C~_/__a!e>3&'g.ֻr+=Xέ]"O-֥znngPvw?UIj&+WC_Ao,i-,[욬?f_ kk;kѧ[Mt'K.zlKb"fU_@& ;c}AխKJ΃/ wz?/k/)~C[clw_TtR\҂ͬͲk^Qn  ?\OƁh36%ϡ=hK_-ٟOe]W|1 ok[Dp/!קbؾ/rݫN[_ `2E\60kh[&E4_zY!E4"ughz V=9or;SR,pkN"hųsAmct|&P n~WEq+A 5EL pρ![fƈZ%]$8SUl a`IۺQeX8T).fG@`@gZ54-38)~̣d?CDodPUFPc5nWp|}cq=; 82T-\'Fz@\z!8q[^^thĬ,q-S+׆c=ٽzSqB⣫Sү%X{kz䠱,ҠAK:yX골;yn~6rEX;wiqnPxz#` \ "!Y*R/ƢP/v-;A4D/ͦ#5O ^4^E%e`W2fXshٙ4E/V$=ḥ^]'QK݈Z4^z˼}}^g [蝳9koY+5("q4'z㌆ ,O|>t*7#wYc7OђO MqH 8iAL&F ym]wjv@,uQk]ДM=#H.ԋ~ҿ*a>qh;)#05ZmjYqK8UR?tRT8 _%/0\ ki.8X&:B*3T{e:ΓT="xiͅ.PJUdzY556\jo3t"4TՋ:65kipbSa, lYRB= Tn9̴ZKKXTg_5jݕ} t=K JOgsη_(b *"XlNc?'5N'5c7I] 'uet8श>Pv@zO=;@f ))m@d(2?d^uHa0@_,ddHLC.1J@6^VY똥VFueaҘԂ/$b9hGO&75b*nLK *}||w.ؐgS^U06J8χ4~f0p>/8 ~yƚlK9r|йMnz Wd7CwVJTb+L56,Bޢ+N\OoJx`t qQ-[S=8JIET'C?EmܜMEiXi#0Z,Q򻶊6z}ܽ[)8߿fάk *|'nʚ6J`hOB{yxU$9H,7DT Sa]`spc{ڵ92q2[+6ƭ9(w67>)7WSy{_u׸ڱ/C\meH4 I_ vg}NJID0GIn<KAbRdm dː{q;T!.Xx4v!{b rp]F5ӼctcER<{< iØz>TƭZS9Cl|xhӪZժImff7IGA/wd| Hw8Hl*1Q{=Я-h8+7 MO~8ٺNYT{Xk+Q+. _u0$FÐݦUKZƺir-];rAh' \2\QcS1bIr1u#vt*8p4ǡIxM5'R èΐՄwP^ޚKv婳M301,괺Ne_4zSg%t03Kj%[<,C$J,v޸Jb|vV U{~dR:nƪqjs?:޴8mU;"T<G&#*Z^mnJ۪^iOyT 5|{<W[;Vf]:s a*-vOc!'(XŻN+v;CG\Ӓ1iNHA)Nl5ӚLw=m#m³:?XhFs.*8mPo rrKD\v)%4+"IP0`5e_&6UL^sow>:_x-iLg0SB>n?]T)[ߜj7q8JIh.G{A+ڤ4K.QaO"5j"l X\xjvpQuQe;A f ݷRaqx }_hNE}Sty;n W qx¹ot׳Uox2.a7g^fK,pp{ǩl~=xx aoh3HۇZU9zogNm1 aPBF׃|ygb|t&|9ï^^Χ`0 r ̨`%֥aY?}2(DT#ٮ a3) r;Iq\xş%Q]hGч0}9pN_(?_^{ lS endstream endobj 20274 0 obj << /Annots 20276 0 R /BleedBox [0 0 612 792] /Contents [20290 0 R 20286 0 R 20287 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32745 20288 0 R >> >> /Type /Page >> endobj 20275 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20276 0 obj [20275 0 R 20277 0 R 20278 0 R 20279 0 R 20280 0 R 20281 0 R 20282 0 R 20283 0 R 20284 0 R 20285 0 R 20289 0 R] endobj 20277 0 obj << /A << /D (unique_249) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_scan) /M (D:20211013063105-08'00') /Rect [104.1732 373.4462 199.3617 384.4462] /Subtype /Link /Type /Annot >> endobj 20278 0 obj << /A << /D (unique_250) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 357.2462 208.8492 368.2462] /Subtype /Link /Type /Annot >> endobj 20279 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 341.0461 195.1267 352.0461] /Subtype /Link /Type /Annot >> endobj 20280 0 obj << /A << /D (unique_289) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_scans) /M (D:20211013063105-08'00') /Rect [104.1732 324.8461 189.4397 335.8461] /Subtype /Link /Type /Annot >> endobj 20281 0 obj << /A << /D (unique_290) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_sio_sweeps) /M (D:20211013063105-08'00') /Rect [104.1732 308.6461 198.9272 319.6461] /Subtype /Link /Type /Annot >> endobj 20282 0 obj << /A << /D (unique_324) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 292.4461 214.8662 303.4461] /Subtype /Link /Type /Annot >> endobj 20283 0 obj << /A << /D (unique_341) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 276.2461 195.0937 287.2461] /Subtype /Link /Type /Annot >> endobj 20284 0 obj << /A << /D (unique_349) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 260.0461 199.9007 271.0461] /Subtype /Link /Type /Annot >> endobj 20285 0 obj << /A << /D (unique_356) /S /GoTo >> /Border [0 0 0] /Contents (wait_on_hw_sio_sweep) /M (D:20211013063105-08'00') /Rect [104.1732 243.8461 216.8682 254.8461] /Subtype /Link /Type /Annot >> endobj 20286 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20287 0 obj << /Length 19 >> stream q /Iabc32745 Do Q endstream endobj 20288 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32746 20830 0 R /Gabc32747 20835 0 R >> /Font << /Fabc32748 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n֨ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (cP endstream endobj 20289 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1890) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20290 0 obj << /Filter /FlateDecode /Length 2832 >> stream xڭj$9й!/rVaMS= @եަ%™UU#޾Eojwx欎ASKYui1kkh~|1ww _2=sƆeYeuk\iga= zoLz 0&C?c򒢭CD@1=Lxx$~IÅdL|c | >˞ÞW_+=dJ"CE(xg@s|brѺ;Uc>"d# { ?w5/eΆ%dS FHD7I:fJ:CDQqpF+tHZ ,y,Lў%(D^D\qwdV"Fؤ4(JZޯ-"ཱི2n͇|IK5yX= 0/[Kb"p^9T[Aq/2†m p j fa*Y>015%2,x 3`"Y3n2) SM0yD6 .T;Jo8tCrdc[?щJ5$'͝\k%Kl0 (: 0~H87%NtW NNvNoN}!wΜhkrn.8L9.4Rak JU+߿tٯ=)ԖfS~/Ny{DltԼBAB2jYylC5ڥh^Ek:ZEP:,5LC􍵵IײFf55~$75yBs+.#U/x:dNƇ~'W,aynPkX0'GQl  *m+";s7gϩfR)#hMI(jk v$fixFעiqt8(R=wo5p˫@M6MvMvRtXR#qu3[IKw<[Lɒ]Egw|;`"?߇>w(x?l3Xgow]1[ XZT.Ž^yNm0ܦ? 7:(xLiv]w W\+/DZʨ9Q7=b91s MbXCmg ޲; Ik; n)d-C%yϻGYJ7s<[gJ<HH:!8M e_j$B `RcL$ބ.Ai%*9%5u)13q'GΟzw)OjW3IeM5H3Wam7aC¿UػTߠ!Z_'rvqq&/ h=NJ,T@!BmߴӏalxB;`_l~27wweenVe)c& aH tx`g95fRTc=fˋ^IX'ax".OtU*{=K\ɉ3 [HxXl܅{.(D7&Az/N|j8!wAI4ezC'+N0!3Q:j9?HmwE&dK]o.)VbWfXg/8KCI^Ne$ %՗ >Z*W?&۾zW5qhm@W@l<3u\G/Qj^<̈́Dn,PCAZF0dj6l; 1! >YGkO .Ui,@ }+~ C9p랈?>K*܏p,ώ@PX(prTff/SUf"L~!>Nxw+wDP#s_Bu07fVIsXͣ' [7Bm"4us`'0z#"pV8hN.ɖ䐄 endstream endobj 20291 0 obj << /Annots 20293 0 R /BleedBox [0 0 612 792] /Contents [20299 0 R 20295 0 R 20296 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32764 20297 0 R >> >> /Type /Page >> endobj 20292 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20293 0 obj [20292 0 R 20294 0 R 20298 0 R] endobj 20294 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 422.025 137.278 433.025] /Subtype /Link /Type /Annot >> endobj 20295 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20296 0 obj << /Length 19 >> stream q /Iabc32764 Do Q endstream endobj 20297 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32765 20830 0 R /Gabc32766 20835 0 R >> /Font << /Fabc32767 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7ӡm~l1wE1 6\Hn:|/(z4Qh2 ALF  c̙h;IHt(SBD* ^|ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪE!H5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20299 0 obj << /Filter /FlateDecode /Length 4782 >> stream xڭ x\ O)eXƩ_Lp'0"rJ@[(2:0{)xF\h#?8#};DT3# ^OLbFN (8ٲhSD  U(S^Oh&.?g/WLc؀L,@xg"o-vyڱy>o ?Xm5} -P2  Ւ,Q%6ъh:_5V߳gd,K}^+" [#Ћ)9e$4>)v? ?=r_BE8Sªv99HU!$V}!VHk!|{k>>k;NbOQ ?}N_ּ8t(Ac|yc_II <|4].,Tv#EI:?b³nqR04l\󄬅hWJX2CDT'_rAnj2{6iqm9MN WSWÂH }1Pp?'z cnR-fs$%pRU}dJrg8Ev<"PlJo+ X SLI8tĶلg]ݍ$J5U +cuLKiHԁ.ns;_ְ9a K.*X-co[!qp O^M2҂oZv%)/ )bPf<+t/@{#; I$ qQ;R}ĩ]}I9a >"P@0\wBDs )oZ内TׂTҩ:+#u덠jlWR}n,hR Kkq$i zӑzI = qsݵg0P/Li֠0>ܙ,!e :@yO)/ԒFڳUG5TV>_ޤyP&QaG̿2d=d5*jɜSu`Ǧ+fk$.%KJk>I,gn [ ŧ މX-V#fФ1u־W4[he;mM F@,C% SMvpGnpy(;CGu>慊*-8ar&@qd9cjb8o>iyl6fa6fn=2 ;r,`s0 {`ղ[=6jw`.JyT 2 d ]J^q 椄]9#s[sb=͜. ݚݚ`]fsZ~ٜlNgi$sRoO1'mImHgN~Ŝ>Ü 1ko4'!v4HmGoGǀ\rp=lF:3P머z㐤޳!ًqoHl?[rfx4*T^;XN!~¿fAgA'ᰫUlc-6Z|R!Λo&򦤜t?N'N]?`3 W;5[>X]<_ n||;g:\7嘮~ wD9j-B'?E9ǷgxL״73Ӈ0' Ó qzҁPV& a1G? ԅ'0Љ8p#,AV mXrz0*<̌QD H  c@,npR tY冘j`:{RMhܗZ!A3Q\qTT6ٰz!Ba\)P(2Tm*~1Isq(t2̈SM%M)%M/?L)jvlVUgGU3_B]*L-w,kT93!軪rX}bƷcn , pUWQ(dpP˺5 rebI?k+WQӕ%]? ]Y``{:Ŷ!xZSiY#`˰`m˱lYsHyQ[CigB9?:º Mus:}ysMKl xHNm$Mn}˦&KyǫDWGԣTv+ٳ3˙T϶^)L,N^ź$jܪ%f>^˨GfS|!8oalCmw.-a@VΰqȱN@}<Yݘ| r,F]nX@Yeq=:]e$+z Sš6 G[YǸFЩ|Q/U>ܧۥ`A,ɛ %*.OaLƽӼ֭;$kx6- >nV3*ƕ%@~yjV5Slr|oHuU h:,=1\cxH}&[`vmb` ݓu]zَ`?\YLRi\$b#C<=="5.#`}d>gMT4jj|9% F{i6x |G pW)?? 5ay] 7%6#)Sz Z@u}vm]^D.1W{̗1Oȫ-O ϣz-x@ *0}X#Oau6S ~Ie(qӑ*6Yz*n+Mk$4?g֨ 3uT |] i4/3ɮ>:L'RF/X7D2C{Z3"eW =yߣКv2@l0`#@=}T=[+[T}]e q$GaAbdMCYǩR0tp@!p}g' ?t[ܮ%J)qKe6ݛNF>1k6)rr7U_RlEW*61ǹ&đꤲv2O7wn7k!Zl߁S$!+ h`3ȑFs% YBi"߮ endstream endobj 20300 0 obj << /Annots [20301 0 R 20305 0 R] /BleedBox [0 0 612 792] /Contents [20306 0 R 20302 0 R 20303 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32783 20304 0 R >> >> /Type /Page >> endobj 20301 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20302 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20303 0 obj << /Length 19 >> stream q /Iabc32783 Do Q endstream endobj 20304 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32784 20830 0 R /Gabc32785 20835 0 R >> /Font << /Fabc32786 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVf^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7}KT endstream endobj 20305 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1892) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20306 0 obj << /Filter /FlateDecode /Length 4102 >> stream x\I[xg|} ɡ ;?d;onjuQ I/>-Z]K~Eߖ\s{x㓔*=/pcy+PLEht~z$)~^ O'>&3ʣ/*Z'8ZFgJmI\n &"t WME*x-o:Mw^2pڀ)*n d({& PYzu@\KdT`|XD]] ;vR^~WG-R8iGLGiЫ ZZnhI?bgQsZ=UU7ÃQOG4:\:Ks4O9|tn#gE3[S8(?F()2^,,v▃k Vb"mNLXRw \v?X :yn &XW˴h74N`\4X8oY Cl ^ >pX I&ɛ1Ѫ%ci (׫#['ق\r8iJ0S3N82h#sI~|SgijNZS֢r-YSBۤcAvgANt+SZ[KUۚbK^8' H0=BV@t&]e4Gf|-VcSZbH1R%\ "vKB!Gu_v. jtx]GUp%UO+0AIN]>v'ͻsbh(3ϥeK5.Q]ըQTA^r.y^%N P&zZN?{}?x "+:$zVfMښ*`Զ ^]lxJՃ4;ӷh?з-'}a#S(61dU}NRོ1i,zWv9]iU$*ܟ5Ƞ'U3 5,8غ};%چwUtb~SiفO+d2LtQ!*?)8@5y^s'Ž칌9{bU+Td-Uڹxbż sC_8tSԐ N]nNq)񊫉b6J՛ͩ.h/hЪ TZ3ɽFOxݳΎqs6%cVfEmbO^jPs|9VJ8g+>q֣YxfCFF?A҉kqCCAC0PÎRXNHiŵȔ bʧa5UE+5d͒BosKטͺ@} e ~ ZĠoVUwV)Yފ'wp5lt Eod]Q}AAa螴f2q*b,qol' 9MGTQbLϕ{ig3b=Ak=C2]KW*G=;/Ԯ3K`wXD|Qm__6C}qkM1;r'㡫K׈;]e_gN&< Yо?J,xE?[~ß-WV!̓owq2#H@ ,v_b<&2k91`H&anKM[uJrH$%#_n}ooJ5$w ٵ銫H]޹c+h`ߟà}[J?'nwlG JOA<S񣃘W1<⫝Aلu0 )_<&m+-8QvI 8 }_8$(fqQ^md4t[(&lQ-06@np?RE:#1*|8k3k{u) ]Ɨ@}ɯ1My!>3ͤ#ڽn)Q>2i?JV:1 Й 7Q-e_<)@)t̙C9$9,O+!<)6GyWGR^93ZMe3]2ylw896)n l^oef=: E{H^

bԋA{IYf,au~\łԙ$WIGMf2w9vx .8LŤ$[-!3Ү5UƎ,c$ +w+c3'xWG׳;r{Tb'iKdXE?]TLGP  ]_ӣA$=IJ:K@*; endstream endobj 20307 0 obj << /Annots 20309 0 R /BleedBox [0 0 612 792] /Contents [20324 0 R 20320 0 R 20321 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32802 20322 0 R >> >> /Type /Page >> endobj 20308 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20309 0 obj [20308 0 R 20310 0 R 20311 0 R 20312 0 R 20313 0 R 20314 0 R 20315 0 R 20316 0 R 20317 0 R 20318 0 R 20319 0 R 20323 0 R] endobj 20310 0 obj << /A << /D (unique_244) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_bitstream) /M (D:20211013063105-08'00') /Rect [104.1732 391.8 204.6032 402.8] /Subtype /Link /Type /Annot >> endobj 20311 0 obj << /A << /D (unique_245) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 375.6 197.7282 386.6] /Subtype /Link /Type /Annot >> endobj 20312 0 obj << /A << /D (unique_38) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 359.4 190.1767 370.4] /Subtype /Link /Type /Annot >> endobj 20313 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 343.2 187.6852 354.2] /Subtype /Link /Type /Annot >> endobj 20314 0 obj << /A << /D (unique_267) /S /GoTo >> /Border [0 0 0] /Contents (execute_hw_svf) /M (D:20211013063105-08'00') /Rect [104.1732 326.9999 181.1182 337.9999] /Subtype /Link /Type /Annot >> endobj 20315 0 obj << /A << /D (unique_268) /S /GoTo >> /Border [0 0 0] /Contents (get_cfgmem_parts) /M (D:20211013063105-08'00') /Rect [104.1732 310.7999 192.6352 321.7999] /Subtype /Link /Type /Annot >> endobj 20316 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 294.5999 182.0037 305.5999] /Subtype /Link /Type /Annot >> endobj 20317 0 obj << /A << /D (unique_301) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_cfgmem) /M (D:20211013063105-08'00') /Rect [104.1732 278.3999 208.0022 289.3999] /Subtype /Link /Type /Annot >> endobj 20318 0 obj << /A << /D (unique_18) /S /GoTo >> /Border [0 0 0] /Contents (program_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 262.1999 205.2137 273.1999] /Subtype /Link /Type /Annot >> endobj 20319 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 245.9999 165.4707 256.9999] /Subtype /Link /Type /Annot >> endobj 20320 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20321 0 obj << /Length 19 >> stream q /Iabc32802 Do Q endstream endobj 20322 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32803 20830 0 R /Gabc32804 20835 0 R >> /Font << /Fabc32805 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20324 0 obj << /Filter /FlateDecode /Length 2794 >> stream xZI$+8}J)R9omȧ{Q}"+rkw++}ooH '|bӋluF/*M*v'E5jt˃odg8· x6DG퓧oD\t:y E{~3 Rmƾiwm7/G(6V6R@_I gvR<667hݑA{ JYZg&MuFdBG]+QD-T<]iU.Y-(Bҍ86d^"̯w0 ΁ Y[0A\#f0C @vF1rxO 4[Ǧh͆fGLl.A kڝhvǺ趎\7QM4"K4$^F^z5Cm+K)\ܩsk^GK"G4t:UuM50jg5Z |3ђ B ">E8Be .o{Azʥ՘BDx!ei!LhDZDqmOGPFXobwew79+59+jrkP/c7fFMq*}nS Ѳ՘g&rwc2K>kI**眢6{0u\V^0]z)\B70Uh};e7Re* =l޺C)绲wFy $vs]ySy=o6Fقogj)`*t!83Ju &l91Ҵ5YlN|ʤV֣.< dk>m8]`iOt{O&*K~Eyg $s_3ZBw-̭&>Xl3_*D7 #υd_ٴ#W>׎ Yp/)uS<Hڈ7DGv>ռ4q6§Y|my]?^7.)>jT܉7qw،˛"͆P"Az3BIBSrB! -=.6LdԂ/vƂJt &q~k1:e^i"*@c cXSA>ˤlY~_)'m laEo\BΠhf҂H H4ڭtI V99ws>_0/Ι`[wqu涨rNu>(kG!^SqeH5NBEG6=#FZۊ^Y5q *?4:-fq,.MV%X\ DN~ ry*RFY0   1Dt9INtze= e6ErpVZ\eUdFIFJ]vǚ5KKKabs`Ś5q HC>yZMf@M eju{_FH'v6KW`^HoD,%<^V\l+G(XDSe#N G%lki(ՑDH 2S8 !e~4 k4Ds+?׈Gk^Dm0a,u4NFCcɥEѶ3#*b)GĹ<뭊KKd;A +aVGۮVbx![JjLpKv/}\YR}Yi`֐넿Lί (⬞SqE^p;Ս?X[tnO[d};Wuv1xGv2KYOm`1uףߗ;"Mhڎڶlc\H(-+iXCh i;,9B_{=# Ђ@lJ0TGy"ZoYR(U, Umi$Gɵoa`O-ĝ*ttjGBL%ֶ۶}d#FԹ*ܽic+]]qN endstream endobj 20325 0 obj << /Annots 20327 0 R /BleedBox [0 0 612 792] /Contents [20333 0 R 20329 0 R 20330 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32821 20331 0 R >> >> /Type /Page >> endobj 20326 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20327 0 obj [20326 0 R 20328 0 R 20332 0 R] endobj 20328 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 105.1249 118.9685 116.1249] /Subtype /Link /Type /Annot >> endobj 20329 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20330 0 obj << /Length 19 >> stream q /Iabc32821 Do Q endstream endobj 20331 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32822 20830 0 R /Gabc32823 20835 0 R >> /Font << /Fabc32824 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 20332 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1894) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20333 0 obj << /Filter /FlateDecode /Length 4968 >> stream x]O8S<@<,6Jsa^ Pu鯿?,'vREU-S))J~Z*s˴S&Y#I??~׫Fˏ&Q~|~QʽʓQͥoiSͯ"|hg{W?oˇDNF~NEǫ _7pK jWFy&RG^~Pj\&aR.u,ҎerJyW@y<+pt5h+ԙB=;YxӽU* @gO t-W V'eJ~|2Ժy'^OqP2xoƑ، &F; x 5,ix;AR+=LP/_䯨ghyۨ, JC;g4ZY񢴁N^AB'Fiw>H/gup €jpNFQioIԒҹ!XNŒTo3I-v츀 oA5z'%hWmK ޭ; e[a1f̱N~sh<4z2:a?N؍:za~ZD$B;4vD61 7[ ˸[ *=F=^ޣS\l\I@u5]~ ;n9Ӳ}AD{( 4D _G 5FxoNjA|og 9p ëGS T0X!izڽļq)_FDĄX*Lv '%̃vLf*Nb<%(F#JRi9Ȁ DjiEѐ DU"|K;:9 E*#!v42FMDcԣCύ ҥhp^wE)Vbl[=j,Wӻ!b#s!!a!q#1J?x oz U %>q"۠-N>>_hF*1Y2=1w҈m[3S :=|}n0_D L=:K Iqe0CA!gTդJ*n8ILʦTD[;ex3鐒iօ:iWx֏5({,c|tLҟ'rۨk)YSK&z.Z !c;.UCu͋ .:D\y -^VLs8uX)%&xo:F # ^GxY:kx-bܟkCi:3]8TTbv R%Z: ظGEt7rP+. \ը''[9Frkn}_0ꎟZ[m_n:ZrlHebӮfh {;>g dy6iqhb>"gvo+1UJ vĤ#6e/~xY[ %lX^ [t*)!)]Œ\FvDenļzzRuMʦEo'b;世iUCnfѲY˲cȐRzv|3B^MfD{C,|ËX>K^!z-^\ͫ4^v^/)=.+9_6H ªg|c=A !N3)@Vds Cp)jwZL /]f ]0OE|^}+'Ln$ۀ GH&ck>0qdN#,_ gv|iPoakАO1O YG+N:V 꾱`;WSugͷe)%s<vۉoj~\ Э9Mu;=#Z <ӟ9tL3C˝^r4u[GwYhKG WGG~ߧdU}8?sjP5ףjJj74kl@x|Q ϚW*zh^<|ĨG~eڤۥ̰󍟋oy7VLIeTCOܩ}7 >mM):mv Uq#lئ ́5vfp9Uo6x,MnB .a˹vQ&cJg=m/_mƈBivPtg'*^)*>Jknk>xcq!qyHD+3ـU("@U+2EgQX0 cŭ7DyC Xj}VUEPkWSkF"2B虴L%0A{7ښ8,&')7-򗨁\. X-gM]GdQxssk/hRɎ;Ldt7LWo!@UL0P‹(TIpFEa!QHbRDN]q@9ƴȱQ*JU7yflB1Lo"c#3?fnD1eoy1 EW%)3&_f .t.q$ J+-k޿Q6 fpHEPIDm3U`LZX@=İ*"}׃_ s Xlai%mq5(^HbMlzXa-W قVYSU1a%+ݴnW* N"*YxUhOtJk'qwgA@I K&ZYv @q&-T0:'C JA0,F,B- )d0%) &XS`UkMkwƘ| ŪEmR̾W2t7EGS)bª:0ƤEܲڌ*#UHH4&Nax+ņ9τ0,),ӞKaM/NaX>g¢ՙXE)즁cU &ߊs4yF)Uń.hcLZH-SA :DSw fDlLb2ͤ Z8>xS`U=aH1&%iEB"KC]"٢5fOuU+R;<<%SF)q3O?ܙ#&Oy1P[yJ>fO)S{_lCJt~l',i~jmʹzY͢azg-gE=Y3¦3!Z~zrŚKvgBjsrŘ4%O1+?-@.͘YB GgS; %nBJ>)qRHCJt!zBJ> )ROCJhןZ_%lPI%yjā<TbozjYPX8(wAPy Į iG)]xT"Ã)Ճ;@% vJ? *qhCEK-_9R"ՂH@KH Lz؜oIw.y~cϭL.E6L ēLU)0DeWtaŐM‘;hOmtDT[f5̋X%Ʒ;ҩڏ2([6N& ;ۈo~dP.,z >ǿhiZCe!ϸ4en6 rn  OZrY+]<5RLa2r5e8CPRh#E1bEnT@\0 aeӌLvad&b$$loHkUhYZ1WkW=-<-†gv;ZN:oOW9ڗ|n]~5\cCk&^ <-X~~+kZ#([@m sx| |c;v^x\fرuб#CȐg#02S/x3#>tx8ĕ>t(?v̝wxy)puc:kG #Lx}|=VI|Y>f`n*hRx tNƽ(<_}=M AP endstream endobj 20334 0 obj << /Annots [20335 0 R 20339 0 R] /BleedBox [0 0 612 792] /Contents [20340 0 R 20336 0 R 20337 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32840 20338 0 R >> >> /Type /Page >> endobj 20335 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20336 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20337 0 obj << /Length 19 >> stream q /Iabc32840 Do Q endstream endobj 20338 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32841 20830 0 R /Gabc32842 20835 0 R >> /Font << /Fabc32843 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ$\ endstream endobj 20339 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1895) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20340 0 obj << /Filter /FlateDecode /Length 4098 >> stream xˎ#ίsufF ,C`C"@lJfbH!KgM%IOe.J-_5~,J,_~,_aŗe06oKnO>N<8'<l{\_ 4ű@&˲&9M h@Iۖ6e |%ho>aigحݕ*kqQ$8Dop5 /˗?|c)A'Hbs%\ 0>53XFJZBJc"ؗ)Uw @Vg΃D3qX`k؄e謽YqkD W;CGZZc)[-}Pd6*<3 {\ѐs~~`znk@ .M3P 0P?[d %˲#˨E8Jxs ڸzpE ъ; sdwT o'UEۤ8CO."'-Fu5Fx_f;c6 `M5wYBI^[%[LT n2 ԪcWv83G?Ki-kZˮǜN^Pc!ɭ9t-N.>)_ @AXw:lT%fc0 0*>Kq݃RP>ῦ|kR\vU4UEHZ[ %|.{PaΎ9[V s=SRrsmyˇ{`E> 9yW&bXrv),}& 5s {&<)r9бT+@I 24ΗRzX]vɼ v%G= VX^(js酼ؖyݤ[md(~fJ0-v8@EU}?y@Cgayߛ{B3QZd-+B9lՌK3~őԁDž^DXlP- cXwĠ|O,#^ < XQP|FmCvFxoMTi1Bc{OCHX~ExH|+Ʒ[it>M޳f^Ķ:'#0qKԲ-Vtc,ij!:"TKIdBuhύޗ]>ʹX, [m5k5vhՅc ל 52c=sT F)fdQR/Qj+Re!C[/~t[Rq>q|}tsz;Ѡ1Q.ߓB` LԂcFkjդOnVc 3>#t^+o\p ̖5|g;*[nUaU4ݘ^Ok+f{YLZ\]ځ:KwuAz]d=)M(\? 4;cJbY9e{؉/-iRW{2SS)<&&S,>Zx|\KcsD9\< STz)f)3I]15hԺƈH4v#_~t/><D>Pk pK[1Ls>8q \ ;A8C >{:VtWg3oL&ɖ_L"վ~[7"ѹ"LtE"\6Jk!原zH;8{>?`(l ¦8x񙣃>6őih!Oر>۱ ծQ<)%· 3w@x+^V=ٹb9 o\yi'n3ғ:`1!w6Jmù%L=j_}m)&mWq]~.kNN{mt>;Ri"fz6,uLk:Qep'ȑւ=ib w8U=G[x5t؛O2j˭^nsnw<|[{`nO2?hK>[W !fv$6qU޽O>ӳi^,<2l,$nyk[٦/ HF1ѝ3s/sG͑& endstream endobj 20341 0 obj << /Annots [20342 0 R 20346 0 R] /BleedBox [0 0 612 792] /Contents [20347 0 R 20343 0 R 20344 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32859 20345 0 R >> >> /Type /Page >> endobj 20342 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20343 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20344 0 obj << /Length 19 >> stream q /Iabc32859 Do Q endstream endobj 20345 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32860 20830 0 R /Gabc32861 20835 0 R >> /Font << /Fabc32862 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7k&thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Qj Ph|=B/}* endstream endobj 20346 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1896) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20347 0 obj << /Filter /FlateDecode /Length 2197 >> stream xڥXɊIW!}_ IȥR07 sT3RӔTYj["+7gGi^|}uu8egu~ֿުvV?GCKob\%7b֤ts.4k??`G>Vt T3t=*X"\x/LsG%ರnոZBa)D LJq`,r#^ Sn@K}W;~?B80QP(}!Qr:m)gHzG[3a|x82u퉶g-Jb40`B/!xPuS(*OJ.A!Ὡ}*GU@*_7ʶgF?!=e2\4)R8^**(!SAWѧ]\9lZPٓ4ꢣSX26]>gc.z&.yɁtZ{ 1C5`߰YS?N{Wg︄('7ˈ ր.Q=2ʢWH-&x}&!Er{xL]Z6R 1|4t!oo=yBoWBQ>gPoo@l{ Gi.mw9a]fƽ`)HI (.!!PV_'Χ~H=;1L (H4ٚ_esNN__Pҥӵr(HW(3EyWD+HӀY`6 ."V"0!u_PdL\Шc廁>PƂd~Kx[}s1 爟죽pWޔ U~oEǡB@ u=.{"~ri<ï?O+YY Y~xw **{EX4I3;QޅμWIk%BT~ MҰ[ev"N,=rr|9'>$P| 1R: ({|>yD endstream endobj 20348 0 obj << /Annots 20350 0 R /BleedBox [0 0 612 792] /Contents [20357 0 R 20353 0 R 20354 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32878 20355 0 R >> >> /Type /Page >> endobj 20349 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20350 0 obj [20349 0 R 20351 0 R 20352 0 R 20356 0 R] endobj 20351 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 169.625 118.9685 180.625] /Subtype /Link /Type /Annot >> endobj 20352 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [124.2815 169.625 156.9295 180.625] /Subtype /Link /Type /Annot >> endobj 20353 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20354 0 obj << /Length 19 >> stream q /Iabc32878 Do Q endstream endobj 20355 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32879 20830 0 R /Gabc32880 20835 0 R >> /Font << /Fabc32881 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7thǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3jm#x#?d_F<<9C9P2,dN9 \L \0% F1'#8F$!īXN>;2!3ϡ*mтGŶ1` endstream endobj 20356 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1897) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20357 0 obj << /Filter /FlateDecode /Length 5095 >> stream x]K8W<@x Ȍl`n=[{ sK%-GQ{DwVXLR$?,K.NgſL$wp%Gq^o_O^|e΅ -<1:K5'J"mnXqndƯ9ۗnrOTj,Iwn2$~)gy5ZQs[Qil'/l6 }4Q{7i1I~o,Ŀ > Üe" ĥOQ!';"A9eUW5+9<), .ԅriiSBdk.*ztmLb~NMڀ>yrNiͧEkH[08b[i6V3k(6'/Wt8p7$рRM&+ E=/E >+D<_)Tt-L_?@ޟ!ZB [TjdJ |Uj:!c&@<6x:SI ?7`LnozIC k9M=)o&4 aS Qj-Q-UsXvfaM6ˠ$ di9汉/Zv;yalʡtlO8(ZMiĒ:nI;A+ d `qEJka^9 $Y uчT=-F"ZE!)ިA #[j~]y2N^Pc0bV[#mE,5bV#mD,+b(b#"v#q|GIJQ#V1!)+fHc+!h=j(Ǘ8T5'dz0~M\'9)MG>W&^+a Mԥ.WИ~HUyAq1\o^=C{f ؇SN5<*#tN =EDOuAVS:T ׼)zܫ8R=4Kie#w}.Gv|fϭ Ǻx^upCQܷ\jFzN i&+MirѴv):hr;R3kC.tsso FHv#9G{B FVx\3ԋmyG.?0;3~cyECk~nuTeSMs|vDV.|Pi>.My{;^W=(p8XتgUy2~;nuFG~_[z|jg;[~{agj,_CۿfVIva5/oJRlC%1=p 9`_b6B]9McVƮYe4K',b}W$ӞdunyӄێIsCJ'ۡrt5][I)DkP` J=(XS#YSg-= >yTőWZxhK36R1tU]R(E x`Ȑ wGz> \XH䨭z;0{M-MrJk?b?pIw1.\@ЕHoY.?Zg\Yq-?" ɸȝEbOor~%IDઈLP-Q;%+6C@ƵyDz A-y'x,%LX/ȃ{zxdK-xTyjmFH]4..y31LYmzbe-{RLtsd%քѳ&Ê*/i)Y E$6`krf!D*ݤΩ,mr@d"^.Ȝ,Q|Rlrӧ|'T%ZVg&$)OL{g&":[l,OY0DATm YU\ǫ蒍 hӆP'P^q]b_Jq6KE-9Z8ty2TU k,aL ܤ[ q\/a%, 6[DȍŚԲaq,aV49+E6w @Us' b1ɟ,颃SU ؕV‰pwÉp⏀k'|X΃C 1vXm'1p}A8UT8iNd'HjM:m:Xl:mjiSSf'FT꒩FpjpJNŮ'GΞU 8]^-xN8:Qg[v>[ӕx'ڲgﳿwwm'> #P@]] Ry#Hnof)|3(H+; Ŷ^A 1z[C )X c lyj{{djy{dj|{j}{jT{=b^`^`=hDHK%:H,XB=`R쾥.BݽTb)vR1K%Ɛb/OBjnMm>c45GS{h[FS7>1]GGMGmv|x9E9E9Eq`bχO;@Ŗ-UR"XHws [I\[)&[եQڦX7k=n!bs~R#%_ 4~;lC]sF[霍'Visd e( `|:cSH )%o*Lyx }XYdz2:]?$XIyW;^,b'x$Jptk:ţR2t Kǣ2o}ٸg4y aN4#^y7mx B)*K[rp >@kHK֏š={ RhJgjG7m[(:eؠPR9Ex\7*ULxIX:܃8;;939h!2pNߨ,t& 1`qm# 1~$8#I}ٴv2@v>`#~oBQ'T5ɘxN耳rvos3kF-wNm3>/ k֚ݚ;Ry~1htgFuđ;)k8i,mq*N3  ǤP.i< r6tROg|Z$NtoEf_دd4jZc)t4;W,HAnQ fe`_1yKP3vKMѯ~nt@-vCVznf^l3R8+Cxh.w>^9wjvu'_e)IOM:}lu=`7;p- hqw7Մq35m'i◷˿ϴaNroqڨ&>/M~x3`=rF38 DyB?ԣl/ZvuD{uǶ2Is|'jc]vbwf۱nүnKݛ-pNH<Ňliw[އeL=CУ0=/ѹgvAFg1r I endstream endobj 20358 0 obj << /Annots [20359 0 R 20363 0 R] /BleedBox [0 0 612 792] /Contents [20364 0 R 20360 0 R 20361 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32897 20362 0 R >> >> /Type /Page >> endobj 20359 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20360 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20361 0 obj << /Length 19 >> stream q /Iabc32897 Do Q endstream endobj 20362 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32898 20830 0 R /Gabc32899 20835 0 R >> /Font << /Fabc32900 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&[!(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl qV-o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20364 0 obj << /Filter /FlateDecode /Length 5054 >> stream xUkkacy\ =,F k|_xgMxG;>ѽ~0&f߼;Hn5.aIcEq&Biau5y-)hiK/Ҡ!FȄ5tA dV"ٜE-2Åg^q"3po/20+,FHBݺ۰e!'}dɯDByTEH1L:YqI,jqx"Df",3a을+\%OW#{ڬW\Sk7ڡJ4l'?· `U:K(~BĂ֐G:Ak1d#sLl;lcgqߛ&dQ"!#~q iu~;F4[6uLL@8Ps4`tX/G~ZCpMMtsUY.|yu%sy(ؠ#J1ŗi|'oLҾyh,̷F'DH2->5d4DN%yZhBY4A*_Q)kNYۭDy~W !̹$բl۱N w+.M5 T5}C5XU XAr_hyH0,.09eGaIp]2@0UozقRLS'*hj=T39x &nK X&VNн,9mq-@nM8 d_Eih 1dR5wy4P"ve?! {z.M#8jͳ 1N91Cdvu #2sCɟjعvhW _Krz Q8XA`$)PPZW9%۲opNΧՇFfZ~D+I (A1ف?MH1ћ`%$b{5^ρglvf Aj!Cě5w:ǭuIQX=DBQӭW͘2̄'9q]o7Dx}\ɭhcmr>qŲJ@+^z}~dW@wLje M{ShE ]ܦ6h3!5*|;.(O؇(i칖6%~QMuw|5z6Y1KH)Dzl5c_Lb\ fĚQLqp; 2N(,0A!,4[d'PѲA`j((shaN^z;(tbX $oEܥZ~_S] 03#Ags+*-O &x50Fs15B8 ROWVh(6k9]|P*'Ni5<{27m7]iQ2ܗn_ُqkFzO(/ 5AMUɹMOH~m ձC do0W! [DZgkUΗ 2k; ^5z/E 9B\xvv| O>>asi,hRNܭ IUGJ+%wT[V:wkUAR]Xj 6 R]El/JnlᏚ|u/7M|4\hAt'Vt~q ϸj X/*|GTVsVQn?~7qwRfa+qy:kTbX~2StJ9M!S7Һ*ӑ_.5QY[Y;r1޼w$%O%!`dz֮>M@@{{AĤE|xti/G²ܜ|p=95ӎU NL[+l}W`0Ρ&BdH! !!hWjhŵA@2 :-U':8;]aCg;KD k8jKEW:!2.`E6ot@!/ F!4/1])z[B5pGE^V$T{.e{X)k Ci .(lǒƉZ>z}pg^<|97<03Pj4#,0M'[I\\__{Fd2mk, 0[V"bzŰܴ"A߰ ^nNq Ì{P<(n0X^B" y.p7|gg$Z:mxQHB"~#_}B?s~r.]ƚ?>T.u˲<̻sThX>G\Y9i:'!}(FraUdˡӝh\&a:TY :*!5d!@ |P3湩{Q!/1s\Dz  X1,1DiWA^1ljԏ _GKii[ O$|`r(n ¿xh"uRO\R#\n*/m V(,u:{3d'y 7T{yPrZTwl!@v9"P9mB;@f!2SZA*hEdF޺\;a/wc> fO owu-x@=U a@IYJ#Ox**S 8CP#QnR!ɬ>v:JaYфQ~xd Hi옜55L!|;§>*|C]l^X4! W*H7^eͩ c= X@ Wr!#Vn v 䬉+Oqh8ɣ<*DkxX /c/c֛xyXv J235^Rm\$i=ưz-EZ. m扰`_[O}㭏}bݛɨ5:f٦AχRo6Y.KM,:&#՗"n`h^ы!Yy}>+qMH> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32916 20369 0 R >> >> /Type /Page >> endobj 20366 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20367 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20368 0 obj << /Length 19 >> stream q /Iabc32916 Do Q endstream endobj 20369 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32917 20830 0 R /Gabc32918 20835 0 R >> /Font << /Fabc32919 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYGFxaH&E d_ )2̼d9 M \09 `ۼXI*d~{QUh^@wP".*x Pڢ_Ћm߽?>R endstream endobj 20370 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1899) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20371 0 obj << /Filter /FlateDecode /Length 4838 >> stream xڽ\Ko$W@*UncOg Ce~WfV^Owu%d07fu1Ɵ}boK3KK6ԥo_z1xg.Z5|MZ6I'y_^~h쵾%>TW&ڨ55'~\x`˚--ǒA?.`9?)AE눵#ֳ`ielG+Gs2%җ|paLUpa%M F󴺹ӫ3qB%Sh3̛ ;3N;m`Mi@ ϺTLNeɯɇ $H<{W{ɀ^js6mhY[vD7 4D߲:l΃J4c jO 9R*yJ]CF}w\DȎg.\b$_2fwPli3)L5D;9[\5R !7,~(R5x?-kcBWi=i^a5=lYFΫ%1jϽQ&,ICjO*AD"N6Z Bpu&9h|w~u.k!Fx4;ȴɮ2 Ɯn>0+k&hg3@(FkZJZNb"8uDoZ%ɯzBKKU$>5!N=W?YgW3%\-~kNe҇D"9M[э>N1[N^Mk CdVq fެ><ݑ s0A{hB0߸GlS]PtJ"k9y_5k 3a>]V8RCbgu7Ε/f6yTY9Ji wu&tqŴoE{ ce)nLp4j6GWbabY+9-Py~U/_U$RZ^ `z$@C#1aNED^/T~?-Bι1tv!,ѯ>%R,9;D(urRyJY6Xem@/ . ėR}yвk8=o!lsEEZ=!MS`ohr3%F8'x*ޤă< mA"PY]x8{".3Fv{ҘN- st:uʫ!SS#u ΂wN)^vGgkq`] @{M0j^ODS+W&`)`xCVM`je7!xuԶŬ74x+PđȘit>jqhO{/@=@mpL0;:t,?O xUܼEoK!SEτ{cO{#^A+Π_H|9_G D5;]:!*M$64 YVo,=POyY`dlkR"٭:D>𘊦s$I:fbO MđFd]XR"M{:#, *ʰ`:=9$?:eVEފDP0p癤8uS[&TwLQ:"D|Oz)e{KX)<(yҔ!l6 gCEXҼ# 6Vo`>~'qLEF9YhEWv pLSI`KU<\ۏ  欄DF 'ФS $[ܗ6loȷ6["1I2؛޹6JcOMzϼ|%Tjw<x5vidZdsx^윈-6ol+MErWRJJ+wa J P;pga/zDy+!P5d )]7QI^DzQym%ݪD0!WK'wtH#f2V'ya~>'KFְ>,FFF 47N+6W\jy /hw4Di"S1ԷΆQi߹j%iX7n[)B5\m߹i\؝k7+jg vmR{upfNRN$$|TlHg=*_\WѰ撠G^#ҋ-6BT3Oݻ="RSy[sN-J=Q|@8g؉?xӴg:ϗ)#nB'eG6G+);zf_y5jbR7\Twb}wJ_{tAVpNLH ~:n\s휮uq&U[%Wn_Ak4v*wy[˥+720}fMw;:o.x)/-J"M4;5qȠ!gɇSL(zVPI%MqlX 97c)jeD/%% @πY,SYv.Š%g݆8/b՛9Ǫ#3$(m{D]4цiAJt{؊)"7,QƍK*iWi|S]G\k P-ǟnh/~{t`bʋy*]-_[(kgRCa`^7֔{yE/?(o+8~6DJiD q&ו)~iݶRma:DʮyԦ1B/!jom!Z_' oNkU] W#)Y\*#mIJҷ]P=.jV :,3Zr -Ø7ȫ=w /􄻺Ku&,Qu>p31٠N|?MtʽW.Ѕ?^(ٶ6P͈p4q5`'lr67Rc2~>dW_K 7JC(s(ܣ̈\AU}TgGu{tbTiIFUfs8mK]_vptNJw02cMG4#]Pe3W.|-FSЄdi]kxV7ت*c[g2RyՅ`H/``HpڂFO' {y%jC1<^WFe=`ĆvO@ɲR 9h _ȟ_ J_'|R}Ige!"V`p3;G@xTJUw˪R4 џ+pY~x5^!҉ٶJ=ٍccXW{dJse''TIK#acrT`u^V~ASJx}Fsw=sHJg endstream endobj 20372 0 obj << /Annots 20374 0 R /BleedBox [0 0 612 792] /Contents [20389 0 R 20385 0 R 20386 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32935 20387 0 R >> >> /Type /Page >> endobj 20373 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20374 0 obj [20373 0 R 20375 0 R 20376 0 R 20377 0 R 20378 0 R 20379 0 R 20380 0 R 20381 0 R 20382 0 R 20383 0 R 20384 0 R 20388 0 R] endobj 20375 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 555.8038 164.1782 566.8038] /Subtype /Link /Type /Annot >> endobj 20376 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 539.6038 217.8802 550.6038] /Subtype /Link /Type /Annot >> endobj 20377 0 obj << /A << /D (unique_49) /S /GoTo >> /Border [0 0 0] /Contents (report_exceptions) /M (D:20211013063105-08'00') /Rect [104.1732 523.4038 191.0952 534.4038] /Subtype /Link /Type /Annot >> endobj 20378 0 obj << /A << /D (unique_615) /S /GoTo >> /Border [0 0 0] /Contents (report_timing) /M (D:20211013063105-08'00') /Rect [104.1732 507.2038 169.4802 518.2038] /Subtype /Link /Type /Annot >> endobj 20379 0 obj << /A << /D (unique_636) /S /GoTo >> /Border [0 0 0] /Contents (set_clock_groups) /M (D:20211013063105-08'00') /Rect [104.1732 491.0038 186.4697 502.0038] /Subtype /Link /Type /Annot >> endobj 20380 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 474.8038 172.9177 485.8038] /Subtype /Link /Type /Annot >> endobj 20381 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [104.1732 458.6038 179.4682 469.6038] /Subtype /Link /Type /Annot >> endobj 20382 0 obj << /A << /D (unique_652) /S /GoTo >> /Border [0 0 0] /Contents (set_multicycle_path) /M (D:20211013063105-08'00') /Rect [104.1732 442.4038 199.4387 453.4038] /Subtype /Link /Type /Annot >> endobj 20383 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [104.1732 426.2037 187.0032 437.2037] /Subtype /Link /Type /Annot >> endobj 20384 0 obj << /A << /D (unique_54) /S /GoTo >> /Border [0 0 0] /Contents (write_xdc) /M (D:20211013063105-08'00') /Rect [104.1732 410.0037 150.9012 421.0037] /Subtype /Link /Type /Annot >> endobj 20385 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20386 0 obj << /Length 19 >> stream q /Iabc32935 Do Q endstream endobj 20387 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32936 20830 0 R /Gabc32937 20835 0 R >> /Font << /Fabc32938 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n6QthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r6 Ej92;Po5"]zP.J}G"QB3Wuœ}"juZG)ȃ;6+Ir8knT-=%CO4yrCod%#5JVe8>϶fh^_ DD<<9C9j9 9 ?d#9/\0s2*WLj$x2X.s(R.Q8!h|=B/}D endstream endobj 20388 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1900) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20389 0 obj << /Filter /FlateDecode /Length 1956 >> stream xYKk$7WhEo ̌@nr99لeX_*[=;͒M[zH*vwW^?<^tzvTe7u8ovNޯCC6֢֚d>=nq+mY ;rTV~'kオGzZ{h*Ru܇qmN>f.%_Ÿ]~>!# Eʷ ?O9o8kh9˸Aܙjbʵhџ, ]o`Pt#ܡC{33[ߠZl\/F/'(Un]t+ ͪ4:i&.@=v 049B'T]rf+LxҐɔQZH΄>m7>޷1_~ӛh"XmBUi̾?LqwR55&G~ J DU9_o;z& ӒfjPЈ\r 47ǡ?|>=O_oRZE}ZMP ;3eJSĶeKyI7^s+GJO8Zi/YHz05_Fxsh !!t쓯 7?PQ=ׯIKJlE°p@ߓ~^p`:a}+r(JXO_iy0yO Cz*8frLssZ:oĒ)|6H+lLƢ:)꬝7N =W׌7 1C-ЊɰD]1]^d3ן|ߐW^v^8o;u1c2ޏ}vS%gJĨ$)饜 ޓs&8}WkacZf8Y/`f/آNjQ wU#peRK&obHQw!:7Q +E_dE9uFmSVUmOZE&*WUY80^ 6;1xkAzIajMoV#Orɪ]8iݓҹ8SJM2Rzi ^Ƀe֧rØIfy2X/XV)?\֨:ƮH]W4Vf6Q|Ëi,)>ܯdZ)F|΋ߚ츥t!ׄMqa[K% myuR'!=e[7+)ջM ٖmi˻Sd@]}.5T}zC ]BJrG[{`l2_?Gs1E+ >HW4hegLcq,6zk+mRLTS0X1jBd$aG?!<$rh ^, endstream endobj 20390 0 obj << /Annots 20392 0 R /BleedBox [0 0 612 792] /Contents [20400 0 R 20396 0 R 20397 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32954 20398 0 R >> >> /Type /Page >> endobj 20391 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20392 0 obj [20391 0 R 20393 0 R 20394 0 R 20395 0 R 20399 0 R] endobj 20393 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 219.125 122.505 230.125] /Subtype /Link /Type /Annot >> endobj 20394 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [127.818 219.125 161.9785 230.125] /Subtype /Link /Type /Annot >> endobj 20395 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [167.2915 219.125 200.4895 230.125] /Subtype /Link /Type /Annot >> endobj 20396 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20397 0 obj << /Length 19 >> stream q /Iabc32954 Do Q endstream endobj 20398 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32955 20830 0 R /Gabc32956 20835 0 R >> /Font << /Fabc32957 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7&thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lMKV endstream endobj 20399 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1901) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20400 0 obj << /Filter /FlateDecode /Length 4276 >> stream xˎί9%{ f{^L݋?Ud,1r1v{Z,Uz-J~Z*7ײ]fU#H'͋ ??)域d^|$^A?KW.JIK~Q~"d MUG氭pKj[ΌS Rf[E,=IgbJ0GmSpo gr#X:d>xP֌vH4uFD'2iKOR;YҀI> }&U%ȱ'iC0WSȺFM{Cj75~ᅢVz^$M_&B-f4ZmV%jih#`{Y(pl5ŸA!/I >CGJ%3mB(t0qɜ9ʴz(\|w"|uAd n▧qDdqNythioa^v^ghs"e"&D{pIG_a6h/!iHpN+wC:柁N,ٲm#@'ySlgD6N0bl2fV @#H "?t48Mư2uR>>vdYб:#8Z?&Y9JcKa㷧0h9L)6jȑ g ~%EU 2fXl L7YΦq#r7hHq=M8 wQ3X5d8|f#kL^X:wM#`Xg P20DC1XeVݤ2n͈4ܵ['6BE/c9#5&4&՘kLޭ1qBcnwh 1;\o} 7d`u=զS 0!I \$nĝY(Vb )V:, -x5as\>L|w{;[2 аj"&5)hhKlx@P:%4(@23== }#5BH] I`*$OSB l:WhYׇ TssY} q_&:9R5ց-))i&НÇq0xgd_C)9{3KmݴI㹡P||XyQl^k|1Wy`!Jrnoz.~#:Mm5cb)Fl1Ep\Xcuf#( R,5 A Qօ\DOzJ\{oÝnC;N׍C2ƺss!IFpٖ Ș<9G|**nޟs6t ^[I IPǼ*϶M5H~?ul3z^{tBrsK}4fL=+5pIz0naF,vysq PՎ-cyP>=8Nx1Ab y{ ~;3w3M=6{v?\0wlKMA_xq~Yp.(?1-sZ_a&BHo JSnin_Y9Dps`% QU 9Laau~K=|-&+$p@.R,^-!:-_yw芿 g-9HD B7KE$KG4:+|FT@}O9|ΫE&'=ozHŌ T%j)LK̑H[liNPmq< v߱ N6̬VZmzPc2TWy"{%hxa65djcy1Ql(7Fݢ%CD;k[eԱp!\,$Efn1q:xZbXBB1, |aKB(:諵ZNn*흚\О)uK?&?~JnxeMtg oEE6vMyg>B )>e9&m#+4Xȕj s7p̰7Q`*y'Me7 z/>@x@7P4~0䣒kp^p)2m0L@`>@;h, s]J@0R3wMݡ铁 TUyp!&[H +;26 Z-p\Kn- -.9Y80 bI Y}̾.Օ3s'ANʹXm| a );U0uVamI\Fs}g&]`$\>J{U.%*c\J\QwTCRQRbp)q*GRcy̥ıKG]J.%?¥w~=SbX7.ZxtЧuuqݬJLrHw!ÖCf2- [v9d!/.}#PE$'ɜ)HbnjNZC-L)j#`E22ʜ #2N%:éy88T~C8:|Щ0Q=TN%NM\Tg|KԵ7䩫\Jܐu)qmzhQazhbRbѥH4zE6eYA޺e.F[6m)'O3c~(u36С=\|N&$wv_]{C!wtcSx xEW J–W](H|ܻrl*2K|5?'|ב f@fM chRKhf ~-m|v^͛5j\nBA67զSCMoΙ79|zQ+|ìZVOz* S̻``KzkE-=Qqii+4sy5yü虗w0z)ƻ8+-ʓ zvdH3p{ڐ2f⒚6tiymSBFQ[CB0 wMr}팼3W0`#_E.d6AI$K:Si]ɈRrSb "mLTs*!L@lkA` MTH]k4pTG3¨iک㐑RGX-g=FZWKh6> \/h^ bd_Ŕa:EqŋT4 f `l6==.g? ">#Zo$YWjLtk5QE8ej)!M\LFb.rSTPY[oԚXsͭ8Lrl|*k[pq>[:ZqmM_vaµiqD(/=$my1ѵ3p*g 7Qexm٥i> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32973 20407 0 R >> >> /Type /Page >> endobj 20402 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20403 0 obj [20402 0 R 20404 0 R 20408 0 R] endobj 20404 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 406.825 114.9865 417.825] /Subtype /Link /Type /Annot >> endobj 20405 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20406 0 obj << /Length 19 >> stream q /Iabc32973 Do Q endstream endobj 20407 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32974 20830 0 R /Gabc32975 20835 0 R >> /Font << /Fabc32976 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7[VthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-ZݛQ endstream endobj 20408 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1902) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20409 0 obj << /Filter /FlateDecode /Length 5457 >> stream xty㽿Om,L&z6_m } }f⍂7~CfjhD)QA) r:"^7eD9H'F=Mє{&ξB2aE*#y3NU@\Mڇ 7_@7Uǿ\Pc(ILq+7kINɎzn24%lx4"g6cbD%g)T4KH[!|zi~[+a{3/[>`cs8`<fAP1~֜G>͕ʓ-(Mhhْ<ٌǘ^ȋ3E\,+,fq4kFU\KzuO˛PCmKWY3Hò|[Oel b,0(Laf LF|Hj9bpeٳ:ix~y5A+^xa˵S_oOA769޽ur*a8kE!05(q9Pm^ͯ;A~ng ْ3xD(M@?׊ yUoH%zeY;?v"9MgHК|rCsDc֑Ӆz6wА+Cҽ:ȕA%$f,-1K9(OޛxP B9&p=Lx<.CCŶ!(R/H2byg__D1#ѥ1?y/!\ǖ=֊℥365h /;-PV&b1i RzP #AuT&4 腰jlX6{T! N#O؛ԫMJK(^Xͭvב9 CUdHo&g`fH%V*5G>Xf,/%[XUG5R^>_^yP&Qa[,܍2=d5*fɜe.c Pud禩+f[ [%-V$3zdi*jxlg {V :G-qnmV0ڀ,D:V۱٬@jS:pFKe 7F  d.A,u>tSf[prnbd9}*pvq4>iylvfavfn=3 ;r,`s0 {bղl`u8F4l\/<1_YR_pp=LS'Ǭt.oI|n[@!6\eqmxVoS!u] %#āt6ͼ샕샗k|~z9ŠCyb3K,2%?UW:J]xKV*HZs/DU` PjK˂[E ~|Ra5I=r6ȚRxr~캆;sD3fˬ 񾶁%1gXʊ\po,3!n6Z e$lq[Yd9^޳t} /Y!X0VKYXW+f!>/"gWL>X:ɫO|'M >hyт(Qaa\+7VJ1 <5Ls8 z8k L_ָtykV-尥T3fZV[k\fio隂6ΣRF@7_~rZv4Cnw!XP]pTX, -C둁Xk"zȁҔ ,?Qe/v/%r_F a~)]bŗ[6/7rLQ@-7V @ > >3sbX xw|EqCkh#lj|Hu,D ن vLjDġ?U u(s5ʒ얜<,l$?,: f,` jf g=-0cZ38V4D "éjDr;'ϳAnv+M>GR.a%@J ."80'Q :Kn,>C:P,RҠ[5"LY%BkB|l ([I2fǧ'`ȴ> <b0 8MoH{J' i,k:~B.le|pD +[v`P6 fXA d=T͌ %-݆{ - }p+4CK-nXہ{\F'jhյC2*:-ů=l@S爷= @pmgIW9!zL҃N#Dƕ^+ .^lt m``Nw彮nt cKQ@^S;(ʢ! Qݜ*Rbm B L =%LE`]j.lǒƁz>z/58zx8w>G~٪ّJA;g^ ?Ԏ21MǞ(@K@Xh)Qӻմ}6TLD6i~ o]);†灟peaJ@.&%cUvO400V OMwCKFNj's櫄򏶇އ=k ؞ }P773ڴ}-pc} |4T |SC<--^1EOQ.ܢ\ϼ7<RO_ i7` '>|#17.?YB8+/+Kgo0ѫ~8^}iɴW$4 "1O!2Ʃջr %Cޭ^vm9MJ+O>ng6򫅘D:;5`$]}Fύ+X3&Xޣ5Cg'a4ZoGR+N^l4,Wm݃CT2䏥 T9%^k s'&fԁ+"j@JNcO_M:%?oҮv$2@ 'dPt00\u#UnQdP/@u_m&;QKQ1;)C$FQ xqKܨH p^" 9eN#v Pd'!Q/{ 1 x;d} )a:h?@iw)z-(B7Y`I/;. dM]YO|V;P'$Zn%h}[4zNȲ%s\z{qcK EZ1X*V!пr$R-giC3ݦK225SK]\W4.!e>4ph 恌O{ߣrwoZ#cS1Y vu)~rijz(R4!,KkI%xnrkURَ\Fhq2CA֦R.JDz0w}zEWŒI0YT*W㜊+Cn^ҘyrrVm4åH?>cdP<.?\\>4|׏A鑍SJ" Gdž@=Xs> endstream endobj 20410 0 obj << /Annots 20412 0 R /BleedBox [0 0 612 792] /Contents [20421 0 R 20417 0 R 20418 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc32992 20419 0 R >> >> /Type /Page >> endobj 20411 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20412 0 obj [20411 0 R 20413 0 R 20414 0 R 20415 0 R 20416 0 R 20420 0 R] endobj 20413 0 obj << /A << /D (unique_46) /S /GoTo >> /Border [0 0 0] /Contents (iphys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 127.8118 186.9152 138.8118] /Subtype /Link /Type /Annot >> endobj 20414 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 111.6118 184.2752 122.6118] /Subtype /Link /Type /Annot >> endobj 20415 0 obj << /A << /D (unique_47) /S /GoTo >> /Border [0 0 0] /Contents (read_iphys_opt_tcl) /M (D:20211013063105-08'00') /Rect [104.1732 95.4119 193.7132 106.4119] /Subtype /Link /Type /Annot >> endobj 20416 0 obj << /A << /D (unique_606) /S /GoTo >> /Border [0 0 0] /Contents (report_phys_opt) /M (D:20211013063105-08'00') /Rect [104.1732 79.2119 182.9827 90.2119] /Subtype /Link /Type /Annot >> endobj 20417 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20418 0 obj << /Length 19 >> stream q /Iabc32992 Do Q endstream endobj 20419 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc32993 20830 0 R /Gabc32994 20835 0 R >> /Font << /Fabc32995 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lMXZ endstream endobj 20420 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1903) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20421 0 obj << /Filter /FlateDecode /Length 4398 >> stream xndίx}j(oN!i'429S [ZxnwX?Y4{T Ni?|e,uqftX>]KϮ&;G3|M"8}4H_?iT? !/y&5:nK"#4`,=*<8# ?%чpOٳ nˍOZzNkv۞J5Ĭ|0@R-CT6U"de\)xy=. 6ѹv:-y_k%!*2wVA@M*ڪ_}a @;5U <3Ex⣪kŰo+E:y(ab)tTyA[ L.ks K)+-CbS%OߩQO(#>W@mč|.IhсQa"|N8fq37qUj5XxCeaNݭWy.wA(rO dP`+g!+"7ncs7 [ Ҵ%G6.IM 5>iN5%ya0[2N%ugBqH]=,y^wdY`ۺ{xrXIb*t3|_}MXQWµu'(~3noC7޺lz5ct rRvvy2>ϏվɌfu9:ht=U}K  ϪEc..ٮXi9 &pWm< Ĵ,˟1 =^ 6E`[XCOjLAAZK6w&$iW1 ]կi7&ȵ<5mx #9Xs`  Zt p낻i7iF磓(Px\ ~[;0{5 ~?_}aMл8 {d48-ŕRRwIdMT2=F 3N @}i̿,_G(cj$[I2(c)=e Rπ]6` 蚅ÔC$M l"K=HJN Yƪ`Єya{7܀+][{W0_+CakƝgX;Y6Y0 3b=oVy _Hy7Ū0>`W&< <,)I0N: odJ^ \AL;$ SҍزpݴJ!)ؖIE`{_~Yg=Y41o~YkTS-׀G?wÑC!pB PƋ<.ruOҟ@`sͽ"EC UdG垲r͜Zn%m224i@F+2MJȜߪxnD]Mj5udİյhэ'(&}j! A e1 y%c4Z' qf@!KK=&f78]2Zm@pboO\LynY:ni̟ٗoY ŶOk - l+LX6(@cyWkHK#YzCՆ` >v5BscMxBh,ޗ72&}If-;Q[DazJuh÷2ņ@y䪿Bs'P?,v7ڠ22-TRj4FW-Q4nÒ[R\gMdb6g8uޚ~hk*㢝3.= 'esozo8ܬT]{|p.OFj$HR-M%(yz`Ђ:dh 2a0\kS* Ů2^YyLJD;v$RX50n+B\9kxޞ\f`5;mI{j@,Y<Q<\N* P;Nn"qD79]qoyIcgpIr->;j9r#y_.<:mQRz@5:a˶9,#@%i QODHՈrv֯ec@@;/#UVj97aW@quv%:xۀX)=uXiSAT57+R( fl{BDN2;"^`&tL{VzqKLζ=I^/cvL7Nhn9QvuҎ*iwY.z3VwYFݖxioKzhh}( I'ܸ%4G]R7{wa0|:g@ q?"EzJc~Nvh4\]I狍_G8 ڭF%]UV𻔢:vmp6R3Zח']iUWuI?m]m S$U96u%} _XV ?渷,e)apBzĝ{pΊ"UO?-;fg\ߗ_~kSrKY)X{m~f0xQB){q 'HB/@B=ĄHD{l1\+MB!7A"DxR113ⶖl*P{O!ٚ 89+Z^ |< yYtԚ2L%`uJ_x/͝jب$N೼u16meD:u랿h\>bQ@usM!/߁<.[Na{iaVm_+v؛[VŪ&6JVnR .d dc[mVؠ|އ:XVj|t}]MO|NaE<@'%~]&A_s6jBzWJ3#z+7݌*lV)m13fttPã '1Z )-˙#IU.?BRٚg0v\ׄ%g1IE?P;{&1WY K3o MC]d<~\Goq~(ۊxiq)ѥ6z6eRL/D?|7uL)EC0C咠|Y;Ҽ}e;^=6h9itFl}Nr؇-EV_ >|HgkCs95&˔RdF݋[#<Jڄ|g3&-U"yom;P*;4&VD;#A4rm'yEt4`Ξw~G2M+k+4T> endstream endobj 20422 0 obj << /Annots 20424 0 R /BleedBox [0 0 612 792] /Contents [20430 0 R 20426 0 R 20427 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33011 20428 0 R >> >> /Type /Page >> endobj 20423 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20424 0 obj [20423 0 R 20425 0 R 20429 0 R] endobj 20425 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 295.525 118.9685 306.525] /Subtype /Link /Type /Annot >> endobj 20426 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20427 0 obj << /Length 19 >> stream q /Iabc33011 Do Q endstream endobj 20428 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33012 20830 0 R /Gabc33013 20835 0 R >> /Font << /Fabc33014 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20430 0 obj << /Filter /FlateDecode /Length 4803 >> stream x0Ȯ71H Ϋ Jkw uojAD2# 52`uqPƮe Q^Bͪ)ivf)Ľ;>Ջt3pπKr1f7xhАug0oXX<b>{'As[e8vn}`ʶmke.[X1j 5uj!*Į6bFnpS^Vؔ+7G*($32P3KbV~~E129<fFJw;Ilۄ6h3LSu3劈:hb*`8iA;+LeUK;HfO֥#}u840<02` 4:'|!3=?#s8,Y`y1H R>[lu iN'י(T%* 5C'=W^;{\鐫ˏ]~e`D+(s32S'$#'l z}g{c9O5 .CX(N^:E#?S+IE M/=텙21 SҎ)và P40Y[qbypыyIڝ-ykcP?1;mnJ<=(.qp5ONWBY 484ұ tFfBbtӚȺu(W5HlGvCߚa腀gJةʡs~9LCOd_#xo1,ΙQ=5'F8Cܗ9E].4aRMs=rdzW QXMׄ+?+nz! )[W9A MqM8^iF x:;x5; XH]P^e Es/Pi课XWl #QCTh r" !b6a?HdW꾤ZjUmCrBשp {ʈ[q )WB`,puh!J*2R ^I^ p}z ] TX;ĺ="C*#iRmRjZO|-R}kO ]ܒs4AYJa]Ln+E4$,`^:(o ^5E3Cfy r-j+"=QtTE.m1UU\P;QHF]loq5@*Y|ʰXRgK_zyPcrSK$%$3r$iU"Vjq&ME:fcݬ(Ղ^U hPInbTѺ`U\ q^sJiW"B]@Ձq1Nq9rAqev]rzuڔEWpXUdhbaq*1UfQ ,nբ5ՓA,U=#]z V1Uet-[ebh]w &we^p w꤄,WkCSuzҤHNʚY#t:Qk0vP'0YfedGG^ƾCz`v^x.uRMwԩs؝:MNubꤎ*oVlUw2GiP?wWԩJ*wjoT(5(OP(u?BR/P)/P7(ԕ_K~ tm'V…n5bڮD|lB ޭ@L a a%5ǕUW"a%" k/: "떐{c",A[5V °f:<~hUں dBulj "t4Gm Leh=NfQiW.ovG1ϐê'L <ʼ8Vl4(gqlrҋǔr`JJ`$eZ PSOﳑdwd9 t^풒cF*gA,CxCxMt`Tww09t3%|0-ɔrxK4 QCpiI`*tpڈ!# C # w 0,HNEփ *cLEm:ĸחNH07vaxzHijҨ d5-)n`0-kH2|E>Nhr-ʀ-{seb {XJ͆K09m` =UzqkF]dk|D‡fp&#Hxa\ ^l3Ui}@uӼ{xZ-h 0+G.Z?yFjp_\&(n:6 }*cRcs@K@q\Gʰ @Ѽ .)U08F"Ak_+ !m>ۭυsO}9i#Q(;N#Pz%#P,>OB&7FrL ev |̀[.{ z>v(Ư KIpY`1N<4+ÃtV4PC&lc+fY+NͼbVl-ر9f%@4 Dx۶`Xm[֟K#cNA*cɗ\نQ q;E[ޱ26[K/6[ 7oM6nqeEd1]*2yЧ%+ٙ3we{5E pļWQʉ3+H !:JWO S V#WY"CJ)0;`qTVX(ER?GCmQ}4e&ͫϫ`V}ŲW E.E{ վ=d(TmӢ s3 58+@Bp{;3(m]-\z`s UPی=LA=Q=JݝrQؚ!g $vy4g"(t_-X/ ۨĝW-M22vU Sq Vff0[t?w#l)8h5s^=b6˲ӁZKIY U ݀B@bx8 .GnTT<ObdHA$ 5\RG"t"4l*Buc43çH^INn1{P藳0vI0dcKhiITm<%r &v fxS h q0|} :`@ȶGV hEa.)cݘs+ޤ:c|Qݹ`x sMBZYѱ:"!`Ř@/EP޶Rĸ`8zkjҽh}a(eoHLm~ \,tP2L}0e2F1㷎pb3?E3NƻuiרcШU2pȲPi{?@yRA6AMWO*|mHlX XFJfŒG)8#kj\7s3&E\`Xjy\(iR| ʽ"`>ыÞZ.0ˣ<"=e,fA#L  ؕҋxvJ3+`#5 @O6?㋔\rK0&0J_jMiѭcyx*VRìkWV*dU}VT\b Y͂K U*lH&ݺMkJ{>(j͉>Ocr:|wF'c@S.w%ҍɓsR'i,W"֒)IroMδMr} {,vȒaԤRA2}!4L3`wyz͠k#!,Y>3p:W}#(H:@/pN~mfT 亥X7y!&^(׻,<ЧY.|rZv9ޙz5)I>0}Xa K%}\cE%!ZХ/0f.=˷N$i\wBpd?+> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33030 20437 0 R >> >> /Type /Page >> endobj 20432 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20433 0 obj [20432 0 R 20434 0 R 20438 0 R] endobj 20434 0 obj << /A << /D (unique_180) /S /GoTo >> /Border [0 0 0] /Contents (write_bmm) /M (D:20211013063105-08'00') /Rect [104.1732 199.6616 158.4857 210.6616] /Subtype /Link /Type /Annot >> endobj 20435 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20436 0 obj << /Length 19 >> stream q /Iabc33030 Do Q endstream endobj 20437 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33031 20830 0 R /Gabc33032 20835 0 R >> /Font << /Fabc33033 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶA endstream endobj 20438 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1905) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20439 0 obj << /Filter /FlateDecode /Length 3825 >> stream xڭ[Koϯ$Q\ d7X֗Wf=Lu=n?QU_zϨU6xKo-O/WQW]\z :ׯgP =iu|x1~.KA_|PT٢`#iƆwY {쥲gO@4b;.$ɲİjk5C\"N8/奱ZIVC\mj8\IaeߟkfGc}!j4 #NN  kBoVU ج$^)@ R:qpɑeՃ+?^nm.}EWK! MPi6A*Rkq=gA Q+PBzH(@l2s7,pـ2y^%n5|L J4r/&1b͠A7~fqɭCy"x 2LcZpYhyÐ7G1F<Ք|VGlomšrآOK.?>+hwu$\황*JxTmpAm8$OVr@zb,t%D#ahEI|dž-x}VI(h!]].LMq#`6g+<'CO5fiY.&˘u*K{% fٷa;LɱV;$6k}S6ڧ56{OsXk4U?I$P^S1UGUԜD]Pz:!xbFh@Yƿ>Pt 9ڼ-z&dfm 13FAіKC\wPy/1~AjiM. o3e '@-QK8@]K5[Uޜ gb`* X|W`vrmlGzZ@ݳ7\]S,P1[l!c0[@b?$ -KCfAhR.K3 &E26iS#~x 6}!5-&_uW%2Blcj -; p$wkѬ>=3wcǓ7v ! EYjȰ)}X]x9F¡XZVVCa'=v,uˀUU4sad"m5÷EsGo,-b4xszA;Gڌ u(UeQ^{u*RyIY}\:a:zF_ P;7 UֿC<.iu6Oaseџ*pRjt4P1?hkoO=֮b[MPp, 6ںnrTD pt L:ϏĵB2"=k#lmJԺU [Ӕ)qe~'!=:?JSPEY۲ vL̛a9h,/Yj {AHvkq2'h9B8P&M\~CUy^w[ ];-{. Hu (H}/?J X#qrp9nW$d^Bwܻ:weIþJ[KSzR.o5jѠ\b_laqNP[!}Β!^f+̈m*bPʊPA^+ެ^2. nĮ0 MO+7kpÎG/-2| 螌(\xJKohk|nhta[ x%[϶̮3paMΰ<׼۔ڶt2}g{ ZZYm)e=xGwg6 ),|ZD1VUP yFY7Rk7 z}J>8Xj4ԕBP7"7zZ\,N 왏6Gٌa0{m .N"\(79.7W{V ms($U%WOjluXfw.(ֶxH_cj\ݍːo፻ۀMK7 u+n'9˸iq/տ  }d3[Ny᮹BV?(7@|w ˳ӬJTX،:37ACfE0Lcj;sƎdic3G =bA8phʶܖZ(uRl)x`Nmoh@|IdRrkJ%LOMɃgd@? h䰯Ƴ!; lg,«Z2 ZMAUkxT\2#ֶ 3jahH(Q6q#9_9O/[w0O]3An?`T9< eH\Og~4̘xȧ8B,ϐOL߶K mMMB /ûn͖i8M%R_*ȩ_\Daɮ>,:4kNfВG,ElDOXS-ݾP z:3X&+-m&ޖ~ft$ ʡ(=ɍl^ԏwjw"evUS<8O GRĹگ5N3GF%|qGo2: \G"Zi4/K1S0WhҠ!X;<Vume{gy>݇HNF7|G3\Da(+|G.MC[_M؄*[Kk겝 Jhq"kb7Re 'ȃ >\bʒ5I(bV O~= ^_Eg煘b_z}Otv0'F *3hK;ZhjWlmڲTxl EyrxI86P, Ui&>QVz7 O}Ɵ%Q/UZ8x}f3?ӣAΕpq(Et> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33049 20448 0 R >> >> /Type /Page >> endobj 20441 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20442 0 obj [20441 0 R 20443 0 R 20444 0 R 20445 0 R 20449 0 R] endobj 20443 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 437.225 124.1605 448.225] /Subtype /Link /Type /Annot >> endobj 20444 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 437.225 162.6715 448.225] /Subtype /Link /Type /Annot >> endobj 20445 0 obj << /A << /D (unique_77_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20211013063105-08'00') /Rect [167.9845 437.225 248.774 448.225] /Subtype /Link /Type /Annot >> endobj 20446 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20447 0 obj << /Length 19 >> stream q /Iabc33049 Do Q endstream endobj 20448 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33050 20830 0 R /Gabc33051 20835 0 R >> /Font << /Fabc33052 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7%thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy46//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 20449 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1906) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20450 0 obj << /Filter /FlateDecode /Length 4216 >> stream xڵ;n$w~E TTsk[OތaHظefI%ݥL2`0v2b NH.^ZglJӿ'X<6vu~M>&<.:졤ηO˿_/;v/F/A^cIiMf/. W[L1W@%5Pf ZgPpg 5Z')hjݽ'흹_ Eh/ܞO|EerOᙵqCb5F@q:G?Z6kZl|E1A ]k) k]}c߿2pN T}] :[mp_}{ f_0 IR3#=&Z?m+v55R?kşS @JFPx`WoK)l%lc}0 "ݭ$VFq21əUHI,%Z"HA[\quꕭ!X;UġqῡxobEMU(jg]A|ƣCy~EQ}}=y0 3{o\VY44Ut0;OC9b£nqmi؂z Y )d/_ScB=ʨf\\X.[.lFL'e [+-U͖ F >DwlڎID&'rGD ''D~YLԎ%]ePw GM)*3*r)af'Οְc_͊?:#Oc6n>N;DNYC A \ӋH|C[&?8lNP Ja<9|ai@ ۆ ^e E/Pi课 XWa}'ҽBp" ֻp1+XRũU}I@9! g u4Apuƽ¸55Fb1 7.X,puj!J2R^I^ ZXƢz!…֗ ~@l(^[%J"N#OЛԯKIQ-ŕ:t:vj0%$vT ٨٨H-uZ A-ZTnuXb_5+u bS5PrR ;+=R S7+qea<|w.NdnɊ4uNvNvNugIuUZj9R#Į>z>z>cܨ`F65PMf/|_b[@쾭?[r?\j㧔U& 2gG lX?Az}o;ʛb] ?< G;[yEqILZXRhZ/3`=O#JDNn&&K &mȰB0K xAG3M|WEv^:xV- L$yL-L;K kݛ痶Sv0m["dx` cl\,U kgrdR'oRe V82NͰV^ G&@KmK4,KiT龖%eHA]䆍X"Eo)_jxCwfX[YKi4H4D>/ 1aC3'4 Oz;'=Ns'O U \mƙ5j ^Thu&,Wj9<0kӲo&wFnzɐY_]Km& ~ 68 :岦E̙F`oYWaj2E Nk ;t,uwm("o97q/f&z>B*]K  N&VV3U02&ᑍhg'5齭MUN%Φ.}nU"է:nD:CY 4 Lэ,x՚ J1ʼn/gړ <8tRQGMmP,C_m`9Τ6R z)-.;TU!P4NHe"LlJsWƕ9. &ɽ䮶V ;xێuGT|k"ΤUGeZI?8ُM#lSG&cmll 6{2x8&Ǫ2"[3H{cտxK˴ |p󗴳6!r'QV#.[j =تA!l* trC1jWޒf}6Vsƒ+w8_u,rnj5lfcᓪ6mX2,+, ΎF/zĀGZ7ZQl7-ެ @/ϟxiNuRnz\]$`425 "N6CE~TMC{)|xMu͑֩` >w-0xU>r}Ѯm\Qm)f|ˀ]hFIqAO{֪|0o]!/cfDxlm礟J=[oej+q/شbn7֌"e rEq {P:Fˍw`cCZtvObƺMj|͘&R/E![S*fj5,/xqF#}jTkVg:?@D&. ko+E1ښ }619_DfM6Wbaҕ9> '94`<K-ϐޒ :` 5y_*WȚ5:H?P _R[t!RG1+&^SS7~9:#=Gٟ* *\-ȅ"|_W4US?/EG.Cr$2lxq+cq49԰}9ZzOTQ1ӎRIF7TCV8ᎉ >>)$䖲3,D/K^Du0A Y+Tȩ -ǒK`LV4AhY \xi|SedW7wn7k僢![<.|Wb|Ot&ZI7Ω2g9˙ϵ 3k1p3n`)xH/ F^>ù@dvKpv ^nT,SH!lpRNBym/ <%y> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33068 20459 0 R >> >> /Type /Page >> endobj 20452 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063102-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20453 0 obj [20452 0 R 20454 0 R 20455 0 R 20456 0 R 20460 0 R] endobj 20454 0 obj << /A << /D (unique_102) /S /GoTo >> /Border [0 0 0] /Contents (add_peripheral_interface) /M (D:20211013063105-08'00') /Rect [104.1732 440 223.4462 451] /Subtype /Link /Type /Annot >> endobj 20455 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20211013063105-08'00') /Rect [104.1732 423.8 187.9602 434.8] /Subtype /Link /Type /Annot >> endobj 20456 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20211013063105-08'00') /Rect [104.1732 407.6 200.4232 418.6] /Subtype /Link /Type /Annot >> endobj 20457 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20458 0 obj << /Length 19 >> stream q /Iabc33068 Do Q endstream endobj 20459 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33069 20830 0 R /Gabc33070 20835 0 R >> /Font << /Fabc33071 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20461 0 obj << /Filter /FlateDecode /Length 2528 >> stream xZI$W<1ڥ$!3r6>9cL2oY *=UojOʋO/Y. 7u~?_V?~K}ښ~|91lL6xS@Xdss9ew\zw?ur cs&c2B_<*g܉娝+1wZpD 뀉NFU9=b}5H^x+XTvoW5] f)@\\G`MI,%KTDH%9dB"VX L)U~T.r ^=fPg_t:w;6EՐLe$|ɳ 8p0Jyw C񯬾H9FgT֑+NO[+}~+Lx8jNxXk"=Vƈ=LVkԷv;Ow 7)<)qD4 flCdɏ>[^ONX`4ʷ9:v/Dpݕir?Xry/YOiѳe]V.9LVVX8tȥvԑV]E(J1 oF`UH޲O`b|J x#CknNi`j4?Bf#s73H+HU ǡ(iƳE\e (\"~Kݭ~+ǯId&ݜfNe#,Bwar*A̵֠&΋ì1AXOxIςgyx?]wPl3WZڑjH5Ѯ'QpfGÇl/띗TPgtv$)4L!q a>}AO|>\;?vw4%Evp:Qm ĦYA9&+a)Y{PmfnL @nA&~a^nY[7[ngg %]x1 VD: 4Qim LyE?|$ϳ̀]uF˫ߏÝ*4dH# 0<-s&|vuGʏv76ij!Pa:˰1mdz^Vh][ rڐK(69d5|W.%ڀސ[oEFlD3& ֔>f=E Vf˶ |GJ}Ϫt9bܵIrWFINJK~ƞ{S՝{V7і" Ue܀CK:O80PXgVxҽ1Pq*EFkMRY d!ƼǭgiMC-S%L'Ҕn#[$B'.ьLACrnK0#:ӾG=53ս+3qlud7VNIQN8|4]]:E&0! ov9&Z빺l'Hi%6LamP5bXF x&闾A9R}ʔUd"om^"%/~\`Qs%a\(%"ܮ|;NuX %)~CkaFX77<qzGg1:'hEJ ݴ9ZOp6V+0> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33087 20469 0 R >> >> /Type /Page >> endobj 20463 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20464 0 obj [20463 0 R 20465 0 R 20466 0 R 20470 0 R] endobj 20465 0 obj << /A << /D (unique_77_Connect_42_xilinxtclstore_commands) /S /GoTo >> /Border [0 0 0] /Contents (xilinxtclstore) /M (D:20211013063105-08'00') /Rect [90 80.325 151.237 91.325] /Subtype /Link /Type /Annot >> endobj 20466 0 obj << /A << /D (unique_77_Connect_42_projutils_commands) /S /GoTo >> /Border [0 0 0] /Contents (projutils) /M (D:20211013063105-08'00') /Rect [156.55 80.325 195.6385 91.325] /Subtype /Link /Type /Annot >> endobj 20467 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20468 0 obj << /Length 19 >> stream q /Iabc33087 Do Q endstream endobj 20469 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33088 20830 0 R /Gabc33089 20835 0 R >> /Font << /Fabc33090 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n jЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY~oC2kh}'d("_@Os%p!%`^u#UR,Wm'@;2!xڡ1 g^B9T0I NЋm߽?- endstream endobj 20470 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1908) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20471 0 obj << /Filter /FlateDecode /Length 5225 >> stream x]K븱Wp ߒFM]Yd"9"EْFqb*_H\r3Ί&5|Hl76hܨ+k6=3*U|לJg\Y~lQZg/늕<(έ0B_bp #*9; S35qYNa츝Qب@i U4/BL3p UNWg rz<ۿjw}0Uό&0ϡPFXJvpf._o?.*9C_t|T sO'̠VM#K r-KH*ɮ)sox.4_A ɑfJ}uA!&sPSt5q0 iBAqJ{lCں^H@zb-6vв/\Gעo"s3A;αF@ܲx,1BʝbV\TLjh(D6bP{2.z@Lu.M 2f=hz1c\)mܗuzcƎ P'TڕJRP@|;?5J= NlVM9 |6UaL5u/w:P6t5,2l<ߥZ~1/pDM!(ػ\>1)OqrB>TEW>3AX:Q8GcS,Hx^9FY_rН;T Q8 ZrAT4y( EBP\3=L-#  e*8%k2'zH,Nw2JgȖa->^DT.~RXx5P}rKfwݺQsdt]t|.-f{̷ A0߀`* #_E0ۉ`~lIm] ilFcfG }ِ0='q@Q8M eim\ xЏ0JJmehڐEfi!Z)'@qҋ MtXTkSHwڪ4Q]sT-*5Aճe#ItT0D 6Pz¤ơ| Ŭ 爩$ !x}& \xt:u8qP~AЮ%ID ;z <=aԤZD>VGZ>{D+4rkrUr*7%jW"qk!.PG)C%6罡6?>7O?y$O^)vA-xAZ `vXIZ*Ϯk^2R`qži䑺q+m2;&2?.Q~:4ኜ̩2H ,&1S NTquI92W, ~'Yx<] >s7Ƣjka $t>5413{:!M0öǎQ&YiLv?@{7=sb^kh@FH"60^B*|!-(Rf"Q.@W {16!:_vuw{&G!R's2; kMB7::S:B2c%h(GCTg*wb.xO凁5 ׎ $q~D H;k[Y]kGm}ɴ}8]`}O5i*2Ԕ$OG̤c0׼w ߸gCF`C mQVz'R]*%6rZso3N? S3w_& ɀne9 ZցPĈbpx2/s8>ll΋eTG&w/MTz[NA.ܪ)|A_wZu?4!>m-s K삀@vnDV Cl$`x+_9~nԖB^U8Xo ɛ|o!oϥzxhK9n9$^ _)?w ?U=E?<ۀ9|5EEd`+Ex^1q~~R=}K~iY 4 y,G堚C>Q+9U!eUZs  o[Cu: !& &ZD,J "S$}xn ݛ\H!JVVVJGrDaւߔN姖!D,E{"lìRDL#'„STpLV$.1E'Ҟץ^pmL-]EM.5.EǸ(֏pQl-E}.-]EEG(F]Ծox׍]n6*mǨZwQ]]ͨafbیmv1*)aTl[ܷdv`QS8dTb .e0uըحFnL]3*{0uŨY}^ZQ[GT~wxoDQvD4;b^݄ i\5P ;L#}^g$~#, i8laivHǚ(^ʧ,+ ޑHN9C4+N?,Y- }bshLb;02ճ%\i$Dֽ*nOOb: ڶqէq3 1ds&NAHPSv ,g捞v!Ϲ'n6'ENC}2qsYKUhF IZ5Ey?@"^m}+c~T q_0sms4Ybv'2`р\d!?#oFwk˻j;;t陜77K;TŭD^Zi_vJۃqDz!;?]rSg+y9Ln9hK1) endstream endobj 20472 0 obj << /Annots [20473 0 R 20477 0 R] /BleedBox [0 0 612 792] /Contents [20478 0 R 20474 0 R 20475 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33106 20476 0 R >> >> /Type /Page >> endobj 20473 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20474 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20475 0 obj << /Length 19 >> stream q /Iabc33106 Do Q endstream endobj 20476 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33107 20830 0 R /Gabc33108 20835 0 R >> /Font << /Fabc33109 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7PPthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lM F endstream endobj 20477 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1909) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20478 0 obj << /Filter /FlateDecode /Length 5825 >> stream x<ˎ#w~EP($ux؃Syg {{}GLT.hTKd&d0Mo #f}j޼ے.EymZoCmJM+BvI)rI) |Z~`-dc?mX*>o>ތ/ \܎02>ݳІj+Rybx8e,Z`] ^{Pf$hup.Syi/Jۗ ENڊ閟Y=Om"Ǿs6>qir]!a1Vph[v)ZVY^lUQk`:2R΀.F6_Ο |`m!i7G[fzxn.0(˩C8?oI嗾m]c:&'z9IxSid)&>O1 Vwv$˔2߉ct_&:pg T~GdY^+a{A:NJ9pW _FFKA S޴I%+s 2ȃ*YMt,pQ{ibպcQ)g *}O{_ -,{|0BҺaE;b*l(o2gQ [ RQjco;%KW,NԇЇ ylo5:"AAm;cN{N;$K: hGf0Mʋ7rRbHAtF.4|=l{Oދg .v:NMXP^FNT k `a ߦbfa6´P`q}0';IьR4?F8^Caʑ bh$.fxrEB'fb< ]P\uxJ|D >5mU˛4D>FSҀh=Nɍ܀ A](S3Yv/.7 b!N08q05ز*(b?4zU.5}{YC0>Tc\b]KTbiJ],j4ՍRϷ-s YE[48<:6[Ŕ04Y iるA$? ?M%iu֦ Yo3euc+FmrO/Si֯jw!ϴ g {cTy߇}( -@"L/"|ʢlވJ3 \-2Ψ;D]3|7FP6lc|!Qj/&O:Qp`8U,fEUBHS^K>P(a#/. @i'n-DY);AXc( |̗ ./Sɮ@H@1_x1dhqub'2Nq`$19Gr5.~"W$P !.vEwc7ɋZwPO뤑Bh l) !7ac#ꔁBdw4@k>vG)P`hsi GlVnc  c7S /L)ðUT*v=.r.֥MJ5*1u}QsR/f=(hv";U5CI{tJҫ걕Q́c7oɠ *WWE ۢMq:i 2h/8IKđ.YY.܍8(ݘ|gnEbȭqD}6V!43%-ity':%KoZ(Twx$p(Hv+$ayP 6!Yb YB7Wh SJH(ӭʂ#vaG"5&B }JXćRݬơ 2"b8fFwԌfV0( WBa}cH(htT2s%E>yU,ddḰsDԮIQ>(%)ܨ :c Aŷ8?~IzrL? Ui X XjZimzl`G'cJ/VDEi\؇=٣`YܧVBLAA}M֔չ&)In9UM5v5?-5y. dZ{uU3 !V]U*e̞']\mVO\(篼aOZf:WŮ\U u`[Y"7e_aUʀ׆TLJ*]Y V`G`]Na͙1ZrdP4WOc8EwaOcS\'ڣiKVBäS`RKڬ2fG1*}l&=)k 82\oz {W'tޡoe֬8w-%*bi[ղ.)-5R{I%VM'TjW5ΓZO`zQJs2{]tl451b)ORZ5AZOI[}C-ԫ ؅Z:xS5NKGZC '$5e]/&1 "/XXBTs^eR3FZV0 odjF/9<JP) e,S֑Vٍ]x$zˆ3V.&j! t]X)ۺN $%4@o-Je);EY?o QutF}*&\}`[G*pHJÁ?H ߨ0_TX˳pV r4|6Z.= Ruz(7}\5X}xk },11U,xz%pgw[w/܅lT :u #`mL94EڅS@XˈlRf*bw}*L[_{@>x&X, 6hpJEH}`(4-VK)94ءQCtHb)2oٗy Cw`^sJRa!pHqĭÂGƷNxpjJV( 6`A07vvvu)1Ub Dtn x0ҹ 6;;՞/-&*U `6X3Lb+Mn/qWS;Pٍzv|tطR9{ǖv8-IM[R֕6fzaVi3_6[-[ Eq`n$LyU >SZZ0+W24R7ڕōOc=[QA\KMI.?s4(z \(E_hT\ڊry-/NmW_wf5E[؆Z!P)^~o2*_KėB QC<l ߕFo;50vDh"Gr^kI2.0f5צߺ%{x?fo=\.Ah"Dw/8p`ǐ X~ЍeX޾Ʋ8}ύeqpcy7n,Xo,opciLko0 ga|>`ERr w8֫S@+&`mPwimQW\*Џ=~\dvzzK*cf;tLFZ ER; q/w5ݿ;cUBVGP8# N-^߾a-5]zYm&, aR3-2hfYlcL+P+m0W=ū}}l=] u&ZX'&XKY\˶{+lD݀Jgfm81}2^bƴ:(!%TgIL0/. Kv [O_sKm(5ݲ|[o{Go`)7rḛΚ^-Vj?&A10tp kdz$W.,:`*,'iĒ. CLY'~ʙO"5<-82<||%󸶾plTP3 bga?Q j40@O.C-6fDCZp71Ọ{Ә>u8/V_Yk w s~l؛M֟VL]noo΋B :m70.E,7jDBl_э6VT>18l3.x.X ?ʏRѷ젘J~ P2ÁWueW+;>?> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33125 20483 0 R >> >> /Type /Page >> endobj 20480 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20481 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20482 0 obj << /Length 19 >> stream q /Iabc33125 Do Q endstream endobj 20483 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33126 20830 0 R /Gabc33127 20835 0 R >> /Font << /Fabc33128 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n!VthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭm?7‹!H5h}'2g("G :y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20485 0 obj << /Filter /FlateDecode /Length 4146 >> stream x\K$Wyz?)6eOc5\ IzuϚJʐ/Bʙ~̤Rӗ_vLO%MSOz3pLᅩg]vsazI~~FßI7m}7 ý })uz7ڀ9e g>N3r2'&d <`^hKy:¸ƴg퓇8%RQ^J_Nj16p:#pgOȅz-[?;!1.X…ěrtq"JLzJc3w`]%n9hb[<.spN4f1 |s9TnN EUkFXh9PJߖS,BbsqiT:Nxu *5i:7 "EYRK=hQU!7[~Q<_!6c&:u)nͷ>ieCۨoڒS[QYfWTkvHZL|q x̵PS`pU.BձԜb_ɋ- BLӜ]:*h(ȼE &DS > zL$9ds_L1NeT) *n hORHbd^ Mґ5"TSSm56ێ꿛)ryn %rVK % fka_:6ՀWQͫ%h^·3T056=}MZm1IhWnɑ6onn)rMã1o$ 73dS%2c ӻ|fkl fKaf(K-U&m|;26Tvľ>,ة~`p0 1f:m\LirP\j׆6#/l7M̾~'(Îw>Y> [i^S,BKaR$.ڵ 1X^l*ZE3ba&Vt؃:Ozr_/GF1nsPSc! =q{půscr,R ԺMۀ>tQ: e6F>Qn_;[bj%"%8Tʻy24`2 r}}EUc Ap72X'_U.QV뇌l52ax̀v}i`hp̴.!P,YyYnX\K& ~%VP$8 c*\PهG0hz[v{cyl? /,z|Mc"inwVey䬞y}7,1 uƵc司 7V>fS\f=Bh(B~Dws"iDo%]'줶NxsrV2dYi|!ĞW o}c-gY;B ]s2Y=mnwKo%5xK?mchc#бiN?&`Nú ryW- &&Z<@  6SEC;@ `I!~S]47T;& dx f Z'%R社B9ژNi P]ʐq36[hNZ0GDZE@3WۙOw\_L^'?}`sWW^g EZG i0[+ғA5xJH{;}0ې*&(TMqFz;xVunBT1Yg@Ta{A\U`9}zQRֳ$ x"ڐ!&[]QBbʺYԵ1-]÷z>\RPGo,x=ha89XdhZ/e5uRR5O¿6N(Ys^t3kzoErg 7|7ꐵq[L<48[gqiLM/dt\[uY,;%_S;b'PP.i:)_i=gM$ >(3> (|-FS}^m5}R9^_xq$R2O'nw1cHA܋/l?~TKet]!vX#l hSq-K  ;!ks?vV+q}V89< #a(M}g4cu/<}É}|_zE<}9W s$^#`:횁??XXWGv+M ~O~O߶.ٺ\2/00vw @TO$!DŽy''fީ '{ 3%ND5Ԙm S(,*ƦVG{q~zl}1|i,s0LGcd_G>#%<1Q'  endstream endobj 20486 0 obj << /Annots 20488 0 R /BleedBox [0 0 612 792] /Contents [20503 0 R 20499 0 R 20500 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33144 20501 0 R >> >> /Type /Page >> endobj 20487 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20488 0 obj [20487 0 R 20489 0 R 20490 0 R 20491 0 R 20492 0 R 20493 0 R 20494 0 R 20495 0 R 20496 0 R 20497 0 R 20498 0 R 20502 0 R] endobj 20489 0 obj << /A << /D (unique_525) /S /GoTo >> /Border [0 0 0] /Contents (add_files) /M (D:20211013063105-08'00') /Rect [104.1732 263.25 146.4737 274.25] /Subtype /Link /Type /Annot >> endobj 20490 0 obj << /A << /D (unique_526) /S /GoTo >> /Border [0 0 0] /Contents (archive_project) /M (D:20211013063105-08'00') /Rect [104.1732 247.05 177.6532 258.05] /Subtype /Link /Type /Annot >> endobj 20491 0 obj << /A << /D (unique_529) /S /GoTo >> /Border [0 0 0] /Contents (close_project) /M (D:20211013063105-08'00') /Rect [104.1732 230.85 167.7037 241.85] /Subtype /Link /Type /Annot >> endobj 20492 0 obj << /A << /D (unique_532) /S /GoTo >> /Border [0 0 0] /Contents (create_project) /M (D:20211013063105-08'00') /Rect [104.1732 214.6499 173.0772 225.6499] /Subtype /Link /Type /Annot >> endobj 20493 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 198.45 178.0272 209.4499] /Subtype /Link /Type /Annot >> endobj 20494 0 obj << /A << /D (unique_470) /S /GoTo >> /Border [0 0 0] /Contents (get_files) /M (D:20211013063105-08'00') /Rect [104.1732 182.25 144.0317 193.25] /Subtype /Link /Type /Annot >> endobj 20495 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 166.05 166.3397 177.05] /Subtype /Link /Type /Annot >> endobj 20496 0 obj << /A << /D (unique_555) /S /GoTo >> /Border [0 0 0] /Contents (open_project) /M (D:20211013063105-08'00') /Rect [104.1732 149.8501 167.3957 160.8501] /Subtype /Link /Type /Annot >> endobj 20497 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 133.6502 180.9312 144.6502] /Subtype /Link /Type /Annot >> endobj 20498 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 117.4502 165.4707 128.4502] /Subtype /Link /Type /Annot >> endobj 20499 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20500 0 obj << /Length 19 >> stream q /Iabc33144 Do Q endstream endobj 20501 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33145 20830 0 R /Gabc33146 20835 0 R >> /Font << /Fabc33147 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoJ endstream endobj 20502 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1911) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20503 0 obj << /Filter /FlateDecode /Length 3544 >> stream xn$ί0|?AF#99m )7~&=3 v&7Ul4RbW ^f]Em7ʄ C~k'I4Xȿ|'%@LTcUpDQ,EYSj|~K6o&$g**f_FK׮48x>}E`xAieRY0@zN֗b6i ~?nqjSA3ޔh׺[䟿Yo0~i]f۠R$A0PGYWJ!xC eM x1Y[py@F0=)0hqck}{[[i៪`OO0VO_GPV~zI2\Op^F6C~G& 4A 0$T J9ErR &ŬDG B{Hp=֏AS}ŧ_GX3n^Ɔkj#?P"Tp@A48bʑ\6:38Uy{\Жl54 QNZ?y`{=>0>'a:@]q Ӟ@ﺴO,(R8g;\sd4QArCc]f4>eYbkȱ`M⺎y{Q}>H16'rrNeb>t:r BZ}hm`JhhcFě`u*l 鵃SQQ ( * E4Y[4T YT^eYL{0(5M%>$rl6do?zO\tA>P_hH ٫T"U(4^e-6^͎$m{kؑ +xف|J]=Ǿ8.31 " 3euRl%,w݉-Xl]M-ROjY->m Ǹ6\̚S3^؎`W9}Lǘ`לEB . M\܃姯C.L{>C.ĉb-G|-L3f;1X0aB9\J=nvxDY{ NRbZZBb`HLME ֌<A`'GYJ{( oӁ#!dZ Z`Or+ȉwC`ֆ݌z0lRM!\yY'ExH|M;W넄"vDmM~,΅0MtzR{bͯxfkwKedatݻ!Ûe{RYذrJ>ϥDK \"wRfw[nSO{dj-l,K4 ܡ =B LcM2xqXC=`f'gqo#5Vu=^JCF 톱gAJvXkiͬϢOA gd'!1;ufݰrS1.߱Τo:E~|\B੆,7d=xbsO[q%k{l0/9YsebPI2*$IuW:vfЧN7% KŻt],{\&R=K),Y8Z1d#%;0>z,!}\^V&iC`BV3umߏ` ^U U5e-F˩A925E\" *GY%K3JpWb#( qcs¶459VTP]|yE VZ~L=m$]\s*nD{G{=OΞ M䳲UAzȕ1|Pq{@?UNBS,5O^a[^Fze⒕ U0JR6\[J',D:rOSE;Pw9`oxczy+E9Έ_4V&1bxa.)ݤLf.wo0P"-jse7P6!pDB{KnaOك77`rUWțpqFJ%'v: =k}=Ƿ$8WdGkC,R=]lM5NFtPai06̲)a6[r ) AՓvk7NQR.)7S>k;@(JmQefrmH'NZlы'ߍPi>TQQtB{͆Lbi2lXA+? 2uʎr}3GAM+9A1{ZhX9å=#_+HI[Dׯȶ{2wmW\ߋ=V-N`lҗ<&;cϖRGX-u[ quMT;J~:̀V\oԙM$@''IJ͒Vg0$3o,s RxQGƁeuq-O":08T߿ұzah֢iĘ$%'z?%Q&~J=wC0CKʷ?{K;bݛ!V ORsAe9 2<ƖKSӶ#ИLtzU>J6^1L$ոAM~m搁-g+QGEڿ^ ~F-5GEDso<J5?s ^6y73b)%J"<?@2s u:%јh'"G\me2Z ^݃ 2OCCd 2UOr!x, )P=ʁ60T560UK湩W[Nb*{ Z}c#=d\ w,pTe=i8k^L endstream endobj 20504 0 obj << /Annots 20506 0 R /BleedBox [0 0 612 792] /Contents [20514 0 R 20510 0 R 20511 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33163 20512 0 R >> >> /Type /Page >> endobj 20505 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20506 0 obj [20505 0 R 20507 0 R 20508 0 R 20509 0 R 20513 0 R] endobj 20507 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 304.425 118.9685 315.425] /Subtype /Link /Type /Annot >> endobj 20508 0 obj << /A << /D (unique_77_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20211013063105-08'00') /Rect [124.2815 304.425 172.3515 315.425] /Subtype /Link /Type /Annot >> endobj 20509 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [177.6645 304.425 210.3125 315.425] /Subtype /Link /Type /Annot >> endobj 20510 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20511 0 obj << /Length 19 >> stream q /Iabc33163 Do Q endstream endobj 20512 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33164 20830 0 R /Gabc33165 20835 0 R >> /Font << /Fabc33166 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7PRthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ endstream endobj 20513 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1912) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20514 0 obj << /Filter /FlateDecode /Length 4867 >> stream x\Ko8W<@j 8rs0StU/Ȕ쪽lە"EHM[m _tγ:=Y2K/U}ƐF~2&>>3Sx*ycɛ==YWކVTr ,>Mc.v6  Jٶ5SE}#0.NhB}п?7m4O [?¯s(?,cm/cyNqF1B<[A%HmY|!Kb }/& P~S9&3ϟ2=cA } x-$0>q5Nٗp|L6-LD-2 c*r#t6)9﹊! H@x}PPu>!ZIa0v5!__Qe@Jc j6>'<Cuvw4'ch 3@8 \QXFmQ\769u/nUU?1#S|?~9npRVMп 3j 2 F3'DA($4RO0F ]$/ ƌ՚S7ueA;LC a/$ ij<#qwHiSdtd3NxVTM3-D5˄)-K.Aǽ$ŦT)?a77˂ UL)N'G0@]8A7>ʱZf[]2d i TڮܶCp\{;߂ws87lQWgů_> ~uF9WO5 SKe@PVgHQ5n#@v#/oQ+ق^Lߘ^s<Ek0>'ï j ]B[W7u: sN`hd/M[WBkV&GIcD+1yLZ,rD-R9cT+y̲~PsDF!\ D=4U%|PT%"nx)Zs S)rXqDC*1? Ġ(M=%1tj/CrZ$t׭d)InFAP /Y$#67uDJt*>6l|>X|wi{x v`w`0}w} g~0Đ`} 6O.1۵΄g|y=yHBXU{-`(u /a/sw nQN"&bhLXWyZ%mwV!3|ȅULʼ ^e4*>JJ:pT~貇3J!%81Ьa^w Q?P#b1h< OL?dۋ9?IO`<ᘾwࡌC锈̒Av{G~";>`[Yggi*`3 }C-R,%S"ŠH;QA )m؉5>xL䈶o%dxlhZ&Lf&>`s i/BSn!d U'q p!Mh4j̱o357ߠ(n)mF}8&O _ѲĤ]iސe ?kWȴ%l76r]7#;vq ۙMZIլMs"Ҟ _Gsk3Gc frծd*f(LUz'z#jQ;IJ.%BX۝Wuw4صQoJFo.קJpFr;GD9LHa)gN ђ̅zm254if{ t-a&RuVo:67-*M\ОSZXrl FݳW}l`:Hf8'3Kf\neJl,CxR(CyPq^B~vזbDԒf&&LΒJatlkMlFYŀ DsUEdL`\G&oLiQ"%C0V^Q]b_RVrpz1@qf CN]P*A5DWviyk *?AKX4 43,0EɫV+&`jlaiXT5XiJ̦)d +Ԯĕr'%fnXm|;uOtSR$[Ղj'ug,l ZO(>ʼ'é%r`4-|r:C*g?[pB:ꭣY"@H.TIUl!֖; (jyN ::f%Qģ} [ ^Q%8$T'3:}Nj'% p'ӟx:g  N)>)~R{wCj=ZCJRj )# ZH.9z7ԱU3R{ӨJݘF TaP@S@Sg@S'@SǷ;e>\SX+xϭY3= ڙRZ,﹘Wj `K|B\_j7Cme?Lȝ(R^VVRT!uwao ^SVd;{Ι|VKգ ςi?! J0m|U7/v4rn8z0FȰuhig'"7~ǝBx..7t--K{aLD.j$NF/7 x.G;y榠_w91k<>sq\8gυp3i6?/uX1&D2K7>"~Bd!ښ inٔ`yCtnm8YtCftn b6Dcb\`G/+Qx0=wFjMXj-K:Y)ߟע7lG7vРϤ?hH|K@=XU-ʍH58r◧>g! wGxߩ#a}P}Lp)e,;km?=ݭ@_"'3Ox#7N][g]{CZ"Y#J~w$"|ez&=Nʍw5s `=fa4X p?,f\(\`,vtIaDU:?LJI~E,ӊqX:D(ܳlI6ty~fE͟e,G q5ʆ-,X;\KwxB6,M,c. 4\7>I o W{ з`l ?D7l% k tS5ꇤ ]؄w߸yR(6|Zl~U~)UcD& A[F;^/꘼+tcA|iÕII\h_yIMɻN`g}=gUr;V-NĹ ^qIj'-؍/!EL30>Ks7o0e7&gYQe oxݺ͂A2LrX7no"\7[\O KSUfw2BuyY2ʪD 2ug!~14!Z:]{h8MTK+Bāk." rb' PpW9@p8Mk0m.0G0Û0_8dFnApsZ}PI+"ӁL;1 ͹ZssWK;R]ݔ:s hQ:%sM3D-Q>! 2c lh'S.i(~C̯}Ek;I/&fBS*j]OH{Yܑhܢ#f./* NC玸(, 3%$Hͫ V915x% ̕GfmN8>:`qՂjnY.tv`Ma|W}UH<b>W4+٤k*Zg&nLKLHBGG>ǏOj_F+7 Wccv98tR#"zƞfd?j,*j ,1y|s$G:Qu}:?'A/Ќ endstream endobj 20515 0 obj << /Annots [20516 0 R 20520 0 R] /BleedBox [0 0 612 792] /Contents [20521 0 R 20517 0 R 20518 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33182 20519 0 R >> >> /Type /Page >> endobj 20516 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20517 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20518 0 obj << /Length 19 >> stream q /Iabc33182 Do Q endstream endobj 20519 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33183 20830 0 R /Gabc33184 20835 0 R >> /Font << /Fabc33185 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQY2~zY8lo0< L$́2hރ׮wmg+&-@f!Wm ZHn:Nx4je2r1""$,Ih;ɘҔHr$SBDQ@< ոs@61 Ej92;P/5"]zP.oc&sqE fgяON٧j {V#ج$VxM^RuTkSbt{E'W9F&[:Y[OʵRLsVWӬ/~od"ٟD<<9C9j9? ) ;d#9/_1s2*Lj4~!KQ/N>%=2! 3ϡF[zw}$N endstream endobj 20520 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1913) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20521 0 obj << /Filter /FlateDecode /Length 4221 >> stream x<Ɋ˵\*ECWz+׏{sF `RUdF3qR$=WHzV-*$۟fSrwm_vR-·2hi9esp?X_eJ_;]߾2ycpA l 8{Ϳq.={ע8Ǟ7$_p|5yaΌq.އoz>mݲ26 Ʋ=瀀\aL42 /i쀟v7@3c/\2iyϾ |F_,߯R!Lc/ ̿揦k8_9{⵼f eM2ye*)/I/pfDP)˕sI̊sR >Ō1No1̔0Ư_w=a.dE7PNQ^! D81{ )YqN()g`R~TNmښTQcYb'k@-IK^yAZt,[x +zL?֌(mi{׷O$%N8,eLA0ʼnv& gS~/^F5YIʪa\<=ܛBTmS'L]i0-ƿ3zӻq& ph1V̈́ŒɫL ]žM\| m7ˆ&6U :I$̃ά/Tn~F GNڠD΍ؽyy/mzݵ("$TҎI {%!/dmZX-bb8&Ȣo~WϑW:IwB79}#w9(GE"h~!p ݭh h Ű2-CJ6ۖ "tҝޣs/l4sohTd~B 0PivGГkȭ*YG w"\5Q}PӔB ߯CxY) ΐ]ݬDz۬+'c ʭ6Iqze;JqC16]S"DH4*D,as8'8kʾ.lR̴%{c[LrlؽӳT'PC,_sbg^q` r;*1c2' Q1@3ۑtŽK\z?ګVz鉍ޭs}b'8]uNbv eYIɸ+) z O+|_=+dƔ9uȴO*%Rb0QH[|Z[c6xAQ[+ /zRwW~mOK,5r A!ce$ww+e9 ?Gs%k ={Q{:w%\=2(kP~¿,orUBNPF@VAKg#V@[ y Vũrku*SdL[J{fZex[L ROUCvHے|0/Sm;Xem.NfLn$ռUs .>DpK˛(d W,MwIDUs7S,uVun'kq\9 V@C|:ԧ>a!=sy$?e~>#(ըiQ,oOɦkrJf4TXX [-wWBeR&9YA(-zJo?yYu!UTwHvVZ^uo7 "4_Q'n] !de(m\>k{k>SͮKtWNVʸ&䱝 *o=vR[^N7:_{-Uiբ7JI6mf#V.Xb%;̵fVGs=g.faqO8m1YyCWQ]{-_3we~WlH**'Zj=P~"+CjEVe:^ jx1ggLEiDs=`1 uEuʡq&s9EW쨫o O)yވn]:9;"ˡƪWؚǾʬɀG-Af?[!w hU{>H\ "X6 a.>h/[;-?ӢIrA'֗xr j*cn6Y~wmZw 29i0耝,6aH*Y xD!oX@ v(6pHr$Lsq{żYۻKu-|7alTv =Pz2ډSc+)ކ r“Kq:A6yR2•г'M߳Vi]ch< BlCH_hźW[3F3Zt%q"*HS-1>QAZ]rZ?. =[G/ Buk'AH ϫJYË> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33201 20530 0 R >> >> /Type /Page >> endobj 20523 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20524 0 obj [20523 0 R 20525 0 R 20526 0 R 20527 0 R 20531 0 R] endobj 20525 0 obj << /A << /D (unique_149) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 405.1923 202.7277 416.1923] /Subtype /Link /Type /Annot >> endobj 20526 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 388.9923 208.5962 399.9923] /Subtype /Link /Type /Annot >> endobj 20527 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 372.7923 217.3192 383.7923] /Subtype /Link /Type /Annot >> endobj 20528 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20529 0 obj << /Length 19 >> stream q /Iabc33201 Do Q endstream endobj 20530 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33202 20830 0 R /Gabc33203 20835 0 R >> /Font << /Fabc33204 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoC endstream endobj 20531 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1914) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20532 0 obj << /Filter /FlateDecode /Length 2745 >> stream xZKW `$,r47<FSl&ŪWli wx+o9,}]vN?~]l YSs A?~[뫵hmppx~ A? HŤ~w< R&TN@㿃{?hi8㟁v15֥ր. W:vNٶvۃ`)bL9P]=̌q;*Ps ڕF;璩Ѿc \^+ׅi] hbM66AڥL (JӀN޻l]'bD̅;{kkZLo9;$M?_gO|Aص7p/}5/j_?@P=>r{A/ryx}zZ+ZAѢ f_v'O K <ҶS;]H$Y$vbRly!d>~&Ũ9IIO0[$t/Jq$};\{oo'-oѿaI#S)ÇrK w5f`w.ׅ C,ݽ-9ӔP-ڰ?b,vlPadx.KR|;ao%D$TXBVB'LyeO‚9]n]U9* (%ZȇB_IDMSp!ئZ*CװD ;eSvq[ND[%f 6VKxGW)y!8 5H<yg[Eepho\ʱۏWU{fvTxW cb\i2`M C? }V'>[5fMߊIarn`\0[{x.%]oqN߀f甲I܂]5#6t+F+'}N?"!:gۺ'>S=Dށq>Ȩ_GM}Y8ԭ[aV& LqZYKkDTt7\M'k_E=&(wT)ANH4`/ ԝ7 CJ\M `uS*{Q8oP긮qW[":'#{'`hz'٘W ,v7!c[<{kq\@Y٠v */|Ub;k0;xkrx^~h\JF@q{Ga- ԓL[ϐys }g]z/}>VG;PP8R Q)%_iԪ1EtbȔͲPT Pcj"X2I"x @-[ȑ`j PVK)RqϺOY_S@V %N&%:zC^\]J-L|% ?!{9&7O}g: ]@ojZ@;+>K6GX3 QɫESD^m‘By[M[4M35Rzb&}#šLL-Bp~M2#8O̱ c4xgF1ͭE2<`= V(""G1!,Olqn> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33220 20539 0 R >> >> /Type /Page >> endobj 20534 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20535 0 obj [20534 0 R 20536 0 R 20540 0 R] endobj 20536 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 289.525 118.9685 300.525] /Subtype /Link /Type /Annot >> endobj 20537 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20538 0 obj << /Length 19 >> stream q /Iabc33220 Do Q endstream endobj 20539 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33221 20830 0 R /Gabc33222 20835 0 R >> /Font << /Fabc33223 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 20540 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1915) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20541 0 obj << /Filter /FlateDecode /Length 4391 >> stream x\I6Wy/@Z䖤9sI2T }6ۯ_3t^٢e"QM/RKNxϯҴN&$E.?ɿ=;ixuKt!ZUr'3hҭmЮҙƳZOHs3g]Z?':"rDQ߿'ZBNpI-jhtZ0Yy,,zRz~9$}^,O+F GB>`# Dp[ d-X<η:L^B0xx#z{Cp.-%M_}'ĮB!]4Gz =hm/7?}/-QڀRAAx|ЃtC4ֽK%G}6h,ZRӧJrmfѺUy(bz>"&"{K97 $n85$HzaP,B ,ߏ})AृVeUJYXn A:/ dY'M:v`Agyq 80 9bcY!T_VjCѓ(FSE.Pb r u ѻEG[RNbB%R1jqϯmgiCiɦDՉ"aM;ZUn1W2)/x1W-UԞT3 kL]Uš8@ժ.]\xy@*C/+^WFP .\YɰCg^4`vX5ulzfsGE6Kdl*iTȗRCW|~pE$$P(o{PmGy| Uqs`Qx v|D!ϼT|g ^HҴ&+\@BTi}-F(=6/Dx%BJ3hT3Ĵj,^TYuRHC@8V̭7Ӱ/{ak;Afԑ,E%Vj0Q0@"Teb+q7/ KK76=Ypjy>ү6+*"J,Zo.a.9Gؚ48 n[7SE,J!^.o9̐Z zϖ4hͥ} tr J+O0K~j  a1gXl!lon\ljPc[f+CblPh6CM0q$Xſ+v'm)*40W^:I\ؼNC4Gat#^ᴍ_S7 d(y&SG32fo1|se(cIti`sf18 x 1k8=p,48 VF'7:M1سNW?Pb783>@ 7c@]>u.<>t.=>u .>H=)1J p@N8 Bj !ۤV02 3% 8*,ő[\]pĐջC]ZtݻAwHLvE6z2ZyGP\ .E^> OcrC}ozbJSV Δ:AoM]јFyS9ʼjI#& x+ D5 %8ê ZV>z BRa\R6_]roKR2!t/ Խ4d RmT~/3`tX9HLaL D7S#\מR INсyKiRYp\dƒA:פr={;3 REZJ{ӯǮJ9Ҁs[#|SS ]`ܭ̛%lO!-Zrh a\RڡL/ne2[ Xt!R86aKSVn\z )ݪnQK*Oa_ #^u,>\sՏOnZ4:loځ) AFwfQTZr¬^9(tLR21/&? #Kkhc {dL*wNF;mkq+$?v5iWqnnnƫ &IkfgY5)jm)wB*WGny?Է_(+2XT'\eí=~Llahj#wWO` @&X85Rzb)&+ll6rfB'Re;`ŷ b4m:R}鴀8Egʳᱪaw9#nje1t ɖ&2x>Wp:e]_Gn 1g{5%q{?y`m̐dnjw;s4Gm3Ưw+"\ Ɲ=㜏4~_G 6;޻hasfUpUݩ=8uվ9?J3ӣs0}Nٟ%A뱓ǂ SySŕ͔򂱆ejI_u_]b2¸)-X.Vy;Ko`ΒMƄab¥`y#-&P̢K8.ouF05ZkOw-g ~/J.K>2k;/ xSEZZgy+=U y:%kh)cw24Kђ+rX">_/[O:Ի  M*j+5k&ý,w-|*iح:9@LN؍9(S"fP9ZJ?^ȂtZLA1X X] sOltKb^;k߭G,:_Rktӂu~K)&>h&jrr4wwޟ*`+_72?4r>%u]/s|.YjWbrK+&KėRT9+EQ#Iu/ؗ}^K/\*TLCZ▩4կ(n(fH)sޟaU\ecf^4{%\(KG^D1"8/R[S 0Dc^~b}4sx)4qp^ )dS;unK>Z/|fl=9яyWMgQF첉߇1)f=_qW0+M *\q Slw5/|e4ϫ/ ߏ05v9]*΁ѕ=q\#)z +!'r@OX2uN=(D?kZ^?ïC?.ezsϿaFKE{2o*R~(GLKKDk=u=ġ/'˘m) WO Od5TnwҜ]*ނ-2ujm7p4a=mW^"y"B( endstream endobj 20542 0 obj << /Annots [20543 0 R 20547 0 R] /BleedBox [0 0 612 792] /Contents [20548 0 R 20544 0 R 20545 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33239 20546 0 R >> >> /Type /Page >> endobj 20543 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20544 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20545 0 obj << /Length 19 >> stream q /Iabc33239 Do Q endstream endobj 20546 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33240 20830 0 R /Gabc33241 20835 0 R >> /Font << /Fabc33242 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoG endstream endobj 20547 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1916) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20548 0 obj << /Filter /FlateDecode /Length 4114 >> stream x[Y#~_Q J}hhIi⧶w1wDFQY%g1CUyDőE/ #f~]3x$TWqzYtN//6H좕//_J(u])r^iONrU 7>_O_@,r@ߏ(i)2j[z-?^=-F}z># G3 rAgmy󰬋2xX͈++gq63x~D"l_{WJGӿ#b@ 2+Sg q0qɐmK -- 8XUڴ1Yj֥v=جeN砃`Ӣ6\s.Eb}f% vyd.F3ĬeM)*=R/1ò\\ƴuf]Ӏjc=1H hdU@#Ҋڵ:±.'W7Z`ۃk^RT;6P5+kA$ًJ?3/ppAjJWSN#! JX@% 9@nBlqa +ixdžѐ@+Ay/]49T0~oЭ4wW_d!j,G؋v#>oQCL2ub\.l%Fꘕit 7f苡&"ntypGpX`$Ѯ 4;-ȩ/c)*Tic4"3G<s[mq Ԝ ['~64QH;;Ff/-#F xS44c}Y.vHkpiPzKWQykX):~|8Zx Yh2?sq*z3{b{e Y u }<rs )7CM+PlPD@5+ ; s15QV*/6|88dgB䴃22+f=6lzAUy(wTx,h$jYk\r*#?˳Ȟ01_+צn}~ -7DܳO 5 fUP Ai*=[N0\:6d@С(dGD$uj ꤂O16guͅUv4i6ns`ڎUR^ AT^Y7 4?w-OcӤpG6514Uq[wa]EZ+lXwOFcK_Lts-]M2 sKΎ)ʈ!nYC1w!qS1cXcs`@bs.k  sY,V 7v {)2c"!]\H\= n+D5T}sGKL 6$72vk(IXޢ߭S`zڣ%6>(Ĺdoe<︝Qi6yp+Lߘgu,+ZC(.UWtѶ. `kX>r;*lFl;o6/t60w.m!3)z\fn^m2p ta3T(%a%@MQ4y ؽx^_.vn3O@t"[U,*;>P2FtQ[G-P.]*^Q]4?..]IPi eCaE*P\XX_[ײR:.dQr-IJ$qC&+j j2޸Em_+kT|XX ~0K~J7Oܲmcs)Z)-ڞo} bbhuZ-eAa[6hSRt8N&7\}}n7d]>]om=NL{siǦ(A&Bob\I]`X4VlX :v[;GբR5b:g#+qV,x!k 43=BYH;b &H^gR?]<"7Ikh!dV۠yN7|O! /k 6  *͝yg?;ׄII2'm3ϐYcU=hJԏC}A:+ 044O+`UWv<6#3kXx7Ճvz endstream endobj 20549 0 obj << /Annots 20551 0 R /BleedBox [0 0 612 792] /Contents [20558 0 R 20554 0 R 20555 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33258 20556 0 R >> >> /Type /Page >> endobj 20550 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20551 0 obj [20550 0 R 20552 0 R 20553 0 R 20557 0 R] endobj 20552 0 obj << /A << /D (unique_171) /S /GoTo >> /Border [0 0 0] /Contents (read_schematic) /M (D:20211013063105-08'00') /Rect [104.1732 596.1 178.9402 607.1] /Subtype /Link /Type /Annot >> endobj 20553 0 obj << /A << /D (unique_178) /S /GoTo >> /Border [0 0 0] /Contents (write_bd_layout) /M (D:20211013063105-08'00') /Rect [104.1732 579.9 181.4922 590.9] /Subtype /Link /Type /Annot >> endobj 20554 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20555 0 obj << /Length 19 >> stream q /Iabc33258 Do Q endstream endobj 20556 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33259 20830 0 R /Gabc33260 20835 0 R >> /Font << /Fabc33261 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%T(C9PmтGŶ endstream endobj 20557 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1917) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20558 0 obj << /Filter /FlateDecode /Length 1731 >> stream xڭXK7WlhYo`!7BNC x/JVώĦW*UէkgR~zѽO_vN?>CC6֢՚d~|ѿ>XNW邾EN|DPߩmsEJA6Ӥ?!--^uPW-ǝW(kYީO&hJ6!ZǿƸ)Gڝ$0xqr> 1-2,d=5vl wB۰b0LO h)^#Urjf`~ ;%Z>+lO4t>DEBn Bi>kkjLZ~BJ0IY鏔&_!6+X <,2z+Z`Ǽ^J|4=ȨG!S D+l|YV̔Y 28G18ߞat:6Q2f'#6Pc4sr37"f28N- NIH&7z$XK9BG2.Qrpyxa&_!J fA0i1@ΩҩH2iBmdKlkl uFaT$%ƙJkH@B{ە B'Kz<7ia\VN{vU/ӿvي^wY\ͭ7j<o⌬rso|pMr GcQ]I\h_0g؄ņAev1AjZ7c>M5,V-IEGSB5E]ƉH,j"՚Qb:C! Z14&]:+B嫞q^:yѲꛬԯb!w;ucdAC^ht@Tv1҃"b^lPNΙԻC]$^bµdMԱ^`K8Ax?6dnDH`86pɛX$SPV6Nc;ͨ ".a_9b l6o489Yo[YmBn x֖5n{` e]F$!1.u.O"]{ʮej0Mqa[K% }yh"wT7/|N-kn7tfmtϥ*O7t\#n TƞR{ߝGoq旆[/4tor>>+<~.^>/S8X.EzaisIO:B%0C4$͡Q(ӓko񈳇ߙ?\QQr ( "k 05CFya>Pg{B,_i|?9> ۸/.~hĨIsmMD#*7k{$ѓ-c'dP.N!^YK ~IO4jzhVڷ4d-j- endstream endobj 20559 0 obj << /Annots 20561 0 R /BleedBox [0 0 612 792] /Contents [20568 0 R 20564 0 R 20565 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33277 20566 0 R >> >> /Type /Page >> endobj 20560 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20561 0 obj [20560 0 R 20562 0 R 20563 0 R 20567 0 R] endobj 20562 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 264.825 118.9685 275.825] /Subtype /Link /Type /Annot >> endobj 20563 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [124.2815 264.825 156.9295 275.825] /Subtype /Link /Type /Annot >> endobj 20564 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20565 0 obj << /Length 19 >> stream q /Iabc33277 Do Q endstream endobj 20566 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33278 20830 0 R /Gabc33279 20835 0 R >> /Font << /Fabc33280 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)i+*5;x77Z fc}Lh < ӄ9p: 橷൫ ӡm~b1wE*(YUCQ?WG?{`'3cX-LFV#Pl!2Rh, ?$WJ2'ī+OSr&A!K2?:Y#rU (I(~R1fubG /N٧j {Vqi*J9Lڴ[쥭}-UaoKey͞\w>@lIHBJ.\[-:ufg[XL[/? D?F<<%C9P2,|<30-s@%`^u#UR,Wm'@;2!xڡ1 g^B9T_'Ŷ3 endstream endobj 20567 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1918) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20568 0 obj << /Filter /FlateDecode /Length 4970 >> stream x=n8w~oJa,70-`=LbaPuߟ`%1}Y)RT0dRR~oo2i靜5v)wq*oMхh7ӣ<W>_K(Yr7u(G~U|'+W/4;V" j Ԥ^E0KhR0!:ϋR+ |YiwvVpG1p/\8/rN0R>syĠSʯD.ٯyyNA9T.b>) Lbd@Φ9%̈4QȌAfR@p K%τ\EYads(d,…s df=9bB0&,kb 3!i8+Xz#S؆Ə"Eł BiPFkvuZ@*^F~j-xP^gH} 3a/(b`0’L` *hICYYpK i&+؇p lZS|6Pl>V2{lq5ed:qs#K:4?h@?@AN:Ol GՂĆUE\ljٰ ΃ &'gLxƄ Cx -x,N@H=) .ݳBF(> D. * 6Sd}Y*EMuUDQ ?> hͲE⃟Ϙ"$(]mD;EKӦGcK}eӼ;:<ƈh6La{wkq:p+rj5)O~pثMxjE8qQcKH3B!;l,!d9[ԲvUh*KZmq!BN@?ќ婑9!Г'35ݼLzf0sM&o5.t9 &i5.;-C` Q`9;X2!H38bLeeE\ b֛4&V"mn}ӧU0Cc&}R%x ZWk={awelW׏wZ~͕Yj<+l$d!?W<g_H.#<PAslL~#v^U=T 2_naU; '9Uov?!^;g%wAjVr*ߏU|幧F΍/'X#+>4|^2w"8}d׼VROO)tQnYX>ϭ(Z!pasI#1|GHc6$[J([>1陼Kޱd YɜP`(M l ᜛P`:mh*Z.qY>u`oWǫf5bKZ zܽyWϡ86U_0\+sW>QW }7r_6f /{FjdɈOx[atBO4hFMn^-PK+;Xj6 w>L޳=mf2 33ec䳸&"bN~F:"#pz/!>r..tF( NVJ_ZCWQb؁ _i7m28LR:4,MB Me _tbBy_S_u0F:rOGlZSǛeE$OzZt83qj[8eP>"PmO0lvh;zǦN 6̤fRFp`8[ ]o̓aSƧL,5\XӼvE.$n:H[TV2}q}s"ݡrjGSy~˩~˩;R˩a@[N rj=S]0*q0GsŞ?G7)P{I1Έڈƈ4HgaeHhۤ11UR;(58as;KAÊ[QB[hx|ʄbg>x?iGÓr'VW۟2V:'М짩C>T8i1̥f%Ո &ay򠃊u]E?<-!lЩ +yHm b\s+j>ˠ۷db(uUVgw.fyjrR|˞s|zlYTI93ltėy-eR_ڱ<6o@r K GTpg[L8א (*"N\Nsa7%trZd.C[RTlz"@VkT5 V,YD+ Q(oUW],Ԋ\ M&v&a뷈ZMO8{!._`Ш—S ǻe*Se0 @n.vT(:TxC5uss}7ε&KiCGG\VF͠i ɼkAdg`jX#]SZ8!  O ;״#us\Ƽ!/ Fw.W\z{a: '-)aTm.=|)LOn&OқC p0ta'*lbDHL܊u.6(՘aa '3ԡ/`s4) ε̐x7We aGu"=̱PꚀ{fmO%F' Zbw+QǕOP>qT*IkQE XFz{_0#1o.I44I[8>OuDO(t9'E9l.w`3GJ#M'5'O"MXaAZҮxnr+3xfj|#N;ʗG GܔeDOڌ~ldvsۘJi:qo'xϨ:1?]> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33296 20575 0 R >> >> /Type /Page >> endobj 20570 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20571 0 obj [20570 0 R 20572 0 R 20576 0 R] endobj 20572 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 186.2654 166.7302 197.2654] /Subtype /Link /Type /Annot >> endobj 20573 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20574 0 obj << /Length 19 >> stream q /Iabc33296 Do Q endstream endobj 20575 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33297 20830 0 R /Gabc33298 20835 0 R >> /Font << /Fabc33299 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7)|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!KF>Y#rU (I(~S1fubG _OM٧j gVȃ;6Tr8knT-=%CO4yrCod%# yk|\Zu8>϶f՟EŐL$5l)lȱ2a%xp4!b2g,9/\09U1" ^_/rvX9 )evP(y Ph|=B/}KH endstream endobj 20576 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1919) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20577 0 obj << /Filter /FlateDecode /Length 3722 >> stream xڭ[I#Wl@e hIrs@ANAs[V *{+_LKdH~wwZڰ(eVW^=*R/9?*RDCQTx\:|0KÙ',0Ih3w>*,9*-96gvKȡ1c,R˂.elKY:] (j^h0P:OY0&yx;#'7Ώs+~kˡuQ`z:ܝ$ L}Ite!IzR"RPP,'Ka@-(W-..֨to R.~n $ MA~1`bSRsReT+BmWI'h_nk@jwK}0Kn+jz78&~H buHMf<&<\%LkE\BN@fv / ]Ko!† 6mϠ@kjW2b#֓82%\W{{Ldce~ʠpvph$Km+*Fl,4be&dr}kn VDgY> (ʵ歴qdYhv-x{yء$Yy<:2pYE%38u١ÄZ}tz4+7K~Ĕ1m}#z^ٍ s ^aغjw":SuMڰFB6GmO}[`=ldǠyYb&$bUh,L"C?HxN[ޮ{QGL)Wg ـr 26Cj^҅]!SN1Ӯ``K3վ=>A폾ȎXYn*9"@3LV̲1 }C9qN0ōS@ s~wE5Juϊ-}¼IY]3&#m{O(%W#zfDqX{),ĽDe+ p9P{ri "&U~SANzAmzjZ?Tծ|@"IzvEfVp0Ys[,/eV^ߠ'fCI08R$͎ FxCMfsE}oW657ta~[1 9Z*c|̀e0qQbY&MئCʜ3;n8gWnWm}E:Swvܽ]3UMz[hpR(aXH;A-?V39.b.[ZlI^JS)H>Kc2pts4{NCqls hƊ*凩 Um֊ eW"4&"Kyc!6_Q˱EY =' '@zT*]%T46fs7rn1ڥbBI}[ʅKGy { dw@$d9Y|jЦXΏRaLȲr%|N5!hxTvia:NU=aK5qֹz7 GOw4&?* ^6nU|Aq xd__RᄚӁݕ?n9i}vܶ:NrA} >/ukU悝XŠ7??ix`w Uybè$?[KŹ|ߥF74Oxs:5gu & DRGhh2]yyLV0|j͔͒QUXPƅkuDUꃐzH[AQD7S'~~s0aԍ6>\Q((<@%*!$CiOTt*U\X''Z^Io4[>p[-.?gj pcS)W{@Av^Wp]-su>J|.ۿo?_࿸ x( y1Xu_C@/(o@v-Qzpj3BDy< ֡:J ݸG'5/ 5%;P$>N\*mZwql *O̭ c8띇~]ca3);sMx%7P9eَz+ᢨ%_%h%pG,'_]b$55bA\C&rMqPuvJ+} ]{ ;~)XŇfܐ2.&b!uإ V34(D ~ Jnq\l9Os>kw0Ͻ+u\MZ v}xьDY/G[x}8X7`MKnD!S} yYh*7t}%˱,o1+"" r71 v01LLiD.[ing/Ęo `{2}?~[ RAJCfRŌT#*FV={KXԤI_^<&PWq4M$QU@:º@l,ɸ\0n&'z&bpmd܋*Q'xq*ѡv[uh(R*3S IJ7 mZO𫯇hzABeLKD Ǩ>qݛHNF[3,PMe{)[6NMSΌ 657%΍oeqQ! YmkMnvYa!֖ޅI Jkw;!կSX#|X[bßrȯT"z:\C!䠾DAa^@Y 9个1<^…`{)1x|:_x<+凜@Ii ב4dhxn7\!1/ u~畘?ay >>6Hkx V[/t3*_ۤ%Cqx ItͿEKޅ7ǟkW.Dճ;oIr^ ocU(Lz%f'<":KK=djm"p\Si?{ endstream endobj 20578 0 obj << /Annots 20580 0 R /BleedBox [0 0 612 792] /Contents [20586 0 R 20582 0 R 20583 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33315 20584 0 R >> >> /Type /Page >> endobj 20579 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20580 0 obj [20579 0 R 20581 0 R 20585 0 R] endobj 20581 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 107.925 118.9685 118.925] /Subtype /Link /Type /Annot >> endobj 20582 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20583 0 obj << /Length 19 >> stream q /Iabc33315 Do Q endstream endobj 20584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33316 20830 0 R /Gabc33317 20835 0 R >> /Font << /Fabc33318 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `X{!׋ڎ>E;!%]vhw%Tsh8r-ZݛL endstream endobj 20585 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1920) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20586 0 obj << /Filter /FlateDecode /Length 4886 >> stream x]K Wm&43!)G]]}P;[mK)'Q%RKxG#˔wrИߏ?I[zz+>)_(<̝r^gAg'mձz;t<_Wڀ|^r?" ?KՅqKSVFA˿$i&DX[Xߍ_~z`QE(p;AGyVCe,rcxgb!Prxn@gbH.ƛP ZHcM+R9K3ZCl9yB0W${lFn|_F5zip5(D;`rR@'iZZv;A8:^E_0ZO:"BDC2PV UX5.EJ˶s}2Dj!*5"[WH.U&^O/_bm㸾ac}!DӆΚmrm@~AJjs ʰY+jϙcWPL}9j2;X:2C wX%,Bkh{Jq0n Z݁$<ыxUf,y_pڰt eܳZ !tfЩyTj$S~:qC{D!bt6:Y5\kpUg+ߐ95mf*l:m_fA[) 8~cDCKɣ;GǷGI~v,Oqt){K%xt/tG '}yJU <{R*39AְI&v5bnI e*"g2* юRTԱ<, E{ȺF=;>thiⳃ]cDȾfaqr[7M .Ze>{W,IR#?ͺD wfy ҋl4G;q6Re- lYF] a6 >;?O1>9#DrY'YCSd⍞H̓ Je8S Ϗ4>ţB 6zessP+XVV%f_$bfD6-0ca 3&&34 ŞNH\H/4"gmݰ쐥0 0ul n|l s!'jh>td>Y;&NS WoDciHqΝ%2Ty`BG[]~vHxw 9rbcUG~:sw&Y}6 mo%=!+&T`aV:eX&6'I%01y!.?Dx/ksJ^zawhGC'RyrS?+okf ߅20OB7Ww8&"qaUYY9jCNrr3x!rWYd=٨;4 8D/*4#tX4VTP *?I#Nb|;_fbZLzu2A^f̙D$7;uq]4wYL_ji6tsge{qPBO6XxefJUf}s'5& ]BFV!+Ƌo`ݳw& )r(mFR$QA*5s;0/e|Z$w|+m,/gFVE/L3 c)WD Wp̲r2@EdE2):Tԧoհ@ ,a[`!a*X n 9igdVjn(f3d V \  7E_Yxh(Wp,`Nv Nn 'pZWpM$ɶPB{b@5-e\&2\2 `<$oH33@U%f!9Tλ(Qг̢cXjn(6"ON2vRn@UD.Db.fF] Qi]RpS*Jl~omӊ'ouVO,9|:|Ne8 X?ftOT'O<ӵOz=z&HI]Pj)T o % Pb9)X J7Jlo TbC\bĖPMq7<*'ط Tb_o`P[}A%n ]5wT`5P+}fm ':A?`qBMZ~ _p٥VN(nJ~'&@`%}\;Y^1$҂H{z&NuO ,s.͜Ŕyy󱔪xfQ!qLy +LVF.Ff>&-tNՖ2@ǻ(I +:ॺ7tcslh[[llL9hl-0y<0 xՈRrU5#*qXkDѯ } fSiU,HS&JNiRK*M)'3rH6RSW,Yh-UREmӶAc%+u%~CҜMf@EA|'$DH^ĤhffZxM2Н"z.SoGYTL`B(b]jсو:Jv*SS*9&XJ\3%d28=N9Mc/=DGjC<ؾzڦbEToӜRR1]H_qTzܛi{2{Ϡ4ncPgp][Y%Z $o&bΓ}̪݁L>]ͩ3[,o4ԸSO7ڡc"@o黡^",u|<DQq8/;3ώUl>ws$Sގ*oK8LV\b Q֎5IiG endstream endobj 20587 0 obj << /Annots [20588 0 R 20592 0 R] /BleedBox [0 0 612 792] /Contents [20593 0 R 20589 0 R 20590 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33334 20591 0 R >> >> /Type /Page >> endobj 20588 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20589 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20590 0 obj << /Length 19 >> stream q /Iabc33334 Do Q endstream endobj 20591 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33335 20830 0 R /Gabc33336 20835 0 R >> /Font << /Fabc33337 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 2~f| fpYw.Z 5J8.y-xvw7|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYc#x#ȿ0$ClE d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94Cj|=B/} endstream endobj 20592 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1921) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20593 0 obj << /Filter /FlateDecode /Length 4132 >> stream xɎ+ί9 00y:f`c8TwvFt7Y}#[wN_]߯+~SR>~{R)mys>Wk X&~?"tx^\õHx xe g\_q̙З2{cg@ I]FI}^(3Ӫ_Ʉϫ brh+Z>eUUH SA_;\'&`LVgQSQ@ݔy.rgfX 鈣uXB2|T n'TeF* Jxx^Ҡ;Aw֯[że/|C,ܮ"cDB a3kp\5fk]XFd6h0/Jh[A>җӿ9/'2Y'Nd.F\YaMJa+.ԝ4 *VCuYw?wdUԷ{Xqg]+L~/_/[1z{9.c@٤3s '.r]6ȕ,4Lo$$]mzM"U!hh7)a0 vCmK!ŗnnA)L |joY[- ;$ƶ\b.w(GC%S9sTry$)s<^}T&WzJ0Bԓ 9+C2K xAn@U>hr̃4^)HLWon1s|g+8%c;%@?NYRJcY&v4f!QC(.(TٟK^9pRAx]7ʌ0p&Kdz7+ݤX)n絞;+vYiSCo^fT"an}|̭.jr M Vx4)]-U(g S}RfjO^ O`׉" /ulq~_󭿾Mow&$粕?]ʶ8~&"GWƈdw=&%rA$ S6W֊Fz)tN PMqU>BG47m9RgWq\k S0i#%uR:.:fԳuo!=`P8kS4UÄ 5EVʤ {_ ~$> '[fȢ;EZ; ƞY4ZX{kyV3&yXUyL~ HˍaZ90Vג.`/14[%:t҇P6jZTy & A =zHeTÖ1 ~iA۞'c&Nm[8Fdʾg֪}^ң9ī~GZ]s4&#U je|oe3l.$el/l#C6[lp}wv,,T [ff7̅{9Iu|vmogZ6o6s/emŤ\n4ŝ4 } XWG;'4/Í!NL=-v?Ȱr2vU4W,S5Gm!ZYہ Z ;xZXfj'# ˘Аm hN{ ;!lcc-P_Nб"P"aᛓ]?m>ڧU[UlkЖA(:we&NDZzvU}/u\v'gʸsc[HZw;XB;%Oy-.1cD{)奕cH"V9Ԑ 4"/xuF _Df+ ɢs/~ئ[jO#wuFуwO5c,O$Ki]2 I|R5OL /s*;yYa. , _N= h6(g?X\Nw+kgTíZHb9<ݱ]Ӻ3v=ǭ ~tlސM)砶: bUywR;@.d`m4#b,,Hn%qLmƭw`0{R.,`?CO@ bf 1p0o C1g[ݘ'ي<ypg7SpuB(̈́IF;vJ;ؽǰPȗT]{8)%\}ll].A2l%;Ia`&\} 0?fNyW3T=䤅 Y',QL =V!&~ w$k$ofxc|j7݂>bP>GT}]Lr@WK|EuQ6ɚf=Uf¿: @ W BǢ' "JHgߌD  SE^2_ʣe6G~bt:6\ue[J(]Y7^/Y[?/&XɭGsU$4l{Vf47<_m#NNGv̹P UBےcH&&6$?=QЁ=x0%7@٩ƦdYثL9g,dy -Y\]><,"*dze}I+s0덁ze3O9z0Sg"\_󅐿\bI}O~O(L7@BfV#83@>{‡t%Ń4V}.U3ۄG¯?sjP]T "LMk'/XU-Yѧ<ΦrK l/F1=puc8_ #kZ+~d endstream endobj 20594 0 obj << /Annots [20595 0 R 20599 0 R] /BleedBox [0 0 612 792] /Contents [20600 0 R 20596 0 R 20597 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33353 20598 0 R >> >> /Type /Page >> endobj 20595 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20596 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20597 0 obj << /Length 19 >> stream q /Iabc33353 Do Q endstream endobj 20598 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33354 20830 0 R /Gabc33355 20835 0 R >> /Font << /Fabc33356 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7*|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20600 0 obj << /Filter /FlateDecode /Length 4368 >> stream x<ˊ$9w}B ̩vzk&$YNSDE]n2LM~loo޼ےK7q]=}u+l`U{:+Ap<0yc+x7cUDc5|@B ' (A t½ke *؄yDU ` kTDִ NFErEhHD7NʊEz~2\!`]1SmD'uF@E RgǙM·&. 1+Z)#8ĭR]ɁbRT>@ Q4^uImCAL7/4k9g/}]OdDKm_"?vm|3 bL:p\wgݻ6'gtd/Zq,#{7R&mvg'M5*h0uA&R׮]bN B !:$6(@]˸;\H!EuuNy q2(im1<hyB_ кtdsWLqHYWdsG߫)ƌM d #T#vƱ3A8/] %e ;NN{p A^^, rjҎ ľBy(Mt2`MVi}R%m+ָz{df% (Q}bJ|ğpr@ {yZCʛH~)ټSU&xyM ߕ*Sq 1VVz0uՈLۙixBΡb:ZIV{1<4ZCfY2x?)3ގN&UОi3!lf1Cn.Ѣ{.n>#_p edpv ?̮(w/~*x6Vxi~Fd7 TtOBsTD (6d٬;ՒۡIM1ު$ {z+׍L)š=:53/Z ^=߭~"c62e\ j%|F)ZϢXsq { OpAww{e[J 則iވx.ň6 ؛|vpuiH)aV%<:="2Q+8."ڤ%K:{* PC7VL[\5`-\ƻ'p2yTi |[ vaC1(}kJ$wvPM{;2479&8Xc`B%O;F'He?Z/ׯR`4i^ Kb&RܤCsZv58{uωq7ħ~B~ܮC&3iej)O fT0KMlפ<4XN оOC#-7=+xyk@a!iVx.+o6ٵH?Ȋ_j{A'&f ]S,[Ν `؏h/T駵ǹ%?XٝjBrʐtyDiA۸^w+_a37hB2A7 <86a.{I #9'),5u:1ba@dMKȴ0v̭\eBSqT#ņ!z+w\а@ONp,$б:EUf$0*(&#vHMļcۏ=i1dLyY5:23baDWoGyx[vY=H3n4:FVU`}- z%k;ž~J!0S% .S]RxAvD'K+(n;zT&xw F__D~BZC}= 2ڽ"JV1horbKiQjN$Fؖ;%&i%?7'S}OQKu}n93mjb_5yo;©Bkqji 9ls6IEcUxW)tshh>k麤- ǩƒpAyWzA45/uJ܏gJG4\YDONk=ҿc,Z2❷x?A;q*rufUybW[/{cF%xٞ*=a( wueNPr(E'T8>YVtJ {h/tX3ZA?HMNƸ[o[&+TN񃰥-WEYػz`K6ϵTT@k1Unт̀XEkx}Mk]+硾S AbXF7]{~JۋS` ?qqƒömonn2,TnJɖv2\L^5>cV /Pkf<.I6fEyI[+,<;^w,V^> n|etf#yƸ"/_E{1!֮wU۬ :Hf Č~/fdoR5 xAmMY%Mp>, D<y[2pV1mV&9&0Y| ul߷(MĬ1d rڈPwТj5mt>ۘ=ӘsbE~ubTB@pQ1L1hyƋS<ކb"}dS6mg=f}.^H ksl: {d* [}ߺ~#\0(CiO|H8L'LQ@ 3?ހ_4[^cFIk5W#qN(;8:-9lmO|TƖ8cD`.hP\4]..S+׿vMӓ𿉸ScBGBZ):R*9- (iqH%DRxjq-vbq"4*rS] % W}Qݨ(Ķ|\ #`#`O.oi~F"7avOiAoJ}<"X`Y ](2y>q E_lܧ&h{*{m0΋*`1elrC;e ǃo w" أ1VxGG< 1 )[iWGʉAPoyJDY:[6Lk$ֺ İQ9r{Uu43߁@eׇ+ n O X+Ѽ2z7lSHF"v eؘ ~ϗ# WDm& !=Ї;pQAnu?QA)-nyC 1Ak+or6 dbGMec@qDyzT"ҹHH52V+_%4<9Ѱٟ\A4fQ%5D endstream endobj 20601 0 obj << /Annots 20603 0 R /BleedBox [0 0 612 792] /Contents [20610 0 R 20606 0 R 20607 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33372 20608 0 R >> >> /Type /Page >> endobj 20602 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063103-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20603 0 obj [20602 0 R 20604 0 R 20605 0 R 20609 0 R] endobj 20604 0 obj << /A << /D (unique_192) /S /GoTo >> /Border [0 0 0] /Contents (write_sdf) /M (D:20211013063105-08'00') /Rect [104.1732 399.3461 149.0092 410.3461] /Subtype /Link /Type /Annot >> endobj 20605 0 obj << /A << /D (unique_194) /S /GoTo >> /Border [0 0 0] /Contents (write_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 383.1461 154.8007 394.1461] /Subtype /Link /Type /Annot >> endobj 20606 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20607 0 obj << /Length 19 >> stream q /Iabc33372 Do Q endstream endobj 20608 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33373 20830 0 R /Gabc33374 20835 0 R >> /Font << /Fabc33375 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ* endstream endobj 20609 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1923) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20610 0 obj << /Filter /FlateDecode /Length 2694 >> stream xZKoW@+|?Fv@n!lf`?_U"V=;Y"EֻV_QtKŪO_T3*x uiE+c>YksLΩ/Gm:EH͜5MT>~T#! RP Ovz#VSz㨍!jCZ˻ ,D}AIoǒ*!kGQDP(g;]pqLsw!9錺dH蹯FLoF0Nq9J5ƛjؒk@[Jmvxx!\m[{c1}3Lk\/2X(ggv;riPJgWɷD7²yFf[HSR8^᤬>ެ_Nw&N P"RHm6A:eIsɎ{5<z.(;=[_"|1rM&wW?W0ɸ&[4PXI*J`{Sљ2LeǶLP,$gq_ؕ'mDy/MS> %ȘxؙΝ\av9*LȳA}r\ o鶦 Rnp+IB.cDOȬ۾&Lɔ`^ g2$xOխM LbM>f2Mq.yh|_ªm8GoS`) ` VjCY`}`fBDU1|幔X xɝ 4^LZ@ UV\MDoʀKtJ:xBS ID2 *0fo{-~2gl8Dʕ2'"oO arQqN/es{S*a" 2=l˹sn[ŞJ`l#̦Y5,Umо}' ׏sucV^>|HgRPH"? ґvyԪ1;l~AFkƴ ^킅9񇛹) >:e \TH4ԫN1QVH]Hgژ!QH24BzbaDZc1ӵnG+᧭(=>iu&-QqM}(֎D[r6}8"4$M1XB\f۩7+m:he p0 mQlj]_տFA3]ԗM5Gׇi`!ܬ]ˆ^ٽI3aluhͅPalj6va&*&RLˡ'4^UuqK뵅l'e%,qUJlQ/0IM)IK_ w /БaHu_>&lw#&:ê}?n^6&ԯxovێcDƹth#cuootchX^qWxC1 endstream endobj 20611 0 obj << /Annots 20613 0 R /BleedBox [0 0 612 792] /Contents [20619 0 R 20615 0 R 20616 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33391 20617 0 R >> >> /Type /Page >> endobj 20612 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20613 0 obj [20612 0 R 20614 0 R 20618 0 R] endobj 20614 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [90 148.325 118.9685 159.325] /Subtype /Link /Type /Annot >> endobj 20615 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20616 0 obj << /Length 19 >> stream q /Iabc33391 Do Q endstream endobj 20617 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33392 20830 0 R /Gabc33393 20835 0 R >> /Font << /Fabc33394 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7 |:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭf^?$jQ<<9C99 3/s#9p!gf \09 `FcU$!īEWmGyݝC.;p94C~j|=B/}1T endstream endobj 20618 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1924) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20619 0 obj << /Filter /FlateDecode /Length 4794 >> stream x]K8W@z TR3 abQ@eI{)̤c)2EDJY\gſX'5|X%d/gÕ_(of5$}y%+sJuc:I~ӯt8?/?O_7%wP[aoB\ n'1-JN_~bE4#_0je=jmyyCG!!F%;÷ UP%u>3?Bѽ>)^PgFuHm^ #1 !-ṳ{\9Q")6 @Ҡߐ7 $j|30+˙1kǥȱ ??8$@Բ{j0]O\/Q'! >7 @gOPIW9'k?:wbqB B5"{j Z}}<k IèN+K@my+O&GMsA/% Zmçs ZD `?Ǔ: x=y<p8Z~:*p9ݗaHtOTU`{MRkvP؂x} \xÄ˶~'8epPv)xPc>*E ī~x6MsBDL1a.p ) ABm\ju^xXl}P%oGNrEjqY)v|<Р{9Cy;Jt-y>GŘ xK &=:N`@g>=N}4]t)~>{"gŔ3#b"A$ECCŴMqX|0R#TGL@Y[P~YRAu $ Ba^B |BXƛguaQ#aVV254\M0)X +EcÂjr,f@JÔwV;jRmt8ҖCAa^ICYt 1Rq/z^[/~}5ӾXϾ#쫡|}C/ֳ/~}IVE{R:BftP㿼?گЄ`y=Aԝ}iF8- 1MkEVA۩zmy2⑉[Y $3 ,U<3-dv$"]qW@ۨ KW^3xmfF}ٔ< Gj B !} mts<)*xf5IhZJfX{0׆<لmmx,t,Y\ŎZ5rLF"HcR3-(:(MsZ - fDIGAFL9X>%K[A,E EG"rTm9JtmjwCj?fa?wۧD'` K?Es~6ۑ_Q8@`lJi9Dq6=۔Jzer0VgIRT&p%|U7z&B(dstvg=zacw5 , lA($`זf`?#E,p7 a;@bL4&34 (ٞ0Na2+UѬxej۴eW|kjLq $Hp y**60ACV.ءn%.`#W=D+S49siBti"cX ËŌxNi. 4S &Fl:a_;V^g~+&j}를nb[7=(TD߳Jӫc @0;'A6wט;ʷ;+-(6/vVD4uj+&*P. {;D0 QsuA-c|u,gN^/nߟ݆]c8q$%;TKj_N"X&;~w0VVm'ه>vo3q%`g?Sϔh&V C㱘i/Am鴲xP.˸LgWh{]`7b[b5>G}0Mɟ >^٣='Z۔ g &_^刨ܖF%oS??+}<-默J]&=}`—V~ߠR*adn|vqWs=L*֫ ȯ,'0[t*XOuIU Pl9vM{[U8^X|tKw#6|j􈀏|LǶ>y<}i=@}j> R&NH|7A] R&bM; 6nwإM!V7mm{oTs~۶sg_bqځl} vy_6P |Ͼ۲/wKM|۶/iNèQb8j{aTOzSFu!>BuSèbFNe{!حww|؃v/޲{~/{^>h<=jHi###GR[*zP 0 DXHv& d99ׂI\[0&S?3 ۙ3g/ iD;w% n֍\Pb^фU+p/i0|X }}@SZm^iqp3@k*Jx!H;)/v2A%)mJJYU)Td93*R.Gܑ+GOZd1ᚲdYelrr2'7+src~LG؋}McWDËkvʲÌbd"gh EM90"P%?w8nEE=Ex[H`LL{ÃH;Bq$dZ5+tPP`v0A f P]W)PN, 3s˥?'#H˺32>[ AO\qg&slm]bt `|Ɨx-jѿ1/i#W4I^׾ipquˈ?D@zt]HD F~fؔ~ƞ- 4"U89ϩ %,bJabF)QϾK*"lfcs"3<'G7iБy5o;s|R,SW*h+\d; EE6Y"݇AF{Pf~ȗPɶd/~+a3z1g^*IK49zi\ЎJu/Y (K"$!!5O){H! eP&˔3uLg>M1y% GB6xm 6Ya!I`*9LYJJx>'~TXǬ8HhΑ>e9?F>ǔVӰ|v'RgD̹UJTSg$*z.r%R2Cm \Qp-Mm6?YH;gJSCYPZU$ #X" endstream endobj 20620 0 obj << /Annots [20621 0 R 20625 0 R] /BleedBox [0 0 612 792] /Contents [20626 0 R 20622 0 R 20623 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33410 20624 0 R >> >> /Type /Page >> endobj 20621 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20622 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20623 0 obj << /Length 19 >> stream q /Iabc33410 Do Q endstream endobj 20624 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33411 20830 0 R /Gabc33412 20835 0 R >> /Font << /Fabc33413 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoI endstream endobj 20625 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1925) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20626 0 obj << /Filter /FlateDecode /Length 4670 >> stream x<Ɋ,9w}B}$!# aӛW U3.{*!E&}m~nܬقS~KoRnކjDž[aon"2[oNwpBw;]{eRNKL@{O;hu K1" !m:'%|߻//x+~ߺ11,BhyX4xLgbض&244W}x@okt۷2EnъWnFJp wwEfB?Ln=uw oyP*ZMnxu.(񳮨@,+pZLYxyWDG['E@xﱙ);px4Xn"R\8cR|4y8"G e7r"pu#ǜs)/>Fdc@!!ReiR,,@5v@/(/\E2?kݘ|m`y6!1y_@ϵ°9M#(aAsY7 Jg4 Ȳ0u&h{Ơ , xNt;/ ^s U( X%r/uhM!{Zkb ɬL[`m^*ۄ)Ly>aeO2G̶3[NB[2lV!̚{;i_yW߳J4HEC4`]I}d`< u*sqz\@&^Fs -Um$#RsᦼZYTJpoG/i>Z]"w׹;9]z3x*S{esҮWYO#G}!@@&$y^08% /Y"̓B(A!ݣN9Vp$%f&L9u]=ʊ ݧ8B\Kf;p5)'ѓ%˅șWy%8`llly2?V9@oSRZNu+iUlHɜ#k>5Z.{!)Rs5$)  ue=ʪI+|tmgaҪ,&nF}0"LMxJA{k-6(%~- ? JMeSAV*T>D]fG:HL}%T 8ѥ.Mk4". J6ζ`G@N R/5kiUѶ+7@z=m'?,rNR~Lhq}9Hܳ՗sl:ߋP|7(%@S*񂱙 n!nu42̼ș(ei=4õ42kז%tF%R*~Â01$IT>-)̡vjP*<ºe2߽!AclT4 CCXbrmޛi'T!q~4%\j/hGŜ8g(EQ_fZ>V`Kn}`u*oh I>kR5**˚Tn,Rf`vP|dhD?LjUSʩ-N:&D=yx"|-tcʮM.7sS%Iy645g$\Vt|&WPA$񞮡)(q\*e7JUFA->;HVMuaHrlW|dD֫u9]8SW˪6{^54qYU;*v]IA7Iv[-c4tn}{B5yPju 5Zo{fV陙>b }-e&%7Al0vv֭Xc*lVpr.UbkMX qB[ĭt_P8kY^`u􍓶8-8iGS2GeleÇ`CB=`v& 'u=[ka }K9`]"Q ]آ[xD{dڮ,R.71K0 3'\Cy/.dU%[r\f,A \$[oܳ{v>'*_X9}IsLQֶkڒjjjnI(`3= )u9,* =w) ڏ Y}EW\Q_$˥>ycM3%W1-ȩE=LZP*c_+@\R!9>IAaI| z*HĵSh"ļ`srݣsk~cw8 Wȱ,N{MuO>4¨|OBMًiQ >/ ()oZ?^u\ n*v}q{o_ ETvlB6<jwľDUtؕψ[.Àu2 kPur sg sj^sgE8ܨ|=j:4Uf5& ϙ-zn,7;5$kh0\g$Rܽw;vla GXXB?^HC^qd >~ܷן{\p9ռ7k7W,<,(u'f.~K'$>nk+?/Vk8耡A[ݼ* 36 |ýma{z*8ir‡Ѝn=Ie:~l+c2hgB8Q{\ h`.Gn9\9ۯ|x6/~ŧDviCXG 0kTJ#aV"N0OX;|>&%2V*&*ha7p=ALTEv:l6R% 7mDŃJf''pP33&=uBڹF;ϰb0G&z 53m֎Gl4[%aw N=|mKEKѓ[0lZߑ]d>M[տrN_yоyXcGBm u37#`^\{)! MnRH4 DVqHʤ_p/ɨя|60tv`)L S튰uhT7Oi?Z*~6XHad{=Sƈ6qxN˺zXR!JexGڷCV'kVTY&HH͋J9"eme@RdoWэ[|ϲKp {4%E JfKmy+ۋ5-@|No>/|A:Iv1zBu0DxS*Mިcu Q'y:?\O u8/GPw r%=`+Ռ@9V ,"0&p_߿#}O~ϿUڤVi!mf8+W0،P\#kٷu{H,DtJ<"~yBfc39n [<͔v hl/[=OiPF9=Q^D lFѾd#qUF>H~ endstream endobj 20627 0 obj << /Annots 20629 0 R /BleedBox [0 0 612 792] /Contents [20635 0 R 20631 0 R 20632 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33429 20633 0 R >> >> /Type /Page >> endobj 20628 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20629 0 obj [20628 0 R 20630 0 R 20634 0 R] endobj 20630 0 obj << /A << /D (unique_193) /S /GoTo >> /Border [0 0 0] /Contents (write_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 216.7539 166.7302 227.7539] /Subtype /Link /Type /Annot >> endobj 20631 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20632 0 obj << /Length 19 >> stream q /Iabc33429 Do Q endstream endobj 20633 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33430 20830 0 R /Gabc33431 20835 0 R >> /Font << /Fabc33432 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١П)Ρ\j|=B/} endstream endobj 20634 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1926) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20635 0 obj << /Filter /FlateDecode /Length 3457 >> stream xڽZKW:| 9 #'' X_流U|vKمnXW-Z*M{Zz'WTo*rLׯm RVjx뷣aUtV)u5J=<lZRBRFiWxZZg@ګ'i4,Ч'aA8^ W]E`~vuq ^T)ΙIjPp#5x~(er¢Vv6sJIB:-'G^{Yxy[ /$ill`"\}W1K2}0n)萏~#_. P= *qU.c v(t >:M]J<-K\AlJtzJG$i_Q^}yϼtbws4GY"㳚] )>o;ɠ HJҍͷqAC d~8l?yʾ~M2;XAxt H p|I8N`l}Tx,+߃e.Co, )^NaQRVIGSoEKpj85O(qN.l:..ZhZh}?T/J7$Q+^7;`i]tL}aND(>n@37:s0-z粣W))T1NsH ͡f:׺~aYU=Bo-c/lJ#MeHN`9݂sj0e0T$Yxfۢ+}k^m8Yvj-کOیvɡ?֥`0K*e)V teyujOfܨgX{+"o'5J*cϔ=Tԅ_lot,Z3P Z][Dw8kLZ ~VxVYP}K3or5(Ґ(x},l1~(f<|.亼˫]b./v8n![ o/ /+5O _ܢMX|6ۯp? O1,R֑y%#zԏd(@J Og |{ps/M1D]̍hq=JXw73q`Eoi @uG6i@I;a)uc@}И?v(/_Oع6Gz9t} ɾqt=4PؤM9n?l^LAAL=Ʈ%zyt_ڑ9@/0qT)EOe a 'La(kG $Yhl|/mz4p4ڊ(ʇ]P>2~`:z|heE.JIYà#vV4K30j:x anc r* q²e ; 4W N&݂ߗd&Y4 䛌HWp]ʀ(9kH)JZd~ BnqQ0&b˹|7=y13/y:]o; `P8ܧbLOoC (;Flu&i쳡6 68/Zhq,M['*=1d29U G"Y. Lۈ\)9Lf{/YNU,ϡM;O|6#{#KF;u=Kʟal%3huH= /h^og3S rOJ,BHG8w*^306e.2$w&m# <6Y480GPĽDf˜jJplhƣC7\(/,%9dIDUpIۿnV\msXU5SmO|ݳДT䤟Z܁Tp ?+|f5& .=6}KTsFlײp,\2&|q5s|<i]ޏgz ]4@ICA 7HfWMk"3YAN3^2+>\O+gE!CPX׏+'Tr Q+yj;?A 3 L'zJtqT=sSBbuiHŬw.+4^G\*"PyX)Ύv>c{`6# kXmA?1) endstream endobj 20636 0 obj << /Annots 20638 0 R /BleedBox [0 0 612 792] /Contents [20645 0 R 20641 0 R 20642 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33448 20643 0 R >> >> /Type /Page >> endobj 20637 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20638 0 obj [20637 0 R 20639 0 R 20640 0 R 20644 0 R] endobj 20639 0 obj << /A << /D (unique_77_Connect_42_waiver_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waiver) /M (D:20211013063105-08'00') /Rect [90 348.025 124.21 359.025] /Subtype /Link /Type /Annot >> endobj 20640 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [129.523 348.025 162.028 359.025] /Subtype /Link /Type /Annot >> endobj 20641 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20642 0 obj << /Length 19 >> stream q /Iabc33448 Do Q endstream endobj 20643 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33449 20830 0 R /Gabc33450 20835 0 R >> /Font << /Fabc33451 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ \ endstream endobj 20644 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1927) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20645 0 obj << /Filter /FlateDecode /Length 4418 >> stream x\K8W<@j&TV9[`N^ /7^|IʪaΒŐ6:UW1bdK/䐲_^?><,<5Znɺvpﮍ?՗_7 rEGc@6KZ \2g8:&rYy]::qY״F%X@$Gc#Cٟ \ŘyS-?(~dV8fp8MhA>|mz~Pp^BGỉ 01{ R!Gݢ9N.AA/~ǒ2tu. t@h<`B# '*u?? סK~ ^S~ƃye:r'װ/RCc( }>Kºöm_z:kܲ Ǝ;n 0$ #F{~f\o|MK)5|~_g1<Vf~Ƕ=h4mدtw(K7yhYc eOX^:O,)@,Ep_p|`G?uC|`aępQ,1MZ*-tDLlI5TCepzD\A9."7GR-DXXuö?ͱ?Dk m& ln8Pa@t0bN " <'dz2!L k-04 Y#Nes8[h+ &-D2  QTNBLJ{&ݕq飫IshKS^ jŹ$r(묢hKz=CzgTC|B]{нKQazx&X,qő5 Y "jq8yB>CqIG̱CCI+4Ɏ#6,r8!]hc>zElo}hXf=[W?'A"Psl|aG TK0DqCFn&\o8&k<`[ּ2X'z.bx SvXF@yOu~\<~sU `%`dD^lSP3|*?9H 5fw=ROe:!83D˿k!*<àoOL\znֿWAf?_bW󣂿q _q ,kXV}A%WKB ́7JDcEmh6@,5QkM+hp/@\el+w߈ .gfqNf,^TYuR_L tƽuPH<|q'K<(,VjQ @U2 镸E  ! ^*AxȂSw~YUŽ6\jw34"n $e1- P.y6qzqvFsf`Qmz yO SPgźruG[qrֵgr9wƇk51}[5W; SzbכcMzF%Rf~PUOׂA:±G!zB!p'SayƘsǨ҂*P;AVB^Sf/+'P> 3U, J 6(ի&y>LnHa,+#B[,0!91m)}mLsʘFO5fg6Y{L47 fc}8~l?C h0##0HX ~{D޺: S ,L:HEl$Svmh7?pyx \rT;Si}2 >&}*_#+ZpmEJf]ZSa\& +<}gbQ%y@ Uvp ? 7[IL.ÂOPת!qAh&eQEpŭiV:[ʻ3Uc^r}#Ix^@xe՛Zgie (!Jx&V΍Ly~\bk24ɕ[9-e+ջqghex%V`2ț{Y/# ;# _, \:A䖐󉹼PPoSu+%/YA:.,8){,mX]yh6R1%u~2whvwO|K5[M RfJ;pe|EwB&[SUW>9U;mMޟ*`+_#72?r  p]9WĬ+f $NrF¿\e)N" GZ/~TK/R**)V-ITJWLl@θKRDg7AJ>pCi!寍Rk{LoXEd^#Bj(uZ5 o>|Eץ+ ȢRKt U*lgH!Lvӽ]U9q`:~_Q#ЈoQ6GW/Rc_'~>̓rӜZ>v¥J&e|s_- ]0KyO=M3`hyF/ ]B"q|o|bXJJ<_Ge9FLn5pC9o,7~;Q#֊{ͨf,LC9ZwKDk= 7}'ynk~0FW={{_? IR$ -t>f҂{-n :~Dh\G ^pD)J endstream endobj 20646 0 obj << /Annots 20648 0 R /BleedBox [0 0 612 792] /Contents [20663 0 R 20659 0 R 20660 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33467 20661 0 R >> >> /Type /Page >> endobj 20647 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20648 0 obj [20647 0 R 20649 0 R 20650 0 R 20651 0 R 20652 0 R 20653 0 R 20654 0 R 20655 0 R 20656 0 R 20657 0 R 20658 0 R 20662 0 R] endobj 20649 0 obj << /A << /D (unique_40) /S /GoTo >> /Border [0 0 0] /Contents (create_waiver) /M (D:20211013063105-08'00') /Rect [104.1732 284.5462 171.2677 295.5462] /Subtype /Link /Type /Annot >> endobj 20650 0 obj << /A << /D (unique_463) /S /GoTo >> /Border [0 0 0] /Contents (delete_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 268.3462 176.0252 279.3462] /Subtype /Link /Type /Annot >> endobj 20651 0 obj << /A << /D (unique_97) /S /GoTo >> /Border [0 0 0] /Contents (get_cdc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 252.1462 192.7342 263.1462] /Subtype /Link /Type /Annot >> endobj 20652 0 obj << /A << /D (unique_143) /S /GoTo >> /Border [0 0 0] /Contents (get_drc_violations) /M (D:20211013063105-08'00') /Rect [104.1732 235.9461 191.3372 246.9461] /Subtype /Link /Type /Annot >> endobj 20653 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20211013063105-08'00') /Rect [104.1732 219.7461 239.8747 230.7461] /Subtype /Link /Type /Annot >> endobj 20654 0 obj << /A << /D (unique_494) /S /GoTo >> /Border [0 0 0] /Contents (get_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 203.5461 161.3127 214.5461] /Subtype /Link /Type /Annot >> endobj 20655 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [104.1732 187.3461 155.7467 198.3461] /Subtype /Link /Type /Annot >> endobj 20656 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 171.1462 154.3497 182.1462] /Subtype /Link /Type /Annot >> endobj 20657 0 obj << /A << /D (unique_50) /S /GoTo >> /Border [0 0 0] /Contents (report_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 154.9462 202.8872 165.9462] /Subtype /Link /Type /Annot >> endobj 20658 0 obj << /A << /D (unique_498) /S /GoTo >> /Border [0 0 0] /Contents (report_waivers) /M (D:20211013063105-08'00') /Rect [104.1732 138.7463 175.9042 149.7463] /Subtype /Link /Type /Annot >> endobj 20659 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20660 0 obj << /Length 19 >> stream q /Iabc33467 Do Q endstream endobj 20661 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33468 20830 0 R /Gabc33469 20835 0 R >> /Font << /Fabc33470 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3jޚGFx|1$IjQ<<%Cy / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lM: endstream endobj 20662 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1928) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20663 0 obj << /Filter /FlateDecode /Length 3188 >> stream xZY#~_ UB1 ~XiYc 3/G^U*a RfeEFF|qW^b6sZz')E.狆{Z~0+eVW^II(u[<`)ײ,eyJ\%^>ekNT 4E^&_6ιQĝqL#PX{xo 'Gi/"rp qL`{YxG*!FdJ0RF 댻g笭˓K֞y"Qh)W.7rLpȸ`R9KrV8|%y ~*_MǥDNTF8uǰE4ufV>)&fz|iK$O PH$(Z#TXɩ }JAs7GE~j?AճZ%_UT w~f-G:IXz, P!snWR4.2dW!Q.b h6vjdP9"lcT}d[W0fk';w AjJ?Eß.f=T*(];R ʁSW%/2aUǠx; lNJm$.IwW%'vW)ljoDs!I& ʨ&ds=tLLr>):cXv̴NA]R.*)pl~U3I&I'=Fen*Uf7;R0)T;I10CiŠtϦdUtuSd0MjcߪD)#D&d7xUƘ͌,vK;WmbZ[V^"?WF2Y?֨e+!׶IM.:5 _S{Wa 4qc,1ӡ4pݟB1\&qS^wC>rM{ç$ks@f-0$$8eA-eq{xE9p><͜l Ilb[D\V4k/(*9Z'U$>lsV =Nq5٬? 8` Kp_.;Xm2t%$?ˋ9zo6g)E:ٿ"!j#t˙c73Eaq +o1Q/2(e m Xm4wx){Pb/p ?HW/O!fJ]=V3P XLB Rn9>AX k{  5kN f*9@0z gK/d@"/Q&} Ө$LAׂV܂ɓ'SnػztO &AvY{.>3z8kM}p~n {M'ffFl1î%/v]lnw 7Tzjx˦Pׅ˚\Z]CAdpNeh\?s*ҴQLM}}a!kԎbCft*}1~b[[!CHtUyOY&0LY_;N(/_yy^BPcOc&Ѫ` 69zI}5deU-^AEÁ",caDu3p~u] V'ABqdbh3#hM01lQF/[|1s_YGkO&EjΤ!y Y ;o, \!1ɾ:^Ny!gS!CPX퀾98n 3#}RY@.0h䃻"+kkIDs87MPE|VcU(h'>=ʳwOQ Skr |]<9hj endstream endobj 20664 0 obj << /Annots 20666 0 R /BleedBox [0 0 612 792] /Contents [20673 0 R 20669 0 R 20670 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33486 20671 0 R >> >> /Type /Page >> endobj 20665 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20666 0 obj [20665 0 R 20667 0 R 20668 0 R 20672 0 R] endobj 20667 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [90 121.725 122.648 132.725] /Subtype /Link /Type /Annot >> endobj 20668 0 obj << /A << /D (unique_77_Connect_42_fileio_commands) /S /GoTo >> /Border [0 0 0] /Contents (FileIO) /M (D:20211013063105-08'00') /Rect [127.961 121.725 156.9295 132.725] /Subtype /Link /Type /Annot >> endobj 20669 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20670 0 obj << /Length 19 >> stream q /Iabc33486 Do Q endstream endobj 20671 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33487 20830 0 R /Gabc33488 20835 0 R >> /Font << /Fabc33489 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20673 0 obj << /Filter /FlateDecode /Length 5140 >> stream x]Ko8W<@j30St/U|KRJ QN`P".'Gſ'5|%jQm޸^'!3?)W6^KS"U{Nws9ƿ2uR[a ~?EnNh{Urtfon8qvzac̍ Bk5p-y >3|@"Yp/aǁ+`n'd sO^¥HX,aI$۹fl4RB͙NC!932ܣj 2f*'IParO P5v)}X**xhgwYc8_R@޹Z$Pxos\\኿D@?T +4 3/o '#upu> =.TGI)ı𷠒 ݙ>}VbE/![+|8TXa(* y|FτA{X iƈT8`[ -aґ&<$=;HDWZ l&k(꯽K:?IÚl[̰l e3tFo,"D UPF-!* 2Q=owQ#dˢtu|) 5#l'G0$k+2\DKm"kB4r4kNHXrU:ޢ#{؛phoWa$01*5@A>KKcI=uI+ x!EVzlp0}DX*%s˷;p0D_JH@ `!x4 <'c^h68|N#͌௳ui#uQ>R93syV::BVXQ. )V8tNYV)݉fԁ ٔ?)n[6skr h `afTCiN|UF1oȩMņ(/kS10p2pvy-g /8;hi적󦁳[̎8o8{UOU@RPV*j, <4N{xi,sB'QWY0vrצpj6[ v@q:e#L°>T̂k?:PqXa Ng \-jV`DAt#FuDx<4EJH.L񬏻cet(¯L X}fD^BATr1xbϻZRr"xCv*A62p >>5%~ƀa 9MpwJ-&ZaA^v^.r6X 9Hi6@6kLL=Ge/ƛĽ.{n6ڒ>fPէ2$V'nv_  9HbF) t &< P^/֜am\՛WTU~oo%Ad@g[PwCT -F664l˒Vwi%3U5uHJ]ҨIJPΞ޹^n .W~-0Y^ĝj `i֜L@8Td\O7"wnƑRa?zaC#T޳nY bXJWnZ͐ Cbui;*^115S[6'$jRµ7Y$0]Ԓ]=lZo+RpcV^)})Di z}OQ%0b:p(Z C]&tW 5 {|U=}vk;u2CG~${O=yF]ܾtIzvӆbiQ52xSE4?ƠBfo :͎Didg}DiHB|o2VPW~]Ljƛjж+] \.*Gfvtw+OU31۾oϓyu ZIw 7#3.I蛏?gP8|'mË@+E-IU \*DXFC_ _;dJX$ =-~m6{e|pid$QA1cȴ3Eb)Y8|!%:8gHELà ՉAV!:sbL/>J+mD>)+K il$MZJEbru*=5NQI\4~7%]fLc餳ԙE/X\䂽T ỳL7z{ HZjNh=tXbnL\Ēmi0-~p:f^$#KT*oKleL Y'dvrХPSbD x c&02>-P̙rUW\Ҍ$)ЙY%6D&((1*ҰS&LVGxovi X{Fu?V'4 P>CofL,-U0+4%,Pz =raaZ0,2,L Y4` 12yVIf+b2SVH6c(Y2-VCE XIdp2= N Np2S8)ͷwI S *VJ"S@-U SYMx;5T(*.i&S@[b= @daXM6<2ZUSpHd-i3"eB֚gT Pl;_vjݽpZp"8|:|A:q5#OpX8|l_5<nE R?RfL.HŸ Ū!1bK1!F1H9QH)# JHyR; )-̷RQ wCm m[0J2J4J6Jm7((((v۷ (vwP^oPn~oSVkw! Kj!*sBTAd:BA](\&hfix :Zvn6Bk 4#09RޱL PE͇dN<UP.ZVǪϴP$ZՄd!w*{ j10qP(~Pl ( @&ԝ bMTxXh+0 LLlzhohĎ) = RlH& RlH;B{@:ht{A{҆޹Wp+8:8UN=Reāe&e؃yG!̛;G--cysxbˇ;Qj6H죤A$ 3IHFwX6~ & L%la|2gR6$ rzI}El*slM!%24aU I#)qJ)lد7`?i5V3@hBs 6D/ g}au?/KRuAlaent%g_ӆD@3((G KO9b> vn|JkC@ғ}?`'o?uU.%z<I g}CU+:$[ <:N SS Mz 0NX`:^>E1Lis͜+ٔy~(xgRBH `9l4g{x➠i O#֌ݾrPcl:]Ѳ6V'O? UJ .:훣\EVf 0Ao"1P;ŠXK4uwV*+r#HmKIGTq͐Dz~g7t,*T6Y`۞k'VK*ͦdȓ$])=R۩)sZjK台TVZmqdXuA\BsyҴpIѼd&3 S̓I({UAǮ2`~?١c@2xMĆB} |n *p "E+ݍ :6r N/ [ endstream endobj 20674 0 obj << /Annots [20675 0 R 20679 0 R] /BleedBox [0 0 612 792] /Contents [20680 0 R 20676 0 R 20677 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33505 20678 0 R >> >> /Type /Page >> endobj 20675 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20676 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20677 0 obj << /Length 19 >> stream q /Iabc33505 Do Q endstream endobj 20678 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33506 20830 0 R /Gabc33507 20835 0 R >> /Font << /Fabc33508 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7hЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkEŐL$K4>S~3cIA 0yW!ӘV;!JϡmтGŶ endstream endobj 20679 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1930) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20680 0 obj << /Filter /FlateDecode /Length 5629 >> stream xI} u@ZfbZiWUG J/Ooϙ@_|ϕ >2\ggj}Oߖoyr.e#~&e]Kfwp@]T&+Fxv5LPZ 2L;lp5~M:mQ4SDD F,TO 9x". 21v/"H]\8¹m#KeeEilH+o@Vl*Tf;A42<.^1u\x( "5$q *yT;O-sM[+3"kX&j5eeQ~쬥 R$ j%5]z c32^~s'c ʄƲߗ?SXLX 6kZW|K6(kB=HXN*v0e6 :A8#اN!XŗΔ>7ϴxGh qQ}hƸ5h7%.8v [./K;_lXS$Nl/h!uS 0(u_eA k#Ykcy @F>`uҧ_XSoZbAEOf0`hX y, r-$/La m<YEGnz Lz=d+^\X-'DP,h7X/ k A>YTDA"0-]rwENvu~!`mش1qoyjg蝦rX!-HS0FjRԬʥq"[Ă֙,,3Q 5 %J>62"lWb j`MLF3b}7²tD ypu'w! ܙDZBYkl͎k{ctYe王g`< recO~IԜ[4@rj UzRZ1ycZ^kjzHğbpPJ0)e8Gg Zn*7瀨djCy^dV~WCM.p0 dOaj>Ld%![8,ަjU@na.@F5т+fp 6/w.6U4uhG'N{Eq 3m "y+>q9`'ݜDu:i}VÚk^-ൡB8cCKY렽9۔Gl\o@Bظʂ oZ`ϻO缪qrC5tLqPeAhĮR^L(L6]AQdx2FYܗN LLir]$($ vI0mj6Ы>h8b>X)DBC|,dӒ}ʚsh0{G.RYm.L.,a-py9h&SX׬ fz BԞ2:#@;&5S"0ZD7c n^,CeI|TB]`( >0 X1A|F[Xdٻ0պN.iZ {WCVHR"W&H[tأHJ]'d\&OaEVot` F`XtɋЗoL P :E98U(ٗSRF jJ!{^.E} ۱q /.;q;Fz/d?AOVjn8"MǚV % F2S*F VC{K݃P܃^!^)Am\9a^X6 azloA{ }tE?Z ?MR~crlkb~7%st?pb冶DLthLl7LT'kUh`BE}k=9jp!_^M<3 _v-={2qqxQ-d$/;'KꖇJjEUHe:^.eߐ;9%% Y|+Q„-N[Oft@x&ǨVR>omvRGõDžu33kWm^8X$v/!䱮\Y x$Vqd^3-Y7n0=Pr;֠AӁ:ؒ]Av8oA`vr S`24Ӽ]7m׍{If2: !{ }>-| B[jԽW`'ïQ t}.J = Jo6 dX%k"dIQ lҙ`v:7[IחW7 +]j ""1%x۞7ƴ!,zqf|C Kh$He8ug8cvlMyqƳuvǀ9T ||pG-PRۗjȣycf=睛 ]uxj;$(}2W1ӸtX28*yvlK@!eOH2HXdD~ehp?ph?m"pxPے7m?BI͋ZiNBv{u@m> N95-E~ws;'Xݔ[{ݔ>Ο$kHn?r+bN|sӪ 2 ϻJjո*v9w;9ྰM~^&sFJde,µyX." ?N9MDVwލ$3)KwB|SY:&dAєN̮mof>>nâ-t{I0H;' Bۛ=h?'YaVm dt[0anܺM L4w4V=<|-Bt M)Zn߭l<vc] Ub \ϧmr ekܱf)\DKBMqr5]ʕ=6R>EaS3fݯ ][y8FWi?[4Dw?1{M$\@3 Oy[bwWrXnz L`}Q\aG>rP$xs$U1ڭ3eui*(Z F5\dB76wZ(v*ðjScV -m}Fj4 XWmr"8aMː ~;-q*8S|n_|';x?M˸Vn)ʤ;ǀbづ;ae(&F2wx<0iQFPlwa]Y;c;'^qGngaL=|i,fIҡ8xHa eWD8 J} ,t+Fьoұ~-7AZ˿r+2F]a,LbI$;<0D5p K ĕU z:!-u4~)<:JrA![>> D)*M, ¿jxM2ǩy<&"0tp<@&py$wuV>TA"`]!ю84xHnRfO"5\e,Niˤ_p.?XK'iP$#S(կ:o|->CKBni[;<<0Jﭧ?toZ꘵fJ <ƖK`LV4aei-Y&#է"?&eoE7kQO#W5sξū"XmIp90ߊ'0Rv^BͧH*,Ӆ(oR SA&o}|<"*>6LO{_^OTL.n pK:VI3䯿h/o/y%/oJP.og$myʫ>M0|v #Jy>QyGlێRxnC2P]y6S;f"gl_j"5Ff^?A=Bd:WY̵ܲ`?ȁ endstream endobj 20681 0 obj << /Annots [20682 0 R 20686 0 R] /BleedBox [0 0 612 792] /Contents [20687 0 R 20683 0 R 20684 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33524 20685 0 R >> >> /Type /Page >> endobj 20682 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20683 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20684 0 obj << /Length 19 >> stream q /Iabc33524 Do Q endstream endobj 20685 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33525 20830 0 R /Gabc33526 20835 0 R >> /Font << /Fabc33527 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7('thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4~m~oC2k,`O6OaePD99 3/suܟBd#SZ8` &5*WLj$x2=m,1/s(R.Q8Ρ\-z^lM$R endstream endobj 20686 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1931) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20687 0 obj << /Filter /FlateDecode /Length 4217 >> stream x<Ɋ$ɕw ? dABFD`n- t:DߟY- s7y?f_Okfl\>1pϬϺv*~MZ_ZZXqlNJt^?giQ[qgj <`.Okij.>7q>ylgX')Aĕ 9?w.0)]V'!Xѭ+TSVٙw <6xK"_ܞd /}yxdkèd'RxZ!`2 MHg}dd۸UvdRP:d %HgYg<+O|7k_ʷ|rZD@^twJĬGkm TZ'a>0P;9bjdL}nOVr6mr7Y7|9![gȆ= [*I&+x1GkT1~#p$5$lѝwUQ>8.d'l0-~; ԙ^lUY@DUz(銴_=?%PE钃_q]+΁MD'[8 c։pPoTʴ-mY~)mifB><-b@_v,gUR.eog˻ʘꄤ;P,TT$"nHP0n9VLr`}y0xȃYGٌy3k1m7R fe(uH):{5g"IQL vrfrQeoi -qF WgF~N5̪Qk<-Ed̝pvHIF([A` }ު, Fw~#6+_t_ou&)4@zڂ45j"j%;HL;.p?I !ʲQ=yZylU6aLL&>z/J4;*V L\6K"l+n'CT9 tF9i묯BA ʩٰ[ G$Q|Ha(p9PgSa FeAYCCς->ruZgCfY`EH䪆!!k˵ /Fՙ#UEce\Vuwto3&ƒt46$BuǮcE'fa I:>ʡ=oabEs⺯4<*Swq>?V< l]p[Vmc2+`Vƪ׮O5)18ejtSyv.3ڂ*{+Pkw*@( dj`S[w>-wKlPBmw*W!77};ܱG/`TڹjQ&d"!4}g59Dջ.ջީKǤoU2Z!%/$R99:߶~!M:x!#{h^1Q24 ν2y; $ k7EV+rW VIصz#qCVuD |A'W|jFRgΆHb.EwRpl[MSMՂ4l}#`c7j{5[H `\I"X4n"1JFL d!NpERl&AW^Fr(e@jcϖ7CiwZ"w=˸k5AAZ7gjHOu8dsmibx*q|k9I‰GdYì fa]Z.08f=M&rDzp)[;4E{7P&t!c4䏎Uގ;4oKc8};oݕI/x^ ΣWmgƶm鮎V/YTƜ.> G:oӾ\ 9c,jWC>|PmNN_ON^on8kf>8!~7mjY&-|rV:[Em$yz̯^N=r)֣<ޫ>w[Ƴ/sVEܫO~0Tsꁊ6;uf|%)/uej<ڦNʂ˽IӃ͚K2#FY=*Vd4yG{>}^~xԿ}uq *oÊL\mwꏊ_ TY^nͳ _m|OrM}}Xjo' dx"76k z1䧭 A6ee<AMgʖa.Ai#\ P2G 'A)sn %oƂHy0+1X~ ĕf㕎qr~y8݄> [+|}KY X"rZ|Aq1rxMS_ǩ^3$ w0tp<@.py$OghFQ rhp%~ xIVfO"5e,N#G/d4\<*#B + |_SM:˛ !g7xO!g)""*:tms \ ̜p{1O'ɗN5϶E aX*jϴW,됹G!!V:`Kue+_A葌@SJ8 V[;tx; endstream endobj 20688 0 obj << /Annots 20690 0 R /BleedBox [0 0 612 792] /Contents [20696 0 R 20692 0 R 20693 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33543 20694 0 R >> >> /Type /Page >> endobj 20689 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20690 0 obj [20689 0 R 20691 0 R 20695 0 R] endobj 20691 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (read_xdc) /M (D:20211013063105-08'00') /Rect [104.1732 606.1 147.1062 617.1] /Subtype /Link /Type /Annot >> endobj 20692 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20693 0 obj << /Length 19 >> stream q /Iabc33543 Do Q endstream endobj 20694 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33544 20830 0 R /Gabc33545 20835 0 R >> /Font << /Fabc33546 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7hTthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ* endstream endobj 20695 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1932) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20696 0 obj << /Filter /FlateDecode /Length 1605 >> stream xڭWj$7+(*i{ YxBhƛWO;ClUW=uW@M>ة/ؒs؏dCE5U=z+ˋw6'}h;!(ޗJ$L 񰳝(9'[)x@=<legz3AǪc !52J46-G3]#GmO;;~vf/?!Ubu'l0!4jOUCR Yy6+pC Nb!o;W.1rΒ3ebxX'@Tk1,.! _bZz.Ҿ~AX6ZC3qU]4&Qx'=~W"}FGjCLqϸ3OTW`}}O;x: 1̀jQ[2NUKߏ?ssQ|\]!H'ZUgK=YU-w0ɼO}JRjD 6, =9MM87jq_@a-ҧh endstream endobj 20697 0 obj << /Annots [20698 0 R 20702 0 R] /BleedBox [0 0 612 792] /Contents [20703 0 R 20699 0 R 20700 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33562 20701 0 R >> >> /Type /Page >> endobj 20698 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20699 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20700 0 obj << /Length 19 >> stream q /Iabc33562 Do Q endstream endobj 20701 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33563 20830 0 R /Gabc33564 20835 0 R >> /Font << /Fabc33565 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20703 0 obj << /Filter /FlateDecode /Length 4745 >> stream x\KW Fm{&i Awԋ/IvX q[bbQ*z2Iÿ~lS3SbJӿ~U/~fz|Lnz}uxzVU(WTR[]w*} iz.4*Y([^|zx9ez~U_h8ަ}0[߿i/Tes ҳv%&G3'e߅fMf:)9P'\krx K?d7qJ܁>Nq2/r+ i@uH rlm8Yˉch㞸 s=N e؏#h4gmBy:p?cwNˠK@PsW}+H K6NkO'1u 8pu9- +W?Mvz ;4O 8Zm@ƂD?N?-Z?{0#'}C.=+'9=عΠLÖiِVD}*w;ng$>9u5plitl>Ei@уK|8IBш3Iq(`( 2#NzV*`qf̂"c?x)6bc%|~9|0V65f:Z0Y{)~C ^ecZ0i[13 ua+UmAQSU 2zf4h=g4Z58AY>nɳYYtHۉkNmuˍ&r [fsqZzȐU2|d;7ݹ`K|GcPT>iF>I 3"vX GAHʷRMqAw\~ 0E{P~!Z' ,Q=  bN8`swpMv;a*^YݎtE<4n,TTpIr ~;.#nݾ}+'i-"9W 4|QLD@Z:>UNQ (:Ѓёx%`7I߸jfa/Dnw46;{bk]e懵k!yEXN؞7|,CoGbO↲b7_-XXXP'^p̝M@s:m=#`_".tMyؙbaĽC'C% $xw.S,PBLa\hblbU,#UQ4ꋄ5}g`- SC&!&&9e 0]~K8R=ǣtƳ:ہh2Va q%ʌb,XYB*2TFeƓT0YEFąڗ c+^zڣM0@H[Tųvc Dh"^'(,`|raX$C ꭣr̀Ƒd"6[j+b=۪tTenYKSڻ=w~֏Wol #@,0Q pgP,ܫT>4Y+2@5paĥP§#{S¦ۂzRkƁ{X6:kݛucn=vQz妫BtEj.mV v+Y]EӋ u6O8ŕ=sRT=6vqjػ '`kSkq*?Y nN>\ DʵN]58!>Ь puI5#F8uCQ9jn8uD$BjV.j))x{Ct;LSF_"b^Goc@/K+HAd,ЀJ?HuF~GE8K!pٸ+J;ˑ?[rF4> ]yp]^r{C5%[\2/@Ӷ'bdpBxoK>&OY0%X_懩sr0d7ǤPl6q)%s>51#0sǓ$o2 6]^XT԰*6S HILgwUXvYs6{^A-au&vitf1&jJ%d1&c߈3٥c`hͨm͠7>V G^&&hOM7t5?/F-kVqN.Fh',+qm6EvG^ٶҢx{yJo8'P\ !x?1ԍ+C96bb:7&Ɓsө^;[q &vx }JаwOc_ 6Yӆo#f{pQîQw5jDQ@gԡD;z 옮)]_6ZCR"!QS~P>гC\֙%Ǧ. >>tŹKέ{8nrnсu}myug$㹛r\C7ו}`L,,iB'nIZh=;=TV?6ZiwqCO}hRt3̊oSP;|MQz!}M!-ԋ1œm0YXb+C 9i®x^lyJvv|5)8! ~6%8AXU@tXDPczN6&ULI6k529BBY/Hz/MC>,_JACӜW)lCF%uZ05{asX0M@w;g_Y%ҊHzG[he&,}Ֆf{js+JMEZ`Gv}')lPbr0P#SWrzKo+EL"[sD`u·h,m7O"3h"@ f/>9 qIP.Yt vLk.I:`h5yXF)Z^רNc0FK+qcIMgku)vbMW{Mx,;N1YGo0|Fs1RM|q(uFzbU ]-h _#pS7~^[=;ɅZXg=Ccuw ΅JMJj H!H͇\qKڑ'OZSZ> ,[ՕgXR!vZ%ZQ}-lf1pM&G MM$.]&lE{qYْbOx,?1Z9Q,]ر;Vz- >uؖ_Aˌ_?Oe{e=i_|rpAeC5͗ӡ/$ې>.™xæ;Ǻ`>|y GFZ_NyԆNc_)Ot* sO_g MB/-x>QweB?]Ccw6ӑﵣ((?]t l[1ݏG )|5^֖C/ؓǞSNs?>)#/HIxs9> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33581 20710 0 R >> >> /Type /Page >> endobj 20705 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20706 0 obj [20705 0 R 20707 0 R 20711 0 R] endobj 20707 0 obj << /A << /D (unique_3) /S /GoTo >> /Border [0 0 0] /Contents (export_xsim_coverage) /M (D:20211013063105-08'00') /Rect [104.1732 87.0643 211.1537 98.0643] /Subtype /Link /Type /Annot >> endobj 20708 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20709 0 obj << /Length 19 >> stream q /Iabc33581 Do Q endstream endobj 20710 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33582 20830 0 R /Gabc33583 20835 0 R >> /Font << /Fabc33584 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20712 0 obj << /Filter /FlateDecode /Length 3255 >> stream xn$ί0|?AfF299m mſbӒfvlM6Yw=gib/48>˿<913heE;m'j^X`~މ:c{6<*2q4Jǥ{i4<'ZwKyl}s O0<<oýla3qHYZ\ ZSrˉ?tؤt0w0ۉĉ*]#N+;7A=\"ˤb@Gv@k*`!D8 '/v +7&-q!^Iyc>RyyPnr9< f&TUgbT9뒙 dNWWӃG^;o5L`#`huȜXD!XmG)`~6TRwER~P 7Il5/ɶU˝Wً)렜wHQh>5xmU 2,W U2cVEa]r vhRR,s׃ɭPMohQ d; L\@Ě, rgf.$!Daf&ޠMkDl}桠̔b?ץ.6}jn|, n jt:=oP7{nr\ 4Fzcf&tu6".} {wGz}0-7A e}-v84Qa֤9l$.š*DS UiͮB 4_0lP,ApsEhK1}qE^w۽fB7v $ZTfNE6xN;lg6x,jjԷ&*\]ۡw(֖B=wv5 F9͗>`J߆lZloŅ70aQg&Qc?;(y2RV/04V{k'C'"Ӷ-/ Fn+j<лuӚ/*qָUHS هilEz2Z- T)\5XZLkAStƕ);^.LvvU5VfۙfU>Ч25!;Mk={>ߊ˼.Ik\=yq5mMx~@b(H&;b|x~(y˦ӚQD3wvl410fFK3iNR^M{>܏'K,H^l.jN2d(;kf%^9; p׾1)6W F)4uբ.pѐim~ΒU'-sڲ9+<6e&s<ᎼVDh@GKL.f5ohs 3ֺY[@^ދC8i/OViuʕcLW Ebuœ\ʺ걄xx_5p?FH0E-DO;4j|[tS&zAˉ=1EzMx&:2W)`jyuiARZhYL vZq_uQ_[ *gR# ){',~,>EEX+0o;PicLu~z RPY_^BWDJ[ ّôi kOځ%Ago(uv |{2\X na+A!O/\n1.1z`%*X]0 D~q ک #q]r!߻_s<^l;,[}{Zc9yn-H#Q}-6؉?h!=02Ĭ),v?D 5%|Mrx{V=9TGJ| ì# dWe /cbHH +zh)#q!*i2!_dR6E~ޅ r% :@nׁ!\^ |A^l@MWl!z`^ae 8/4Sm:ގǸuf׽xm2lnMpi.$,®R4ۥH~a_s6,p4p>UMs[eA5 b kIF4Y'|9=TavnW\ߋ=6=JTvI&OjD_'JJSV=sϞUS寡b-m-2'uMX9Ґ%?z&5U!>ՇC3u&oSatSS@,,xD@'x=-KQFU~-c4D\mFt`4*r^ h!FW[/:LZ4M0xej|"NFwp+ AM`eLPzsiܬ]afoi#^=٣ba})Q0\ZMAha].7&[/Me@r+Mbn3 R!DY wR@#%|X.+s_~zI bTϩB??Vvs}*mAqm[1?++<vh3Hw)ҹu`;g<'y}xntv+D:[J؉p|dfY.˾4A:YxQ6N̼fX.<ޛq8Pù/ 8*5UM"@+UcMc $nѧ:YKc!׵ߣ8mʾ| 0TÕp GZrQ5 rt7 endstream endobj 20713 0 obj << /Annots [20714 0 R 20718 0 R] /BleedBox [0 0 612 792] /Contents [20719 0 R 20715 0 R 20716 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33600 20717 0 R >> >> /Type /Page >> endobj 20714 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20715 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20716 0 obj << /Length 19 >> stream q /Iabc33600 Do Q endstream endobj 20717 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33601 20830 0 R /Gabc33602 20835 0 R >> /Font << /Fabc33603 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻A~1OE1 e!Um swtyѝo(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?h}~UW|oBD>.PkP=(E@dQHL_%ee8>/fEŐ̷|բ{xyۯsYsshf^2 GsB&sS0Erѱ*E拶`ѼnODHIڝA U8N\j|;B} endstream endobj 20718 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1935) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20719 0 obj << /Filter /FlateDecode /Length 5074 >> stream x=ˎ8w~X7%@N70)`=Lb@ե# R-ٮ&ѝe1DEMJw.'ſ} >JߏWJKq^_~P\>2/?׿{$s+L=ǟ/\ nï0O83_#˖s!`KµwB^A<*|q  ^!Ưk렯; 1:a M[/^ О`?u$#A/ b? dw,wv$g.gAdxpRuy.>ǐA0d`bi8t9?pIpj484 h[ G{&c%85q)9t?o⿢<" 6*Zc ءj0#-^__߿^'9O_P?ߋrUL4ژWuIW!UG Rj0- p- #~ ^@s`Qpx&| ") ~g[du§@ I U3+ta0i!]ߚL`Q\b'*p#)  ^-/h*i) 䠅2Se2sv)mM& Ϟ" e]kBi&o`>& .jyGsD?E GgC bqGv"A.1lZwYAq,lq 0-H6a[6YvnϜ . vC/mG%V msU&H3zf8>GQe˹D/P-z#eUe DY_l4ɢ9b6XsF7:*@j5V:&qjqqU[A`%]%Jz3^b7$EJ):/%6GXy--MjhQUd9„2$RyEn sx2Fd Bi6aBsUj"ޝVZEQI1UPNIQS8Ϲ,.80ƺ/F|% <^|_sL]"˅>H4N V&:TX`*7̐ӈaA$H2`kV%7GkNbXvuۜsDlsJ.5 !? ;;w>Z4&x4ċhRTZ7/;D(!Eg{}5̷72TV?SYe=<22#N:lfBul_kGBզ%9xP+α~K>,? fJZ4#y$ZOEz l)FcсzbNjHSz}08'aVձCNjc#[DQK솑e#UYJS bTdMe̓4JΑzǕ8^sVj[D[ `5^GJRJrڮ+If,%lJIMMeP3R '垔jv!=jai pM}Nenfj΄n$t5LEuٳ>!Y|d멱>Pa/NJDK >VLۚvk lm%v=)o+=;@]L|>iɓR۴SܤQE ͧcg1!ġ _HAIБdt*b59lM8)~ݱԲgxtg;gڠwSge M&+eI\/ o/=jzʃHz,,VR>.45ʬ~c~aC rJds`ٵx8܆<}aX\Ih}du˵!xj0P5̝MLLv{(]\rJs{nymӜYǍO?7n K[a"㚹nY{- e_kNl#q2Yœ}1ߨsiA:>nj5l{?!F3=FSGrE=37{4@;{0Ã>Qn!f6 d,Q5 /Y8)mT_^F@KBlJ{Y>KS,ͻD$qd>HʃaI0`G݂cX %ӟfnA̵)ݢjڢbD, `,fʈdHH7+8U`&Ē}-V_e ew%[Uq'vDQ5ʐNuyji BNLdewBvW|lW|lV|jwKkccK߶֝-}8U,}K@bM[QITpJ5 Y/zP3"k 0YV;UՅӨ%PEC$r`S1B*ѦU[):UpjT-VK_f]@g* ީnu>ɩ+3V(S'PPbOQ]_{ԩSgT5|*>*v,TlsssbBŖ=:&=,rf&g&є{Z벓*D@ƒPzٗIf(V2iu`.DV$o"vޫܦbY+uZw "Ii lsw#1A59Jr_`eGFfv,ؔQ;&z Ad㟑\O6|@ JL|'Rt0vqعQ:7G]7C-_3b8GS|r'J }/`BN-;֑7d9sV̳KGn3vv{g,Nxn4@`'4򱩃)]6ָUnVpC7I  e| ڊh"c8́% Dap^g(p'M%32SB4&R 5"! [۵ֿ8, FjwgkzB[R'9$^|CsȧQ!d+"$qjt&oc[<F.38 1hJ#-gԨG!cDZl؀̩zOidf)%`߂Qq'x&!$Z:b-mZ\" ^# 䛅< cirVgQ.-훦ʗg@lga |/zKo աFCPN#L[lo7kT-!2v|”~¯A6#fh.qQ]<"'_kwKݫʻR6ڙo_֕Ocۍۓ.Ӗ} owXOԦ0| ⾲ Zw;ȎiW2ӫ!x"cGkU ?@Ee4,.|-qcm pnǕ>}zXmu[׼J~睼l?]ww͞(6{)^d^)c,Edr!m2z_Vr'r9r? endstream endobj 20720 0 obj << /Annots [20721 0 R 20725 0 R] /BleedBox [0 0 612 792] /Contents [20726 0 R 20722 0 R 20723 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33619 20724 0 R >> >> /Type /Page >> endobj 20721 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20722 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20723 0 obj << /Length 19 >> stream q /Iabc33619 Do Q endstream endobj 20724 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33620 20830 0 R /Gabc33621 20835 0 R >> /Font << /Fabc33622 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ |W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo ^ endstream endobj 20725 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1936) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20726 0 obj << /Filter /FlateDecode /Length 5342 >> stream x]K8rǯy(4Pչ>kUz.G RT <CT!~tc濓g}wZu@i`{M3XN%O,DPkD=lBIjFlVK /3\:$*ez*Ygi:FsNl2ɦէf׼}.f'Nơyvɧj_覒R:2Qfӥk"=_+6,Sމ+ti'N44׹W頙6s\+y'j*:^j5W酞?n K9f8}DOKDwY"I$'1߯߮ ?3#y8 nt c3cKߢ8Ne PZaF(|Ҧ;hK1 iS_iF&Բ.dᱶXԬƂ0C Ԙ+71.L߼~e{{cbj}}3墖R^܏L w*M[lh6oUgwߧg7bv#;_Dcq a9X)JU-07M/BX'm/yo*Ke_?oNBWv*^G6L$׫rȒ1Ĺ]GO-:q9uhW-U 0 kX\^Ř >oB_NBBCS8DIH& 3pϾNl]l>*is}RKv,%+$YE%bt $DhFf*-MM&#>q6u|}%Z}H_~dts0MOnz1MW¬3 ӟkaʖV]6=$Hd#шV .:li Z¤uH>pR;֯ĺ8ѱ jc|OÅZ+=YR| ~~>~%yBKAੰ\q&y=5B/,TLk'&m]6tBr5ö姎-_ӞoZM(%HX%q<&Gu cH"(ޯaH^zqS&/>N7*"T"Iw8tKSXҮ>x= Us\e:^MӍw&z#GY Cl\cF6Č/@H ѫElܴiYDY+,@xK/${̠/,"T{֘)߯Td,Je7P&q#H࢜Pns:J֬1jSX8Ә3 *mWϏUtJљ6I⭫ yEP{[S }kq#ЦQK75}RZ?5+u̕iX ۳0Խ&ozC : :Y_Y_ܫY'/ ڤoj WѶ?G~!OlUmʸO8xXA6?Xy o~!!*t` j)cBFB5q9Tx^{W|2s{o9Ι3ɜ\hhrj}@j ,2>_ϛ®qmxe^O[+:t5/N͗1qc"/fLuIP( DGM y$ls2]>} 0%y%2>_wn]_y+KK͐kv`zX:j&w4YT]ӬvEuLV$]'hsʻS+65ky ʪ"εe =2mrMŌl^va4KZ%'i񵗥+dB^X87ҏ} Dw{gd;K߿_s܉!&Gbsc^ {_Z]!"pTr5?PKRꌙ 1w?$m%O(m9vU'2X%RT4[mh`okC'HOT mBlFY$YV(+:!qӝ`ngw4|">T$S a$q(;H V|y*>R/(͐" AK';eB-pR%4{ SkVSbڳ$*/IhbRɚ* 2?]%+mߩ{ xWξ-Y2FĬM4^;P&@ likϦ"4䘈VP*/ شI3!ҘG'&NOT Vb"\ݰCA2T둊xźH#aN}JI. RTkS{?dW P R̰Ba DmuJu0obR`6@X jBs-s-s-ŎŔ> J4 afPbmPIdrlTf.7p4V0T[t͌؛33R(e6}&'63mcWxPijQN 73Y‰=ᴝxtN-Β'҇k*OlJ9p+\½.15"_l* endstream endobj 20727 0 obj << /Annots [20728 0 R 20732 0 R] /BleedBox [0 0 612 792] /Contents [20733 0 R 20729 0 R 20730 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33638 20731 0 R >> >> /Type /Page >> endobj 20728 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20729 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20730 0 obj << /Length 19 >> stream q /Iabc33638 Do Q endstream endobj 20731 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33639 20830 0 R /Gabc33640 20835 0 R >> /Font << /Fabc33641 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫ 4Cfٮc8c.@Br!9wr LF r$bE0)D,1g$a$S"ѕL jxyL q-lb@r2dv"`(ξ> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20733 0 obj << /Filter /FlateDecode /Length 4787 >> stream x\KW::|?d-nYY97<fVEHtl:RbWM¿~nڜݢS2enJm_5~lJc/AJt|_'o I|5DHjG|ؾ}+Ҳ\$m?VTM;zVoשwou5Q Mk|DŽ| \t.,KXLLJI*)u!}!}>mC b36XtӦ?Fg$Z $sF9z籯kh}R0 ӻN&qHvdv.A]Yci3LU'ew9NJu+?m֟G~@#Һ ;/Y&m}ya<©"79 @bU)OGdoT?:M;K'A ޺(`rb=_p*w+K۫PZʠdE'-A@2$KYb T}JM.@ $x-T1%lI6M|H%N:Eױ7Cժ`+2X+#1XHHM8G=Q0{z}uSd+'IԅhirtAdDzM aH*+o]O8BƔ`|в}ܓ4 āw)7+Tě~X*"L!4!7_s b@EEf1f ۜ1h4j ے2X59dcaR!9Sq >XԓMU ? x z%&EwBm.5 o+ӆLHc'kA\}UQ=3nӓQBV'nDnz*Ok:ԡ Tp/My݋i3S$j#EXiu\!Ӟ#$w3,}̕t'01E `zMʶ L[| w$֤F",dׂ{,tWʳE tv\U֒ tcWFo*(Dy $XU?*%qߓ$'aj\RAN⮋ : `Y)]7rt AQD+ST9C5EOaB 8v\Z766G>"9eVѤ4ӸP)5mkR⚱ LN,3複D&\,?JUriQ*T% X"cbv =d16U ZŜ:COS;}XWCq"jbC҇A$I `nJJb,L/2U2si;!VTū9TSWӣ٥T).2dx΅:m'dWbY(p-sYxLRƫGayz{;CIoadqRVV: s'gsƔCxMrݱaI<-i~Tz\jK) % fUzՐE4>vP_'EEy$X\y(Dz_ا*ƅ6ܻ92MJ(䑵DŠ6?"1LT! +|Pަ31_qL[#v's2Y NAp߄l8[}&|ց;(qf܃i|>\AơbcDʡ\=`>bI:wTN}?!ԱyKZchy""{: jpc<>IJ5=0LT6e(5]yF,;wtP\H*Xo583 x+{S[tpy8[W0M׋^Sզ[S< ;7;NڨقjbأATn}T6I#q8cev#\> Niy;|M $U낐ki+es|21w4&dQRy`0Be}ǥfk -1[py^zZ*ڶTP%sTfy]bKkΊ)d00w/rC<=k+c윱7Y9R;BFm6[N=!XZ1I39󯸘tC5`V!bKp Wa|84VdK"]]*w25f/Z:e`3#$O U"]]Uḓ6M)œTlulWmoZxV>6a-$} KlL1IJ7{Knn["Mvv$^\B2J{mj-=xC;}abCJG9ŷ)lԸ4E]L*nNmZaC=E(NM r *8nofѬ;Av+qz;r޺h @{;c0>%P"Vnou{r=o[vUo[u߶lS4\q{oE&:J=&( <\o3w0_wT۔7=/{ӄp@kN4? )af$^B94Y۱ٙ{}}Z!(TKfNЬfžԅv)_HL'3#]<`T1{xIC`[a=8e ?bQX p1|FS] k!23c#q1j]^nbUb"m(xUz*+-pA/6󟯨.NηQZ~9EO_JHJWمYsZe~ovE&>JDb3NT)b[jDl2 5}Q#wUJr>%կHT+os勎L qӱ#-Y-Jv?#{t?D⵱|::g5D&z#h] >J|kFDjQN0CFi0ٮM@~| ]_6 &E;\*}#սY rǐLwdự z+wea5)L&'[g1O4XYwmٻL9-Ix/6ӻ|ok6ʼU>1<;r{t_Jp!$#`̛,@gv, |._mw!UW|n㟈B()_v(/ϖ+T"TEHrLZoe[ZңA3 W[ ԣhZ /> endstream endobj 20734 0 obj << /Annots [20735 0 R 20739 0 R] /BleedBox [0 0 612 792] /Contents [20740 0 R 20736 0 R 20737 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33657 20738 0 R >> >> /Type /Page >> endobj 20735 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063104-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20736 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20737 0 obj << /Length 19 >> stream q /Iabc33657 Do Q endstream endobj 20738 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33658 20830 0 R /Gabc33659 20835 0 R >> /Font << /Fabc33660 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~f| pYw.Z 5J8 [nIӡm~b1wE1 6\H&7\]Nd Z-LB.FPBDqs9NF8'(])ʜf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"^G?+QO T>JщwlVbVaצb/mk: s[({L̛h*$RG"eSRj)\Όl iVSl?7‹C2_5>S~Y2ȚC^B 0)xpĸ!ld)8` IcU$!īEWmG;!%=J‰pݛ@ endstream endobj 20739 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1938) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20740 0 obj << /Filter /FlateDecode /Length 4423 >> stream xˎ#^_9Y M `b=5u^kb&ϛ9Wfeݖ4R >ݴ^㗳!)mR >\znוNV _`| 6z1e4{ IhfP /riaaepZVhM |4@k.ҍӓܖQ)IPEKyQ-繌qNq1q gB·q;)TeJ.JGg Jt}ɐúxB8s$jDr\ 3ReOb{bFa4b( e>pCc蝜28> (|P`b 1I:8iiʬHEu}Ʌ|xo t|3` 6 [YW"޹&#XGh츻ܼ<= Y7m#L:2NƂLi̼p"N!!&o(FB0Ht RQ2 1CB%m(H;(i3E^uE?( Яs) #hw\Aµ|iUdn$E.4{J[/=RhoOlJ09-On;I[ɦ GQQg|,Efm&ҝ D\aA|b&_ϫVZB[l[)e>}䗦*tQX'KƝfw ܌{cޢAg :&ȄC5o39H)X|UJ +sZ,Hԓlu]íǕuV&3G^ ڵƺQʏvϏMǎhuI[poQ"5,.*hT^n*^L\ _ߊt_N)C0lcw|u<+ =P{O`<3SBuli,;թ/H $aI^jjRrV ;hm912 ٭g+8dv4 V6%I;L +ӓc>NK͏CѾ,ww 4p.DiQ헿oD%THAɡT(kFF#MLhe ٟCNpK[>  FBp=z%x3FxՁpg YVCf~ؾ?)Z@,`D: *v7y$SN0;Txl=9PӍl\,x"z!ƒcW V2Hжr9!m:>mMំ~|! O_gP nd_H4HN趲VW@x}(Mt.6]O~Hb4׳&)yG{o b2`'S!',^={ߌi^}QShĴJcHF9SSצ2^`5b e!<M>H֗F=-֠F>`mA0:Opd Z2ʕ!x`0  t^7 VNcs UL|%”O&JS_] ĿMV"3Vk(e\9odIIY_j[Sj5҅Ps\=)n*=ͯ"cd.ڹxw  (?^®Z0 RQn&od{+;v, f(xV/g˗!rtٷTܽ4U3~f*Z˭hPfP<}R5 Ǒ.g0kWcZrQ[<ۣgt´Z9Zg=gPQw>aI+Z;/!ײlܣZ.HVx (Ax"ԩ1~u.fۭZsgz5mb\CE2N€tN.-͞E_}G=oT,{ʎ}a|2ǿbZZƠT>GM4]mC_Xqw 5v; M?<\ºcs0IToc.`xµ^Meu鐦[A$,Yŝfd6$aI:Ol(HϚgni ]q30pDUF5i{\U?Z0@-͓ s:k25*IpZӃA 67Y_HW7 {Ӣ^DU۱n[͎x(?cW T?X^4=7 0{i0v\w%hS[}P<;t2Xjd|+z3XgpPX&z*ͅ21,~Č ^x]GH%=Ø'ŊȗԀx,]XG*0ƌ@KG{,cӉ+K vpc= 6<ľ MzY@ALVR.4-Qw`҅9;tt=8eߌbR8L;gp~QzG 'kd|v-䶡vc<G1݂>Zo{{oxͽa|%"4E_ɇoYӫ?Sy&m"TaX*@&pd~$ں9,'JHF)mP,?JF ,NFA0E^PF}Q٨a|LODD6p,d-fldrؘ* 3?;} >Du1F6lKA2 qdcX[1M,Uڸ?vn9:8/V v2un`|-E,>l#{1{tϥU"]k[c@hS6Sw Lx\xQO݁=7sM?0$KXYc"h|QD1PChlOP[G]iև#X[L82+{ݣ\M.ChVzejIXvȀUZ]^@_^^ צlҚ m3 q<*rZ~QZ]!>!hG\;<:`6bM;2GM9VW{qs{~|2Ir%!'TiW]ٕHFٟxy^)[Q9 endstream endobj 20741 0 obj << /Annots 20743 0 R /BleedBox [0 0 612 792] /Contents [20749 0 R 20745 0 R 20746 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33676 20747 0 R >> >> /Type /Page >> endobj 20742 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063105-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20743 0 obj [20742 0 R 20744 0 R 20748 0 R] endobj 20744 0 obj << /A << /D (unique_13) /S /GoTo >> /Border [0 0 0] /Contents (launch_simulation) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 190.7432 608.2] /Subtype /Link /Type /Annot >> endobj 20745 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20746 0 obj << /Length 19 >> stream q /Iabc33676 Do Q endstream endobj 20747 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33677 20830 0 R /Gabc33678 20835 0 R >> /Font << /Fabc33679 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20749 0 obj << /Filter /FlateDecode /Length 1552 >> stream xڭXjd7+0 pd7!'ځf~?hCf͵TUGU#]WDMi^?1t:E.sb6hgM%}yyWkZ<3;} PA `75\N7 68֣=gK }(NS_1/vf nd!{3QS׎3=S%CAThlCHM 0T7(঺l|Fx=or)M|s<=erMx]h;q>^WmMQcY/`$MA"bZմm֩0dkcG#^{cΝ;PHѽLe񮵶 <0%:X< zƹc?3x+aO+[Ȳ4fLm1~e5os_ec#kqEYXqvRG]<' qM8&9VG_eeJpD$|el X-HcAX5+otǒ)|([ld[*e_t4-!TSU;oĢ}xX2Z$-)Њ w=Wo=5yuEˮ|Wu.xixx3נC^:h+8Kzӳ 9xa"vlWNĕ:6[ ׫Sm#JF_AvN? \`~m&aZ,_ki \C"}5b }5Z_:TvdmmO|]2đҍt/l,[i zMR64G1Um5Soc_GVݲG;IWq0ӀUOҙƘK j` nm7/.Ar/9g%ZP$Y/h0Wwi \۱8Ǟ:9 s=]Vb>3 O)=3V]x1UiBYe[,+9nGuz |L13* X='wR(s8hMl1 d)"\uuPvc%KVv9T]^i8!I?-;WO >Ȫ}mJ"}kiڗ.ţEaJ{)A wCwXMs\P=":1Z.|uJ _Ejc~{I1u|]u(X_,mַ7iT aw%9AUzG6RӔ\~9G҈CO8-=5nzsh. endstream endobj 20750 0 obj << /Annots [20753 0 R 20754 0 R 20755 0 R 20756 0 R 20757 0 R 20765 0 R] /BleedBox [0 0 612 792] /Contents [20766 0 R 20758 0 R 20807 0 R 20751 0 R 20807 0 R 20759 0 R 20807 0 R 20761 0 R 20763 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc186 20752 0 R /Iabc21340 20760 0 R /Iabc23451 20762 0 R /Iabc33695 20764 0 R >> >> /Type /Page >> endobj 20751 0 obj << /Length 17 >> stream q /Iabc186 Do Q endstream endobj 20752 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc198 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 20753 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Contents (Xilinx Support) /M (D:20211013063105-08'00') /Rect [504.4525 475.15 531.0835 486.15] /Subtype /Link /Type /Annot >> endobj 20754 0 obj << /A << /S /URI /URI (https://www.xilinx.com/support) >> /Border [0 0 0] /Contents (Support) /M (D:20211013063105-08'00') /Rect [90 460.85 128.5055 471.85] /Subtype /Link /Type /Annot >> endobj 20755 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=design+hubs) >> /Border [0 0 0] /Contents (Design Hubs) /M (D:20211013063105-08'00') /Rect [250.8472 196.3038 312.3757 207.3039] /Subtype /Link /Type /Annot >> endobj 20756 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?t=docnav) >> /Border [0 0 0] /Contents (Documentation Navigator) /M (D:20211013063105-08'00') /Rect [299.845 174.2039 413.995 184.2039] /Subtype /Link /Type /Annot >> endobj 20757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063105-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20758 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20759 0 obj << /Length 19 >> stream q /Iabc21340 Do Q endstream endobj 20760 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc21341 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 20761 0 obj << /Length 19 >> stream q /Iabc23451 Do Q endstream endobj 20762 0 obj << /BBox [0 -1 173.00002 34] /Filter /FlateDecode /Length 784 /Matrix [0.93064 0 0 0.88571 54 725.88571] /Resources << /ExtGState << /Gabc23452 20830 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xUjTA?~oY!0Ѐ[~5]ݮz:u7]v>sy{8޾tvsm5l Ud_vwṟOTp :یa䐰:}R2}}PdBt7 ;TzX`ePJL5b'+DIC:Fn|e)͆Ԥ`XVhF.TTrcqEIB[+#ӜّW@)5 .h:4^.% HJ5v.<k%y1O.fm<:lv!kL EL9&I( ݣِ` 郯rPq(DXD_~&cLd$2t]eF!>RiXO~A[,@FmF":IT4 )&xCnrzYLMWO\!5$WRGZ%-M$cx/@j'`QDmN8FK'tUs71MR L{6_{r4ASJmaSH YyQGŮuYJwuUj!Mvu-Cvp'>+ĞfJk@-jq06c0]J.(ૣxmj8la^d:\-6a2@I| suk]]25P}7y;\EG{[XSf 5$o7$. endstream endobj 20763 0 obj << /Length 19 >> stream q /Iabc33695 Do Q endstream endobj 20764 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33696 20830 0 R /Gabc33697 20835 0 R >> /Font << /Fabc33698 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4Gۏ"bH[jQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w^ endstream endobj 20765 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1940) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20766 0 obj << /Filter /FlateDecode /Length 2961 >> stream xڥZn#Ws_AIֶs3H/}ǖKV*X_D&j ӯY. }]^K/ci0qqm]r,c\0&zO 3`9O+H0[_>xdo*dEl_;]䭦c-}mj'zctHkWj#4]_,C 4HDowT2ٕxCE?]veVqK*! I y[[np]\+(Gm$n#j8N-'7MiM-7__YR-0t&w6lA絵q5F0p6 .xxILDJ(Ɏ?m,e<>*Ҕ?d@ @l:Mzx>41“K}T!Jp%5A[j񵂸P>,rᎸs:3EpXgzSc Ԋ7gD P4dw}I0¤\Πwm_bmc>W`b7WQ@٢ ?m}YkU/=sI<F(-N,h;c1ݡR[OHt}DAii-塗+T0=r\u8coj Ԋbnu6!<9q/Y{h~uYL\Z-%&ߧV F=hmDG(xQېCcאs 7q5X mAJarp52m\JŖ' l퇀4:!Э;Axs/޴=nAvtֱւSz_;6@{9X_9=*@^KcQMԶiSm9+d8y|>v+P]v98ZOЕ>Z*2-}2`X͔CteR\ViasK%e*6ΙTnOfcbus11rU _`S?p|+M덄 άF 2n}.ą"Z:]6"ՍPˣg?!ᐿٛs~0:_æ C0d8~9CXh֪1;@1ZbE#T)YyP}j`,.cN бX:-p⻆Ne0YAC7Ɔ~yq}Mџ)gptuH+"fnm^ Lɹ\03j˼ yxWw&->M9fyաoP27=ro',32F?NPLC1M08RxV bnDes2}E\1qʒ2TȰ- #oj@`ۣ&0N]L<=-'x?Ku)~a]/7mw>Su!Rxô%2RhKlblMSrh}VVQCskdQT+v1.Ȼ"bN7xKndfFFeAOF֛XPE2bӒLW1/6$OtyhZ䇴|uK۹5-YnۯxkXV&oQGjj zo夃^sT}1.&D&‘Of ÷+s~Ubp;5g5\sYS(clo,$ɸ?{-/֫nKN nm ]/xݕA 1j ㆨb# nҫy[C  ~['SJ,Tş5> VxӞGdyw"cQ(4mEsPa%W#v|Iy> Z<D5r?s/Qb&Mi#'CE3,C,g+Bm*|4vW9gT#Dh\O|-?d$x?:e endstream endobj 20767 0 obj << /Annots [20768 0 R 20769 0 R 20770 0 R 20771 0 R 20772 0 R 20773 0 R 20774 0 R 20775 0 R 20776 0 R 20777 0 R 20778 0 R 20779 0 R 20780 0 R 20781 0 R 20785 0 R] /BleedBox [0 0 612 792] /Contents [20786 0 R 20782 0 R 20783 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33714 20784 0 R >> >> /Type /Page >> endobj 20768 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=training;d=courses/ultrafast-design-methodology.html) >> /Border [0 0 0] /Contents (UltraFast Design Methodology Training Course) /M (D:20211013063105-08'00') /Rect [104.1732 582.35 329.1287 593.35] /Subtype /Link /Type /Annot >> endobj 20769 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=training;d=courses/designing-with-ultrascale-ultrascale-pl\ us.html) >> /Border [0 0 0] /Contents (Designing with UltraScale and UltraScale+ Architectures Training Course) /M (D:20211013063105-08'00') /Rect [104.1732 561.05 451.9217 572.05] /Subtype /Link /Type /Annot >> endobj 20770 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=training;d=courses/designing-fpgas-vivado-design-suite-1.h\ tml) >> /Border [0 0 0] /Contents (Designing FPGAs Using the Vivado Design Suite Training Course) /M (D:20211013063105-08'00') /Rect [104.1732 539.75 413.8507 550.75] /Subtype /Link /Type /Annot >> endobj 20771 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/using-the-non-project-batch-flow.html) >> /Border [0 0 0] /Contents (Vivado Design Suite QuickTake Video: Using the Non-Project Batch Flow) /M (D:20211013063105-08'00') /Rect [104.1732 518.45 454.0612 529.45] /Subtype /Link /Type /Annot >> endobj 20772 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ndoc?t=video;d=hardware/tcl-scripts-constraint-files-in-vivado.ht\ ml) >> /Border [0 0 0] /Contents (Vivado Design Suite QuickTake Video: Using Tcl Scripts as Constraint Files in Vivado) /M (D:20211013063105-08'00') /Rect [104.1732 497.15 506.9602 508.15] /Subtype /Link /Type /Annot >> endobj 20773 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug892-vivado-design-flows-overview.pdf) >> /Border [0 0 0] /Contents (UG892) /M (D:20211013063105-08'00') /Rect [364.4057 445.2 399.6717 456.2] /Subtype /Link /Type /Annot >> endobj 20774 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug893-vivado-ide.pdf) >> /Border [0 0 0] /Contents (UG893) /M (D:20211013063105-08'00') /Rect [355.1107 425 390.3767 436] /Subtype /Link /Type /Annot >> endobj 20775 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug894-vivado-tcl-scripting.pdf) >> /Border [0 0 0] /Contents (UG894) /M (D:20211013063105-08'00') /Rect [341.5972 404.8 376.8632 415.8] /Subtype /Link /Type /Annot >> endobj 20776 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug903-vivado-using-constraints.pdf) >> /Border [0 0 0] /Contents (UG903) /M (D:20211013063105-08'00') /Rect [338.8802 384.6 374.1462 395.6] /Subtype /Link /Type /Annot >> endobj 20777 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/rdoc?v=2021.2;d=ug912-vivado-properties.pdf) >> /Border [0 0 0] /Contents (UG912) /M (D:20211013063105-08'00') /Rect [326.1642 364.4 361.4302 375.4] /Subtype /Link /Type /Annot >> endobj 20778 0 obj << /A << /S /URI /URI (http://www.tcl.tk) >> /Border [0 0 0] /Contents (http://www.tcl.tk) /M (D:20211013063105-08'00') /Rect [90 273.85 172.148 284.85] /Subtype /Link /Type /Annot >> endobj 20779 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/tutorial/tcltutorial.html) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/tutorial/tcltutorial.html) /M (D:20211013063105-08'00') /Rect [90 225.25 345.0185 236.25] /Subtype /Link /Type /Annot >> endobj 20780 0 obj << /A << /S /URI /URI (http://www.synopsys.com/Community/Interoperability/Pages/TapinSDC.aspx) >> /Border [0 0 0] /Contents (http://www.synopsys.com/Community/Interoperability/Pages/TapinSDC.aspx) /M (D:20211013063105-08'00') /Rect [90 119.8498 463.604 130.8498] /Subtype /Link /Type /Annot >> endobj 20781 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063105-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20782 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20783 0 obj << /Length 19 >> stream q /Iabc33714 Do Q endstream endobj 20784 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33715 20830 0 R /Gabc33716 20835 0 R >> /Font << /Fabc33717 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nQЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nYk#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM* endstream endobj 20785 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1941) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20786 0 obj << /Filter /FlateDecode /Length 3212 >> stream xˎܸί9@|"47'nx/ԓ30Il%Rdެ*>엯VM-Wszγ ޾O%G|t.{楾m]/#_:#F_=ܿן˫F4 `lrs{߿ nZ䢏 Sa~V'1Ml490 %П{>"AH@FDT[9 HtJKãsKps|>L2.Jl9Șl1"vei<.mA`aFg]vǓR5Rk: x$`;i yHO>E X<|a(^:6OQe\.F|Fi`U̩tmEA|od >d> 4 (  ]#6Q:"RZuyCSݱɒĺ֓,zk=@BW`^Z$$|\ ,%{t~~ywۯW+Ԧ!rj @B\Ѯ#30vEd 38Ym i]'14 W ɨ[K0¯[oQ+jwUDa<:[@f?EaZJe]=5r/|as',Ϧ +x ԋc8(8b;7Aݒoȶ.ep*|jX GP.-fU?22ʾCV潲wY٫Ƈ,%*f 3.lg6[#&? M ԝ\҃3ӲXqJH_" AnJ^?WJaC{=;ڳn+Jyԓ@~HNZW˨JoLGO7DĠ('C''V OK"X A܊?_ `?}@N"y ߱w}hRNsLiR=R %7As )rV*@л)EX_WNOHaH3m9wM.psS,SYPF ӼC4w ~X>Af#l;ͅ2|yHH|̅)`(16W7jkʖA8`,Y$Zɡ lׯfC#/[q-> ])G-pǻN5By5|Ww'x(d?qAg-r2{$|hIJ'|UZ--U ^D]]# Dn0#}\miI&gA-ioZkTHr_fP#{<K]I H<VU7+iZd$$zk\+m"]VdSh';wEY ` Kɴ؈2]-5SX9تڏUNM,=UFciPg5}1W3*w-}\缒UZڔ:ū,*n ΋"t!FN~ڑOemn5쵲dU}PrԟW zA5_g,K5e,i|՛ՠE>BTִ2!eQ<:/uoF9BPh8mRxu(uIr&QVnN[qat#zB ti؆עPOH9kG[Q(T,A8 7=b\+'ۧ>*Jpڧ>_O}fo50q!fPfoe;'Ci-rFºZYF'ϼ ZܔEɏ٪A=o*<`jR.7݆ Tzn> f'4ɞn30llqy;l䨶GCKo96x NپVrK QP[.(tA(S!8t3t]dQ,譥C-uB;]u@JSwdYBQCvɕ nJkT94L3ߐU @gN&Ȋub*ONP13<T`"U F0앀m{z֡km4#\8eWc@kYd`&Vs!x0r]˶Ǽ9-,[b10s-;1*9f!cHM018$nU $zSmɭ*؉iu[(`SmiBR$x$AT@#|ި*72pRpS/y}*u!O#!HМ ]BHMs˜X@К|CT*)ZE[].{l+ϼXx㚎pn'_m9h(@ endstream endobj 20787 0 obj << /Annots [20788 0 R 20789 0 R 20790 0 R 20791 0 R 20795 0 R] /BleedBox [0 0 612 792] /Contents [20796 0 R 20792 0 R 20793 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33733 20794 0 R >> >> /Type /Page >> endobj 20788 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Contents (https://www.xilinx.com/legal.htm#tos) /M (D:20211013063105-08'00') /Rect [501.257 434.6501 535.995 445.6501] /Subtype /Link /Type /Annot >> endobj 20789 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Contents (www.xilinx.com/legal.htm#tos) /M (D:20211013063105-08'00') /Rect [90 420.3501 236.388 431.3501] /Subtype /Link /Type /Annot >> endobj 20790 0 obj << /A << /S /URI /URI (https://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Contents (https://www.xilinx.com/legal.htm#tos) /M (D:20211013063105-08'00') /Rect [154.427 363.1502 335.553 374.1502] /Subtype /Link /Type /Annot >> endobj 20791 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063105-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20792 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20793 0 obj << /Length 19 >> stream q /Iabc33733 Do Q endstream endobj 20794 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33734 20830 0 R /Gabc33735 20835 0 R >> /Font << /Fabc33736 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴hy㮞~?va,6> }0P( 橷൫ Eӡm~l1wEʚ 6\Hn:|/(h&c I`2(.X5g$𣈺ʔf%tw%BJq١0 'C90ڢ_Ћm߽?1X endstream endobj 20795 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1942) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20796 0 obj << /Filter /FlateDecode /Length 4295 >> stream x<Ɏ+987h- 0HfЇF^oh2?$EJT,* ~BqERtοv6RqgisXls}XggoS5&?y_3>SnO<2mzKK]hƍ67i~6BB]cfW6074i6K,Ζh0?Uَa)0ϡ,3"Bo?>"pvqo4%:46b#Oݔ^p B\\x>U)"AaV+Z؏pro 9F%JV;B4آΡjh ,҆+֖و/'NV)Tι|!N\Bn'ʒAzFz'q3 X* i}eaO.("vyTKJR+\zȤ 5Wm ÞZl'jH#1'o/2Q H G[aKw#3)}xke'}fikF"DPPDƤi!Qlp?QY7EXxl*<=0sWS*y&E+59IK`׼E@n@sgڃqP[n:7}Q)}ʊ dA\4la!#%fse^m 6Pƅ/Ty4Jl_M3 SڑZȶA8q7ldRԂXh0&-f" |:YIL<x)̖JF3-#ƚ_\F6Y|H˖>[RgzREO ֮5[ǀW= hS9^7skygq7@bZR$PI7#+Vݵ>j m@n1pM U,6fU'JqAuA9HB[٦XZt `L!. 2WJIW|ý ܗ ciuʴPNd|wlE4'rBoΫU8'!4Id0GUNd%b{= CSi]ڤZDgv=Տ{w#omjt&n D4vKJq5ۣ֕UDŽ_2x0e6.6>qȀ}Nc6N4(LGS<5[|$]8G_o8$2Wgqsbeyg5trC:<9}*0oZexv' S$fLdf1.I?6eiRF۩y^bLxfL{3g+͂=!зVrDoyJbkf tiz6ÉSiaz>1?aL:>=({BH]p/scҩ("ȚYH{U*d DQڮ denk^pTD Zvo^.t eaJD3pzˊA7g>,oZt6OTc1"J/I& F gOOy> O+񽙬HOǜGZKDUN;k6IZu\ߪ7'=}D=}em='$9W]91J 񮖮$i w͍2Pe)\Ƴ%~xa~Yn%oqr+jfrN0mkfejnf@˃:O̞,9I!wfֽLJ>ëTՉ*Qzvt@Rx\; _Ӟw=OuhҁCthCӊO|^bLfݟ%'3x;q]VWg&I,oyK1ǃK.bA`ul;bn;TP. .h\aUF>(J}*t ^dz2^ P[^mwnQSFtAر)I\CI٠P`),vvVM٫xa)y4ޗpUtLϴعB,i3މI.Rzr罍1s)d7{%(:RL܃'BZQXuXcY UeRnjɉ>Q+dK+&oke{ꯧM ~b2by+*,:/G~%@՜E?6ظ,Ʌ׿ϴ_fO,e5(`,&K2X?3k'^6x K-ŕkJƯhRyvE5aRoږr@r o ͧ:z?MYqU#ˏ&7&NjULFJLk{ )xO;~q>5NxfUC"aS̉g *c5Etǐú4Gse|;׋ܟoLqIq"#Vڏ7Px#= Ezu-C_0 yZi2eߌ5| ,}|'cB\E AVV`87ZxX2Ճ#h xԉy6[EZ4]\jgxL;PXLv!^iJ}tY7']C~"a!țǾNk] vm 1[SuM߇[UspG/ endstream endobj 20797 0 obj << /Annots [20798 0 R 20802 0 R] /BleedBox [0 0 612 792] /Contents [20803 0 R 20799 0 R 20800 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc33752 20801 0 R >> >> /Type /Page >> endobj 20798 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063105-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 20799 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 20800 0 obj << /Length 19 >> stream q /Iabc33752 Do Q endstream endobj 20801 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc33753 20830 0 R /Gabc33754 20835 0 R >> /Font << /Fabc33755 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 20803 0 obj << /Filter /FlateDecode /Length 1773 >> stream xڥXn#7+xwA%[0CIx!6kn5˫W hu;Ы|ۜlT_l&}~?Ʋ8wK+5Fvt.rOhCE%3z2_!,-RwS\Q" B\O^h vIGzEKx`T``6Uڽ|m _OmR;ȾxMV,_kQNآS\0rܺ>u'Pu~c{e0Wf1%G 0wcJfԬf|d{-ܙ$z++eP;N/4&ZlpaD: @&=:zA d! 㳔ݝpSP8/Μ]{&D; OIRq=|I!۞M[a=\.9wXm`M6D+Bo5w[nSw6W߄Թwyw4׹P6)dlz0; Һݓ.{M?p;Il:8W8Q*|Y|X'hRC^;a;k1c{n-ܢU0[]A,/քk*6*5ԥWE[=,q(F8XDAK':v8t|~3|Gܒ?@^zׅwG;:÷1S^BAy$Őa%Xn5CQ1r*orY>H{sP"Jy sL ZcLc҂`QjC0\`CXRtؕwF1)[W"z˒O (U%2FP>1SĠcN *Wf0y/ @of]"9nS@ٯ$&5SZ$rzſBⵚc]%/R8:#*YBQ]EAL'KZ6 ֶD$C6ATpЦ&1tM43-ɴpjXl%w/f^SmVa:|d{V>{[JUaD/[M:ƸXG2HL`쨠x)RC4ѮN3L|[8\6TIK()Li{ip\V^tn;gwf|-wlnwI4ڌ[]j?'GyfZ>O_Et'W;Asq6~<~u+Y|TќoR3 Tb, LYvwD=㴾94:4k<&y>eOzW"}x<B8g}fy;aC \龁-{pJ LV/:;\mGq&;]!fCχ*ªak$uĿBX_$jZ_O&s+=]׿[$/F45܀7/n!=$shF endstream endobj 20804 0 obj << /BaseFont /EFETTR+NotoSans /DescendantFonts [20813 0 R] /Encoding /Identity-H /Name /F2 /Subtype /Type0 /ToUnicode 20814 0 R /Type /Font >> endobj 20805 0 obj << /BaseFont /EFETTR+Lato-Regular /DescendantFonts [20810 0 R] /Encoding /Identity-H /Name /F3 /Subtype /Type0 /ToUnicode 20811 0 R /Type /Font >> endobj 20806 0 obj << /BaseFont /EFETTR+NotoSans-Bold /DescendantFonts [20818 0 R] /Encoding /Identity-H /Name /F4 /Subtype /Type0 /ToUnicode 20819 0 R /Type /Font >> endobj 20807 0 obj << /Length 44 >> stream BX q 1 1 1 rg 0 712 612 58 re f Q EX endstream endobj 20808 0 obj << /Filter /FlateDecode /Length 511 >> stream x=In%1 Cr]Kxzչ6rd֬/{6׶/ ~p~c8s5J^-R VbT[B-gYgU8~{+ sy XmǑz`Nƚm$uEBڨko%ݫ!*RXfC=kPHsDk .Y˜lw Ls*>6Tx?hw=9I 1ZuA'jmd0vlLŋ24c6HaTctA|jS5a#-\*л˱ZZpҫwRά1dU~fĂ;1uLU"bc+f3Rz%9[Vp[+b˒2ֵL7P7bp.͸PGW!,))k:6PB;o>2;*Ƴq 4wnhM8(/֪^LC}zΨ^??~ endstream endobj 20809 0 obj << /Filter /FlateDecode /Length 434 >> stream x=Id1D%@JfӥZU?!O2$]beȷʶ=5]#S|!6\%th,K ngDpQY,bVug$L4c*}̘&3b$HHZ!GcE"ƺhsg>et#wkC\ǯ=U}!rH 2^^l*ۢfud{*os6y8y3ThɃ߸ (kvA9캖R{FGB E4Z^Йm`BwCc%n$fzt՚JYus3I%o|na^"Q>3z킿4Jq٨JYL:G}KեrX 4G7(x꧆(9d?O:X endstream endobj 20810 0 obj << /BaseFont /EFETTR+Lato-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20812 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [256 677] 6 [647 668] 9 [761] 11 [578] 13 [566 731 764] 17 [280] 19 [423 663 514] 24 [929 764] 27 [801] 30 [601] 32 [801 627 543] 36 [591] 38 [736] 40 [677 1036 649 624 602 497] 48 [560 478] 55 [560] 59 [528] 61 [351] 93 [520] 95 [558] 98 [240] 102 [240] 104 [508] 108 [823 558] 111 [567] 114 [561] 116 [560 364 433] 124 [359] 134 [558] 136 [516 786 498 516 452] 170 [400] 308 [269] 311 [227 262 250 236 750] 317 [214 366] 319 [366] 326 [452 251] 329 [452 372 580] 333 [459 580] 336 337 267 338 339 306 340 341 301 342 [425] 347 348 580 349 [204 371 712 837 832] 355 [832 751] 362 [580] 370 [580] 399 406 580 435 [580] 439 [580] 442 443 580 453 [626] 491 [663] 1140 [236 580] 1142 [580 802]] >> endobj 20811 0 obj << /Filter /FlateDecode /Length 613 >> stream xUˮ0E|:HlvR 1CH%D! {[ K+y54~,ͯyj*Zo+,(/ R!B1XgB8rcY@Řn̝osf LgO endstream endobj 20812 0 obj << /Ascent 987 /AvgWidth 554 /CIDSet 20824 0 R /CapHeight 987 /Descent -213 /Flags 4 /FontBBox [-547 -269 1343 1079] /FontFile2 20823 0 R /FontName /EFETTR+Lato-Regular /FontWeight 400 /ItalicAngle 0 /MaxWidth 1889 /StemV 0 /Type /FontDescriptor >> endobj 20813 0 obj << /BaseFont /EFETTR+NotoSans /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20815 0 R /Subtype /CIDFontType2 /Type /Font /W [7 [572] 9 [732] 36 [639 650 632 730 556 519 728 741 339 273 619 524 907 760 781 605 781 622 549 556 731 600 930 586 566 572] 68 [561 615 480 615 564 344 615 618 258] 77 [258 534 258 935 618 605 615] 84 [615 413 479 361 618 508 786 529 510 470] 2413 [260] 3052 [383 502 653 828 326 354] 3058 [354 607 551 250 340 268 429 551] 3066 3074 551 3075 3076 294 3077 3079 551 3081 [356] 3083 [356] 3085 [411 391 540 376 551]] >> endobj 20814 0 obj << /Filter /FlateDecode /Length 304 >> stream xUMo0 7G)-}hH#Di!aqnW?02_B8y'ЏjQM t۲a<5K юL ǫ1?8^!*Kqpu潛B} B{չt mE侲8.u8xӠ. r$1dleաaR*l2psx/㈚McVNSY,uI’jhYrQ+6>ufT^QDܡ=VWkx6> endobj 20816 0 obj << /Filter /FlateDecode /Length 15400 /Length1 38582 >> stream x| XTe}aG@CE&BPBdLY5S3P R3uq4%u333Zmv{ھ\|Zeμ>gP}y }J &ˉH3>u³vGajڃcu;NemjͼB{Z\5?EHл[;}J8T_BZ4 %5jg߿㾜Ϛ;m qB Ξh^X<>o3H MWsp Idb.pQ,QeA%A>&d82{ϸη:N"l5")VHw6)X_j_%#[7VuaJwE@0lU߉ WD7 PD֓d)FɧdMd;.Vgv@VXFwd|{ ǎ)wwnNܙ#G vg!i׷wrRb/gOGB5l 2YJڽ*+&#r8sSf̭ڧؽ R3/79xUvoȔvU^7ztzzR}8Ζpڽ:q\9e;=vz,M(n\1n9ks#l5ԯ/o e0#)zܹFʖNsT{ƕd9~}G{Üŧ*>}cZ3ZR2+Nz1~7"ǙΧ{:s)lւu n,Iri`;ί/ul( BN{n}UNY?$~^M1EК8oZ\UK[-.F+$kg:Cm}n@,$lgb,*ԯv9r]>gML;@ܩ)PŞxUʞ,<:._^GW;s 5S˧ꘂfo8aH𾌋3^9 ¨`?lH߄]qX )bpb6O3JL`R4()qអk.gTRJ:yά6-s_·üQ^Dm}75Wr+l{EO6= ֖S_^]M^8˧{AB}>F6SR^0Y0nbPNJ4<ˠ|iO-d_YqI^5# ?^R~@¨,^x^y 5;aǽ?xB o3("hmfm$x"iO܁ڌZr'LYlcjd{53E`QIn'T۷6FR+*\I\_FX xS6ƔVLKJJNE$% 把#`!Ԋg"O^y/WЭw<\RY]Tz􉃶 8v;]{׾'y|!UKJ{OID0I>/F-h%.RNDbO%%oa=*xp.%OһwB?KB,O40dg`$L!1TKFm-Gۆ IDJeNĶ ؼkPtdBu&+guwC55<3dZV?e_כ'5朵4'qc&U^>EP?٪~f{(YM(ɇaQ;Z2CŰp$ 3<.YHm.%B 8!:D'uQ*&+Qn:$ %ܩNl̍wakB-ͅE.p};`4ZݺKxki5cgzdwnNHLû[t3~M k`h!at ZpFDW'iϫ]-4l_z&{<(7#/ǚzx77gŢ:&7ׯ\r,_ȧpHr[p1&6DJ! OHd3AOa&AQбD r ً+TXyo^|o>z=cl[a<剐Io5L"ARPE fzj p؉ g.OTP׫3봔>BY]!7OFek?``RLTa!J ϟzBN` <#4Co]eW^`Z-JCqoP+,wCw|\w \LV*aKE[ ; e-|b_]kytGFa(=H KmG͎gĠt7St_{Ev k߉ϕDiҲ_({Nz@uN6JLLCB P#b3t>iFlRD*svA+*uC$0[|aLnmؚ0ĩ| wwjM2&`yGhgI"S44G!&L]޻W0[,=է9Ʉ%CI;L6ƒD9ĞH,.7&*;[]quIVkSc Ia2LHY aBQŎ2b),Gm;(P?7<,V8wJ]#VlVz2ۃe!!{:",CQ,aMe%4"L F D0><W#uFGIXd51#=EÚÌj, zt-_\9Ʌ|sDfPui+l4ҕ$@#rֿ=яF!dtd\cg}149K@lcvgm! (ؠ=3%Ā -*FFi p<$I"tgdK_LP*x a~xLu/_iLsZM`AFn5 Rr>+^`vU ѿi :\X[%\ #|y|-&_zA  ӎظ0/lM5U5pu蘿*|/ǪP4R&PR^%c1eDRvI|VUV7ڳIn8C4ϓi!F!q3K ao{<~wdfoO 2dCy|W|Pd!x~0[\m*G1"(p>]7o?PP~~Q>X_nNnil4L9N6"=Kp,!D 7GmsXYSVȥSԕK0 i%t[#lmm:k$D(dpjQ)b ؄rvݓdV34bI1Btc uR቏1ޜRQY7bcvbEq^|Wf:-S/PhJ^Q};c?cH!2&]1E 1g,X"K˛ 9h=5zTHVJ{iMQϨΜ?Yw{};X^ Ғ`DBHxP1.:L:b vb`v!{?~/l6ߺ|eEy Xryo<1N&n#ZQnqP[j cz[pl?(=͛oczD+?9?yn\g|31(%2,#(aHK5g6$4= Jn3J!ª^Y?9{~c-';SوB--=qV26t3ՍL08)YV@%.ۻ,Zև}o^c+G-/45ucVo_{G,p d$$j6GV{($n1Kj]Q]!jʘC#[˛IG]TE l]{lr NZ[&NQѡf(32 ŴRW|җjcyRXYa 9+ -X3ĸM!4LMWT''H&JwUGȉ4A!_NƼU:V_o6fC\ua~>;BXÂc|&J}+X`h/rRnw؏^}Pl1,DZ7~kYRP{KzFcS}HLuℑ' 3}c5!_)'~^bl0(A$ 1XF-#t^ӏjN8sJ]E1D(=r8?U{Xn󝸠#wN7BB[⹁?8u(WF AB5 '|ekqR{Z=Siۥk&9iIi=6J<.1/짞d_DHq-u \i|wY03\6n':#iH"%r`7h>?s9Oޭ~.OcGO 9r(mmOdji:a5MNU3<yIC=H2F2#6ɺ tl+(g sQg}I9zyck=3zn~3o؂yIjW;DܢE//ZTjР5e'87so//\<* + +5HpYK Mu3JdCaE"*E!JːtBbsx#P1rsܱrfzjsG;i Z(veUTwjQ9aU/?dk1!C,4슎XyWg7~h&cWm}lʥrh#ɑ2 L0>+=|Y^]8hwPQTG'3Րi"{bF#HPҕJu^QÒǢLoبD[hpi]xXr+>G\ې>dGW.j!D(ᚓxXM/Bvas6?5Ȑw†8WPm V)b=B^9AyЪ M Siuʾ^bF[dk<8$L=oAkz5sY9 9Ǝ/|_i4h6 _k50qDe]CdNx;.,nH:-&βx MW0.˧PF!y L!^nG+ ߷gfYgsjzO{𮪭U(P?oK=|Řa3c/?n nٴyzΨWz'0.3L!6^ģ}~ *&=6OuU=\ڷW֤3_ȟT1gi F/*Qj;֨)Oί18#~Ԑ C Q\ʔaNOc% R)W!<}DddNyj {^<:V]@MO>v%+igi$fHQY:bY^cǗ[pxN㞇{weffDML.%g+gq_ãLֽ^1[4 KPg"t-*-+\7sV;{ΏJ7WEOMpL|zV1Ě1f[f ycMiyɎiY$#̟AR8kƢ^ źeg s H-0jU(&Ѓy Z&ͻ6\:ɝ$ܐ!^jd19YQ(+!fITH+4?wn;NH9x`D1w,LuߦڼܙyGd?F-uPa$[hYr'IjԤEUFO5aeyBgu>dIM-vȭeJ[T"Z*\bjb@esg*Sm!?^#k 5S?ݽK+lf">_Jx}sts@|s7$9iɉY`uEa;\ҹ O.7->_߼u\6#4F Z-n9c5/;ԍ0xKy2Ԉcm&ffq)iHFggd:fY;Ԅ\# uYZhb96CP.>E9A:#Z߇8MގH1rgџ?{Cp26憎U=,'&X=99a>[<{Ic3QabTF^2fyEڊtEZ1)٩QS ԁH4䙒1r)sx'օZNuCJ奶-q9'ЗB/);}**9bQ% 93gΉM͹`EE]9brIC._B*51=7o5<$CHG؝Xk;5~W'kkqxtz6=e G_`1~o|}2= INÄ*0kd fUr qI dTlzjH10B%%xV#$mAFh$ X&kxDɮIa Y(- SQ.q>!'fꯒC@rEN(V ,UZXKj쵁 ;I#P\oJi).&F" 쐽0U vs]4}Cg2]t&@nLX @_rδ;;:e{eDwm)K.c &?N=quPj| !h<\fi)ll> l>/tN-a7LlT^MĶ6wda|Na7nKo:Qn/ٿKs[c{{ |6#rsf{j8i hv:n\;]e]J_;  :&im<1c%L\8''y/`#'LFl\:[B_})8-}g6.sd.{.^}c6S\&9uf2X)[BH2c,xdc/M M0Hy i<5A6RK21fIT2v#/VrXU.Z=]b~&aE[CP63mB:|+! !^ 8) lWJHCPf%79Ǥ.z-mCcJ*q2[ N=7@S__<ǫ!FP6*аIg3< ,A# %鿵Whs%t Nw-67 l$kf@ wV9VҀ݌@&; iKHP2)c26ĊbbM^: yL3ܟ$EܟX4Lf?`5Fui|%7e5X7f"|𵝰pcv8Jo&cqT!$*&̛| 3b3+F,ig3 4nRs|. `S$].&$['OHLG6'o%ש2# d;1 l" 3&xr΄lS ݮhqż65u|$_;~!S2%ϋjIGuݰi#Y=gqCcM>@퐅q 2$)X Sg@ ^>"ہ a]#"=(!G GkZ}ԥ#_G>MbdE$ERNva|aoЁjPjT}.iЏoZOjW5h`c4n7_kVݲu ːT%/4`ׇzkisG?k}akL@ggLQ_[f" !碟WJ{.3#=_5NG :W{_9j1q~E{]Ёڻ +fvr2yJrf2,lJOO1b d!6Г\\W?dՈWt'=[ɕ[-;e X?m<N3Z1&xj&}ŕniO ܯ^[5І[ ZY`td;EsZg5uTKo+)K*ݖ}#j4rikz0k)ƞS_q*{y$j |\0]嶞|edMx \#;%B͝){x7GM>!&7;'8sTʄM33F?; tp_C@Α lP<-.Α@]`L^c=k( R&t g@w\a@ 3...;p|%my5p 2lm،AsVz,~B3_v L"9dA>UBZ?\WA"*!uD!PS\ь:`yhg4T8G?*>|R 3g[ hOV`s3e:"^F;A  q>:tyCs6zWNNt^ _#? lT ''Y *7C۷"u;إ 9~VvFoc US8e1 S75I?;-D@=f<ڙo[݄䅿 !XП< d6! 8%xַ=d*G<7y# l/oKMA','H@bP@~YFAJDOI;@'8F-I5hm : V[_AP2+,5/ߟo aLh?jɳ_-#^}lyQgzk`QyꇦsuGtkvagJok;Vz2Uh5W:Ti pF[WC[Wbw툇5O !d>/Ҿ˿uOE>MdA!+R0Rۭ|>u[!ojG^}hM?頣?D:)1DkGI`n3NRL[x!_0F28/z/0ԀD[o{#M?7u.P%XZ;װZ4:~ @Wuͱ$.y.fzCu;)>fKz^L}F 4EWrU'WZ`tlfgI3{B g8w|Zi-L7:^GE> y@m8@юq$Qm5l nV߈~2 gYnkۃiJ/ XF"a'ɘu`& oh?9h QE a 5g0!m"T("|(0xؓG8l q1_֌!rB""~d+!G ({l(D |`L ڱ q5> ]BЅ.t ]BЅ.t ]BЅ.t ]BЅ.t ]BЅ.t ]B=^~ %@ ! %>Z fLcnB1[=!\'M{!%sF>}A bRB%D fb# K RCnrN'ti|iK,M{&fNg0zKˋʽ҄R{\FhIiiQIPBK[q<`,+][/WȥEKGSTX*STPP@ 2 ㋊KbZT:4}xJuBRpA|ƒ Jx`ЖO h+џ0{Fl¬I 3gH :1򒄼ǓJ9;8H=Tb6,p1S'MS+ S*\ Uw$L*qRV+qѮR+4MvU҉gU֑A˽U=<j\r\{&Y RR*@JJʂS؟ł߯5'Ohy Rb*Nf7 endstream endobj 20817 0 obj << /Filter /FlateDecode /Length 31 >> stream xkt``31 endstream endobj 20818 0 obj << /BaseFont /EFETTR+NotoSans-Bold /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20820 0 R /Subtype /CIDFontType2 /Type /Font /W [36 [690 672 637 740 560 549 724 765 389 331 664 565 943 813 796 628 796 660 551 579 756 650 967 667] 68 [604 633 514 633 591 387 633 657 305] 77 [305 620 305 982 657 619 633] 84 [633 454 497 434 657 569 856 578 569 488] 2413 [260] 3066 [290] 3068 [285 472 551] 3071 3072 551 3076 [551] 3080 [324] 3090 [411]] >> endobj 20819 0 obj << /Filter /FlateDecode /Length 280 >> stream xUMn0b0B[ YJHXGM/@Z*2fI"yo7<=Z9`vgt+--b!A*6 Uyy'*7ggWkػh#j) C^;֍4 䁳u8NPUZg9vE^|w6<!@MPc> endobj 20821 0 obj << /Filter /FlateDecode /Length 13197 /Length1 35755 >> stream x|{xTչZ6dfɍ!d!0be Bg!&(B r XjT"0PJ#cDj=ʡԪTQDK)B$=~ϓ_޽^{wfPB "z״u<;-G.vg3&ݳ`%J0{[VwBHjyٵ7!h=a]EP`CnyO2ΝM~ ?`Cb Yw/Zش8@p`ޢ_-'d=nQp(o}0M;N FAIA<x;* w[;vblnB>xCl6")Nb#cpD~j4c _!۟VNH?_#&+$#Ճjr&|Y _ I,Xyȟ9(]ESo*P3LJ~MB|'"W#*%.f+'Wh>+9G'%r1*(V6;OTtG n;frG }2%;v[ll2YJCfbHLw; g{'zg 잘\?aš{;" &쐻2;&GϺ=ZOgOjw!c^w ^wuo_ɯ&7\1nCַLt<;~y`lWAEq_&Cabg׆J&Npywa8wðq&z'=XxQf偐.uM?4o̮&pBNoAp+k!"V({"/f;5?? sxX-ںPZWkniD׻sb2`b?7LzŌA$AEhDgq4/s 8<+_ :ʇNq#`2؟`b6$ Hq2eg9Hv8J(l -fAP7= B =+TZ m$Yhg&9-9m!nO7](۩}k}s;ة4NSNMn#$!HD[-g|nr꙳f6ffell" '˄!!11)y=7|dΈ^Uݭ 6TO83LoiI$N1&#&%;HC6 s gxCcD$bЅ[w'}ڲ Ԥ;b WgbeV!A~gDL AYbR: ډ:|ny:O:Aj o!u=^ĊZ_YBlFJT(`B8W4E+TgFQLPA\:̚t:qfcV&a`:A$'w\_ ._ M?XA *ԪƔM6QQqWY3g>zrz^=)v|FTlgv g;1BxlLv%0B-lpD((һ+P@aD0g>&~Ky=zχܳ'.=ra?(I21QZcHbG#Aj#oF:HGi댂(P'4+\WSwt0K;ˇ: c9o0곜<_2fe"ZI׬A|/KJ,'בI088 Px,*k/)#4\ы'5NDEH`m3,DwPRZP#?U|t\uuWd[q6BH,UXjEIn*ا.\_Tݮ\Ť0}TACֱ,Sfkb"I\Zxq kÝ<+}'#Ȳoc؄DA$ cpzG#F{UNZЅth5kf%T}upp ;#* r`;D!(RCDhy=mZOv<'_+4l4I*S.ZDS"n2_X~vU!ődo"bCϨ!>CTλvKI={t ]ߕO+9*QϨ_K4AT[P W;Rr&I!~D$LMlIBCa Gu{'7v^OZOk&r EOUҢM.rKDp&Kl%o"؉yk% YuGnUprU@k7?qK5ķB}1Wݥ>/PXqR>t潕':V ØWHB $VuP8ԯ!$n6F"nv fPȓ150V!g DV)x+IR߬[0t5kJN6.@i?|嵿`dɝYM_W4r߆,+7y1 [\H?PdkhqQBĈ;KH@.UR T/|a,HFG36ەWp*LEXjMSNsd.?F=Ľ+_r3X}Bc$+$qZMz\*Sm^Nh|0g2&z盃fUpc~{jlK}-(Xģ գ8P@br>~lQ<f޻^wqERw3͊S2񙝗7l|/ {R1!^d̠l'6s # P+/5;.^ l)}0!S.۲ײ@&Sje2/UD <\67͋o ]/t~X J}xĻ Itc/Y /\^6O};ϡu__=%9B\?2!řh,ωENg +CcȬ`ݐP4D[ xGJzrlez7$$xxMdξHT/oӔ>ƎlSzH^)ԧ^cOrAZm*.QҠ$mS ",&X3jpJI76-:߭wr;ҧp*կԓv9dFQ\־V\סRSTuJ $tu(&'2yS\t03豻HuЕhs \]X-Y?#©#Ƒ6_|^IX?g&ӽys'zИB#uﮝ˕jHj6r܎ 6j45$)Ό8if0ήgt5'-̂K=ypI:`K{v\M__^cr E>K2PnĦʶY s :͚٩= Fg ܼsi;86w]גjr牁׍8%hLJNID Ȟ07h'&ᙺj1eɉlYpIy;ZęP)_WKexp/,Kr.Qf`6FYxP蹉jFHu=$*vPXCqvLV;[$~J@(>Ћ7d?-1fņ쀼>-s-0Kd.&푴^=9%Փ3Cv-oM{Buٲ=:.I{GImވO'՟DY?u|i[Y,~߲~|wwg=*JWif0ngmDD(䰄G7/h~OOhx=ߜD:Zi/ԯZ<2pcL{MD15;D-گ5g`QƊhfōϑH"DdLCa"̚X'(1P@3칳w|E/0j0Go=5ީ_;͂#.e\r3EU[IhɏF엚L[vT7dIg{ g{Y$hm/J<$"{-#h/ {+NM9r?zFSS޽yã==A#k 8rһ|n9ftΌ85W1Vfgmq5AM&3j>7qu_ÑYQʲm/YZ聝SG8>}G^\+.\IP3nO{e e.,oR\>71pcl$YM0RjG>'Ǔ%no5E,P:9VuФX'#،l_mDu'Zj۟hY}gI}!~B3{[%toо}{C]o7![oC}# ;Gy #oWӔ TaLMAzHG#xLrU e.G|glC/}#:&Wί>>gҭN~W^W{U: a:}>vpzD, }XyB<'^rm?E[E?X[)3ˁ\;7>"0,r"m&Cɇɗ Z8zf|L("f2tXBb6X@֟ƈ@|(!N^J)d|I@- KmSp\դͰ)+jWtZgZzd*V}OpBa*&$ԇv,""Kd 0!Lv-B#,4KWvYKy.^]FlԊt8̮ŏIAҤzzR=7Px|"dKw {&Uqxer4b-F2r.%LtG,g.7Xɭ: \ׁa(ta-LbjyUvD=Vk"LLgrܯC%hh@?7> 0ӞouV(l/\LFc3:[( 翱5CA ?4jnS'U ӹF1#'MkWȴ'5 K bA:i/oܐg܏2>"XDEYrXu~bӝt<6rX^~lH );¯X'-L'/'{67)_z62㮠#8 )Bq,P&c]KcC{#)az9ldm,>H׈bC' t@Q[(')賌C[ #T*q}$|R9aeLQil!zvf *%%d奤B<9T+[жE{v./]YqؘY)h,0n'm~cm.>wq#hY G92|2,%̏v Q{,0)z^yilUF>WY>i6C\ų7`up 5 mD1K9N ^!dZ%x:Qh}4 ")[a3,גtyJNw_NټOYn{KKA2ܛ$Ӱ(OaoaGY\ri/30(!)⳰ɤ81:ʆ?2nuWMp<iN7H ߔWxp3ڭD ycUz*B%G*XRB{8)(Vu2f\ϵ᳼neu9 9FjOalukXzh"0YٵZ@%r[ k/ky x# l 7R19LJ3 sray `CC!PZe7~΂?NMh>>5:O̷֡o QiSXo^ \u4aVG8R\XU:FJOIQzXlFȄ =K !_$ίljSK#GՀ'+F ."Ě|cܷ,9d1zV:o`Zu?h#X) _`-1;7ت}G;Z8CڔiW񳄬(l&[,= Z->BK~rOuf+<2Y-akd9 %fg;F+lq=2[y r2={A!acD3P)dJ2#=y=z^ 'vU*ļ0jBڵ@Cx:2CEE0Ԓ9}  O7ThBi@ew:Ϭ})OV X%ؿݢkA Zf6WVݲy 0RuJ8{ 0[ UcF뺚ͩ.}ZUP[_]ձM#5ukߦg?>#J>: tw^+ֺGVu*]o_ ($SG ,>2\_%UJVx0?3:c,bK/@ʀ__Β^ _:3d/ =-E-(i JIW@0́j{zBgsf'<(ִ<"别*cU$/> OBR&wK$R2HudxyENאnxӖt  6VYBE&0*sP IFģx/!6,O'$֒`) C؀[FxdD{j#{T=>s)V I_&U@x[Įwx$ .$Eh$qqX} 3F𮰝 w`< {*B{2ߗX?u9N6D^a,A# 9}^.^ %. @xF@Fva+v&J`c,o3^/ܻ1r͞#'5Q[E;KqO G-g'ω7vID="79;Xϒ7unz|31[ZUl)Lsz\lHmX|۠N ">ثSԙ;"덠\B?{9 i Ƥ[ vƼM,w_z0 [)|:6_~ẟ0۸H(jaR;(S^+d1Y𭸊~QDۑ[ wQ 8Zs~}ܛx#nPz5 @-<:*hSo136(|! J^Ng#;ȹ^ |-;F/K}yY?}▔  Dg Tr;ehK'Cΐ FPOço~z=.vj-(= qe> mz{#'K}2NC99đd~3YS~_;)sY3d%9<c.D'e`gw) MBMWMڄNQI"zZ-oОgg2D?3A8ZǯO8 ()}F`@"wI@ }Y4dO1TB3@OFuHSga,+ v!gn3?;Clc;,N8Ⱦ~T߷| dv ؋}-ӟd6f؃X/Q锡y4GG)0(B;QήSX{(T}u+ey@~hϏh1WdcGߓJȵJĎ@;ERG^hgtSO܀(ER]53yrѩ]/EJ4D$Z:Y|4zD5~Z IVFAVWEa QxXF19<{gv%5rjaexϱ3v.ne>rF"N#iwa1P9J1AcN/ 6ܿo4-蛅gρ]?":YJagvn(,lKIr7{ ?EPR!&[D|L̞/ 7a_$S_FƎ 6%Lǚ9:>ź&ke}L:u Ixﴰu)Lj0ġ>E$ ~ ~I8'^1Hy a/%bo):c|C=w]}CW\_/J˿y2/z2'^Gje2_8MۑPdr8hkSH*ؙ-¸ml2IځvUݑXdc0Y_OO}e)2@#6fIaR*p+пbeԿ?3 Gxt$uwY<2J.c+Vu~ծ_ m=\Ԓ"" +^o)Ȃ}ehm؋Vd AԂq,Xbqc1+Lmx|q/c q"29GHLm%MB&I3S;Iaы^E/zы^E/zы^E/zы^E/zы^E/zы^ 𤎷 qIb !}tGm$@ 2RN%";IbMzN,&"?\ב:rҪ**@( ]ZYW _V bQ9M+C+.\z'S(WBKhqI@-/W(fJiqEZ1+U.ebjiiE[7pQ+~On*|bEZ?jo_?ᴯӇKnO{XM[ܔI虦MB/€1f]f\IOfd~U endstream endobj 20822 0 obj << /Filter /FlateDecode /Length 31 >> stream xk```a MhPn endstream endobj 20823 0 obj << /Filter /FlateDecode /Length 21353 /Length1 49465 >> stream xܼw`\7ek p/]oӭ-n\?OxHDBmTF%q)(r'6A<;Z;gcBfOcmʿ ;H'OgKGGtac!,a}*n`}P?nQ8[3T}( uG= >9Wu-iǁ=~=hkԹOJkwd9c/,;Fuu z{.@{}vJ}> i`ȟ&es=q`uXՊ>>O:z},?q=ĺ\ Y~]Z# ~>É:}"WtcX=Ew]=egRޜ=~u]IɬQU]~nՈ~1#˯8z{} ^"R9֓ $ɽLP;DH"vM"CTyk^~O mCai@':iw9},sCYpX]{Wo`WpeU߈n"$8A^8iYOsw aޗ7 eMΞ.DYj ƺ=':?3BtkO?S+fww`hk`zk3.<>ka$A|ΜKL B.pONRJ2{ 5tZG_Թ o~tD%ᤶ@HUL{d̙Y( J+Jh 1"+c='T{NY9ae焖G.gHMYL9˟!~CDИN$Jhde2hd424242 4qTJk#u:i:>&oV? !LJ]̌}!D)˟;9=8!Ҩ!6霹vQ$[F>/.i)460ft) P19rCs as/B/A̸:B|j0q79 ;J*U='ҁpPYpXJ7a % U2Va8c8u,c8pXrӈ *+3V`8b82pXeʰ_>vBmTFm$Ob_1]Hj u_9]HcRK57]H[C*eSZ O~ͰO)Yݤy[Kxr<'Tਰ> 7dQ#DUJ5L4Cy&SdZ+#.Y4W \%\0RWjJPTJ \IbR,|SVߞ]zazBVqK0bJr K1aUִx)>͚[|~ׄˬ)&"3m[{YRAfZvyZAe5z|הn%>_],z# yK=Ʈ&QH=%mrp 6󈪆h !PSAZdR><Ry'N3)-o묺(:4MNs;7ۜېY<ğc-tY8)EQu#{kr :ZAJ|$Ly"ᚐA'[lS^q1 K3J[(Iuۣ&Z$Q3'p07|M-5pL, \VR,<sNyr_=ϫ2GDv_7ܵMמ^]mi֯ 7tu?K2& +ĐDoȕ(<Ŕ g3YEgR Kg`TfsRoy!pğ8q*0^x.Ҿ+>{ixlݻ'7O,dz& AFO@EEW0uSb2j9J!^8oh'~zxx +גto z0: 8ֵhY*6FO\ĩs|@|+}asɋsawV(;1‘B(dc$NO [bhdʬ~ksd^9y])8%+L`$R3i*0/Vbs 1i+0j,#QJ۲om9* Tg]M +B`Ϡ6] `Fyi.g\+IBg'*%Ml̊cUe4e7 4 %U \ʁ 6zTc 783zJF*}UEŦoNј̩l/MtxpG>1ʑJi诨*MM/^geˑ- 0n)օ`V,,B^9݁ܳa:˶DX*hY+9p¹eѦWm[ᆉsQ1\Xi-T|(9NM'~t׸M|X,{Ad^g󵎘ǹp\繸,S,Ex/IjO\HȁS$=d"-b~ ˅_YNg[" eV[/g{w BQf!bA$Ȓ^ldŠCތ/@JsƏ ->NuEhtq00dC%E1'~L)+ܐ5ϕP0q-nXZWWiͪO"cBb~}FZdNwpCGOl3R6T-)/Lw%~$ųȗ\dWocsa7%_,.%}cYpy@֔NNb;T"O1V#BCͻW߹tO޵CE@Ir? Ow,~s[憾 rA@J{uX ׬ 5ym x&FCj7kR\i.iP̷%ȓ/6MD1yskjFw5,=d꫷زjFS4t@AbJ&<5sWmz E%0l%#h[KG\HTo']6n̜7h4nD0)IR2B򝣌=4JߺWj\Srr]. K W?B^+ዃ{3: }^n﹫.ua5$lg/QP|*Ul"{2RрWi5L*EgN>&*3-{ٷvd= jv7޵3to]P7ИcqkV7߶/NH1Ͽ׬{y[͈?2'19d'+#sy &3M洸^LF! W#d<& 'dMc)5Σ䅛p.Y\ӫQ{zwwԗ \^zvsqAŝ퐚榅 76WKNsLJ#uҐJdp8s  7ޝSthٸ )<)ϤCˢ[kٝcjzY>̘վ _g҇EyRȕj0L5j)==kG]`D߄vC_~~L,8zY״(C>.K=F)-?/GK?%ÛZpOCs=)E IaAs,a_,3m<Ӌ9U]וO9E}tGFk9և ~8ȀWA bȍŇ6|qȍUdYۋB y# !z|-)#e%.&c&sjX8*It 353JVƧ'X4Z0{o{2o Qe4[e4j3󮻚`f 9pIނ9hWʬ&}X9,*:!4eD Ɖ^u=iħQtaL|XP:D v2EpCR}$,l4,4 stZH4_YHA=Ž(i'm,0kVU\MH6"5C.af]3qިʋKOuE9{A.e ELSҦ,TnTH\d9kL.ê0=*Ig"7Ȭ˙VZ$"q&${ :~ss>'#O^WWSVHZjbFԒ#QRihe1R *7aK%Ҋ_mZ?)t"c] 64-ܞq:cKRСEIWflj6XUu4wݜCPܜ|Y c*:("3&6V:ڎKM,[;BZ{kv,4 5IԕYsr2"25m_0&7YEҼnᤃ6΀̮$ier$]=֮o)~câ#K&ݲfŠpd^:&D9ʵJz@j1<㆔n["ut›D ̓S!.t_Grbc,W= rY,. %[>n]loi-~ǎH$gw/+SIvIL"Msh(9mDWQQdQyڶ,_~cH\'yc`SNyWjZXLnBQon{퉑zn3,pPpJ<{kz]j2$-0eıeD6@c33zL -%[UTBNSpOSb  |6Nݔ rE$;BiߦR$6|^5gmX2߱si5<핮SVԎ4l((.Xu W&VVڎmM$1Őf)oų&{S^.z'R6].[AqHDf{2~<`Sq޲=&P15%H"A\ΎrsN&*j3)ۊgҙnYȀKKڼ q@%j #]VINח2P(;9Kq{i.Xi)㍢bxQIxLS8)`l0jV:tPL lyOk5L ,*Dyege%'%ưShbV9 SK&5:wZ2{@Ez "s(ջܔ eQ^YM9׊{XʤIqJ IRcB;. gdzEf3-WY-̀RδtN)2S S'/dm=r\^RL?1 2g"s ͢I US=\C|(y PNԶ:;eV?^ }`]׮%'׎"f {B_Б%u;\\}ȆPm\=q0 aM 8Z[ݍ.W;%M' 9Jعfڹi粲™Te%,^ž f+2 ].X[(4j /=nw"5F#Z[hz3-|boFvN34rkdg43h:ehfopbX6&7Qsa"`yp%Ze;-z҂C Z奤͚(#Gl,F8p$w_w$ג@: &}C`c+o%U|*Ϭ{5x.aWj8!;1"&1#uV'U8iCqN5 !k` x^uBK|\9J'(} pe8} 8kAY-aJKftb՘ٙ@lӼ"vS܈>^Jˑ7s$kgaELDuJ$47Ӝ -YUpʊ2[&֭$f_pi.^[;^HZß0:/ >}NXwq;Z)PIsCqў*?Ǟx>ryƦPGzFs\"5ʥ4Qf#%.mYʥij田ôŕ$Ɋ3&37sYe'; G[7qUY ;*&}JHgLΦRVP9sҧ7ڭk:x*[_zѧ}f`߯5QE٦ jNr\FvJ*s/ ǂV8{t\ASd2_N4m}P66h̦K+ϓqQ5=y"%6?9\Ip5&gwcIlӇ =b.Ѯ!Cy V1o;o);ZLt)II @bņ#ob--sf#}cˍ 4[6z\6[BH7@;x,ٖ)am4 :;_(ȝYHot7x GV.I/k7nHuvG%˙dҷET_%"}_ :K楐LZhIɡ&!bx,..4VDX/ GzK‚*^WX&$I{ \*L.}ްTە*XhQ|tLOcUӾQ /w֭m*?UG?y2>9qlGSNyECG}{Wcub^Cv~gYB8~5%[Y"7P({V ֻ5ܻxwNhm%cVMjliv5孭O ,eٺwM ۸m3 jUh,-4T-P5̭( K4+}˒32ShhwT5(KMQRK*}ZH/$4\VP[X]o:` zR{َ>xjxʟ[Ա=To,:F_h[WkvcgH_װ`%IMDbsѥ~raX TOmA6vR76Vm3׵4v4/*_(|`8*V|dFOv{ufJ.!+0Ni\D-k43:jt`遰 '#NskD':?)c21̗ T*ܺ-;^Ꮂldb~k̖UE nea{qx/tn9x_O,|}=顯0o ?Ff۲5n_a x?G8<}r=ptkWVV֣?Ogw]~!&K=MT3_DԠxո*ܠc2xv*CFVU*m/jG1-!"ɾemZPrIYToEa p2>K_Z_;2˫"6 iŔw-3g/'\6ژ xʽ.M >sNJ}Kd 6Wy}}ώ׸pAw^er$owD'?KKT\?n娰ZJ7ݹTnv.ɫYYTّq-qQ[P7On~}M{VZkXs"^֚w*qyi"ww*9=w~qZVʾeǷ56ǿ6мHQKv,<8 hNaQEmBLC#,a; egia5*N0N٩GE <[V˟P&x2RSNyM)L/jd%cA%EbPɔjZ`vI\Z Xڬ?h)jNǨ4X*~UoQi^y_L=)./4/80K. |͝HFml# IeפW.OD4oCܰ::}}o|d}%%}\^½R/FfITlO^huSDpm l{GoT-bLX_/T~0$ BOom )wGٲ^/&~uǥv[x z =!ƙUupwYagm$^wl&%Yka!7{id0n^r7mmKB8RXd>VR'x8%KekO)͚꼜xKjT<*2ORXyʿMgW>ZF+*F[5θ8gn'w7xݦ-U^5p-WlZ[Xrp~poJmO~^}y=)P?1~*XA U$Z38qz9z[\8ЁȞZȹ[񞡀p|47\DZu{vpE0/~Ztn[.=Wv{srnwm^wns}moa[.['5P}monnKej*bc+jkzbbzΰ"} q_KjƖ/YYIiu(0ss({gj˧g'؃AְBuIDWdp~wkv`?JV+?!105zG$7ڟJϿ8GN8 9Wu<⧿T'_R2wql"*q E9#a+5q4Y!~vs GV$Z} 1TST5d͵_8'>J5 @o%MI#Ex q3aj"B?9̿HHAըv"ݸDT%@S$GLrwDo#9v'9J/$GW>Tx/|\Ex #HDW9,|L"F[0>d_[T3 l7fOQ~gV:R@RdrEҹ_lq%^%OHdԓ u#{5hM"N]b$Oʹ7LV2ƥ Drp& qs$"]Aj3Wz2ⷁ} =NpK$ZȒ}DsLAxxMDЭcJ p5`}O _$GwPOT -dz!$ /Z2_OUdpKa>6 ]|d(#p=\'8'VBѤs$ =DbNWAVApoU5iyW@ANzQ'ur'ϱ:G:􌪀o'5i V P Y~P-#Z~83O}m]]⍤GuYEC ?;oJIU\@: yIVO~"3WϺQοG:OFFxpVb2&NؘNalxoiIP~J%8`*Xh4݀E@m#ՀՀSyS)ٳ4:5d@Ï'À{CJӀo+8~U 2Q q5iMl:Q吟S\P<)̕aV-Wbq:TWH>:Y"f̀gc1v ʽHJd5CxH8A,w8w#$dzB{ `낧vf,HκlGї< j C&G'fE1D >] l ]s9Ǖc!K:=o!d+^z38HDZWIc {U _XOJZR(zI:dî.&Џ 3냗/~Vve#˙]U }{\mrX,y 6 /2vR(A`+O cS@-R$E*C  ]݀!\b Y3+Hz48|mb9bo"qRϯ#Iii ~(jП/ׯN#xA0⌥!{<2ـB X|?H5h{!a{W[8KBƗ Ę{54C\_q0&{%.Gc~F('-?ݯʝbT$y<[DV%d - { @;o@ xc$$bO~1b*Ĭ"ECBZ.ijzRčbd 2g*1KH)~3~L@R;bW_:H/{]1piCEW25t݁k burwHM!7헓\3.i-Gos7 FIFNj1G+b F2 X4P?@| _eytYC~ýC p3]O { x/c14i tT]p)>:ĊG 'w@`TA* @C"ж[zW6Qǽmz$<1KYCX`뽰qe:9nVBl;g K:+W%$N&?/.b!Y-$aȡme .bd縷 m?#~z!`WEp/B>? Dү!%l%E3!VBJAb1 9ߏ0GM%:ba' r)So&i{Wğ6M{9r7gu Y1FmóR-܆I g|U)o<x ``APX X"5\%Wr,Vg92n%י+9[kJɉJ>qg>YFۚi6O{6P6MF=;-Th\<=#J8jxg92t  *3a0!p=;Ke Ane|bRޘC!]Ftou7/EJ'@ +؜#E^=<^A`9Ӏ;(W HGWӝ"oyN); Aw2$sNwԡwO7Ȱ u^L'?iXX?\K4w? (1]( O[G4m etڊ._^; _~d[NY'>|_ W@ Y93Mà ^J|4Cpu*yk4J9 zب} m/ e פ5;u]ˀ"x4?L1`)Sy .2xBGN :ċ] }c,bkL/pNtă^к:[󾜜f2|V7>YBV卄JBO )Uϡw.C9B|}XݍDLAhG!Sm 8eV dwn)WVwde~s?QY-/3#^2jXoQ(hV8<*?qx?U9F-ӹK/;cgFc[@ns2E}jY5ѧeRCe|I-KP>áGrgl>\$jW}[["z3od.Iaע}(FF/y5ɨ}DeS.FZ|7c8ۘJQ~ # ɕ+8 xmH$/!>sD *$pOW!1:/ec8?R_8|Hw"Ke+qHc| YqΈϵ3;Bc[$dh)K*׍I&K]_ (zdP ,yrvIT,|ZLSQBJଓWs(c8Rp <ׯ2Q!F1ab=iB)@>#( +kVCg䣧3 Ӯ#9gN~0Ne2G){4:/G|.%i MQy>!6]nYU FB)Lۢ8ЊINDN+SWC5(q;qo u)& ygک ۮݰ'U}pnڠ}ۋ?\Lƥ}N(cWumޮ7~2+Yۻ$M'g݊7%OI4a(ekqvS xi6\rqȭ'mʋh8aNe~Ǵ-|q(~[v!E{c܌ `݊M4+K'*Yݻ)Wz-``;qj.pʰKθ; T 0 0 Q%޿N0 60 0 0 0.=QaaUg'S;rȦJZB+oPI=/Z]U͖ianTSL̽,#gt2Q|<\L&º!^$8չ9$]fuk/e*H_`i9ͪ_T\_%tn G - q)N Wg8I]lS94/m endstream endobj 20824 0 obj << /Filter /FlateDecode /Length 63 >> stream xs՟8bz8~000(0eo(%, a*~!$  endstream endobj 20825 0 obj << /Differences [32 /space 70 /F 83 /S 97 /a /b /c /d /e 107 /k 110 /n] /Type /Encoding >> endobj 20826 0 obj << /Filter /FlateDecode /Length 438 >> stream xESKrC1g&w}vJ■Kx`@;2Ee\Kj|22Jblq1_ 10#&0%˜-:h2#>!. E E٠COوoP)FHg>"vO0twT6SI# D:LSF- 9йjCjIpS :lrj"+Zb@!:!$(2OA3q=NL"g/sTc eMb(h5縘9U_=& pw vO~A8' ąk(86ʴp5-׫av endstream endobj 20827 0 obj [226 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 0 479 525 423 525 498 0 0 0 0 0 455 0 0 525] endobj 20828 0 obj << /Filter /FlateDecode /Length 344 >> stream x=RKC1W@M8T;0IK8(TχS_QH+0PF?ZHL`uXZR^M5)ޠ* n}6f) q# rCS\$J\ lkыAKԾ̧Aw`}wp!qIqJ\t[K&7P^9`پ,^ת$_x 7qR9U?FR͑U8GQVfBj:ڶgh{њXL8cCGL@j#mJ#?6X׏;Kh~;F endstream endobj 20829 0 obj << /Filter /FlateDecode /Length 574 >> stream xEK\1D- D%N E}kև=zW~ζLB--gZSdFZXR:I?,ZI0/"%dC8WY'+*\~uO=+>0!zCP+DzHqOQu1J+h-϶8:yG߅/]/ Af uqCnM[_dF6F1A;h$%+ݠK~C>J/7)CWڈ68K$@\.(d!F9O}\f5EȨ<Է,L SG=D5HTMGr]/TNjè;N4Cρ ثѯ,GӋ" yWȾk+S=6z w-\s! H*m}"5Jq ׿ޯ endstream endobj 20830 0 obj << /FL 1 /LC 0 /LJ 0 /ML 10 /OP false /SA false /Type /ExtGState >> endobj 20831 0 obj << /Filter /FlateDecode /Length 568 >> stream x5TK%1۷w%Orij۱z+fL=j[=ǿj8K7-g[ͰJBQVPcY\n2qr,BGnldXB׶(f#[!BAT/oԎeM\A?UnD'-[פּ8rX] *<}8ע5-Ccߣg}P^Cw B/vOaD62l8bTeGԜ#c4Cud{314p-QZF6 ֺ8#"B1C g&QPx&Ӓ` AM$Dq@ F˛[" S~~uUv-=y mxv(RJ8}XPW(DM(cXuub[}pK6^'&D9ou^n)Ba¡%@FhAKf' Ң>$ˣR`M~Is։92Exye_Joؗz~ endstream endobj 20832 0 obj << /CharProcs 20833 0 R /Encoding 20825 0 R /FirstChar 32 /FontBBox [-503 -307 1240 964] /FontMatrix [0.001 0 0 0.001 0 0] /LastChar 110 /Name /WHQDVP+Calibri1 /Subtype /Type3 /Type /Font /Widths 20827 0 R >> endobj 20833 0 obj << /F 20828 0 R /S 20836 0 R /a 20829 0 R /b 20831 0 R /c 20837 0 R /d 20808 0 R /e 20838 0 R /k 20826 0 R /n 20809 0 R /space 20834 0 R >> endobj 20834 0 obj << /Filter /FlateDecode /Length 21 >> stream x322S0C^4^.'t endstream endobj 20835 0 obj << /SA true /Type /ExtGState >> endobj 20836 0 obj << /Filter /FlateDecode /Length 736 >> stream x=A1D#|/5`cګ?s+,JmYc*mBhy YC{GJ?1NkM* 0BGbV/+NvJM0iܣVVJM4m;~9#)8D}FVRJ`kQH> stream x=I9 D|^qt6z W$A`V ϵn_ym<lsL,\qa"ڷ>rzu^ '˨*GL#cz^WYkd9mC(>OLJ~\8n̗NGf6aqWiq-4 jρq<~&W: rZ56Ğ@7;Lt6tL'T?Q5=|?q V1 i{K{Zs1J@]'&$&c:g3Z*^MDn9P.ѯ:߄%Dt;Ey!Dz`v;qrF~fLNi)3#l\gWZd,_|^QCQ ~N+4J| |dG-S WfJfGk|Fa-yZ~E%3v?Sg endstream endobj 20838 0 obj << /Filter /FlateDecode /Length 493 >> stream x5In#1 Er^@qtzչߧAl{U[e?sieUnZR +?~*I J_eH:x1fGJGW3^W^э\1v&$f|,3tk ewh(;s*O3nio`"|~=֗,{A>Y | R^1ަk\O3jmv`=u~ IԢ4s̔)T#n~O~nOŰj>[iMbl1X +;,]sZ>;t&1ʮ{{Jzq4F9`C{ ama5S~Z1~v\r%M&vb2v]td2-V"_XKna[ endstream endobj 20839 0 obj << /BaseFont /EFETTR+NotoSans-Italic /DescendantFonts [20843 0 R] /Encoding /Identity-H /Name /F5 /Subtype /Type0 /ToUnicode 20844 0 R /Type /Font >> endobj 20840 0 obj << /BaseFont /EFETTR+Lato-Regular /DescendantFonts [20841 0 R] /Encoding /Identity-H /Name /F6 /Subtype /Type0 /ToUnicode 20842 0 R /Type /Font >> endobj 20841 0 obj << /BaseFont /EFETTR+Lato-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20812 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [677] 6 [646 668] 9 [760] 11 [577] 13 [565 730 763] 17 [280] 19 [422] 21 [513] 24 [928 763] 27 [800] 30 [600] 33 [626 542] 36 [590] 38 [735] 40 [677 1035 649] 45 [497] 48 [560 477] 55 [560] 59 [528] 61 [350] 63 [1229 665] 67 [572] 76 [887] 89 [589] 91 [684 1000 520] 95 [558] 98 [240] 102 [240] 104 [508] 108 [822 558] 111 [567] 114 [560] 116 [560 364 433] 124 [358] 127 [687] 130 [683] 134 [557] 136 [516 785 498 515 452] 311 [227 261 250 236] 318 319 365 326 [452] 330 [371] 333 [459] 336 337 267 338 339 306 340 341 301 349 [204 370] 362 [580] 370 [580] 399 401 580 404 405 580 435 [580] 442 443 580 453 [625] 1140 [236] 1142 [580] 2462 [616] 2478 [930]] >> endobj 20842 0 obj << /Filter /FlateDecode /Length 634 >> stream xUK0 /[u'BJxH,PU]CJBXkcDzId?k'q<߮ßνJݔbm}2i|OKYdǃ).|_$J1$ڋYy?/X]ΏmbjWv%|߼QO;. $TCh %"0&XareL SM'@7l4 фυעE2#%P8^A}!~c!Qvz.$[8HPm 0HvZ6Xll͢jn qɂ&dAhR@|i꩐M3AMi@SijY$llqPѧΕEϕbi< q,w_qh o ;?Ƙm4)M)Bi#Mi5pHsb54=[Fn-կ nȆn &1iIJ(;0Ա'RJUnhQJSTFi.Jbvq o)^g<~~__ ldz endstream endobj 20843 0 obj << /BaseFont /EFETTR+NotoSans-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20845 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [260] 20 22 551 29 [256] 36 [562] 38 [587] 68 [568] 71 [579 499] 75 [579 258] 81 [579] 83 [579] 85 [398] 87 [332] 91 [483] 2013 [200]] >> endobj 20844 0 obj << /Filter /FlateDecode /Length 300 >> stream xUn0 > endobj 20846 0 obj << /Filter /FlateDecode /Length 8539 /Length1 24574 >> stream x|}XTW{u S qJ AD$HtIB&mv Fm8ġBh۔!v؎1mlvq:hso!? =y-is'-@ՂWVǁQ_ߘ,&&+k_{,(o,_^N ہ=Np(?_zOZQ~a|Y9Qj7;翾8ψ*JqVA(/.\ZP~ <ԑfD&'8թ|j% @I$-^0>Oعv'h\6b^8C4HQ#!"F2/%"iKw"[Dq-+j_ۯ9)(ķOUiek7|SC#mpD;h]g{|(j&'Um|rdn g*\nNg33OLڔ)'/iSctX=5驜gvGeӜ5ޢ9ݝ4.M4CLUgxbJ":v>+UW%8jsy,47o%zބ(|w#;Ǜg-(Xk:l"l{k5jO /+vWL.dܜ6W5T;lVG970E%ƛkgs s^ty\g>j?acSfX HXj4dc9h{qq٨:fe&'RoU[[Lu5{G$2߻VVzCnad˹ȯYd!,<Ç4[E!Na48rzFaD˛rvOƈUPܢlToc7ґ5e!Es]b19Ëhn&}WJ׾US덭\1voSnnf1 ¾J\ss]S >3d+FF ٍVTrȚ^s\`rD-76!oM,6= Ϧ"晑cwqmoBhCClzFrťjs9:͛Y{1!c aALdGM,\s]+8`X5h*2E1;w̽gzo͜g'A a@~D1v^uw|ȯivuM2(va8q%ޘ;1܅%=fTew?v6LQ+Z^ 6^ |IF*B1u:F $Ϊ//$ -ޒﭠ.@[#n>;%ʱp\NF3/sLw3I Z A,^3zכa,a+?z9 LB~cA#*(ybs{=8$#-L?48^e%lf*EiinwDLNU):)aʜ}%_M%L1a6aZ!VF|İpJL #gxZܞʦK)O汓"U̢ۯ߬J_6W;_m+Go%ӲVt|~w:s]U)iwmnyѣݡYEUe9q_1YQ_Ml;JHMj G-Alws| >HQdjx0kΉ#r?;ؽiw-O}OX"ScoɃĪZW͌  " Jn6$ ˘0D|ʤp.THuc'NUb-M[nh}:٦+ 囕oX{|֪M=;4b2dCgGGhbQuV2 P(ePdܴosĦvV!dŸlmzVX5W?}66M_`BᙁjY ť)#oo#)sڇط~Te&N9oj!ht(s;jFӸG8]֣rg|xC[e+s׶OgzgceeֵSHe2OXV\f%# +Z0,Cp#E6R7q0/9/i_[>q[A5{XQۨVƗky}pM|.zEg2$ 7EV~}s'2ccub23x߮Y$>{vUbʆ| ۚpUÍ#J%\_)R#l7e{s/WX?^]"}:|_,J7Mwޟ0Yf#Q2 RXdgs2G`aYM~߮Rcw.9z|e|'eڈ:O8u N_|z;NwՀVXg k<oNl{~`r[4HJtH5TMY0]X*֪Q X)kZJ)A:unaq*@0mx~é:~WVk-DCm21T"S=KLڢ}ը?E%~*6uGMiB?N1BcLuԩ,SRv%(bt΃c9AV4NJ Z-ZjboQ*Sze-ﯝc1~Yn*Gy|ML>hFtF*)R:=ӣ]}K4j75I y CNrS4XX])4: ywZ1o#ZNzQ^r-Z`= tP. L٩}nt3P_rx h3z@@gߕr>mL{{C 9y svmV؍8636V:p[ ujɣf|.wwj,y3`JB9^Is-uo:Jm\\88K¯]pI> +?ULbنx툯:K;)bFr* 8j }?]BuNע9M (h!Vӗb->ۿĞy\ll֜4Oo!{jO2Y4\mRL@'hˊe9dU~iI><S}zn> ڮyE,G5J g= r) r˴\gx n&ȘQ[N_b1V+ɴZAY;EC)]:pN`5B tVpm|YDGձ(A3zE7)Y|-q%3t瘇tXIvJ55nMZRJVPG݀^8^vy49i:zm89VrqN4mO8\:G26>]S'HrA'$y+*iL < PP9qļJ=ZD-t+|ci]}z 2 >B0t9])$ȬLz`~Ec :$Ar~$мň{h't;2%]vاDo!<&=TQ+r A9nlDJr1mW)l6Mu٬9?wߓxxbcq ls;6m<\,rba}s쐯c\"xz 44y淳C.`ַNwF=wc>PBf9_^m# ?Ɯ qy°ڏ{vُOg 1dGߎeg؋E(W"i㝀Sq?*b=YrOq/b `&1|Q] <#&kB Y$Z( (VпkvI>F.kŜŐ:ԯuqܽV) 2m ɠ]rvX>3.; }˷Kc5ہNs"tC[\< 4 ob9F;EkV)oװm:|SCƪuˀOu:8};!z]_;(զX|ry]wN\s(EcW}@'q΀>W{  :q8|7#9w6 ;>N砾 uxF ?"ϭ{Q}?qt~xm!@2vg.3mQ_-vfG9; T,4$܏qgDn'QF"NC|?wopNFapW+_#&I]âTڴ~eYVYPRCĽ#-B#qT܍yخyN yq?/j[MFW_G˗>JRvMR5_<I"B=lj]?d fUzaCǡ@0Ύ.mYqg>;#S\Zy&b~^YtsC'pwz"Ӻ{;^#tܷ5{t YsJ?l'YI;q胜 9\R6Y1 )CrmX?~d' \-B2*]\K:͕N@'letv4z\J&ވzL@,`Ě\6C?箒Z 矏p}vEwF9BAg?~ĻA'Xt/^|;A@zHƒrzyx`oSߡG{g #-و =`|NcEA}sU=QZGAP#U=s #CFW*= ź]T+poxN\MztS&Nj;Am:aނw` /9s}c<7}Z g5,C@9 XE?xԾ-oK$ioMon-w0,V`Eꟍls]Ԍ`j6]EkԂqlgt\B͸-R:$Uce"95P柉t)~jJv:% }4\'vH?i#/Dw/WpY"}?C6u"gNTLylNu(|m1b#QNSܬiz[&bgL=Rx vVocpiw.@: Z+QgJ_6WYO-rӴH@ƀD c'e,W%v(V2(NtD.~.Үswݭ@g@q.qf 8mw~vV'_bN YY܅|˱i\GyHA7w۪~?+ԃjȕ bHPi Qϟ# YPY/ ~>c:@}ʐx=h|pohPK+-]+]e,U/dxU$ZܢҌbV̊Jڝ 6F66Vؘ cr韡k%[8>Kٹ\Ke҈Ɗ]IJ觢r_.[_[⥼7?W;6:N8gx ++P9C@Հj6"}>_[U1>X2J9徊* hgTi -:CKCCbCOJZJJY 6j')VbkMK&&o`ы^7n.9ܫ] VS8.?:fw4e+.KWR> stream xڛ9#TadU endstream endobj 20848 0 obj << /BaseFont /EFETTR+Lato-Italic /DescendantFonts [20850 0 R] /Encoding /Identity-H /Name /F9 /Subtype /Type0 /ToUnicode 20851 0 R /Type /Font >> endobj 20849 0 obj << /BaseFont /EFETTR+CutiveMono-Regular /DescendantFonts [20853 0 R] /Encoding /Identity-H /Name /F10 /Subtype /Type0 /ToUnicode 20854 0 R /Type /Font >> endobj 20850 0 obj << /BaseFont /EFETTR+Lato-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20852 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [234 631] 6 [602 625] 9 [708] 11 [538] 13 [530 681 710] 17 [267] 19 [401 618 476] 24 [863 710] 27 [746] 30 [562] 33 [584 508] 36 [546] 38 [685] 40 [630 955 609 582 556 511] 48 [529 455] 55 [529] 59 [479] 61 [321] 93 [484] 95 [530] 98 [230] 102 [229] 104 [474] 108 [787 530] 111 [519] 114 [529] 116 [512 342 400] 124 [355] 134 [530] 136 [479 723 464 480 424] 310 [225] 312 [234] 316 [344 346] 324 [418] 327 [415 351] 331 [444] 334 [259 258] 338 [284 285 395] 346 [580 195 348] 397 404 580 437 [580] 440 441 580 1138 [225 534 580] 1579 [249]] >> endobj 20851 0 obj << /Filter /FlateDecode /Length 513 >> stream xUݎ0l )FEXpH@\˜nH13㙱T~QѯylaQ~pskFu}l5Eij~2?՗c-s~ʇϏiz0,*. Յ*~4"۟-؅ԴankPx؛Pa7K̻oYFl`&G( @k@NHxHf(`j86BBJ82< Pؓ2Ѵ0 )2`* pH9'[ %NP@88 LJ@rXZrX@Ien%zj!X_ TCyeڦHۏɄT1* -Qw.jHбI jN[9v2 D-9z[wl*'.VVmV@Xo}YBg"sFgAXx|^1Ǔ,W2\Wv; endstream endobj 20852 0 obj << /Ascent 987 /AvgWidth 522 /CIDSet 20859 0 R /CapHeight 987 /Descent -213 /Flags 68 /FontBBox [-502 -269 1291 1078] /FontFile2 20858 0 R /FontName /EFETTR+Lato-Italic /FontWeight 400 /ItalicAngle -7 /MaxWidth 1792 /StemV 0 /Type /FontDescriptor >> endobj 20853 0 obj << /BaseFont /EFETTR+CutiveMono-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 605 /FontDescriptor 20855 0 R /Subtype /CIDFontType2 /Type /Font >> endobj 20854 0 obj << /Filter /FlateDecode /Length 582 >> stream xUͮ0<l)B'HYGM_ N. A,8j }xC.N|#L/6/tfܰkq>49b/}ن%8a p7,5\bBe?@T$X*RAKfJY7,i[zիD֡l0~},(xjoKuE endstream endobj 20855 0 obj << /Ascent 828 /AvgWidth 605 /CIDSet 20857 0 R /CapHeight 828 /Descent -272 /Flags 4 /FontBBox [-459 -272 787 829] /FontFile2 20856 0 R /FontName /EFETTR+CutiveMono-Regular /FontWeight 400 /ItalicAngle 0 /MaxWidth 1246 /StemV 0 /Type /FontDescriptor >> endobj 20856 0 obj << /Filter /FlateDecode /Length 17476 /Length1 32112 >> stream xڭ}`Ź|{{M:]ʩW۲|j-r-ٖ%ْ"mQlSLpH(!1%@BB?y!!$K7,~hfwi_ff%=tҒ!|rS=|7>e>Lu9L+ n:6]B7m3}hÖ]^z'D<3m=>s#!۰}~ז}C-!;nFxm;w-}x D} 7f"DU!Or +埚:w 3*G1ȧk YB!HHmIߤ 8~ H| ?M ҕ݉JO"hWw w:Z~OOO'==Y=Sc>lGTM`cB 6:T}ӺG[H{(H3&ZOZ )kciZBBλr48@E< h_z"gbj2blBu<1kI 4% 1Mm:=Lz2(J|uo;KiE c}Y0Jd%LK?>jjJ@c",rrX?`GJEcy8ƣH_m?ՔGzO('=8V+>L pKՔ %Fײ;l$| 2\?m21ƣcf0qm'h,E [l hnG<i 4wT4wD{bcj{ccgƴ4Ϗ'~pW^* EKi/#;91,_/痃h''h֎N#~(EX(QYiBa_J>rѣVTh"P:Y # 5 ,m*:Zb{3&>AH_*9:.b[wxӱL i#iRHO]SV[bqnԽȃ&WSmOK~ZČ£86_8 "AXMBʖAb3f;hB`_|KgAUT]P{1n-T0f2&䙤&݊Iʲ8Q<cs`$K`NւE[`#FY8юPYa @ c{ b ӂ%RZXFah+8j,-Ҋ =ƒ-p±j J&,}Bi0@aba-u) - q5bi=- q6.ZEKظhi3-qD=I9XNxw QfT0; 0TG*{"߇"8 )[j c_|T*R˰ pTWHE p%Nw1R*)\-)5R\{\+pX %Т}.BqEN#T5ќ \i7YٗsO͟}a\mbƫi!"^R/۫plxw.o9r: DTYtkfwg%br6Yi'"^*ժ4~t88r9nɠӨU6Zhʊ>b2?e@(DE1N&_M~C'x%8Y䭸NlV zk5(\ۉF/ӃnHH^z=Iz۵ 5D6)X}q7 u=]]!6k"kea u6QF]狸 1e& 2R_ 9+eB=ef3h<각`^{O/_:.XNd:@:TIt(VCB:NŸN'OC;e)$~uF']:k eE!A7}E2嶦0}diO ?h8\҃:!ԩͰ4/e8P9j0Ojp"ǩ4VՊ^-T"TLSyѽx.@Rf&mCޓLb/AV7{܎;8Fs緭}wtʶZ!IINmc{o}B%$pGيڃ0w@孉4P^U*WmjϐC tY3k+ˊ M t8Aބ'J x|:\DspЉHJ;MUf XNx##=f$)O2 )l8\h^$F bVљl:""Frč{r(ƗRCYLbG,b8cAH(u[͆ Am"LU*ˬ鐯zw"pt0k䬸iӻtY-ɚi2 At_lNO6f+sꅚ c[ FZ9$f:{upQ\:}ѹ/+_3rSֈy^y9QaAL+q~vz%NHFFi69՛4].ɿZ?ԷR%eOg=?#r CxNDq(A9e_!B.9& T&)h1f-c-6/g*]|ZⲻΪ3]{h5e7_^wȯ<񈯸2m Zo; :P/g66zҝ C%2!A>'}~^خQ*/Mh>2 q|0%γP~NOwTu6Y<%:l LSBq{te;EV~VJ?e7X|k~)Ǝ "z|czRJ$Dm~dw{rzv4s( ;q^Utݷ8׌6lM} ] oHEYIQae PSWجAP9 I&BF8+H1|'QW1:88rjjNM-OMaSC0K*'=B: rYC-{<)zے&r st2X|BS Oc,G^9Mo'IW=)ǝaFYABNP9J)d5 IPd_'UYU r>R`5UY#Ǎ_͹+W٠s,0=n?AfـP`:7Z\gG2~ _r(UzN=W*o⊈&j' HσXKW sӉ^^UIԝE̝Uj$T<I/V {GMcЋ2V-YzL[yhs^jO,|x]l0|i[MkY423̹ gyu/svpwΦkQ/9dO<3Q~^**N\IϏ"-)ONG3NxyհN v5D*VSبYgS4Gꔗ ]ov.[6C;O\UGxS𣫯lNri09МU2K7ٿ[+'_lkXybWeCN715zavp=q]( FCɂ hN*j)ߚ( KJ2VO05,H^O{@4Lf2A B;Y4~N 񗱹F=3P;өR)պI3eV`jGi^6*e]ӛSS]UE݋9iHM= VXlt>O1їRI,@1c6_ۃ2qA4qCc&47G4{ ,:h("v5]-^RNP i5ì83kPGp$To>/Yl!>llI|(nE rvi&G'n0A+γNE:]#t(9&gMoL-!޲IYحe .^ROޒ&p(Ql^>!Wk$HǬV4PY2P!e`+<2ō9,hsGJN@Ezz-J<5:#L͈|={oTN[!p1G/u-*NF5$A@QqSٶu-uyP(T хʬՔX+sSG#i4Y-tZy,/,pڿttyW-AYl S'Uj.jqa j0գK,\j(R376MCt^OE@E>JQiV0i5I{O$sc4n7zx-/P*UHl ~,B*&vtm|k}*7ͥV0~"TmWX`ARx8iϓʆyRT-3J5u^󛤟#7]{SLg֯?3CCgFn}`˖ݾB!A^<4: 5jP%}=oH' &6Tũ.Г1V+pDkHԣxz5әJJJJfE*k- N \9jN[8pƯ#+-6QSˮW&ߞX⹜IAɫ?Tw6%)Bf .J!3nch',$&j. j57敖HK) 9=B lK!Oi?^ޭ8$єJH6 ͘YoA+TlOh94duaabZT'^kÈhNΣ^> ]7P֥ pfp>i BwoHC*x4X#o!GW[X*EL70h *QX0DjxZ0Dp8OBCVˋʂ^4L_5>kCbqn]z[L;4fjYsƁxkk[ne5sw͹wŵ?-s*VYMvnN%ۇ-W)-?;hՆK)?8@tחEq8DTȫDimJe$8*ݱbR[( "$)S^ogcCnjtͰM5.㞠쎊/(売e.BeM]I=l7W;7ڪ"YQ͓A 6F$)c[7k"oh9[C/"iiKS*j4beՋk[\ VpI~bq۴`傂`rsdѹV\%{k÷5.m^߅^^DuBJ>uN8[ۉ!@22uk\7=A;9q2E>[V؟Xxwkz5#|,wC%߻_o=UW3]WI:d$xR'L_1MZL~9y' l:EWkZ%k$GNV '8M:+8N8Dy42tv+1 VG[H5$u |.: L&eWEiOB.j9Mnաp\ѶՃ:s\2F[u=qOWB+6w3l3e}rM ܹk]A}=5-Oܛ(Dh"]X_FZ ^=vNށf4=b PL᧨Yb/6zi"!e+\~]o\4ڗj䙑[7{Fśm2wt/n2fYZ{M&7p%]W/p ]#7.i1u:\i5.Һ|]g*iMȅF.#$˧{amj T$YڥC")læfQSŤ%5L _2[̦M6> :^IVisepՐir.02y3 V73nBfL9 b@%ovt8V l'&f11.rl_ j`G(m1[ 3s { j3NOف}/U\Oi:댦`-:ѬwӔW_}K1|]G,<ܹꥌ:~7$);=hv.}[LI%vkعckm>lM{PZ;wx]Mkطɿ=ϟŹQ[<3C\Qb*-I2;|>0âyêk8P*5?~ꁚXaY٢Jg1x-rcb[߂,FDz_BׁT+npUz:N(BDQ+ФfeNgmG>-^RעJԩF\w^/!ij}U=tK1>s]8HݟELY8$V(,[sJ꼦;$V+JLTRl_Q+T{ZUlګֿh[x%+ݎ_/F^"ǛƔav ) ٕlxw&mAmrQ[!Q+X;j7Ccku'34-n3'tQ&C~^z SA'v?GH 3c0{U܉L+ Gv^WNV*:+_H1ΎTL&V,t͉=OB!?HjʘV:nVql%'uų_ so'X&efa58nGYEl)g4djpym4:+Z4G3qkfˋǤ>^Ev U)ޣm' ~yVzto'>,p]u~jOus?S^Z/n ğq!*$;ObjyǜN΀^e? ,,5pp_mWݵb2Z};:JŸa sGKBrKz'&)n"eŴ켘/國i9bZ}?gP[]^-ʍj*HMM*@ۮp誫kH2])_.mq:̋6EU %3\[ZQu|`AJS_1XTP6ee-UX_Qx#M3+oiZuYSW(/pҜ'2op$̖[@ڑP:k&r3WA˄/& klwrUop~-= lYl)s|J>d:@ZAt1iF[2(P=H5W_>.ْMMY((h&yI4R3aWF.w,I~?o[Ff~c:dCi:P&6;3לaU˩*К^wU$D渗*qPcD_ɣIs娷N1~\cx<u\6O'i%LEi4E Z ÞPa,} Rv]hN2(TE@QKvo7׫*U%J蜚!~C)vKs绪NxoqO{?٩b:]9 liz1 l"N{vS  $dWJ 侴5kVfs7ݜchF?Ƙc>yד?/>#6+xl;ʅK%Β__;+ Cr' \&u8!tՖ l9IU q&b^1Nc$gS̞ٽ0ĈDp$XڹMдOya,Aț?VRB[^Dmkqq㎉E"#6f} >{ss=_GybG|[9hFšs}䴼Ul'{I-=oOO_ٍT.iue۹~vmuIQ~^8/uD| uU$1mcR*6Cyf N`Y$_Úi.p]gy왿KVCfq[&.{'7T,vz`qtfW3Q9F:j5'aۊxi`*bQ&Ӛ'j$WhGg糎Ξ~`c$oI8$L>!ϵyzG:F.@ pޠ<+g}*sʻ~ IQR0ctX n6[(DyeDLp6(mO1 ]Y=alQמeͲCM{[mfY/.5vbWԘ9) GI>!sQ?͋9tmI[%"=!A+ DM:v*iPE5KÑHe4G}t%櫮-EiHF[uPhΌ'3U֬ ȕ U? 6 7N#h8͝]<\4Xɷ"ţ0_mׇlg,*՛lfۻ˻{m lZVYsA[mc]W[1wŽutb{pK]/I#YDn=GtBrTԜFf8CKˉTUliC]Ty^[ &WT+*R&B5-j^ciFáH4ɶ6%;ZYca-uRqBO5WW,W?c\sn175WzN\ ՘u|nۛ[:g?{|߉gT V]߿liU|.%Vdز+_eū yo+&oXT΁jMUKTjEe+*V܋4^?]vd+^eE`0k&NA 7nٯ֚N↥,bLh4sy3]_\7{{G晋ݨҿ9U 񻚊+_n)9t0yL/{2z0{Vrskcvu]٢s~UQE&8v~`ʹWTkk[7mfZ%wٹ? ꯊ}0LUVfr]pm*|B~81E3- ǞhjBټz=dYLɴB6sf`i\w|/>"/5ی[.UaI gFxbk)|Ux Jy3E0tihJpg;ǼD?+r˩ɊiL{0]Y{rٗj.">*I1&9%$bǔ#'yOeڤ:2eʘ|*$5ϙbw0&Js$pa%i_2\‰D}?(1LIw 3t#~L/O[MLo-`Z%)@el86ޒi 7:+1IM491'ˢ`#`Rc̘|o̿r;0Gee`NyN,Dqq<&' :o .g;R퐿bUK]yf-X ii1X$ٲ9QT0I1!<9-%WYIvʸ<"s󥘞pLEJ儺}γA*2Ce~F|$W peu3{ys+畯ߞ7 'KeeW7TRL\ikv|s tި?(u}\=sn@Lt,vv+mœ.&>p|[ݓWt%A5uKos9\!wGS/Ӿz7 uusٰ5ߍ FNF^ꢍ0:1zI?RKWӱ>z[[}x#:ć37ʾgr҅n Hx<: r3 ' &Q'$ %# ?L0`^@&RfX`#?!$E"&%/dCy2!o91NAB% RA' "$L2|\MIy>s {Husz'z'# OI.|#_#~r+9 ϒ;DCg3$HrQr=9DȻJ]߂os> stream xڛؤ°[M"41(cƆ  + endstream endobj 20858 0 obj << /Filter /FlateDecode /Length 18861 /Length1 44253 >> stream x|\6~fuiWޭ,U\$ْj{66tMǔ$ $8P[{gݕ$޿ ܹ3gΜ9s\zmRa|ۇ6< t]o!KDKOY/>esimOhy}a@yȰɾF-Zf.\NtHuY-,;Cy%+Vr.YK/_|j5iH?DdBQ2VgJ9%. ӧ*VB9px? C{EtxSa?0UC,_驡eҗׇK)ׁ۵rg94ǰy(]>B#ʬ.:>/ei.SOS::2o4_<ӻ2z{= :m.6tY57}n~9c,fnhm8$lp;<~_g_)bz>)HoA y"LmaR0f lV?G,jfR!x# 5% Ja.an9:D ̱e%(ǚm3츍|r:obDJ||z!ݕ|Ox\h1yʱ7lZZČ.g@2.L5o.ݵQW:Q7> )^@W+pTzHW7t' %tt$(f(-Bh4յ +(ZQQF~Zp[j7r `M7aݣEcbj59J; ܵXL%rC:Rc@O#b<_ aǖ PaPB全`5Bi@8JBzB3Hc`FD= ht٩ON)䏅e <&= KYOyB-˃EވxDaZN;"ZQ LeDd(#"SDLeDd(#"t2 LeDd(#"3E%ʌBd(#"sDeD[GG^QFDDWyH;E@l&OH85_3XzHhh1QzEk. -&.b5(;ӕ wX"Œdf0^\=+7&3m}M[ֺ  p`[rӼK9gO_3~qӜeE^p)\?izZc.]ֻkM=gԣ~)AB<ƛIV" "0x1f$-:g y+Tw\5dBVh;!R.@ӄ !TNG2环N Gs~eoYgΝ39YMz)է\|˝v sޅ򿬍/;U5ow,eb\:,(ŗB G$ ]z.0тAUk_c>oئW˃y(}p1 `)tI7F~A zqu!kufeG sJ:f3 А cUH.mV|ZkU:e WLY}V1iYF;8gL>+yƬN%2;=a=^l ktZu)A ]cPԅcJ$]GGڔ?/X8o {KdEUjfs{ K~GSR"K[Lc9AZF:mirZ|$v44G;Ӝ gdV1r%|e #hGx'7tؒao~,ŲRJgJO8AcWHwԧ0=iReg.).`u1SXYYΆd_: oUVFloJOlfNHNf$t:69X "dAXi:O!ft̜Ik: Q |ĄD|MYA*xs (@Y1۸FMw+/?Ga8QRvD8>-;TlSP`PoB貲͡3]ӞCesPzU0Mze\5__V1Y&-~ kg?9.}f?Y?a}Muj:=}bŗdzAa`9H}r%Q|\+2?E%ikiXp9Fl(Hmr3[~/r{JgZ=swvIyߖ4nM0uzK5J'zi ,SeԨR#z B%,S6t_xFf_~ۢҞNV_%W6EV^bM`QӶ6Ĝrc6E_3"/?(b If+q4N$؎iC|MUxoSfP^J븐G8#|}MiE/YoZ)7o|o G,Vo7ifYysmjnɯblqzFQ¶ltؤ~xQG]:k_W"}ubaA%kk?4X&l?1wmK4YK}lJ^o?Dq992uxq?:0HB(2#Mezb5Vv4"G6_ÎƸ`Oq-@^̯22%))),:]dkdmQGFʎ8!sp6^֖j-5Qg=Qh+E]bC(N:BGLa,&5.C-cx=2%a^Ҭ la:ɗ,w;i mfZ-)hS/.k&㖛jtem53~GFhdcg{b~kٰӞ*/Ku1fh zA-q< ʹ  іyUPGEveyp+BjoWҝe6ʥ-T' h\x89!E l0'HWnlEWu>U8㼩ܾnV3P^Zk)Obf5('@[EtP uE/#|AN_-=+;+U]ɐAܨ. 7 %e,(/Z2gf['cZ׼ \~Ԅ Sl=,?g.b辉^uΜ#FE7!X0'fi%ER8 %D4AaK-U fyӳҴ? Q()NaRq(eJ*pKBȒ3f%VN(oQ[j͏]cڿ3otcײaܺљp#m +ل^GAY7@ R>¥c$At8>12#`-ʱdefdriYueasdXPvvgєmI̻o7֞1wA6׍jY$Ŗξg.|aDZf?wΙ]^S tJR" և5>iZ n_XI =)N;YEۄ2[' c>tArbx݈yoRψNtCOU䧻Vic錵êӢ3΁ʲgv˚iyt;ՑMr T#?CPB.T)CՕGĔ)Xw|Gi킁YJӝL}fBL!Uk&0<7h'4"|d6;2>`=RZ<-Rq8L`Vc#rY(Cciq3!Ze0:)\"ffԌ\qڎ[~Gt2h4cbRTiR8\ͩ %BVHfs $OfZ{VV|x b3sSUco/Y鲥٢Ib xfy0JoQMv9}Hdq%rFW"=J1&Y9KfDJNǨI28sNٱH[IbBr55H+P9!43g/@:E>W35TOKR[SD!iQ\QV٢愠#p, SU{Ξ+ {.n| 33vN:c0KR9%ŨLGymjM엾j7ڦ>[1!#eM 7qE4P&9Sz"w-m}(B "rL9L ^^p1Hϙqe@5?pfPz]K-+aӤo׭F^a7fߑbyUegQH.L>[>sb!~Tmt%M6_qAEp-m1nY3f.d kufXVR 7π.hHƵ5Ѥq8 +k IS&2upC㑵eii,ɱ.*s|Īi,l:jO_Rh\.lT1Y1vvV=]_Q> /m 2fVSޓɞ+5cz^ gxQkޘVoxQ*QX[3"=aXaQzgUzFٞRѼyEuT>YWOB|mN3U+!~xyЮmO ojnlK{y%=X[rm%NMhxtVDŽ698}B >?f;&aS, L0 A2gϸؘ<Ñꔾ9.}7?>tx sn& 6 CA[Т@j1jwHq:ZHDihޔmK'AƂ aR561ϝ޼\P_)iz }bnT/ٖX猎Z]L'4wԦOvܡ<ۢ%Eym^]\еoa[cWO)X2y6Uoyl^ek۹yEU w={C莦\2 7HlUUY $8^<<btʚ5wa{3u`v75{gO3UU@WQyI<9|B6G?CVa67%^8˦w_UEVkt]8uEҤpWBL\^2;zQ뻫#-↌,oEXKMJDH l$fn'i˙,k][@ ⴧ3uL,oEvn>52.e7,X7[0`.y\7T1p˜='`9l̚„5rVH#h&Š,&v/C%x+)#\ݐAPEȀBz.)ne nh";{P^ȬӺ0eMN?W9t8 A~aothv͇2W+7;-rmӌwʚ#v 3B^D)XX'55hB Y1QYyDy{1.0|Z3'!I?,l,f6 DSh F5 J=< W$sP"( tL8y HsS"1F"D|pׂ@Տx~`{Hp`Luٟ%[|f q"LI"sMI wÒ j\QL$(L6]Aʂ٥imnR0 zH;{hy%=ze̍ܢ: k[{ s޽魀|eK6I *Sb+33қ3(xHQ>e3b&ŅC..S^').FO]PL ְK<<2%aÞ@, Bx9D[ŗ+&)4&MRlTm`ܣ/:ցޑ`*\V, <$^^x c4<6Zц_[ޏqX6gtR{k-.'+L8;E9p4șN9fVW->SU懷v3j`3uuQv#ڶR/U NȀNSf<:hQa,*V2qQsde./$S c4:iΊ笼 ^w7tdґ#˓ R↍*"*")5ֻ2KLnRwVvڝPKDC1JE/%S|ۤٝʷpB(^ lb\s)R _a)ܕbV}ӅO/M1YLSKLD*O Vhۤ|dut5x]Ǟf6~>OHN2*xFfFyűt}:Sd>QwI;)L!kj㣉;[cܩ3IRw;߇Zub5yMʝI?Tr o7D>Ȕ(_C6ϩxskSqwM2;s-:k}J)y!?{WG2`ZYTV+b!fIIGMȩɈvOr{n!m.{'mab\hv'-Aof{NRVZ18#X2J37fp1`,ْ5)&==-Ͳ.ktOujJ>UVQ~j+z߈5ivTaoA`ߒ$O!lnYjW[-T-U{P5Ƙjw\T^Q^Q Bl¨a5mHMH)/ѣsO̯[Dһ\Y`*٣W/>55+Qq.uo4j}aEyi{(Y=8'/6ZOܗBO$( b(+ľ " яAJʯc#X oNR_f5)q5Òәsp.97XSӟyY\+ |gb 薹 ,/F E }C늶Y#&x ,Am\^QTޠߏ.Ko+35}XvizSҹs)3=G#&a˔,ͫ$n:ɜ&iFحei.+WrmJjjʵ-/t Vk/{voŢ75nxiW.ݝQY<#;nYT/z c. _8WvKj;fTpxD}V  /I}}ᶂȿix:=$q ֺl {;0v*ѾF, KJ,VA$EV$YNbX.@RQqx[TzMbsNLuO!#2dkNS>/Glrx~zp.fNnk[yG,W0ahexڜܢlεlʻym+|@Q0S;q i٨MjmSW zfFUG-ouN*_:!d+7jXQ2OyNIRy[q`8z֗X3jVCZ%q9E36NশS/|z-mhrIXKΨCUKC@> %m(X>YEI;Tga|\튶p@qy:[=sbkZ.^?F^GEX39?>懞v'{Y_ɭQwíp{8ۺ]}q$z׾lӽU\b/|{#֨_J+;P(/j}ܷdT2,ݛkgg35a4ѼDž}|vVۄbj0O{uGSdqa7 /ހ}Si%q%)L8sḢS^3a)O/)oG?Oϗ5?W];Xk0BkI0MVu'7i}r+f'v Ik Ϩ#С\\Ni4'[iwRwI-5H& xUC]PO'_SHSh26)IOG-@~ (Q^ i+U o*0WJHJ194pM4}EW&DS3d ,@R`T*w'nUP!O-<5?P8j6N & Hi_4^Q}RzHn̿mk5}J+D]/uQhZ΢OAI+* iVf|'i Dz=IQu ga'‹;8|4t;σy?_!?hL`(={3ҷɜeU}T0&r_LԢ|D+͔*OlEQ<+R2+ȯRy-T>#/(OTߦbt ]%SE@9ڞtpU]ho$z}6-l+%Rů^o<_}N~WU4{ȿ(Zeʈ4o4g%GRQ?~Zh\NX.=HRAOr" 7~#K=W}OY>F, Y>&R } m719*HBr2oF; $AVZ&^­@7Y~C9PDDJ%{-<3r`߉~||<3p T63x4`;;0L@0V*P?և6Ws^| n@p&fX@+I4].l)_/uek(B4-[Cxp7d8NR0i C!Tiy>̉5$+ؠ nT)'|.@u}~\)UWR9ܷx_ Ghgp^?W+1c7`nR2AGij2*ºZPw-UT(SN̵0W^SQБoReY UұhW.}R:<5wkK;+wDä, CSs |wQF*=!#ӥsUQ4JLGT_nc-ܬ*S=`> J}|hcTl'0.>Fq,<1<wotC~i[] &"PW@wTK_Q3QVݮS\ _ st&B-4VjWڤ0*N兔9wH;r5lL%W~ݧFLA5~-eb|Si7B|py\VOGzo'#N+58~/2h-la6'p)l:e'lVdc]8΂<M#`:l?ר?iR9¥_WkP`$gOV?Zغi43*ػ>ϪtfCVé.jeA#gAǯisoy< amPϣdt1o|l~>EIϰ(v-bKS v~% {dpP@߅uQ.t4`\.]]EvF^"l"r-Qydj>lFrr+ԫ5[xlHGEG)W<^/EPg|tkh 1ʋͯ#.'з-9(QåXqiKR aT)¶Vmfbnk%BS7DB=ʃ\ΤE ozhr܋y*>>EaKWY0Q|ެcuX١>d`؄y>ʟ' l.~mխka#N}Bzh 5ӡCg )Dx&FIؗ [az#t1]Vrs\z1Ky!?m}jj%OG(h|Γbo۔Z&e4C9=JԽJ8~+>"^}XkES w/m-U}aS~ DO){ީ`^4k]н$n3Cq ~[)XkLx`$PLhe]v%ֱURT 6K^x5̋yzH%wt9 nx߂F?-+!x:nqyv=|)#D @8P D RGD,?Cw!L`3⛀9v X,c'ho8ک~Ѓ]X?{app#pDm:M^Nzˁ)3u*>"v:Lt#0^^ Aoxj=lEa>3 6tZۡJu MSi4Uve/Iq!ͅ=Gw_+;X=՟Ρ7 X@iPO;.Q'ؙ aSTgbomXg`<.jM~6ISX>vc% Ԯ_`Ζi p!Kbr{JCCϾ:Fj0 C{nExq=/p?u 9Ye]̓g!dYUA ݋w—F}|$gP|w>kz ;ta֐M4 hס^7{R")Ыm "\㍀רGOA}_2/,-:Q쵋yHisy^+m{.= W_ˢ>q+]2O=2w)|`Q?exG`v{GljPI/R9j!{ 2 g  cB )9B={Hb c&MW([׊fϡ&1@9`h(>vm@_?kBVC ? ߩK=oԷt_{WabUwi:: aPe舳#4g;VL}R. ,W/#|oq~u"Ϋv2$=['U.S'/#`)f,: f]@Lm>e||x+P-d{^;~a깊Ĺ8!//g{g,R^ ?*SC9v9 O!t9йVo?:O;7@Æb|v(Y1P=-o "L8L8=gy 7%Trg!~9>:& 'g9?Wk{q9ܻwn+A;?أTTʅҨS${^]>`Z@v^|>R}DFcI7ox~MZUup=pZ܍0?ݫqmOYjTvѸA\>wѮ~~\J\ԗ#D.v.yJ+DjwE>p}qWOױ#CK`g}⮈l޹W*)K8EEOnix@,,{QlFv֟vdʾR0ƫQ=$>+G5y4VʏQvE)hwfweݻm޹ 2`~F ݟ{3Rukt.v7+Z+(\F )Z2~+]LfqE5]=N4i>3 Cp4 GC]8 #bbOhR ):_YD\ ~]W@Zu/oe"=$s+h/VK>x1*va,ʉBBU=BWf}2Zv 5-(@WOCL.Nyn/Š}B^|/Dݓ@AܗyDvo"ضvq"Hvqb0~' {z}Pq 6l&B BO#~#A_%b<%qOq%S+{7iA^fKgTohq-Α3O8x> >jvyq`!0 xy:=_@<jg|q&P'+xn^Ev/3*qQ8`{0Ò!p 3=>𪎀xMCߥ{@x Dq">Gl n Br?Aw@֯G(d/!(r y3S@>^<uA0Wб3еE8\GaؑP7QeutHrS,,`S{u:n,wLA0= s%Qxb]A#(YO#j%n=va^?_4gHKGRHwn(y$R{ӂx0Ҿԑ,Qڱ˜#Cޕ:AK>, I?A|PtVǰ!@a[(n+y%x^z&Qsgp%**?Q5x5Xs0jko?8888_#IIē88888M,=88888@OS]CN]Z|~  ğ2;οى2z&C*OU(G$|ݦqlSQ1[ѲǮ77)O˓wM:MZ*C6'&6N2;kj30JD΃L=/oͩq endstream endobj 20859 0 obj << /Filter /FlateDecode /Length 55 >> stream xs)A  JzJ?`&;H P! %  endstream endobj 20860 0 obj << /BaseFont /EFETTR+Lato-BoldItalic /DescendantFonts [20861 0 R] /Encoding /Identity-H /Name /F8 /Subtype /Type0 /ToUnicode 20862 0 R /Type /Font >> endobj 20861 0 obj << /BaseFont /EFETTR+Lato-BoldItalic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20863 0 R /Subtype /CIDFontType2 /Type /Font /W [2 [219 650] 9 [708] 11 [537] 14 [678] 17 [282] 25 [718] 30 [581] 34 [516] 36 [557] 38 [692] 40 [649] 42 [631] 45 [521] 48 [539 454] 55 [540] 59 [487] 93 [503] 95 [541] 98 [245] 108 [793 541] 111 [524] 117 [354 406] 124 [366] 136 [492] 138 [488 492] 312 [238] 456 [1000] 1138 [239] 1361 [115]] >> endobj 20862 0 obj << /Filter /FlateDecode /Length 374 >> stream xUn0 > endobj 20864 0 obj << /Filter /FlateDecode /Length 12925 /Length1 34553 >> stream x} xTEvw;==aIaOX/aQ@q\QϙQ"083n↎Nnw'aSg ΛS˹9uԭJ:R1Ys4%Oͭ˗Z߳E#|Fux覙VV|4QDWjoi3μ(9yP7x>'̚t_< DY0ǧ?|n췎h#ұpRg"o;z|򏑢'H#Vj!e*S+F/5ιp]⢁N vk&x4!zTYbY2j2;3Y&`iwqC3SnwvGaf4,=jtd<ҕegb^W/KRl okR[mn=!rkrbu< t \za,$ؑp#VJr`)ȩ.Qd}7 #`21Ҿ% H%$O5%L<21V8Sx#$L4Jh9x` wW"ə5К|QcDjtZvM ]!<5'#f€|@ChBvL1 )_+WfI]YTR?(-1!yqbnzbjf JΖeG H Mnqb HV97&vUݛÃL\t:2,0u)mҿl$)W:**+e*JUHuʨJc0[̑> ^Ffަ%3~cY8#',[%-j\7*<яTkKl}:cS8CC֏sʉ~)]dFw=2%N*?iP93YPH@?Ii< .%ڢlsb Kudmu;p?sHHڠ1Yuۧe ҋM?$c̺K]R4`KRPg2nnݜ'cήI/($nQvݚ8c/as;1r#"9a(Ĵ=&}0<ѹlysI)K==K1kHiҋ֪ҳNvɆQH@,RJ`rE E _V{S̺YL^>ܖMcӷ{sgS7!c-1֠ Ž3g3*TlAXx03.G[ӕsd) c`J(cNuzuZk^^k!%7q?ڳ=!~ENv))V);/׊a0JzZX26Q1SÝ]T\+?蜷v!jˢzUkjIljzԖT%!lGlL_1J (1wygdYkuH9ʼ!x`%Ʋ02XajJSǎHV6kxJBkF\fIHW'cGg̼-YSL5۬n?-TͣDrµ,(:D0l CML%%CuYYǔҜ)ͳK>0y#gli 4' g5dOkU.3xpΖ1;Z:6kЩ^%hY: ϻNRNmeݶ.u-Øv'ui9/ۤM[ΩF ]j>hbڷh)`[CJSf٬0iı[Ա#R \l[JK8ΣJnQ2|o(1 c XeG(oB3Ot(2`!=`KW_|hs?sT[|oWi9˫'^;VѼjۘUR>Җ:|ԬXKd؈@_2jU61z`· 'Aff|u`_/R$ЮRUm +k6&gR_t1Ğ#.jAy̱ժO'V'O2-׌Na=?O-3fY޾{uZ^%"YqAOqH2Bm0:3~4nh% cX3f1'aõˣYt]ix?xѤt+˸#:#Ӻսb `di4[70?2< .0ۋ/LEs$}~r12em@JXxRuK _~ߜUYlHeFUfx _cLi!11!)jޥSTvUW{YZn*Xp™̾!3j!|lFXv9$#"sXI~aiRjyuW,NVX3kHZkT4bو-Q y .c ep7.lCmr2s2gkwM*Yam}u=2ymSGvTwGKah#mGT،&$%P`3=%c׫Y^[[ "# ,A頃\ ƫM{| !ۻ\8 Sd=nw 3je-C\=+u"*%$Z0s 5I\&9]qfO/ ?U/ ihy~h٩)dRU͞fŚRJcRw1(zDj~[fG:\7=jycle3eNPZKӕ%z5aU~7l4=^{+,XN_sd-ІxvO^"}:{&iv,uN^8nlIw Ys%jVldفwpfIj?K4%p1Wk7%ܘdRrS󌜌J[?'Dwn09eIIFܾlA}Ya-9Cn"{6e؏Ūgɸ+l+>!A YF-8sbQт[[OY>'6,4><'_8vHaMߞ \4eE橁AQqQaIUWtd8#YH23S !Pj0,ayɨLlxlz5 p{'RSR_@S1%hSx;rrgV1 [UѡS!_3(aA&_-7C碢YDHsYÓ>>>>+32dQ&N LP w 3 Fb P9Vu ,bW2;z1So޲ A+?{G4k*Պg24S d̒`ePIpVcu9+?\|Iac\Yʘar|NWv-k`dGx~gBU|wRUE{T:Ħ|ZBd7oB\{qh+ZC{3z⩽VqoKY^0NAώE2y=f9Ӻ^3پΧy{/oD6n 8??sгARH5h= u \&%E?EY[vy'"N!sp׉('b#YSiFf]P/8 S?~\xj% 5"" R) %""|ٟ4ءm!r P(cM@\N0JHrIRk(br"* i*7tq(&#}ǔJxnT/#R(R^=xIA0DI# ?p-Tw! ֿEqvd2# )!t__;OKF$7:-:pl7e|I[oQ[/}eu/; }ϋj<Dq!!axQVz06Qv#(HB巂| {%[W>?Hjx<=<_1fgL݅QtHsJIKuQqu?4ux M 582e5TaF: Ҟ2E@X.>E3ZϿ<"žsyabr>f4ԥ.>4f)i62> Z:[Z ޏf*hrnǸ1xc^=.{8>_@ @0,B`;qU:.m6H삽v3թ '; 6x m3DN]AIX2sM,uAv]9kiW㛉j#.VA=KYʵVm? 3dnn:[#qL| >7?@\w>Y5:Skp 6G)-m|K{m=.&RZI12PE&%DfQ8,sՑ!~aXHZ%6,mY9M˘t{PRa A&;ŷ6Jn;IFQZ@!ÐK( *U zFq<3(ma~k؝?R5J%U+{Hz)SYY%>@jJUN<˫_<=NvzDwk>QԦZR)]`|q:D3MK I(_bȣRod9; =&No+_|e'bE5xN* H4 Zy)8 hMQ4\M?dQǢ\tدM)p (nn;)r!^>^?[PoW@8!NFkP PΗR$h:$z-&92[;8wzC3ڗ L)JIwo"TOe30_;,PY/a{À=>I<<[7}W/n[C.2L%#X[iXD·B_b'ĻzZ3i[t7?TM䜍>ʾƢ^ہ5XTiX+Ht#oo:?"TSj c DZ`ye8JT>2S i SAV ?=^*sz^)1ןe,º#}e}Db(4.|QDcW>~b]x~IA9%Tg>ո?7 ?bM|Ǯ_mz.Tj2bL}z?XST<|uKɆb*jJWBR>"w~vӂxY0c&CG]iaWL5Y@s]!N*L=+@_)E+HhRjG}4QA!S(/^ Gie9. z|e*v/:ҩ@>{ t+0@a/;e/GyHtQ?ا=-U^e8V@FR/$Rm6ժde"MD<Ef\5ǰ 6*5HX(wx_ByUP?W?n\ʁQGvH|k5䍈3!(6Rbو9`w8n֗9r 3L4̅ 8D{Tˈ#NOxo^O^IjxXe=?Dh%P tbl``=xPjxˑ_xB.1X5qޠшM+xMKx#MU"~vKf!}-f&d~n(@Gŕax^]p b9SY(^䵈{5;fh,C1{#݈vz@; /{&:*)W6]*3a= 8uހ[-}slID}-\}fcݪi*Ea8CO48?+aSs`gd7(c᱈!1#Plz ]a|ziZ#ڙ bCN‡Oj?a/:{wi)7<4W C*Uw歌wIHu](o\ܯ iS@|qb |hاNJP2 ce V`>Sh7'P9k؈sJy|xPkOM<< rcbԽoj\{ kdGސc~ |]4|&ۇ@Qwfi*M>CƂM>F3VNj[>(>8+ k7R6c/: 3_H| OZ`.ƈa 3 4u=9 `m څFkސ ѩ}xҰ,3~5bbt XLEybNŴ~wB~!q Z>eRDkӍT~mFx-:Xoȩڕh>궻@y30Η7;ʣ6&{cuWr`#`#/FY5/RU(/]`HrOҀCTX#DUj bȴrnu? X t< @{?"%@]l/,}J7ɀ ug^C~6p3p?p'^L<-@u `nqPF;cM4NEٛAA}@OGu.n6=i =pwj&ޮY>3 ݟzr.LF@ۈ i`@\a&:, |8IcmwMɈPCa)6JS/*-J)T{@[t?{2 ؎ [m6m `PX3#'1)ۉ<2"vW;o'KPwn/J3DUkr`{Sϥ۱6:y_k:Vr g?}|w{}?;rY|s: ol71>}߹v{ %#ޙ x#='lÈPP܇VuFG b ]wX {$?r>@=$NxϺB;+bo"uXY_W =8 \ -W1_mstVw< Sk+1'w7gd,> UWGnz9/OR_96%[Zz6exWGB9 sP}F+Wr (9^^{.D,:UϢh xuVa_oʝߡ m:@82a`/xw=s_?s0+ W>)v<\*NOohbnOuM\Dgf R ;}ToBz7 V=!v8e[ ^\Q34.:M{P*S6go *+nBn` /q@'ʚ~֎5[QRQ ʳB9~7ž= _Ϲ\|N\l8lVA9=ސg@D\WǐOpio<_RO 竓:ΥsF} ʳΪ%V&s ^]sY댜$w}x{{榻⏹e$ϻ/<ІU:+ R-{A:C'>ML bEGYPFƇwE'R FHqO$⣰.[yWD Ƙ= yGchۑ^8T)=W@.?OlA#TeHcNߙqߕOsF޷9Mo}3=swFY}ِwjB+$I,%@u{zyTGCާ!Ut k:= P'-]vC~>Oy'T e+{f}YIG!w m9|WU)hy!{Ώ|Q嘄<UYR xeHlW(|P?k{>Fԙ躳7>z;To|{rm. $%\u~p=PB/عP;za7&qcot>?|gwϣ_ ܸ)C}.5_G}"E<7p! } ЇN}CЇ>!҇>}}CЇ>}}CЇ>}Ci03 @VȿsH@̡V:߿ڽߣ;\'dس`bM^Fi2Sb7 6]40P,^Sq b]:u Dy,u)mU lӨFG٦F=$sS6 ̷4TjH7E5ߴLlpWwrڪLΐ endstream endobj 20865 0 obj << /Filter /FlateDecode /Length 42 >> stream x䠀/l*> endobj 20867 0 obj << /BaseFont /EFETTR+Lato-Italic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20852 0 R /Subtype /CIDFontType2 /Type /Font /W [3 [631] 7 [624] 9 [707] 11 [538] 13 [530 680] 17 [266] 19 [400] 24 [862] 30 [562] 33 [583 508] 40 [629] 45 [511] 48 [528 454] 55 [529] 59 [478] 61 [320] 64 [617] 67 [533] 76 [823] 89 [549] 91 [654] 93 [484] 95 [529] 98 [230] 102 [229] 108 [787 530] 111 [519] 114 [528] 116 [511 342 400] 124 [354] 130 [653] 134 [529] 136 [479 723 464 479 424] 310 [224] 312 [234] 328 [351] 340 [395] 348 [347] 1138 [225] 1579 [248] 2236 [573] 2252 [863]] >> endobj 20868 0 obj << /Filter /FlateDecode /Length 468 >> stream xUn0<3"AB Ģ3Un441LD&,x焠A"woTDqjڻkwܴB*Q70ե"O]U>ŏ/OM{eŵ\4y-_ʼnƏ{)qWk_VΗىU> endobj 20870 0 obj << /BaseFont /EFETTR+Lato-Bold /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 24988 0 R /Subtype /CIDFontType2 /Type /Font /W [9 [760] 17 [296] 30 [619] 34 [548] 38 [742] 40 [694] 45 [507] 49 [482] 55 [567] 59 [534] 67 [600] 93 [528] 98 [254] 108 [837 564] 111 [574] 114 [568] 117 [373 439] 124 [372] 127 [703] 134 [564] 140 [459] 1140 [248] 2462 [643] 2478 [965]] >> endobj 20871 0 obj << /Filter /FlateDecode /Length 355 >> stream xUKk0 :ngB`kSait1Nz跟% X_FVo!|scs޴#zqm mBeq؛n}pv!յzs"%yھBH5EHd]mNE俲Ǫ4pRul 9A11C.P$-IRŰX3(-RVSRj>1@2(JD4KDJ#Z7 "wʎ5_XK>d7y^Mtq\~ Xe̢Bv[~ʏlU~ҚrԇXNhn{М;Ǜ@;-7׻V endstream endobj 20872 0 obj << /BaseFont /EFETTR+NotoSansCJKjp-Regular /DescendantFonts [20873 0 R] /Encoding /Identity-H /Name /F12 /Subtype /Type0 /ToUnicode 20874 0 R /Type /Font >> endobj 20873 0 obj << /BaseFont /EFETTR+NotoSansCJKjp-Regular /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /DW 1000 /FontDescriptor 20875 0 R /Subtype /CIDFontType0 /Type /Font /W [14 [347]] >> endobj 20874 0 obj << /Filter /FlateDecode /Length 215 >> stream xUPn0 tc6xHRE]$࿯ JG>h0EHۼl0^öd0F:~)%oR39$)xXS!eѤxc-!8:\H&L(kҀ/3 FkfNSg۞JTĶ4MUQnk endstream endobj 20875 0 obj << /Ascent 1160 /CIDSet 20877 0 R /CapHeight 1160 /Descent -320 /Flags 4 /FontBBox [-1002 -1048 2928 1808] /FontFile3 20876 0 R /FontName /EFETTR+NotoSansCJKjp-Regular /FontWeight 400 /ItalicAngle 0 /StemV 0 /Type /FontDescriptor >> endobj 20876 0 obj << /Filter /FlateDecode /Length 622 /Length1 1159 /Subtype /CIDFontType0C >> stream xڅSMLAP~(ƶh!GKlcH…&HŃd@L^Gp؃ ;eqĘɾ7 }$1rcO- S:fݥ&ZԍTEif8xrLjA\G^8IC4e3^%b:5Hlk)! B+*FJ\L|e+sA}8Xt>Mm;MVm{HrXZBΆo^ ۅ y;> stream xk` endstream endobj 20878 0 obj << /BaseFont /EFETTR+Lato-BoldItalic /DescendantFonts [20879 0 R] /Encoding /Identity-H /Name /F13 /Subtype /Type0 /ToUnicode 20880 0 R /Type /Font >> endobj 20879 0 obj << /BaseFont /EFETTR+Lato-BoldItalic /CIDSystemInfo << /Ordering (Identity) /Registry (Adobe) /Supplement 0 >> /CIDToGIDMap /Identity /DW 0 /FontDescriptor 20863 0 R /Subtype /CIDFontType2 /Type /Font /W [30 [581] 33 [599] 45 [521] 49 [453] 59 [487] 67 [562] 93 [502] 109 [541] 111 [523] 117 [353] 134 [541] 1138 [238] 2236 [601]] >> endobj 20880 0 obj << /Filter /FlateDecode /Length 283 >> stream xUn0 E|J03>dH%D}cNHtcGaN tn-j AԲG5JA誯ۼn ?\r^> endobj 20882 0 obj << /CharProcs 20833 0 R /Encoding 20825 0 R /FirstChar 32 /FontBBox [-503 -307 1240 964] /FontMatrix [0.001 0 0 0.001 0 0] /LastChar 110 /Name /WHQDVP+Calibri1 /Subtype /Type3 /Type /Font /Widths 20827 0 R >> endobj 20883 0 obj << /Count 1943 /Kids [20884 0 R] /Type /Pages >> endobj 20884 0 obj << /Count 1943 /Kids [24981 0 R 1 0 R 73 0 R 88 0 R 97 0 R 106 0 R 113 0 R 120 0 R 127 0 R 135 0 R 142 0 R 149 0 R 156 0 R 163 0 R 170 0 R 177 0 R 184 0 R 191 0 R 199 0 R 206 0 R 213 0 R 290 0 R 354 0 R 456 0 R 593 0 R 695 0 R 802 0 R 905 0 R 1009 0 R 1126 0 R 1228 0 R 1311 0 R 1433 0 R 1443 0 R 1456 0 R 1466 0 R 1476 0 R 1487 0 R 1494 0 R 1501 0 R 1513 0 R 1523 0 R 1532 0 R 1539 0 R 1557 0 R 1566 0 R 1573 0 R 1580 0 R 1595 0 R 1602 0 R 1609 0 R 1616 0 R 1627 0 R 1637 0 R 1655 0 R 1662 0 R 1671 0 R 1678 0 R 1694 0 R 1705 0 R 1716 0 R 1726 0 R 1733 0 R 1742 0 R 1756 0 R 1765 0 R 1777 0 R 1786 0 R 1798 0 R 1807 0 R 1819 0 R 1828 0 R 1835 0 R 1847 0 R 1857 0 R 1870 0 R 1879 0 R 1896 0 R 1905 0 R 1922 0 R 1931 0 R 1938 0 R 1951 0 R 1960 0 R 1967 0 R 1981 0 R 1990 0 R 2003 0 R 2012 0 R 2029 0 R 2039 0 R 2055 0 R 2064 0 R 2080 0 R 2090 0 R 2103 0 R 2112 0 R 2126 0 R 2136 0 R 2143 0 R 2158 0 R 2167 0 R 2174 0 R 2188 0 R 2198 0 R 2210 0 R 2217 0 R 2231 0 R 2240 0 R 2250 0 R 2262 0 R 2271 0 R 2278 0 R 2288 0 R 2295 0 R 2304 0 R 2311 0 R 2324 0 R 2334 0 R 2344 0 R 2353 0 R 2367 0 R 2376 0 R 2386 0 R 2393 0 R 2404 0 R 2414 0 R 2424 0 R 2433 0 R 2442 0 R 2452 0 R 2459 0 R 2466 0 R 2480 0 R 2490 0 R 2497 0 R 2508 0 R 2517 0 R 2530 0 R 2539 0 R 2548 0 R 2557 0 R 2567 0 R 2576 0 R 2587 0 R 2596 0 R 2605 0 R 2612 0 R 2622 0 R 2629 0 R 2639 0 R 2646 0 R 2655 0 R 2664 0 R 2678 0 R 2687 0 R 2705 0 R 2714 0 R 2729 0 R 2738 0 R 2759 0 R 2768 0 R 2783 0 R 2792 0 R 2809 0 R 2820 0 R 2829 0 R 2836 0 R 2843 0 R 2850 0 R 2860 0 R 2869 0 R 2876 0 R 2883 0 R 2892 0 R 2901 0 R 2910 0 R 2919 0 R 2928 0 R 2937 0 R 2944 0 R 2954 0 R 2961 0 R 2968 0 R 2975 0 R 2982 0 R 2994 0 R 3003 0 R 3010 0 R 3021 0 R 3030 0 R 3037 0 R 3047 0 R 3056 0 R 3069 0 R 3078 0 R 3091 0 R 3101 0 R 3112 0 R 3123 0 R 3133 0 R 3148 0 R 3157 0 R 3169 0 R 3178 0 R 3187 0 R 3194 0 R 3201 0 R 3219 0 R 3228 0 R 3235 0 R 3247 0 R 3257 0 R 3269 0 R 3278 0 R 3288 0 R 3297 0 R 3306 0 R 3316 0 R 3328 0 R 3338 0 R 3349 0 R 3358 0 R 3371 0 R 3380 0 R 3387 0 R 3399 0 R 3408 0 R 3420 0 R 3429 0 R 3438 0 R 3447 0 R 3459 0 R 3468 0 R 3481 0 R 3490 0 R 3499 0 R 3516 0 R 3525 0 R 3537 0 R 3546 0 R 3557 0 R 3566 0 R 3575 0 R 3593 0 R 3602 0 R 3612 0 R 3619 0 R 3640 0 R 3649 0 R 3656 0 R 3667 0 R 3676 0 R 3687 0 R 3697 0 R 3704 0 R 3721 0 R 3731 0 R 3738 0 R 3750 0 R 3760 0 R 3767 0 R 3774 0 R 3781 0 R 3796 0 R 3806 0 R 3820 0 R 3830 0 R 3837 0 R 3844 0 R 3864 0 R 3873 0 R 3880 0 R 3891 0 R 3901 0 R 3908 0 R 3915 0 R 3929 0 R 3939 0 R 3948 0 R 3961 0 R 3970 0 R 3983 0 R 3992 0 R 3999 0 R 4006 0 R 4021 0 R 4030 0 R 4037 0 R 4051 0 R 4060 0 R 4070 0 R 4085 0 R 4094 0 R 4101 0 R 4117 0 R 4126 0 R 4133 0 R 4144 0 R 4153 0 R 4165 0 R 4176 0 R 4185 0 R 4200 0 R 4209 0 R 4221 0 R 4234 0 R 4243 0 R 4254 0 R 4269 0 R 4278 0 R 4285 0 R 4303 0 R 4312 0 R 4327 0 R 4336 0 R 4343 0 R 4356 0 R 4366 0 R 4378 0 R 4387 0 R 4399 0 R 4408 0 R 4427 0 R 4437 0 R 4450 0 R 4460 0 R 4472 0 R 4483 0 R 4490 0 R 4501 0 R 4510 0 R 4526 0 R 4539 0 R 4548 0 R 4555 0 R 4569 0 R 4578 0 R 4588 0 R 4598 0 R 4609 0 R 4621 0 R 4630 0 R 4637 0 R 4644 0 R 4660 0 R 4670 0 R 4677 0 R 4691 0 R 4701 0 R 4708 0 R 4723 0 R 4733 0 R 4740 0 R 4752 0 R 4761 0 R 4768 0 R 4781 0 R 4790 0 R 4799 0 R 4809 0 R 4816 0 R 4823 0 R 4834 0 R 4843 0 R 4855 0 R 4864 0 R 4875 0 R 4882 0 R 4889 0 R 4904 0 R 4911 0 R 4930 0 R 4939 0 R 4953 0 R 4962 0 R 4974 0 R 4983 0 R 4995 0 R 5004 0 R 5013 0 R 5023 0 R 5037 0 R 5049 0 R 5060 0 R 5067 0 R 5083 0 R 5093 0 R 5104 0 R 5113 0 R 5124 0 R 5131 0 R 5138 0 R 5148 0 R 5157 0 R 5171 0 R 5180 0 R 5187 0 R 5200 0 R 5209 0 R 5221 0 R 5230 0 R 5245 0 R 5254 0 R 5270 0 R 5279 0 R 5292 0 R 5301 0 R 5311 0 R 5318 0 R 5330 0 R 5340 0 R 5354 0 R 5363 0 R 5374 0 R 5383 0 R 5394 0 R 5401 0 R 5411 0 R 5418 0 R 5428 0 R 5435 0 R 5446 0 R 5453 0 R 5462 0 R 5471 0 R 5480 0 R 5491 0 R 5500 0 R 5509 0 R 5518 0 R 5534 0 R 5543 0 R 5552 0 R 5561 0 R 5572 0 R 5581 0 R 5591 0 R 5600 0 R 5610 0 R 5620 0 R 5629 0 R 5639 0 R 5648 0 R 5659 0 R 5668 0 R 5678 0 R 5687 0 R 5700 0 R 5710 0 R 5724 0 R 5733 0 R 5747 0 R 5756 0 R 5768 0 R 5777 0 R 5786 0 R 5795 0 R 5805 0 R 5815 0 R 5828 0 R 5837 0 R 5846 0 R 5855 0 R 5865 0 R 5875 0 R 5884 0 R 5894 0 R 5903 0 R 5915 0 R 5924 0 R 5935 0 R 5946 0 R 5958 0 R 5967 0 R 5977 0 R 5987 0 R 5997 0 R 6006 0 R 6013 0 R 6022 0 R 6032 0 R 6042 0 R 6060 0 R 6069 0 R 6078 0 R 6088 0 R 6102 0 R 6109 0 R 6120 0 R 6129 0 R 6145 0 R 6154 0 R 6168 0 R 6177 0 R 6192 0 R 6201 0 R 6213 0 R 6222 0 R 6234 0 R 6243 0 R 6250 0 R 6265 0 R 6274 0 R 6290 0 R 6299 0 R 6316 0 R 6325 0 R 6335 0 R 6344 0 R 6355 0 R 6364 0 R 6371 0 R 6384 0 R 6393 0 R 6409 0 R 6418 0 R 6429 0 R 6438 0 R 6448 0 R 6455 0 R 6465 0 R 6472 0 R 6482 0 R 6489 0 R 6496 0 R 6503 0 R 6510 0 R 6517 0 R 6529 0 R 6536 0 R 6543 0 R 6552 0 R 6561 0 R 6573 0 R 6586 0 R 6593 0 R 6603 0 R 6610 0 R 6619 0 R 6634 0 R 6644 0 R 6651 0 R 6667 0 R 6676 0 R 6686 0 R 6693 0 R 6702 0 R 6711 0 R 6721 0 R 6728 0 R 6737 0 R 6747 0 R 6756 0 R 6767 0 R 6774 0 R 6785 0 R 6795 0 R 6806 0 R 6815 0 R 6824 0 R 6835 0 R 6842 0 R 6859 0 R 6868 0 R 6878 0 R 6887 0 R 6896 0 R 6903 0 R 6918 0 R 6928 0 R 6936 0 R 6943 0 R 6958 0 R 6967 0 R 6976 0 R 6989 0 R 6998 0 R 7008 0 R 7016 0 R 7028 0 R 7037 0 R 7046 0 R 7053 0 R 7068 0 R 7077 0 R 7086 0 R 7095 0 R 7110 0 R 7119 0 R 7128 0 R 7146 0 R 7155 0 R 7164 0 R 7171 0 R 7186 0 R 7195 0 R 7204 0 R 7211 0 R 7227 0 R 7236 0 R 7245 0 R 7262 0 R 7271 0 R 7283 0 R 7294 0 R 7303 0 R 7315 0 R 7326 0 R 7335 0 R 7346 0 R 7356 0 R 7365 0 R 7378 0 R 7388 0 R 7397 0 R 7409 0 R 7419 0 R 7428 0 R 7441 0 R 7451 0 R 7460 0 R 7473 0 R 7483 0 R 7492 0 R 7506 0 R 7516 0 R 7525 0 R 7538 0 R 7548 0 R 7557 0 R 7570 0 R 7580 0 R 7589 0 R 7602 0 R 7612 0 R 7621 0 R 7634 0 R 7644 0 R 7653 0 R 7666 0 R 7676 0 R 7685 0 R 7698 0 R 7709 0 R 7719 0 R 7728 0 R 7735 0 R 7749 0 R 7761 0 R 7770 0 R 7781 0 R 7792 0 R 7803 0 R 7812 0 R 7824 0 R 7834 0 R 7844 0 R 7853 0 R 7864 0 R 7875 0 R 7884 0 R 7891 0 R 7898 0 R 7915 0 R 7925 0 R 7934 0 R 7943 0 R 7959 0 R 7969 0 R 7978 0 R 7989 0 R 8000 0 R 8009 0 R 8019 0 R 8031 0 R 8040 0 R 8049 0 R 8060 0 R 8070 0 R 8086 0 R 8096 0 R 8107 0 R 8118 0 R 8127 0 R 8141 0 R 8152 0 R 8161 0 R 8173 0 R 8182 0 R 8191 0 R 8200 0 R 8210 0 R 8219 0 R 8232 0 R 8242 0 R 8251 0 R 8264 0 R 8274 0 R 8283 0 R 8299 0 R 8309 0 R 8317 0 R 8326 0 R 8336 0 R 8345 0 R 8352 0 R 8362 0 R 8372 0 R 8381 0 R 8391 0 R 8401 0 R 8410 0 R 8421 0 R 8430 0 R 8445 0 R 8456 0 R 8469 0 R 8480 0 R 8490 0 R 8503 0 R 8513 0 R 8522 0 R 8535 0 R 8545 0 R 8554 0 R 8566 0 R 8576 0 R 8585 0 R 8602 0 R 8612 0 R 8621 0 R 8633 0 R 8643 0 R 8652 0 R 8666 0 R 8677 0 R 8686 0 R 8704 0 R 8714 0 R 8723 0 R 8740 0 R 8750 0 R 8757 0 R 8766 0 R 8788 0 R 8798 0 R 8807 0 R 8823 0 R 8833 0 R 8841 0 R 8853 0 R 8863 0 R 8872 0 R 8885 0 R 8896 0 R 8906 0 R 8915 0 R 8927 0 R 8937 0 R 8946 0 R 8961 0 R 8971 0 R 8980 0 R 8992 0 R 9008 0 R 9018 0 R 9027 0 R 9046 0 R 9056 0 R 9065 0 R 9077 0 R 9092 0 R 9102 0 R 9111 0 R 9132 0 R 9142 0 R 9151 0 R 9172 0 R 9182 0 R 9191 0 R 9210 0 R 9220 0 R 9229 0 R 9248 0 R 9258 0 R 9267 0 R 9289 0 R 9299 0 R 9308 0 R 9330 0 R 9340 0 R 9349 0 R 9368 0 R 9378 0 R 9387 0 R 9399 0 R 9408 0 R 9415 0 R 9432 0 R 9442 0 R 9451 0 R 9464 0 R 9474 0 R 9484 0 R 9493 0 R 9506 0 R 9516 0 R 9525 0 R 9542 0 R 9551 0 R 9560 0 R 9570 0 R 9580 0 R 9589 0 R 9599 0 R 9610 0 R 9619 0 R 9635 0 R 9646 0 R 9659 0 R 9669 0 R 9678 0 R 9685 0 R 9699 0 R 9710 0 R 9719 0 R 9732 0 R 9741 0 R 9750 0 R 9763 0 R 9772 0 R 9781 0 R 9793 0 R 9802 0 R 9811 0 R 9823 0 R 9833 0 R 9842 0 R 9855 0 R 9865 0 R 9877 0 R 9887 0 R 9896 0 R 9908 0 R 9918 0 R 9927 0 R 9939 0 R 9948 0 R 9955 0 R 9965 0 R 9976 0 R 9985 0 R 9992 0 R 10006 0 R 10017 0 R 10026 0 R 10033 0 R 10040 0 R 10058 0 R 10069 0 R 10078 0 R 10085 0 R 10098 0 R 10105 0 R 10114 0 R 10121 0 R 10133 0 R 10143 0 R 10152 0 R 10167 0 R 10176 0 R 10188 0 R 10198 0 R 10207 0 R 10218 0 R 10227 0 R 10236 0 R 10246 0 R 10256 0 R 10267 0 R 10278 0 R 10287 0 R 10299 0 R 10310 0 R 10319 0 R 10326 0 R 10333 0 R 10349 0 R 10360 0 R 10369 0 R 10376 0 R 10389 0 R 10400 0 R 10409 0 R 10422 0 R 10433 0 R 10442 0 R 10455 0 R 10466 0 R 10475 0 R 10482 0 R 10499 0 R 10509 0 R 10518 0 R 10528 0 R 10537 0 R 10550 0 R 10559 0 R 10568 0 R 10583 0 R 10593 0 R 10602 0 R 10613 0 R 10624 0 R 10631 0 R 10647 0 R 10658 0 R 10670 0 R 10680 0 R 10689 0 R 10702 0 R 10713 0 R 10721 0 R 10733 0 R 10743 0 R 10752 0 R 10764 0 R 10771 0 R 10780 0 R 10790 0 R 10800 0 R 10813 0 R 10823 0 R 10831 0 R 10840 0 R 10851 0 R 10860 0 R 10874 0 R 10885 0 R 10894 0 R 10905 0 R 10916 0 R 10925 0 R 10932 0 R 10945 0 R 10956 0 R 10965 0 R 10976 0 R 10987 0 R 10996 0 R 11006 0 R 11015 0 R 11022 0 R 11031 0 R 11040 0 R 11049 0 R 11060 0 R 11069 0 R 11085 0 R 11096 0 R 11103 0 R 11114 0 R 11121 0 R 11131 0 R 11138 0 R 11145 0 R 11154 0 R 11164 0 R 11171 0 R 11183 0 R 11193 0 R 11202 0 R 11213 0 R 11222 0 R 11231 0 R 11244 0 R 11253 0 R 11264 0 R 11273 0 R 11286 0 R 11295 0 R 11306 0 R 11316 0 R 11323 0 R 11333 0 R 11342 0 R 11349 0 R 11356 0 R 11370 0 R 11379 0 R 11393 0 R 11402 0 R 11415 0 R 11424 0 R 11437 0 R 11446 0 R 11455 0 R 11462 0 R 11469 0 R 11478 0 R 11485 0 R 11494 0 R 11504 0 R 11516 0 R 11525 0 R 11534 0 R 11543 0 R 11552 0 R 11561 0 R 11570 0 R 11579 0 R 11592 0 R 11601 0 R 11611 0 R 11620 0 R 11627 0 R 11639 0 R 11648 0 R 11657 0 R 11664 0 R 11673 0 R 11680 0 R 11692 0 R 11701 0 R 11715 0 R 11724 0 R 11733 0 R 11740 0 R 11749 0 R 11756 0 R 11763 0 R 11782 0 R 11791 0 R 11798 0 R 11805 0 R 11812 0 R 11823 0 R 11832 0 R 11839 0 R 11851 0 R 11860 0 R 11867 0 R 11878 0 R 11887 0 R 11896 0 R 11906 0 R 11916 0 R 11928 0 R 11937 0 R 11949 0 R 11959 0 R 11974 0 R 11984 0 R 12000 0 R 12009 0 R 12023 0 R 12032 0 R 12042 0 R 12051 0 R 12058 0 R 12068 0 R 12075 0 R 12086 0 R 12093 0 R 12104 0 R 12111 0 R 12120 0 R 12127 0 R 12138 0 R 12147 0 R 12158 0 R 12167 0 R 12178 0 R 12188 0 R 12199 0 R 12209 0 R 12216 0 R 12230 0 R 12239 0 R 12253 0 R 12262 0 R 12275 0 R 12284 0 R 12295 0 R 12304 0 R 12315 0 R 12324 0 R 12333 0 R 12342 0 R 12351 0 R 12363 0 R 12372 0 R 12384 0 R 12394 0 R 12406 0 R 12415 0 R 12425 0 R 12440 0 R 12451 0 R 12461 0 R 12470 0 R 12478 0 R 12492 0 R 12501 0 R 12510 0 R 12519 0 R 12532 0 R 12541 0 R 12552 0 R 12567 0 R 12576 0 R 12589 0 R 12596 0 R 12606 0 R 12613 0 R 12628 0 R 12637 0 R 12649 0 R 12656 0 R 12667 0 R 12677 0 R 12684 0 R 12693 0 R 12700 0 R 12707 0 R 12714 0 R 12721 0 R 12735 0 R 12744 0 R 12762 0 R 12769 0 R 12778 0 R 12785 0 R 12792 0 R 12799 0 R 12815 0 R 12824 0 R 12831 0 R 12842 0 R 12851 0 R 12858 0 R 12865 0 R 12872 0 R 12888 0 R 12897 0 R 12907 0 R 12917 0 R 12924 0 R 12935 0 R 12944 0 R 12958 0 R 12967 0 R 12976 0 R 12985 0 R 12992 0 R 13002 0 R 13011 0 R 13018 0 R 13036 0 R 13043 0 R 13052 0 R 13059 0 R 13066 0 R 13085 0 R 13092 0 R 13103 0 R 13113 0 R 13125 0 R 13134 0 R 13141 0 R 13148 0 R 13165 0 R 13174 0 R 13187 0 R 13196 0 R 13205 0 R 13214 0 R 13229 0 R 13238 0 R 13255 0 R 13264 0 R 13281 0 R 13291 0 R 13301 0 R 13308 0 R 13317 0 R 13326 0 R 13337 0 R 13346 0 R 13356 0 R 13367 0 R 13378 0 R 13388 0 R 13398 0 R 13407 0 R 13416 0 R 13425 0 R 13434 0 R 13443 0 R 13454 0 R 13463 0 R 13474 0 R 13483 0 R 13490 0 R 13502 0 R 13511 0 R 13518 0 R 13533 0 R 13542 0 R 13551 0 R 13563 0 R 13575 0 R 13584 0 R 13595 0 R 13604 0 R 13613 0 R 13622 0 R 13635 0 R 13644 0 R 13656 0 R 13665 0 R 13676 0 R 13685 0 R 13703 0 R 13712 0 R 13727 0 R 13736 0 R 13747 0 R 13756 0 R 13768 0 R 13777 0 R 13796 0 R 13805 0 R 13817 0 R 13826 0 R 13840 0 R 13849 0 R 13864 0 R 13873 0 R 13890 0 R 13901 0 R 13910 0 R 13922 0 R 13931 0 R 13938 0 R 13947 0 R 13956 0 R 13966 0 R 13973 0 R 13985 0 R 13992 0 R 14002 0 R 14011 0 R 14024 0 R 14034 0 R 14043 0 R 14052 0 R 14063 0 R 14070 0 R 14080 0 R 14090 0 R 14099 0 R 14106 0 R 14123 0 R 14132 0 R 14143 0 R 14150 0 R 14160 0 R 14170 0 R 14179 0 R 14192 0 R 14201 0 R 14214 0 R 14223 0 R 14240 0 R 14249 0 R 14265 0 R 14274 0 R 14289 0 R 14298 0 R 14313 0 R 14322 0 R 14336 0 R 14345 0 R 14359 0 R 14368 0 R 14383 0 R 14392 0 R 14401 0 R 14410 0 R 14429 0 R 14438 0 R 14447 0 R 14464 0 R 14473 0 R 14492 0 R 14501 0 R 14518 0 R 14528 0 R 14537 0 R 14554 0 R 14563 0 R 14576 0 R 14585 0 R 14597 0 R 14606 0 R 14613 0 R 14623 0 R 14632 0 R 14639 0 R 14646 0 R 14656 0 R 14663 0 R 14673 0 R 14680 0 R 14690 0 R 14697 0 R 14707 0 R 14716 0 R 14723 0 R 14733 0 R 14740 0 R 14747 0 R 14766 0 R 14776 0 R 14783 0 R 14796 0 R 14806 0 R 14813 0 R 14824 0 R 14834 0 R 14841 0 R 14851 0 R 14861 0 R 14872 0 R 14881 0 R 14888 0 R 14899 0 R 14906 0 R 14916 0 R 14925 0 R 14934 0 R 14944 0 R 14951 0 R 14960 0 R 14970 0 R 14979 0 R 14988 0 R 14995 0 R 15002 0 R 15012 0 R 15019 0 R 15028 0 R 15038 0 R 15047 0 R 15054 0 R 15064 0 R 15071 0 R 15078 0 R 15085 0 R 15092 0 R 15099 0 R 15106 0 R 15113 0 R 15130 0 R 15140 0 R 15147 0 R 15157 0 R 15168 0 R 15175 0 R 15182 0 R 15206 0 R 15213 0 R 15220 0 R 15227 0 R 15237 0 R 15246 0 R 15253 0 R 15260 0 R 15270 0 R 15277 0 R 15295 0 R 15302 0 R 15312 0 R 15319 0 R 15326 0 R 15336 0 R 15343 0 R 15352 0 R 15361 0 R 15368 0 R 15382 0 R 15392 0 R 15404 0 R 15414 0 R 15428 0 R 15437 0 R 15448 0 R 15458 0 R 15470 0 R 15479 0 R 15491 0 R 15500 0 R 15507 0 R 15519 0 R 15528 0 R 15537 0 R 15546 0 R 15553 0 R 15565 0 R 15576 0 R 15583 0 R 15590 0 R 15603 0 R 15610 0 R 15621 0 R 15628 0 R 15637 0 R 15644 0 R 15653 0 R 15663 0 R 15675 0 R 15684 0 R 15693 0 R 15702 0 R 15709 0 R 15721 0 R 15731 0 R 15738 0 R 15745 0 R 15756 0 R 15765 0 R 15775 0 R 15784 0 R 15791 0 R 15805 0 R 15816 0 R 15824 0 R 15840 0 R 15852 0 R 15862 0 R 15869 0 R 15876 0 R 15885 0 R 15896 0 R 15903 0 R 15913 0 R 15925 0 R 15932 0 R 15939 0 R 15951 0 R 15960 0 R 15967 0 R 15977 0 R 15986 0 R 15993 0 R 16000 0 R 16009 0 R 16016 0 R 16026 0 R 16035 0 R 16046 0 R 16055 0 R 16062 0 R 16071 0 R 16080 0 R 16087 0 R 16102 0 R 16109 0 R 16118 0 R 16127 0 R 16134 0 R 16141 0 R 16153 0 R 16163 0 R 16170 0 R 16177 0 R 16191 0 R 16198 0 R 16208 0 R 16215 0 R 16222 0 R 16229 0 R 16236 0 R 16253 0 R 16262 0 R 16269 0 R 16279 0 R 16286 0 R 16293 0 R 16300 0 R 16320 0 R 16329 0 R 16336 0 R 16343 0 R 16352 0 R 16359 0 R 16366 0 R 16375 0 R 16382 0 R 16394 0 R 16405 0 R 16412 0 R 16427 0 R 16437 0 R 16446 0 R 16456 0 R 16468 0 R 16477 0 R 16490 0 R 16499 0 R 16511 0 R 16520 0 R 16531 0 R 16540 0 R 16557 0 R 16566 0 R 16583 0 R 16593 0 R 16604 0 R 16614 0 R 16625 0 R 16634 0 R 16641 0 R 16650 0 R 16659 0 R 16668 0 R 16677 0 R 16687 0 R 16694 0 R 16705 0 R 16714 0 R 16726 0 R 16735 0 R 16745 0 R 16755 0 R 16770 0 R 16779 0 R 16786 0 R 16795 0 R 16804 0 R 16813 0 R 16823 0 R 16830 0 R 16842 0 R 16852 0 R 16861 0 R 16871 0 R 16882 0 R 16891 0 R 16912 0 R 16922 0 R 16929 0 R 16939 0 R 16948 0 R 16967 0 R 16974 0 R 16983 0 R 17002 0 R 17009 0 R 17020 0 R 17029 0 R 17047 0 R 17056 0 R 17063 0 R 17070 0 R 17077 0 R 17084 0 R 17102 0 R 17109 0 R 17122 0 R 17131 0 R 17145 0 R 17155 0 R 17173 0 R 17182 0 R 17189 0 R 17196 0 R 17203 0 R 17210 0 R 17232 0 R 17241 0 R 17256 0 R 17265 0 R 17280 0 R 17290 0 R 17297 0 R 17311 0 R 17321 0 R 17328 0 R 17342 0 R 17351 0 R 17364 0 R 17373 0 R 17387 0 R 17396 0 R 17405 0 R 17414 0 R 17423 0 R 17432 0 R 17439 0 R 17450 0 R 17459 0 R 17471 0 R 17481 0 R 17488 0 R 17495 0 R 17509 0 R 17519 0 R 17526 0 R 17533 0 R 17546 0 R 17555 0 R 17567 0 R 17576 0 R 17587 0 R 17596 0 R 17603 0 R 17610 0 R 17623 0 R 17633 0 R 17643 0 R 17653 0 R 17660 0 R 17670 0 R 17680 0 R 17687 0 R 17696 0 R 17706 0 R 17716 0 R 17726 0 R 17733 0 R 17746 0 R 17756 0 R 17763 0 R 17774 0 R 17783 0 R 17792 0 R 17803 0 R 17814 0 R 17824 0 R 17835 0 R 17845 0 R 17852 0 R 17864 0 R 17874 0 R 17883 0 R 17892 0 R 17907 0 R 17916 0 R 17926 0 R 17933 0 R 17940 0 R 17956 0 R 17965 0 R 17977 0 R 17990 0 R 18000 0 R 18011 0 R 18021 0 R 18035 0 R 18045 0 R 18059 0 R 18068 0 R 18079 0 R 18089 0 R 18102 0 R 18112 0 R 18119 0 R 18126 0 R 18142 0 R 18152 0 R 18163 0 R 18173 0 R 18180 0 R 18193 0 R 18202 0 R 18209 0 R 18216 0 R 18224 0 R 18234 0 R 18244 0 R 18254 0 R 18261 0 R 18268 0 R 18275 0 R 18287 0 R 18298 0 R 18305 0 R 18312 0 R 18323 0 R 18333 0 R 18340 0 R 18353 0 R 18363 0 R 18374 0 R 18383 0 R 18392 0 R 18403 0 R 18413 0 R 18427 0 R 18437 0 R 18444 0 R 18455 0 R 18465 0 R 18475 0 R 18486 0 R 18493 0 R 18500 0 R 18519 0 R 18528 0 R 18541 0 R 18548 0 R 18558 0 R 18565 0 R 18572 0 R 18587 0 R 18596 0 R 18603 0 R 18615 0 R 18625 0 R 18635 0 R 18642 0 R 18649 0 R 18661 0 R 18671 0 R 18680 0 R 18690 0 R 18699 0 R 18713 0 R 18722 0 R 18736 0 R 18745 0 R 18754 0 R 18766 0 R 18775 0 R 18786 0 R 18796 0 R 18803 0 R 18814 0 R 18823 0 R 18834 0 R 18841 0 R 18851 0 R 18858 0 R 18871 0 R 18881 0 R 18890 0 R 18907 0 R 18916 0 R 18931 0 R 18940 0 R 18955 0 R 18962 0 R 18973 0 R 18982 0 R 18993 0 R 19000 0 R 19007 0 R 19016 0 R 19023 0 R 19030 0 R 19037 0 R 19044 0 R 19051 0 R 19067 0 R 19077 0 R 19092 0 R 19099 0 R 19108 0 R 19122 0 R 19131 0 R 19143 0 R 19152 0 R 19163 0 R 19172 0 R 19184 0 R 19193 0 R 19203 0 R 19212 0 R 19222 0 R 19231 0 R 19242 0 R 19251 0 R 19260 0 R 19269 0 R 19279 0 R 19288 0 R 19295 0 R 19307 0 R 19316 0 R 19326 0 R 19335 0 R 19345 0 R 19354 0 R 19361 0 R 19371 0 R 19380 0 R 19389 0 R 19398 0 R 19407 0 R 19416 0 R 19423 0 R 19434 0 R 19444 0 R 19453 0 R 19460 0 R 19475 0 R 19484 0 R 19494 0 R 19503 0 R 19512 0 R 19522 0 R 19531 0 R 19542 0 R 19551 0 R 19561 0 R 19570 0 R 19583 0 R 19590 0 R 19599 0 R 19608 0 R 19622 0 R 19634 0 R 19643 0 R 19654 0 R 19666 0 R 19675 0 R 19686 0 R 19696 0 R 19706 0 R 19715 0 R 19728 0 R 19737 0 R 19754 0 R 19764 0 R 19773 0 R 19780 0 R 19789 0 R 19805 0 R 19814 0 R 19829 0 R 19838 0 R 19853 0 R 19862 0 R 19871 0 R 19880 0 R 19889 0 R 19896 0 R 19909 0 R 19916 0 R 19925 0 R 19932 0 R 19943 0 R 19952 0 R 19959 0 R 19966 0 R 19973 0 R 19986 0 R 19995 0 R 20002 0 R 20011 0 R 20020 0 R 20029 0 R 20036 0 R 20043 0 R 20060 0 R 20069 0 R 20076 0 R 20085 0 R 20094 0 R 20103 0 R 20113 0 R 20124 0 R 20133 0 R 20142 0 R 20149 0 R 20158 0 R 20167 0 R 20174 0 R 20189 0 R 20200 0 R 20207 0 R 20217 0 R 20228 0 R 20239 0 R 20248 0 R 20265 0 R 20274 0 R 20291 0 R 20300 0 R 20307 0 R 20325 0 R 20334 0 R 20341 0 R 20348 0 R 20358 0 R 20365 0 R 20372 0 R 20390 0 R 20401 0 R 20410 0 R 20422 0 R 20431 0 R 20440 0 R 20451 0 R 20462 0 R 20472 0 R 20479 0 R 20486 0 R 20504 0 R 20515 0 R 20522 0 R 20533 0 R 20542 0 R 20549 0 R 20559 0 R 20569 0 R 20578 0 R 20587 0 R 20594 0 R 20601 0 R 20611 0 R 20620 0 R 20627 0 R 20636 0 R 20646 0 R 20664 0 R 20674 0 R 20681 0 R 20688 0 R 20697 0 R 20704 0 R 20713 0 R 20720 0 R 20727 0 R 20734 0 R 20741 0 R 20750 0 R 20767 0 R 20787 0 R 20797 0 R] /Parent 20883 0 R /Type /Pages >> endobj 20885 0 obj << /D [24981 0 R /XYZ null 711 null] >> endobj 20886 0 obj << /D [1 0 R /XYZ null 711 null] >> endobj 20887 0 obj << /D [1 0 R /XYZ null 694.2 null] >> endobj 20888 0 obj << /D [1 0 R /XYZ null 644.6 null] >> endobj 20889 0 obj << /D [1 0 R /XYZ null 618.3 null] >> endobj 20890 0 obj << /D [73 0 R /XYZ null 711 null] >> endobj 20891 0 obj << /D [73 0 R /XYZ null 711 null] >> endobj 20892 0 obj << /D [73 0 R /XYZ null 572.6 null] >> endobj 20893 0 obj << /D [73 0 R /XYZ null 572.6 null] >> endobj 20894 0 obj << /D [73 0 R /XYZ null 304.6 null] >> endobj 20895 0 obj << /D [73 0 R /XYZ null 304.6 null] >> endobj 20896 0 obj << /D [88 0 R /XYZ null 610.7 null] >> endobj 20897 0 obj << /D [88 0 R /XYZ null 610.7 null] >> endobj 20898 0 obj << /D [88 0 R /XYZ null 444.1001 null] >> endobj 20899 0 obj << /D [88 0 R /XYZ null 444.1001 null] >> endobj 20900 0 obj << /D [88 0 R /XYZ null 375.1001 null] >> endobj 20901 0 obj << /D [97 0 R /XYZ null 711 null] >> endobj 20902 0 obj << /D [97 0 R /XYZ null 711 null] >> endobj 20903 0 obj << /D [97 0 R /XYZ null 419.0039 null] >> endobj 20904 0 obj << /D [97 0 R /XYZ null 419.0039 null] >> endobj 20905 0 obj << /D [106 0 R /XYZ null 525.6077 null] >> endobj 20906 0 obj << /D [106 0 R /XYZ null 525.6077 null] >> endobj 20907 0 obj << /D [106 0 R /XYZ null 344.2462 null] >> endobj 20908 0 obj << /D [106 0 R /XYZ null 344.2462 null] >> endobj 20909 0 obj << /D [113 0 R /XYZ null 492.4115 null] >> endobj 20910 0 obj << /D [113 0 R /XYZ null 492.4115 null] >> endobj 20911 0 obj << /D [113 0 R /XYZ null 450.2115 null] >> endobj 20912 0 obj << /D [113 0 R /XYZ null 450.2115 null] >> endobj 20913 0 obj << /D [113 0 R /XYZ null 322.773 null] >> endobj 20914 0 obj << /D [113 0 R /XYZ null 274.7769 null] >> endobj 20915 0 obj << /D [113 0 R /XYZ null 213.0345 null] >> endobj 20916 0 obj << /D [113 0 R /XYZ null 137.7422 null] >> endobj 20917 0 obj << /D [120 0 R /XYZ null 711 null] >> endobj 20918 0 obj << /D [120 0 R /XYZ null 402.7385 null] >> endobj 20919 0 obj << /D [120 0 R /XYZ null 402.7385 null] >> endobj 20920 0 obj << /D [127 0 R /XYZ null 711 null] >> endobj 20921 0 obj << /D [127 0 R /XYZ null 711 null] >> endobj 20922 0 obj << /D [127 0 R /XYZ null 570.2039 null] >> endobj 20923 0 obj << /D [127 0 R /XYZ null 471.2039 null] >> endobj 20924 0 obj << /D [127 0 R /XYZ null 296.6347 null] >> endobj 20925 0 obj << /D [127 0 R /XYZ null 296.6347 null] >> endobj 20926 0 obj << /D [127 0 R /XYZ null 194.2347 null] >> endobj 20927 0 obj << /D [135 0 R /XYZ null 314.8078 null] >> endobj 20928 0 obj << /D [142 0 R /XYZ null 643.8 null] >> endobj 20929 0 obj << /D [142 0 R /XYZ null 526.0039 null] >> endobj 20930 0 obj << /D [142 0 R /XYZ null 236.404 null] >> endobj 20931 0 obj << /D [142 0 R /XYZ null 207.804 null] >> endobj 20932 0 obj << /D [149 0 R /XYZ null 590.3115 null] >> endobj 20933 0 obj << /D [149 0 R /XYZ null 161.5153 null] >> endobj 20934 0 obj << /D [156 0 R /XYZ null 653.5039 null] >> endobj 20935 0 obj << /D [163 0 R /XYZ null 697 null] >> endobj 20936 0 obj << /D [163 0 R /XYZ null 697 null] >> endobj 20937 0 obj << /D [163 0 R /XYZ null 573.3 null] >> endobj 20938 0 obj << /D [163 0 R /XYZ null 573.3 null] >> endobj 20939 0 obj << /D [163 0 R /XYZ null 205.8498 null] >> endobj 20940 0 obj << /D [163 0 R /XYZ null 205.8498 null] >> endobj 20941 0 obj << /D [163 0 R /XYZ null 136.5536 null] >> endobj 20942 0 obj << /D [170 0 R /XYZ null 643.8 null] >> endobj 20943 0 obj << /D [170 0 R /XYZ null 566.6 null] >> endobj 20944 0 obj << /D [170 0 R /XYZ null 479.4 null] >> endobj 20945 0 obj << /D [170 0 R /XYZ null 426.8001 null] >> endobj 20946 0 obj << /D [170 0 R /XYZ null 426.8001 null] >> endobj 20947 0 obj << /D [170 0 R /XYZ null 357.8001 null] >> endobj 20948 0 obj << /D [170 0 R /XYZ null 294.9001 null] >> endobj 20949 0 obj << /D [170 0 R /XYZ null 231.704 null] >> endobj 20950 0 obj << /D [170 0 R /XYZ null 205.404 null] >> endobj 20951 0 obj << /D [177 0 R /XYZ null 456.1789 null] >> endobj 20952 0 obj << /D [177 0 R /XYZ null 424.8789 null] >> endobj 20953 0 obj << /D [177 0 R /XYZ null 424.8789 null] >> endobj 20954 0 obj << /D [177 0 R /XYZ null 341.2828 null] >> endobj 20955 0 obj << /D [177 0 R /XYZ null 278.3828 null] >> endobj 20956 0 obj << /D [177 0 R /XYZ null 201.1828 null] >> endobj 20957 0 obj << /D [184 0 R /XYZ null 711 null] >> endobj 20958 0 obj << /D [184 0 R /XYZ null 711 null] >> endobj 20959 0 obj << /D [191 0 R /XYZ null 417.1423 null] >> endobj 20960 0 obj << /D [191 0 R /XYZ null 417.1423 null] >> endobj 20961 0 obj << /D [191 0 R /XYZ null 347.8462 null] >> endobj 20962 0 obj << /D [199 0 R /XYZ null 711 null] >> endobj 20963 0 obj << /D [199 0 R /XYZ null 350.8402 null] >> endobj 20964 0 obj << /D [199 0 R /XYZ null 350.8402 null] >> endobj 20965 0 obj << /D [213 0 R /XYZ null 711 null] >> endobj 20966 0 obj << /D [213 0 R /XYZ null 711 null] >> endobj 20967 0 obj << /D [213 0 R /XYZ null 572.6 null] >> endobj 20968 0 obj << /D [213 0 R /XYZ null 572.6 null] >> endobj 20969 0 obj << /D [213 0 R /XYZ null 535.4 null] >> endobj 20970 0 obj << /D [213 0 R /XYZ null 282.4 null] >> endobj 20971 0 obj << /D [213 0 R /XYZ null 282.4 null] >> endobj 20972 0 obj << /D [213 0 R /XYZ null 257.2 null] >> endobj 20973 0 obj << /D [213 0 R /XYZ null 217 null] >> endobj 20974 0 obj << /D [213 0 R /XYZ null 202.8 null] >> endobj 20975 0 obj << /D [213 0 R /XYZ null 162.6 null] >> endobj 20976 0 obj << /D [290 0 R /XYZ null 711 null] >> endobj 20977 0 obj << /D [290 0 R /XYZ null 685.8 null] >> endobj 20978 0 obj << /D [290 0 R /XYZ null 671.6 null] >> endobj 20979 0 obj << /D [290 0 R /XYZ null 631.4 null] >> endobj 20980 0 obj << /D [290 0 R /XYZ null 603 null] >> endobj 20981 0 obj << /D [290 0 R /XYZ null 562.8 null] >> endobj 20982 0 obj << /D [290 0 R /XYZ null 548.6 null] >> endobj 20983 0 obj << /D [290 0 R /XYZ null 508.4 null] >> endobj 20984 0 obj << /D [290 0 R /XYZ null 480 null] >> endobj 20985 0 obj << /D [290 0 R /XYZ null 439.8 null] >> endobj 20986 0 obj << /D [290 0 R /XYZ null 368.8 null] >> endobj 20987 0 obj << /D [290 0 R /XYZ null 328.6 null] >> endobj 20988 0 obj << /D [290 0 R /XYZ null 243.4 null] >> endobj 20989 0 obj << /D [290 0 R /XYZ null 203.2 null] >> endobj 20990 0 obj << /D [354 0 R /XYZ null 711 null] >> endobj 20991 0 obj << /D [354 0 R /XYZ null 685.8 null] >> endobj 20992 0 obj << /D [354 0 R /XYZ null 657.4 null] >> endobj 20993 0 obj << /D [354 0 R /XYZ null 617.2 null] >> endobj 20994 0 obj << /D [354 0 R /XYZ null 375.8001 null] >> endobj 20995 0 obj << /D [354 0 R /XYZ null 335.6001 null] >> endobj 20996 0 obj << /D [354 0 R /XYZ null 264.6001 null] >> endobj 20997 0 obj << /D [354 0 R /XYZ null 224.4001 null] >> endobj 20998 0 obj << /D [456 0 R /XYZ null 711 null] >> endobj 20999 0 obj << /D [456 0 R /XYZ null 685.8 null] >> endobj 21000 0 obj << /D [593 0 R /XYZ null 696.8 null] >> endobj 21001 0 obj << /D [593 0 R /XYZ null 656.6 null] >> endobj 21002 0 obj << /D [593 0 R /XYZ null 528.8001 null] >> endobj 21003 0 obj << /D [593 0 R /XYZ null 488.6 null] >> endobj 21004 0 obj << /D [593 0 R /XYZ null 204.6 null] >> endobj 21005 0 obj << /D [593 0 R /XYZ null 164.4001 null] >> endobj 21006 0 obj << /D [593 0 R /XYZ null 150.2001 null] >> endobj 21007 0 obj << /D [593 0 R /XYZ null 110.0001 null] >> endobj 21008 0 obj << /D [695 0 R /XYZ null 711 null] >> endobj 21009 0 obj << /D [695 0 R /XYZ null 685.8 null] >> endobj 21010 0 obj << /D [695 0 R /XYZ null 671.6 null] >> endobj 21011 0 obj << /D [695 0 R /XYZ null 631.4 null] >> endobj 21012 0 obj << /D [695 0 R /XYZ null 603 null] >> endobj 21013 0 obj << /D [695 0 R /XYZ null 562.8 null] >> endobj 21014 0 obj << /D [695 0 R /XYZ null 477.6 null] >> endobj 21015 0 obj << /D [695 0 R /XYZ null 437.4 null] >> endobj 21016 0 obj << /D [802 0 R /XYZ null 370.2 null] >> endobj 21017 0 obj << /D [802 0 R /XYZ null 330 null] >> endobj 21018 0 obj << /D [802 0 R /XYZ null 273.2 null] >> endobj 21019 0 obj << /D [802 0 R /XYZ null 232.9999 null] >> endobj 21020 0 obj << /D [802 0 R /XYZ null 190.4 null] >> endobj 21021 0 obj << /D [802 0 R /XYZ null 150.2 null] >> endobj 21022 0 obj << /D [905 0 R /XYZ null 711 null] >> endobj 21023 0 obj << /D [905 0 R /XYZ null 685.8 null] >> endobj 21024 0 obj << /D [905 0 R /XYZ null 629 null] >> endobj 21025 0 obj << /D [905 0 R /XYZ null 588.8 null] >> endobj 21026 0 obj << /D [905 0 R /XYZ null 191.2 null] >> endobj 21027 0 obj << /D [905 0 R /XYZ null 151 null] >> endobj 21028 0 obj << /D [1009 0 R /XYZ null 711 null] >> endobj 21029 0 obj << /D [1009 0 R /XYZ null 685.8 null] >> endobj 21030 0 obj << /D [1009 0 R /XYZ null 614.8 null] >> endobj 21031 0 obj << /D [1009 0 R /XYZ null 574.6 null] >> endobj 21032 0 obj << /D [1009 0 R /XYZ null 248 null] >> endobj 21033 0 obj << /D [1009 0 R /XYZ null 207.8 null] >> endobj 21034 0 obj << /D [1126 0 R /XYZ null 654.2 null] >> endobj 21035 0 obj << /D [1126 0 R /XYZ null 614 null] >> endobj 21036 0 obj << /D [1126 0 R /XYZ null 273.2 null] >> endobj 21037 0 obj << /D [1126 0 R /XYZ null 233 null] >> endobj 21038 0 obj << /D [1126 0 R /XYZ null 218.8 null] >> endobj 21039 0 obj << /D [1126 0 R /XYZ null 178.6 null] >> endobj 21040 0 obj << /D [1126 0 R /XYZ null 164.4 null] >> endobj 21041 0 obj << /D [1126 0 R /XYZ null 124.2 null] >> endobj 21042 0 obj << /D [1228 0 R /XYZ null 540.6 null] >> endobj 21043 0 obj << /D [1228 0 R /XYZ null 500.4 null] >> endobj 21044 0 obj << /D [1228 0 R /XYZ null 472 null] >> endobj 21045 0 obj << /D [1228 0 R /XYZ null 431.8 null] >> endobj 21046 0 obj << /D [1228 0 R /XYZ null 346.6 null] >> endobj 21047 0 obj << /D [1228 0 R /XYZ null 306.4 null] >> endobj 21048 0 obj << /D [1228 0 R /XYZ null 278 null] >> endobj 21049 0 obj << /D [1228 0 R /XYZ null 237.8 null] >> endobj 21050 0 obj << /D [1228 0 R /XYZ null 223.6 null] >> endobj 21051 0 obj << /D [1228 0 R /XYZ null 183.4 null] >> endobj 21052 0 obj << /D [1228 0 R /XYZ null 154.9999 null] >> endobj 21053 0 obj << /D [1228 0 R /XYZ null 114.8 null] >> endobj 21054 0 obj << /D [1311 0 R /XYZ null 682.6 null] >> endobj 21055 0 obj << /D [1311 0 R /XYZ null 642.4 null] >> endobj 21056 0 obj << /D [1311 0 R /XYZ null 159.5998 null] >> endobj 21057 0 obj << /D [1311 0 R /XYZ null 119.3999 null] >> endobj 21058 0 obj << /D [1433 0 R /XYZ null 711 null] >> endobj 21059 0 obj << /D [1433 0 R /XYZ null 685.8 null] >> endobj 21060 0 obj << /D [1443 0 R /XYZ null 711 null] >> endobj 21061 0 obj << /D [1443 0 R /XYZ null 711 null] >> endobj 21062 0 obj << /D [1443 0 R /XYZ null 542.3 null] >> endobj 21063 0 obj << /D [1443 0 R /XYZ null 542.3 null] >> endobj 21064 0 obj << /D [1443 0 R /XYZ null 331.6 null] >> endobj 21065 0 obj << /D [1466 0 R /XYZ null 697 null] >> endobj 21066 0 obj << /D [1466 0 R /XYZ null 697 null] >> endobj 21067 0 obj << /D [1466 0 R /XYZ null 543.1 null] >> endobj 21068 0 obj << /D [1487 0 R /XYZ null 697 null] >> endobj 21069 0 obj << /D [1487 0 R /XYZ null 697 null] >> endobj 21070 0 obj << /D [1487 0 R /XYZ null 490.6 null] >> endobj 21071 0 obj << /D [1513 0 R /XYZ null 697 null] >> endobj 21072 0 obj << /D [1513 0 R /XYZ null 697 null] >> endobj 21073 0 obj << /D [1513 0 R /XYZ null 490.6 null] >> endobj 21074 0 obj << /D [1557 0 R /XYZ null 697 null] >> endobj 21075 0 obj << /D [1557 0 R /XYZ null 697 null] >> endobj 21076 0 obj << /D [1557 0 R /XYZ null 480.6 null] >> endobj 21077 0 obj << /D [1595 0 R /XYZ null 697 null] >> endobj 21078 0 obj << /D [1595 0 R /XYZ null 697 null] >> endobj 21079 0 obj << /D [1595 0 R /XYZ null 490.6 null] >> endobj 21080 0 obj << /D [1602 0 R /XYZ null 147.1538 null] >> endobj 21081 0 obj << /D [1602 0 R /XYZ null 120.7538 null] >> endobj 21082 0 obj << /D [1609 0 R /XYZ null 664.4 null] >> endobj 21083 0 obj << /D [1627 0 R /XYZ null 697 null] >> endobj 21084 0 obj << /D [1627 0 R /XYZ null 697 null] >> endobj 21085 0 obj << /D [1627 0 R /XYZ null 538.8 null] >> endobj 21086 0 obj << /D [1637 0 R /XYZ null 271.3538 null] >> endobj 21087 0 obj << /D [1637 0 R /XYZ null 233.1538 null] >> endobj 21088 0 obj << /D [1662 0 R /XYZ null 697 null] >> endobj 21089 0 obj << /D [1662 0 R /XYZ null 697 null] >> endobj 21090 0 obj << /D [1662 0 R /XYZ null 543.1 null] >> endobj 21091 0 obj << /D [1694 0 R /XYZ null 697 null] >> endobj 21092 0 obj << /D [1694 0 R /XYZ null 697 null] >> endobj 21093 0 obj << /D [1694 0 R /XYZ null 543.1 null] >> endobj 21094 0 obj << /D [1716 0 R /XYZ null 697 null] >> endobj 21095 0 obj << /D [1716 0 R /XYZ null 697 null] >> endobj 21096 0 obj << /D [1716 0 R /XYZ null 543.1 null] >> endobj 21097 0 obj << /D [1726 0 R /XYZ null 697 null] >> endobj 21098 0 obj << /D [1726 0 R /XYZ null 697 null] >> endobj 21099 0 obj << /D [1726 0 R /XYZ null 470.6 null] >> endobj 21100 0 obj << /D [1756 0 R /XYZ null 697 null] >> endobj 21101 0 obj << /D [1756 0 R /XYZ null 697 null] >> endobj 21102 0 obj << /D [1756 0 R /XYZ null 490.6 null] >> endobj 21103 0 obj << /D [1777 0 R /XYZ null 697 null] >> endobj 21104 0 obj << /D [1777 0 R /XYZ null 697 null] >> endobj 21105 0 obj << /D [1777 0 R /XYZ null 490.6 null] >> endobj 21106 0 obj << /D [1798 0 R /XYZ null 697 null] >> endobj 21107 0 obj << /D [1798 0 R /XYZ null 697 null] >> endobj 21108 0 obj << /D [1798 0 R /XYZ null 490.6 null] >> endobj 21109 0 obj << /D [1819 0 R /XYZ null 697 null] >> endobj 21110 0 obj << /D [1819 0 R /XYZ null 697 null] >> endobj 21111 0 obj << /D [1819 0 R /XYZ null 480.6 null] >> endobj 21112 0 obj << /D [1847 0 R /XYZ null 697 null] >> endobj 21113 0 obj << /D [1847 0 R /XYZ null 697 null] >> endobj 21114 0 obj << /D [1847 0 R /XYZ null 500.6 null] >> endobj 21115 0 obj << /D [1870 0 R /XYZ null 697 null] >> endobj 21116 0 obj << /D [1870 0 R /XYZ null 697 null] >> endobj 21117 0 obj << /D [1870 0 R /XYZ null 500.6 null] >> endobj 21118 0 obj << /D [1896 0 R /XYZ null 697 null] >> endobj 21119 0 obj << /D [1896 0 R /XYZ null 697 null] >> endobj 21120 0 obj << /D [1896 0 R /XYZ null 500.6 null] >> endobj 21121 0 obj << /D [1922 0 R /XYZ null 697 null] >> endobj 21122 0 obj << /D [1922 0 R /XYZ null 697 null] >> endobj 21123 0 obj << /D [1922 0 R /XYZ null 490.6 null] >> endobj 21124 0 obj << /D [1951 0 R /XYZ null 697 null] >> endobj 21125 0 obj << /D [1951 0 R /XYZ null 697 null] >> endobj 21126 0 obj << /D [1951 0 R /XYZ null 490.6 null] >> endobj 21127 0 obj << /D [1981 0 R /XYZ null 697 null] >> endobj 21128 0 obj << /D [1981 0 R /XYZ null 697 null] >> endobj 21129 0 obj << /D [1981 0 R /XYZ null 500.6 null] >> endobj 21130 0 obj << /D [2003 0 R /XYZ null 697 null] >> endobj 21131 0 obj << /D [2003 0 R /XYZ null 697 null] >> endobj 21132 0 obj << /D [2003 0 R /XYZ null 500.6 null] >> endobj 21133 0 obj << /D [2029 0 R /XYZ null 697 null] >> endobj 21134 0 obj << /D [2029 0 R /XYZ null 697 null] >> endobj 21135 0 obj << /D [2029 0 R /XYZ null 500.6 null] >> endobj 21136 0 obj << /D [2055 0 R /XYZ null 697 null] >> endobj 21137 0 obj << /D [2055 0 R /XYZ null 697 null] >> endobj 21138 0 obj << /D [2055 0 R /XYZ null 500.6 null] >> endobj 21139 0 obj << /D [2080 0 R /XYZ null 697 null] >> endobj 21140 0 obj << /D [2080 0 R /XYZ null 697 null] >> endobj 21141 0 obj << /D [2080 0 R /XYZ null 500.6 null] >> endobj 21142 0 obj << /D [2103 0 R /XYZ null 697 null] >> endobj 21143 0 obj << /D [2103 0 R /XYZ null 697 null] >> endobj 21144 0 obj << /D [2103 0 R /XYZ null 500.6 null] >> endobj 21145 0 obj << /D [2126 0 R /XYZ null 697 null] >> endobj 21146 0 obj << /D [2126 0 R /XYZ null 697 null] >> endobj 21147 0 obj << /D [2126 0 R /XYZ null 470.6 null] >> endobj 21148 0 obj << /D [2158 0 R /XYZ null 697 null] >> endobj 21149 0 obj << /D [2158 0 R /XYZ null 697 null] >> endobj 21150 0 obj << /D [2158 0 R /XYZ null 490.6 null] >> endobj 21151 0 obj << /D [2198 0 R /XYZ null 697 null] >> endobj 21152 0 obj << /D [2198 0 R /XYZ null 697 null] >> endobj 21153 0 obj << /D [2198 0 R /XYZ null 490.6 null] >> endobj 21154 0 obj << /D [2231 0 R /XYZ null 697 null] >> endobj 21155 0 obj << /D [2231 0 R /XYZ null 697 null] >> endobj 21156 0 obj << /D [2231 0 R /XYZ null 553.1 null] >> endobj 21157 0 obj << /D [2262 0 R /XYZ null 697 null] >> endobj 21158 0 obj << /D [2262 0 R /XYZ null 697 null] >> endobj 21159 0 obj << /D [2262 0 R /XYZ null 480.6 null] >> endobj 21160 0 obj << /D [2288 0 R /XYZ null 697 null] >> endobj 21161 0 obj << /D [2288 0 R /XYZ null 697 null] >> endobj 21162 0 obj << /D [2288 0 R /XYZ null 460.6 null] >> endobj 21163 0 obj << /D [2324 0 R /XYZ null 697 null] >> endobj 21164 0 obj << /D [2324 0 R /XYZ null 697 null] >> endobj 21165 0 obj << /D [2324 0 R /XYZ null 538.8 null] >> endobj 21166 0 obj << /D [2344 0 R /XYZ null 697 null] >> endobj 21167 0 obj << /D [2344 0 R /XYZ null 697 null] >> endobj 21168 0 obj << /D [2344 0 R /XYZ null 543.1 null] >> endobj 21169 0 obj << /D [2376 0 R /XYZ null 697 null] >> endobj 21170 0 obj << /D [2376 0 R /XYZ null 697 null] >> endobj 21171 0 obj << /D [2376 0 R /XYZ null 490.6 null] >> endobj 21172 0 obj << /D [2404 0 R /XYZ null 697 null] >> endobj 21173 0 obj << /D [2404 0 R /XYZ null 697 null] >> endobj 21174 0 obj << /D [2404 0 R /XYZ null 553.1 null] >> endobj 21175 0 obj << /D [2404 0 R /XYZ null 329.8788 null] >> endobj 21176 0 obj << /D [2424 0 R /XYZ null 697 null] >> endobj 21177 0 obj << /D [2424 0 R /XYZ null 697 null] >> endobj 21178 0 obj << /D [2424 0 R /XYZ null 553.1 null] >> endobj 21179 0 obj << /D [2442 0 R /XYZ null 697 null] >> endobj 21180 0 obj << /D [2442 0 R /XYZ null 697 null] >> endobj 21181 0 obj << /D [2442 0 R /XYZ null 523.1 null] >> endobj 21182 0 obj << /D [2490 0 R /XYZ null 697 null] >> endobj 21183 0 obj << /D [2490 0 R /XYZ null 697 null] >> endobj 21184 0 obj << /D [2490 0 R /XYZ null 553.1 null] >> endobj 21185 0 obj << /D [2508 0 R /XYZ null 697 null] >> endobj 21186 0 obj << /D [2508 0 R /XYZ null 697 null] >> endobj 21187 0 obj << /D [2508 0 R /XYZ null 500.6 null] >> endobj 21188 0 obj << /D [2530 0 R /XYZ null 697 null] >> endobj 21189 0 obj << /D [2530 0 R /XYZ null 697 null] >> endobj 21190 0 obj << /D [2530 0 R /XYZ null 553.1 null] >> endobj 21191 0 obj << /D [2548 0 R /XYZ null 697 null] >> endobj 21192 0 obj << /D [2548 0 R /XYZ null 697 null] >> endobj 21193 0 obj << /D [2548 0 R /XYZ null 553.1 null] >> endobj 21194 0 obj << /D [2567 0 R /XYZ null 697 null] >> endobj 21195 0 obj << /D [2567 0 R /XYZ null 697 null] >> endobj 21196 0 obj << /D [2567 0 R /XYZ null 553.1 null] >> endobj 21197 0 obj << /D [2587 0 R /XYZ null 697 null] >> endobj 21198 0 obj << /D [2587 0 R /XYZ null 697 null] >> endobj 21199 0 obj << /D [2587 0 R /XYZ null 553.1 null] >> endobj 21200 0 obj << /D [2605 0 R /XYZ null 697 null] >> endobj 21201 0 obj << /D [2605 0 R /XYZ null 697 null] >> endobj 21202 0 obj << /D [2605 0 R /XYZ null 553.1 null] >> endobj 21203 0 obj << /D [2622 0 R /XYZ null 697 null] >> endobj 21204 0 obj << /D [2622 0 R /XYZ null 697 null] >> endobj 21205 0 obj << /D [2622 0 R /XYZ null 553.1 null] >> endobj 21206 0 obj << /D [2639 0 R /XYZ null 697 null] >> endobj 21207 0 obj << /D [2639 0 R /XYZ null 697 null] >> endobj 21208 0 obj << /D [2639 0 R /XYZ null 553.1 null] >> endobj 21209 0 obj << /D [2655 0 R /XYZ null 697 null] >> endobj 21210 0 obj << /D [2655 0 R /XYZ null 697 null] >> endobj 21211 0 obj << /D [2655 0 R /XYZ null 553.1 null] >> endobj 21212 0 obj << /D [2678 0 R /XYZ null 697 null] >> endobj 21213 0 obj << /D [2678 0 R /XYZ null 697 null] >> endobj 21214 0 obj << /D [2678 0 R /XYZ null 538.8 null] >> endobj 21215 0 obj << /D [2678 0 R /XYZ null 421.275 null] >> endobj 21216 0 obj << /D [2687 0 R /XYZ null 593.9 null] >> endobj 21217 0 obj << /D [2687 0 R /XYZ null 555.6999 null] >> endobj 21218 0 obj << /D [2705 0 R /XYZ null 697 null] >> endobj 21219 0 obj << /D [2705 0 R /XYZ null 697 null] >> endobj 21220 0 obj << /D [2705 0 R /XYZ null 538.8 null] >> endobj 21221 0 obj << /D [2729 0 R /XYZ null 697 null] >> endobj 21222 0 obj << /D [2729 0 R /XYZ null 697 null] >> endobj 21223 0 obj << /D [2729 0 R /XYZ null 538.8 null] >> endobj 21224 0 obj << /D [2759 0 R /XYZ null 697 null] >> endobj 21225 0 obj << /D [2759 0 R /XYZ null 697 null] >> endobj 21226 0 obj << /D [2759 0 R /XYZ null 538.8 null] >> endobj 21227 0 obj << /D [2783 0 R /XYZ null 697 null] >> endobj 21228 0 obj << /D [2783 0 R /XYZ null 697 null] >> endobj 21229 0 obj << /D [2783 0 R /XYZ null 553.1 null] >> endobj 21230 0 obj << /D [2809 0 R /XYZ null 697 null] >> endobj 21231 0 obj << /D [2809 0 R /XYZ null 697 null] >> endobj 21232 0 obj << /D [2809 0 R /XYZ null 553.1 null] >> endobj 21233 0 obj << /D [2829 0 R /XYZ null 697 null] >> endobj 21234 0 obj << /D [2829 0 R /XYZ null 697 null] >> endobj 21235 0 obj << /D [2829 0 R /XYZ null 513.1 null] >> endobj 21236 0 obj << /D [2836 0 R /XYZ null 293.8347 null] >> endobj 21237 0 obj << /D [2836 0 R /XYZ null 281.1847 null] >> endobj 21238 0 obj << /D [2869 0 R /XYZ null 697 null] >> endobj 21239 0 obj << /D [2869 0 R /XYZ null 697 null] >> endobj 21240 0 obj << /D [2869 0 R /XYZ null 543.1 null] >> endobj 21241 0 obj << /D [2892 0 R /XYZ null 697 null] >> endobj 21242 0 obj << /D [2892 0 R /XYZ null 697 null] >> endobj 21243 0 obj << /D [2892 0 R /XYZ null 553.1 null] >> endobj 21244 0 obj << /D [2910 0 R /XYZ null 697 null] >> endobj 21245 0 obj << /D [2910 0 R /XYZ null 697 null] >> endobj 21246 0 obj << /D [2910 0 R /XYZ null 553.1 null] >> endobj 21247 0 obj << /D [2919 0 R /XYZ null 697 null] >> endobj 21248 0 obj << /D [2919 0 R /XYZ null 697 null] >> endobj 21249 0 obj << /D [2919 0 R /XYZ null 553.1 null] >> endobj 21250 0 obj << /D [2928 0 R /XYZ null 637.5 null] >> endobj 21251 0 obj << /D [2928 0 R /XYZ null 599.3 null] >> endobj 21252 0 obj << /D [2937 0 R /XYZ null 697 null] >> endobj 21253 0 obj << /D [2937 0 R /XYZ null 697 null] >> endobj 21254 0 obj << /D [2937 0 R /XYZ null 473.1 null] >> endobj 21255 0 obj << /D [2954 0 R /XYZ null 489.2116 null] >> endobj 21256 0 obj << /D [2968 0 R /XYZ null 574.823 null] >> endobj 21257 0 obj << /D [2994 0 R /XYZ null 697 null] >> endobj 21258 0 obj << /D [2994 0 R /XYZ null 697 null] >> endobj 21259 0 obj << /D [2994 0 R /XYZ null 503.1 null] >> endobj 21260 0 obj << /D [3021 0 R /XYZ null 697 null] >> endobj 21261 0 obj << /D [3021 0 R /XYZ null 697 null] >> endobj 21262 0 obj << /D [3021 0 R /XYZ null 543.1 null] >> endobj 21263 0 obj << /D [3047 0 R /XYZ null 697 null] >> endobj 21264 0 obj << /D [3047 0 R /XYZ null 697 null] >> endobj 21265 0 obj << /D [3047 0 R /XYZ null 490.6 null] >> endobj 21266 0 obj << /D [3069 0 R /XYZ null 697 null] >> endobj 21267 0 obj << /D [3069 0 R /XYZ null 697 null] >> endobj 21268 0 obj << /D [3069 0 R /XYZ null 490.6 null] >> endobj 21269 0 obj << /D [3091 0 R /XYZ null 697 null] >> endobj 21270 0 obj << /D [3091 0 R /XYZ null 697 null] >> endobj 21271 0 obj << /D [3091 0 R /XYZ null 443.4 null] >> endobj 21272 0 obj << /D [3101 0 R /XYZ null 531.0308 null] >> endobj 21273 0 obj << /D [3123 0 R /XYZ null 697 null] >> endobj 21274 0 obj << /D [3123 0 R /XYZ null 697 null] >> endobj 21275 0 obj << /D [3123 0 R /XYZ null 543.1 null] >> endobj 21276 0 obj << /D [3148 0 R /XYZ null 697 null] >> endobj 21277 0 obj << /D [3148 0 R /XYZ null 697 null] >> endobj 21278 0 obj << /D [3148 0 R /XYZ null 500.6 null] >> endobj 21279 0 obj << /D [3178 0 R /XYZ null 697 null] >> endobj 21280 0 obj << /D [3178 0 R /XYZ null 697 null] >> endobj 21281 0 obj << /D [3178 0 R /XYZ null 533.1 null] >> endobj 21282 0 obj << /D [3219 0 R /XYZ null 697 null] >> endobj 21283 0 obj << /D [3219 0 R /XYZ null 697 null] >> endobj 21284 0 obj << /D [3219 0 R /XYZ null 543.1 null] >> endobj 21285 0 obj << /D [3247 0 R /XYZ null 697 null] >> endobj 21286 0 obj << /D [3247 0 R /XYZ null 697 null] >> endobj 21287 0 obj << /D [3247 0 R /XYZ null 490.6 null] >> endobj 21288 0 obj << /D [3269 0 R /XYZ null 697 null] >> endobj 21289 0 obj << /D [3269 0 R /XYZ null 697 null] >> endobj 21290 0 obj << /D [3269 0 R /XYZ null 490.6 null] >> endobj 21291 0 obj << /D [3297 0 R /XYZ null 697 null] >> endobj 21292 0 obj << /D [3297 0 R /XYZ null 697 null] >> endobj 21293 0 obj << /D [3297 0 R /XYZ null 500.6 null] >> endobj 21294 0 obj << /D [3306 0 R /XYZ null 697 null] >> endobj 21295 0 obj << /D [3306 0 R /XYZ null 697 null] >> endobj 21296 0 obj << /D [3306 0 R /XYZ null 500.6 null] >> endobj 21297 0 obj << /D [3328 0 R /XYZ null 697 null] >> endobj 21298 0 obj << /D [3328 0 R /XYZ null 697 null] >> endobj 21299 0 obj << /D [3328 0 R /XYZ null 486.3 null] >> endobj 21300 0 obj << /D [3349 0 R /XYZ null 697 null] >> endobj 21301 0 obj << /D [3349 0 R /XYZ null 697 null] >> endobj 21302 0 obj << /D [3349 0 R /XYZ null 490.6 null] >> endobj 21303 0 obj << /D [3371 0 R /XYZ null 697 null] >> endobj 21304 0 obj << /D [3371 0 R /XYZ null 697 null] >> endobj 21305 0 obj << /D [3371 0 R /XYZ null 490.6 null] >> endobj 21306 0 obj << /D [3399 0 R /XYZ null 697 null] >> endobj 21307 0 obj << /D [3399 0 R /XYZ null 697 null] >> endobj 21308 0 obj << /D [3399 0 R /XYZ null 500.6 null] >> endobj 21309 0 obj << /D [3420 0 R /XYZ null 697 null] >> endobj 21310 0 obj << /D [3420 0 R /XYZ null 697 null] >> endobj 21311 0 obj << /D [3420 0 R /XYZ null 500.6 null] >> endobj 21312 0 obj << /D [3438 0 R /XYZ null 697 null] >> endobj 21313 0 obj << /D [3438 0 R /XYZ null 697 null] >> endobj 21314 0 obj << /D [3438 0 R /XYZ null 500.6 null] >> endobj 21315 0 obj << /D [3459 0 R /XYZ null 697 null] >> endobj 21316 0 obj << /D [3459 0 R /XYZ null 697 null] >> endobj 21317 0 obj << /D [3459 0 R /XYZ null 490.6 null] >> endobj 21318 0 obj << /D [3481 0 R /XYZ null 697 null] >> endobj 21319 0 obj << /D [3481 0 R /XYZ null 697 null] >> endobj 21320 0 obj << /D [3481 0 R /XYZ null 500.6 null] >> endobj 21321 0 obj << /D [3490 0 R /XYZ null 697 null] >> endobj 21322 0 obj << /D [3490 0 R /XYZ null 697 null] >> endobj 21323 0 obj << /D [3490 0 R /XYZ null 500.6 null] >> endobj 21324 0 obj << /D [3516 0 R /XYZ null 697 null] >> endobj 21325 0 obj << /D [3516 0 R /XYZ null 697 null] >> endobj 21326 0 obj << /D [3516 0 R /XYZ null 490.6 null] >> endobj 21327 0 obj << /D [3537 0 R /XYZ null 697 null] >> endobj 21328 0 obj << /D [3537 0 R /XYZ null 697 null] >> endobj 21329 0 obj << /D [3537 0 R /XYZ null 490.6 null] >> endobj 21330 0 obj << /D [3557 0 R /XYZ null 697 null] >> endobj 21331 0 obj << /D [3557 0 R /XYZ null 697 null] >> endobj 21332 0 obj << /D [3557 0 R /XYZ null 500.6 null] >> endobj 21333 0 obj << /D [3566 0 R /XYZ null 697 null] >> endobj 21334 0 obj << /D [3566 0 R /XYZ null 697 null] >> endobj 21335 0 obj << /D [3566 0 R /XYZ null 553.1 null] >> endobj 21336 0 obj << /D [3602 0 R /XYZ null 697 null] >> endobj 21337 0 obj << /D [3602 0 R /XYZ null 697 null] >> endobj 21338 0 obj << /D [3602 0 R /XYZ null 490.6 null] >> endobj 21339 0 obj << /D [3640 0 R /XYZ null 697 null] >> endobj 21340 0 obj << /D [3640 0 R /XYZ null 697 null] >> endobj 21341 0 obj << /D [3640 0 R /XYZ null 543.1 null] >> endobj 21342 0 obj << /D [3640 0 R /XYZ null 195.5751 null] >> endobj 21343 0 obj << /D [3649 0 R /XYZ null 503.3192 null] >> endobj 21344 0 obj << /D [3667 0 R /XYZ null 697 null] >> endobj 21345 0 obj << /D [3667 0 R /XYZ null 697 null] >> endobj 21346 0 obj << /D [3667 0 R /XYZ null 553.1 null] >> endobj 21347 0 obj << /D [3667 0 R /XYZ null 157.8826 null] >> endobj 21348 0 obj << /D [3687 0 R /XYZ null 697 null] >> endobj 21349 0 obj << /D [3687 0 R /XYZ null 697 null] >> endobj 21350 0 obj << /D [3687 0 R /XYZ null 500.6 null] >> endobj 21351 0 obj << /D [3721 0 R /XYZ null 697 null] >> endobj 21352 0 obj << /D [3721 0 R /XYZ null 697 null] >> endobj 21353 0 obj << /D [3721 0 R /XYZ null 500.6 null] >> endobj 21354 0 obj << /D [3750 0 R /XYZ null 697 null] >> endobj 21355 0 obj << /D [3750 0 R /XYZ null 697 null] >> endobj 21356 0 obj << /D [3750 0 R /XYZ null 543.1 null] >> endobj 21357 0 obj << /D [3796 0 R /XYZ null 697 null] >> endobj 21358 0 obj << /D [3796 0 R /XYZ null 697 null] >> endobj 21359 0 obj << /D [3796 0 R /XYZ null 500.6 null] >> endobj 21360 0 obj << /D [3820 0 R /XYZ null 697 null] >> endobj 21361 0 obj << /D [3820 0 R /XYZ null 697 null] >> endobj 21362 0 obj << /D [3820 0 R /XYZ null 543.1 null] >> endobj 21363 0 obj << /D [3864 0 R /XYZ null 697 null] >> endobj 21364 0 obj << /D [3864 0 R /XYZ null 697 null] >> endobj 21365 0 obj << /D [3864 0 R /XYZ null 490.6 null] >> endobj 21366 0 obj << /D [3891 0 R /XYZ null 697 null] >> endobj 21367 0 obj << /D [3891 0 R /XYZ null 697 null] >> endobj 21368 0 obj << /D [3891 0 R /XYZ null 470.6 null] >> endobj 21369 0 obj << /D [3939 0 R /XYZ null 697 null] >> endobj 21370 0 obj << /D [3939 0 R /XYZ null 697 null] >> endobj 21371 0 obj << /D [3939 0 R /XYZ null 523.1 null] >> endobj 21372 0 obj << /D [3961 0 R /XYZ null 697 null] >> endobj 21373 0 obj << /D [3961 0 R /XYZ null 697 null] >> endobj 21374 0 obj << /D [3961 0 R /XYZ null 543.1 null] >> endobj 21375 0 obj << /D [3970 0 R /XYZ null 438.7423 null] >> endobj 21376 0 obj << /D [3983 0 R /XYZ null 697 null] >> endobj 21377 0 obj << /D [3983 0 R /XYZ null 697 null] >> endobj 21378 0 obj << /D [3983 0 R /XYZ null 480.6 null] >> endobj 21379 0 obj << /D [4021 0 R /XYZ null 697 null] >> endobj 21380 0 obj << /D [4021 0 R /XYZ null 697 null] >> endobj 21381 0 obj << /D [4021 0 R /XYZ null 543.1 null] >> endobj 21382 0 obj << /D [4051 0 R /XYZ null 697 null] >> endobj 21383 0 obj << /D [4051 0 R /XYZ null 697 null] >> endobj 21384 0 obj << /D [4051 0 R /XYZ null 553.1 null] >> endobj 21385 0 obj << /D [4085 0 R /XYZ null 697 null] >> endobj 21386 0 obj << /D [4085 0 R /XYZ null 697 null] >> endobj 21387 0 obj << /D [4085 0 R /XYZ null 543.1 null] >> endobj 21388 0 obj << /D [4117 0 R /XYZ null 697 null] >> endobj 21389 0 obj << /D [4117 0 R /XYZ null 697 null] >> endobj 21390 0 obj << /D [4117 0 R /XYZ null 490.6 null] >> endobj 21391 0 obj << /D [4144 0 R /XYZ null 697 null] >> endobj 21392 0 obj << /D [4144 0 R /XYZ null 697 null] >> endobj 21393 0 obj << /D [4144 0 R /XYZ null 462 null] >> endobj 21394 0 obj << /D [4176 0 R /XYZ null 697 null] >> endobj 21395 0 obj << /D [4176 0 R /XYZ null 697 null] >> endobj 21396 0 obj << /D [4176 0 R /XYZ null 490.6 null] >> endobj 21397 0 obj << /D [4200 0 R /XYZ null 697 null] >> endobj 21398 0 obj << /D [4200 0 R /XYZ null 697 null] >> endobj 21399 0 obj << /D [4200 0 R /XYZ null 490.6 null] >> endobj 21400 0 obj << /D [4234 0 R /XYZ null 697 null] >> endobj 21401 0 obj << /D [4234 0 R /XYZ null 697 null] >> endobj 21402 0 obj << /D [4234 0 R /XYZ null 476.3 null] >> endobj 21403 0 obj << /D [4269 0 R /XYZ null 697 null] >> endobj 21404 0 obj << /D [4269 0 R /XYZ null 697 null] >> endobj 21405 0 obj << /D [4269 0 R /XYZ null 500.6 null] >> endobj 21406 0 obj << /D [4303 0 R /XYZ null 697 null] >> endobj 21407 0 obj << /D [4303 0 R /XYZ null 697 null] >> endobj 21408 0 obj << /D [4303 0 R /XYZ null 500.6 null] >> endobj 21409 0 obj << /D [4327 0 R /XYZ null 697 null] >> endobj 21410 0 obj << /D [4327 0 R /XYZ null 697 null] >> endobj 21411 0 obj << /D [4327 0 R /XYZ null 480.6 null] >> endobj 21412 0 obj << /D [4356 0 R /XYZ null 697 null] >> endobj 21413 0 obj << /D [4356 0 R /XYZ null 697 null] >> endobj 21414 0 obj << /D [4356 0 R /XYZ null 553.1 null] >> endobj 21415 0 obj << /D [4378 0 R /XYZ null 697 null] >> endobj 21416 0 obj << /D [4378 0 R /XYZ null 697 null] >> endobj 21417 0 obj << /D [4378 0 R /XYZ null 553.1 null] >> endobj 21418 0 obj << /D [4399 0 R /XYZ null 697 null] >> endobj 21419 0 obj << /D [4399 0 R /XYZ null 697 null] >> endobj 21420 0 obj << /D [4399 0 R /XYZ null 553.1 null] >> endobj 21421 0 obj << /D [4427 0 R /XYZ null 697 null] >> endobj 21422 0 obj << /D [4427 0 R /XYZ null 697 null] >> endobj 21423 0 obj << /D [4427 0 R /XYZ null 543.1 null] >> endobj 21424 0 obj << /D [4450 0 R /XYZ null 697 null] >> endobj 21425 0 obj << /D [4450 0 R /XYZ null 697 null] >> endobj 21426 0 obj << /D [4450 0 R /XYZ null 500.6 null] >> endobj 21427 0 obj << /D [4472 0 R /XYZ null 697 null] >> endobj 21428 0 obj << /D [4472 0 R /XYZ null 697 null] >> endobj 21429 0 obj << /D [4472 0 R /XYZ null 543.1 null] >> endobj 21430 0 obj << /D [4501 0 R /XYZ null 697 null] >> endobj 21431 0 obj << /D [4501 0 R /XYZ null 697 null] >> endobj 21432 0 obj << /D [4501 0 R /XYZ null 543.1 null] >> endobj 21433 0 obj << /D [4539 0 R /XYZ null 697 null] >> endobj 21434 0 obj << /D [4539 0 R /XYZ null 697 null] >> endobj 21435 0 obj << /D [4539 0 R /XYZ null 490.6 null] >> endobj 21436 0 obj << /D [4569 0 R /XYZ null 697 null] >> endobj 21437 0 obj << /D [4569 0 R /XYZ null 697 null] >> endobj 21438 0 obj << /D [4569 0 R /XYZ null 543.1 null] >> endobj 21439 0 obj << /D [4578 0 R /XYZ null 697 null] >> endobj 21440 0 obj << /D [4578 0 R /XYZ null 697 null] >> endobj 21441 0 obj << /D [4578 0 R /XYZ null 490.6 null] >> endobj 21442 0 obj << /D [4588 0 R /XYZ null 697 null] >> endobj 21443 0 obj << /D [4588 0 R /XYZ null 697 null] >> endobj 21444 0 obj << /D [4588 0 R /XYZ null 543.1 null] >> endobj 21445 0 obj << /D [4598 0 R /XYZ null 122.3502 null] >> endobj 21446 0 obj << /D [4621 0 R /XYZ null 697 null] >> endobj 21447 0 obj << /D [4621 0 R /XYZ null 697 null] >> endobj 21448 0 obj << /D [4621 0 R /XYZ null 490.6 null] >> endobj 21449 0 obj << /D [4660 0 R /XYZ null 697 null] >> endobj 21450 0 obj << /D [4660 0 R /XYZ null 697 null] >> endobj 21451 0 obj << /D [4660 0 R /XYZ null 480.6 null] >> endobj 21452 0 obj << /D [4691 0 R /XYZ null 697 null] >> endobj 21453 0 obj << /D [4691 0 R /XYZ null 697 null] >> endobj 21454 0 obj << /D [4691 0 R /XYZ null 533.1 null] >> endobj 21455 0 obj << /D [4723 0 R /XYZ null 697 null] >> endobj 21456 0 obj << /D [4723 0 R /XYZ null 697 null] >> endobj 21457 0 obj << /D [4723 0 R /XYZ null 480.6 null] >> endobj 21458 0 obj << /D [4752 0 R /XYZ null 697 null] >> endobj 21459 0 obj << /D [4752 0 R /XYZ null 697 null] >> endobj 21460 0 obj << /D [4752 0 R /XYZ null 480.6 null] >> endobj 21461 0 obj << /D [4781 0 R /XYZ null 697 null] >> endobj 21462 0 obj << /D [4781 0 R /XYZ null 697 null] >> endobj 21463 0 obj << /D [4781 0 R /XYZ null 500.6 null] >> endobj 21464 0 obj << /D [4781 0 R /XYZ null 358.675 null] >> endobj 21465 0 obj << /D [4781 0 R /XYZ null 277.575 null] >> endobj 21466 0 obj << /D [4790 0 R /XYZ null 657.5346 null] >> endobj 21467 0 obj << /D [4790 0 R /XYZ null 564.1423 null] >> endobj 21468 0 obj << /D [4799 0 R /XYZ null 697 null] >> endobj 21469 0 obj << /D [4799 0 R /XYZ null 697 null] >> endobj 21470 0 obj << /D [4799 0 R /XYZ null 513.1 null] >> endobj 21471 0 obj << /D [4834 0 R /XYZ null 697 null] >> endobj 21472 0 obj << /D [4834 0 R /XYZ null 697 null] >> endobj 21473 0 obj << /D [4834 0 R /XYZ null 500.6 null] >> endobj 21474 0 obj << /D [4855 0 R /XYZ null 697 null] >> endobj 21475 0 obj << /D [4855 0 R /XYZ null 697 null] >> endobj 21476 0 obj << /D [4855 0 R /XYZ null 543.1 null] >> endobj 21477 0 obj << /D [4855 0 R /XYZ null 331.175 null] >> endobj 21478 0 obj << /D [4855 0 R /XYZ null 175.175 null] >> endobj 21479 0 obj << /D [4864 0 R /XYZ null 384.9654 null] >> endobj 21480 0 obj << /D [4864 0 R /XYZ null 168.6693 null] >> endobj 21481 0 obj << /D [4864 0 R /XYZ null 130.4694 null] >> endobj 21482 0 obj << /D [4882 0 R /XYZ null 697 null] >> endobj 21483 0 obj << /D [4882 0 R /XYZ null 697 null] >> endobj 21484 0 obj << /D [4882 0 R /XYZ null 460.6 null] >> endobj 21485 0 obj << /D [4930 0 R /XYZ null 697 null] >> endobj 21486 0 obj << /D [4930 0 R /XYZ null 697 null] >> endobj 21487 0 obj << /D [4930 0 R /XYZ null 500.6 null] >> endobj 21488 0 obj << /D [4953 0 R /XYZ null 697 null] >> endobj 21489 0 obj << /D [4953 0 R /XYZ null 697 null] >> endobj 21490 0 obj << /D [4953 0 R /XYZ null 486.3 null] >> endobj 21491 0 obj << /D [4974 0 R /XYZ null 697 null] >> endobj 21492 0 obj << /D [4974 0 R /XYZ null 697 null] >> endobj 21493 0 obj << /D [4974 0 R /XYZ null 500.6 null] >> endobj 21494 0 obj << /D [4995 0 R /XYZ null 697 null] >> endobj 21495 0 obj << /D [4995 0 R /XYZ null 697 null] >> endobj 21496 0 obj << /D [4995 0 R /XYZ null 500.6 null] >> endobj 21497 0 obj << /D [5013 0 R /XYZ null 697 null] >> endobj 21498 0 obj << /D [5013 0 R /XYZ null 697 null] >> endobj 21499 0 obj << /D [5013 0 R /XYZ null 500.6 null] >> endobj 21500 0 obj << /D [5049 0 R /XYZ null 697 null] >> endobj 21501 0 obj << /D [5049 0 R /XYZ null 697 null] >> endobj 21502 0 obj << /D [5049 0 R /XYZ null 500.6 null] >> endobj 21503 0 obj << /D [5083 0 R /XYZ null 697 null] >> endobj 21504 0 obj << /D [5083 0 R /XYZ null 697 null] >> endobj 21505 0 obj << /D [5083 0 R /XYZ null 500.6 null] >> endobj 21506 0 obj << /D [5104 0 R /XYZ null 697 null] >> endobj 21507 0 obj << /D [5104 0 R /XYZ null 697 null] >> endobj 21508 0 obj << /D [5104 0 R /XYZ null 500.6 null] >> endobj 21509 0 obj << /D [5124 0 R /XYZ null 697 null] >> endobj 21510 0 obj << /D [5124 0 R /XYZ null 697 null] >> endobj 21511 0 obj << /D [5124 0 R /XYZ null 472 null] >> endobj 21512 0 obj << /D [5148 0 R /XYZ null 697 null] >> endobj 21513 0 obj << /D [5148 0 R /XYZ null 697 null] >> endobj 21514 0 obj << /D [5148 0 R /XYZ null 500.6 null] >> endobj 21515 0 obj << /D [5171 0 R /XYZ null 697 null] >> endobj 21516 0 obj << /D [5171 0 R /XYZ null 697 null] >> endobj 21517 0 obj << /D [5171 0 R /XYZ null 500.6 null] >> endobj 21518 0 obj << /D [5200 0 R /XYZ null 697 null] >> endobj 21519 0 obj << /D [5200 0 R /XYZ null 697 null] >> endobj 21520 0 obj << /D [5200 0 R /XYZ null 500.6 null] >> endobj 21521 0 obj << /D [5221 0 R /XYZ null 697 null] >> endobj 21522 0 obj << /D [5221 0 R /XYZ null 697 null] >> endobj 21523 0 obj << /D [5221 0 R /XYZ null 500.6 null] >> endobj 21524 0 obj << /D [5245 0 R /XYZ null 697 null] >> endobj 21525 0 obj << /D [5245 0 R /XYZ null 697 null] >> endobj 21526 0 obj << /D [5245 0 R /XYZ null 500.6 null] >> endobj 21527 0 obj << /D [5270 0 R /XYZ null 697 null] >> endobj 21528 0 obj << /D [5270 0 R /XYZ null 697 null] >> endobj 21529 0 obj << /D [5270 0 R /XYZ null 500.6 null] >> endobj 21530 0 obj << /D [5301 0 R /XYZ null 697 null] >> endobj 21531 0 obj << /D [5301 0 R /XYZ null 697 null] >> endobj 21532 0 obj << /D [5301 0 R /XYZ null 500.6 null] >> endobj 21533 0 obj << /D [5330 0 R /XYZ null 697 null] >> endobj 21534 0 obj << /D [5330 0 R /XYZ null 697 null] >> endobj 21535 0 obj << /D [5330 0 R /XYZ null 500.6 null] >> endobj 21536 0 obj << /D [5354 0 R /XYZ null 697 null] >> endobj 21537 0 obj << /D [5354 0 R /XYZ null 697 null] >> endobj 21538 0 obj << /D [5354 0 R /XYZ null 500.6 null] >> endobj 21539 0 obj << /D [5374 0 R /XYZ null 697 null] >> endobj 21540 0 obj << /D [5374 0 R /XYZ null 697 null] >> endobj 21541 0 obj << /D [5374 0 R /XYZ null 500.6 null] >> endobj 21542 0 obj << /D [5394 0 R /XYZ null 697 null] >> endobj 21543 0 obj << /D [5394 0 R /XYZ null 697 null] >> endobj 21544 0 obj << /D [5394 0 R /XYZ null 500.6 null] >> endobj 21545 0 obj << /D [5411 0 R /XYZ null 697 null] >> endobj 21546 0 obj << /D [5411 0 R /XYZ null 697 null] >> endobj 21547 0 obj << /D [5411 0 R /XYZ null 500.6 null] >> endobj 21548 0 obj << /D [5428 0 R /XYZ null 697 null] >> endobj 21549 0 obj << /D [5428 0 R /XYZ null 697 null] >> endobj 21550 0 obj << /D [5428 0 R /XYZ null 500.6 null] >> endobj 21551 0 obj << /D [5446 0 R /XYZ null 697 null] >> endobj 21552 0 obj << /D [5446 0 R /XYZ null 697 null] >> endobj 21553 0 obj << /D [5446 0 R /XYZ null 553.1 null] >> endobj 21554 0 obj << /D [5462 0 R /XYZ null 697 null] >> endobj 21555 0 obj << /D [5462 0 R /XYZ null 697 null] >> endobj 21556 0 obj << /D [5462 0 R /XYZ null 553.1 null] >> endobj 21557 0 obj << /D [5471 0 R /XYZ null 697 null] >> endobj 21558 0 obj << /D [5471 0 R /XYZ null 697 null] >> endobj 21559 0 obj << /D [5471 0 R /XYZ null 500.6 null] >> endobj 21560 0 obj << /D [5491 0 R /XYZ null 697 null] >> endobj 21561 0 obj << /D [5491 0 R /XYZ null 697 null] >> endobj 21562 0 obj << /D [5491 0 R /XYZ null 543.1 null] >> endobj 21563 0 obj << /D [5509 0 R /XYZ null 697 null] >> endobj 21564 0 obj << /D [5509 0 R /XYZ null 697 null] >> endobj 21565 0 obj << /D [5509 0 R /XYZ null 500.6 null] >> endobj 21566 0 obj << /D [5534 0 R /XYZ null 697 null] >> endobj 21567 0 obj << /D [5534 0 R /XYZ null 697 null] >> endobj 21568 0 obj << /D [5534 0 R /XYZ null 553.1 null] >> endobj 21569 0 obj << /D [5552 0 R /XYZ null 697 null] >> endobj 21570 0 obj << /D [5552 0 R /XYZ null 697 null] >> endobj 21571 0 obj << /D [5552 0 R /XYZ null 553.1 null] >> endobj 21572 0 obj << /D [5572 0 R /XYZ null 697 null] >> endobj 21573 0 obj << /D [5572 0 R /XYZ null 697 null] >> endobj 21574 0 obj << /D [5572 0 R /XYZ null 553.1 null] >> endobj 21575 0 obj << /D [5591 0 R /XYZ null 697 null] >> endobj 21576 0 obj << /D [5591 0 R /XYZ null 697 null] >> endobj 21577 0 obj << /D [5591 0 R /XYZ null 553.1 null] >> endobj 21578 0 obj << /D [5610 0 R /XYZ null 697 null] >> endobj 21579 0 obj << /D [5610 0 R /XYZ null 697 null] >> endobj 21580 0 obj << /D [5610 0 R /XYZ null 553.1 null] >> endobj 21581 0 obj << /D [5629 0 R /XYZ null 697 null] >> endobj 21582 0 obj << /D [5629 0 R /XYZ null 697 null] >> endobj 21583 0 obj << /D [5629 0 R /XYZ null 490.6 null] >> endobj 21584 0 obj << /D [5659 0 R /XYZ null 697 null] >> endobj 21585 0 obj << /D [5659 0 R /XYZ null 697 null] >> endobj 21586 0 obj << /D [5659 0 R /XYZ null 553.1 null] >> endobj 21587 0 obj << /D [5678 0 R /XYZ null 697 null] >> endobj 21588 0 obj << /D [5678 0 R /XYZ null 697 null] >> endobj 21589 0 obj << /D [5678 0 R /XYZ null 553.1 null] >> endobj 21590 0 obj << /D [5700 0 R /XYZ null 697 null] >> endobj 21591 0 obj << /D [5700 0 R /XYZ null 697 null] >> endobj 21592 0 obj << /D [5700 0 R /XYZ null 500.6 null] >> endobj 21593 0 obj << /D [5724 0 R /XYZ null 697 null] >> endobj 21594 0 obj << /D [5724 0 R /XYZ null 697 null] >> endobj 21595 0 obj << /D [5724 0 R /XYZ null 553.1 null] >> endobj 21596 0 obj << /D [5747 0 R /XYZ null 697 null] >> endobj 21597 0 obj << /D [5747 0 R /XYZ null 697 null] >> endobj 21598 0 obj << /D [5747 0 R /XYZ null 553.1 null] >> endobj 21599 0 obj << /D [5768 0 R /XYZ null 697 null] >> endobj 21600 0 obj << /D [5768 0 R /XYZ null 697 null] >> endobj 21601 0 obj << /D [5768 0 R /XYZ null 553.1 null] >> endobj 21602 0 obj << /D [5786 0 R /XYZ null 697 null] >> endobj 21603 0 obj << /D [5786 0 R /XYZ null 697 null] >> endobj 21604 0 obj << /D [5786 0 R /XYZ null 553.1 null] >> endobj 21605 0 obj << /D [5805 0 R /XYZ null 697 null] >> endobj 21606 0 obj << /D [5805 0 R /XYZ null 697 null] >> endobj 21607 0 obj << /D [5805 0 R /XYZ null 553.1 null] >> endobj 21608 0 obj << /D [5828 0 R /XYZ null 697 null] >> endobj 21609 0 obj << /D [5828 0 R /XYZ null 697 null] >> endobj 21610 0 obj << /D [5828 0 R /XYZ null 553.1 null] >> endobj 21611 0 obj << /D [5846 0 R /XYZ null 697 null] >> endobj 21612 0 obj << /D [5846 0 R /XYZ null 697 null] >> endobj 21613 0 obj << /D [5846 0 R /XYZ null 553.1 null] >> endobj 21614 0 obj << /D [5865 0 R /XYZ null 697 null] >> endobj 21615 0 obj << /D [5865 0 R /XYZ null 697 null] >> endobj 21616 0 obj << /D [5865 0 R /XYZ null 553.1 null] >> endobj 21617 0 obj << /D [5884 0 R /XYZ null 697 null] >> endobj 21618 0 obj << /D [5884 0 R /XYZ null 697 null] >> endobj 21619 0 obj << /D [5884 0 R /XYZ null 553.1 null] >> endobj 21620 0 obj << /D [5894 0 R /XYZ null 697 null] >> endobj 21621 0 obj << /D [5894 0 R /XYZ null 697 null] >> endobj 21622 0 obj << /D [5894 0 R /XYZ null 553.1 null] >> endobj 21623 0 obj << /D [5915 0 R /XYZ null 697 null] >> endobj 21624 0 obj << /D [5915 0 R /XYZ null 697 null] >> endobj 21625 0 obj << /D [5915 0 R /XYZ null 553.1 null] >> endobj 21626 0 obj << /D [5924 0 R /XYZ null 609.3 null] >> endobj 21627 0 obj << /D [5935 0 R /XYZ null 697 null] >> endobj 21628 0 obj << /D [5935 0 R /XYZ null 697 null] >> endobj 21629 0 obj << /D [5935 0 R /XYZ null 553.1 null] >> endobj 21630 0 obj << /D [5958 0 R /XYZ null 697 null] >> endobj 21631 0 obj << /D [5958 0 R /XYZ null 697 null] >> endobj 21632 0 obj << /D [5958 0 R /XYZ null 553.1 null] >> endobj 21633 0 obj << /D [5967 0 R /XYZ null 551.1038 null] >> endobj 21634 0 obj << /D [5977 0 R /XYZ null 697 null] >> endobj 21635 0 obj << /D [5977 0 R /XYZ null 697 null] >> endobj 21636 0 obj << /D [5977 0 R /XYZ null 553.1 null] >> endobj 21637 0 obj << /D [5997 0 R /XYZ null 697 null] >> endobj 21638 0 obj << /D [5997 0 R /XYZ null 697 null] >> endobj 21639 0 obj << /D [5997 0 R /XYZ null 553.1 null] >> endobj 21640 0 obj << /D [6013 0 R /XYZ null 697 null] >> endobj 21641 0 obj << /D [6013 0 R /XYZ null 697 null] >> endobj 21642 0 obj << /D [6013 0 R /XYZ null 553.1 null] >> endobj 21643 0 obj << /D [6032 0 R /XYZ null 697 null] >> endobj 21644 0 obj << /D [6032 0 R /XYZ null 697 null] >> endobj 21645 0 obj << /D [6032 0 R /XYZ null 553.1 null] >> endobj 21646 0 obj << /D [6060 0 R /XYZ null 697 null] >> endobj 21647 0 obj << /D [6060 0 R /XYZ null 697 null] >> endobj 21648 0 obj << /D [6060 0 R /XYZ null 553.1 null] >> endobj 21649 0 obj << /D [6078 0 R /XYZ null 697 null] >> endobj 21650 0 obj << /D [6078 0 R /XYZ null 697 null] >> endobj 21651 0 obj << /D [6078 0 R /XYZ null 553.1 null] >> endobj 21652 0 obj << /D [6102 0 R /XYZ null 697 null] >> endobj 21653 0 obj << /D [6102 0 R /XYZ null 697 null] >> endobj 21654 0 obj << /D [6102 0 R /XYZ null 486.3 null] >> endobj 21655 0 obj << /D [6120 0 R /XYZ null 697 null] >> endobj 21656 0 obj << /D [6120 0 R /XYZ null 697 null] >> endobj 21657 0 obj << /D [6120 0 R /XYZ null 486.3 null] >> endobj 21658 0 obj << /D [6145 0 R /XYZ null 697 null] >> endobj 21659 0 obj << /D [6145 0 R /XYZ null 697 null] >> endobj 21660 0 obj << /D [6145 0 R /XYZ null 500.6 null] >> endobj 21661 0 obj << /D [6168 0 R /XYZ null 697 null] >> endobj 21662 0 obj << /D [6168 0 R /XYZ null 697 null] >> endobj 21663 0 obj << /D [6168 0 R /XYZ null 500.6 null] >> endobj 21664 0 obj << /D [6192 0 R /XYZ null 697 null] >> endobj 21665 0 obj << /D [6192 0 R /XYZ null 697 null] >> endobj 21666 0 obj << /D [6192 0 R /XYZ null 553.1 null] >> endobj 21667 0 obj << /D [6213 0 R /XYZ null 697 null] >> endobj 21668 0 obj << /D [6213 0 R /XYZ null 697 null] >> endobj 21669 0 obj << /D [6213 0 R /XYZ null 553.1 null] >> endobj 21670 0 obj << /D [6234 0 R /XYZ null 697 null] >> endobj 21671 0 obj << /D [6234 0 R /XYZ null 697 null] >> endobj 21672 0 obj << /D [6234 0 R /XYZ null 543.1 null] >> endobj 21673 0 obj << /D [6265 0 R /XYZ null 697 null] >> endobj 21674 0 obj << /D [6265 0 R /XYZ null 697 null] >> endobj 21675 0 obj << /D [6265 0 R /XYZ null 543.1 null] >> endobj 21676 0 obj << /D [6290 0 R /XYZ null 697 null] >> endobj 21677 0 obj << /D [6290 0 R /XYZ null 697 null] >> endobj 21678 0 obj << /D [6290 0 R /XYZ null 553.1 null] >> endobj 21679 0 obj << /D [6316 0 R /XYZ null 697 null] >> endobj 21680 0 obj << /D [6316 0 R /XYZ null 697 null] >> endobj 21681 0 obj << /D [6316 0 R /XYZ null 543.1 null] >> endobj 21682 0 obj << /D [6335 0 R /XYZ null 697 null] >> endobj 21683 0 obj << /D [6335 0 R /XYZ null 697 null] >> endobj 21684 0 obj << /D [6335 0 R /XYZ null 553.1 null] >> endobj 21685 0 obj << /D [6355 0 R /XYZ null 697 null] >> endobj 21686 0 obj << /D [6355 0 R /XYZ null 697 null] >> endobj 21687 0 obj << /D [6355 0 R /XYZ null 490.6 null] >> endobj 21688 0 obj << /D [6384 0 R /XYZ null 697 null] >> endobj 21689 0 obj << /D [6384 0 R /XYZ null 697 null] >> endobj 21690 0 obj << /D [6384 0 R /XYZ null 553.1 null] >> endobj 21691 0 obj << /D [6409 0 R /XYZ null 697 null] >> endobj 21692 0 obj << /D [6409 0 R /XYZ null 697 null] >> endobj 21693 0 obj << /D [6409 0 R /XYZ null 543.1 null] >> endobj 21694 0 obj << /D [6418 0 R /XYZ null 697 null] >> endobj 21695 0 obj << /D [6418 0 R /XYZ null 697 null] >> endobj 21696 0 obj << /D [6418 0 R /XYZ null 486.3 null] >> endobj 21697 0 obj << /D [6438 0 R /XYZ null 697 null] >> endobj 21698 0 obj << /D [6438 0 R /XYZ null 697 null] >> endobj 21699 0 obj << /D [6438 0 R /XYZ null 466.3 null] >> endobj 21700 0 obj << /D [6448 0 R /XYZ null 141.7191 null] >> endobj 21701 0 obj << /D [6465 0 R /XYZ null 697 null] >> endobj 21702 0 obj << /D [6465 0 R /XYZ null 697 null] >> endobj 21703 0 obj << /D [6465 0 R /XYZ null 426.3 null] >> endobj 21704 0 obj << /D [6482 0 R /XYZ null 294.8346 null] >> endobj 21705 0 obj << /D [6489 0 R /XYZ null 101.446 null] >> endobj 21706 0 obj << /D [6510 0 R /XYZ null 488.0154 null] >> endobj 21707 0 obj << /D [6529 0 R /XYZ null 697 null] >> endobj 21708 0 obj << /D [6529 0 R /XYZ null 697 null] >> endobj 21709 0 obj << /D [6529 0 R /XYZ null 543.1 null] >> endobj 21710 0 obj << /D [6529 0 R /XYZ null 372.675 null] >> endobj 21711 0 obj << /D [6529 0 R /XYZ null 238.675 null] >> endobj 21712 0 obj << /D [6536 0 R /XYZ null 711 null] >> endobj 21713 0 obj << /D [6536 0 R /XYZ null 551.1638 null] >> endobj 21714 0 obj << /D [6543 0 R /XYZ null 711 null] >> endobj 21715 0 obj << /D [6543 0 R /XYZ null 266.3347 null] >> endobj 21716 0 obj << /D [6543 0 R /XYZ null 228.1346 null] >> endobj 21717 0 obj << /D [6552 0 R /XYZ null 697 null] >> endobj 21718 0 obj << /D [6552 0 R /XYZ null 697 null] >> endobj 21719 0 obj << /D [6552 0 R /XYZ null 490.6 null] >> endobj 21720 0 obj << /D [6573 0 R /XYZ null 697 null] >> endobj 21721 0 obj << /D [6573 0 R /XYZ null 697 null] >> endobj 21722 0 obj << /D [6573 0 R /XYZ null 500.6 null] >> endobj 21723 0 obj << /D [6603 0 R /XYZ null 697 null] >> endobj 21724 0 obj << /D [6603 0 R /XYZ null 697 null] >> endobj 21725 0 obj << /D [6603 0 R /XYZ null 480.6 null] >> endobj 21726 0 obj << /D [6634 0 R /XYZ null 697 null] >> endobj 21727 0 obj << /D [6634 0 R /XYZ null 697 null] >> endobj 21728 0 obj << /D [6634 0 R /XYZ null 543.1 null] >> endobj 21729 0 obj << /D [6667 0 R /XYZ null 697 null] >> endobj 21730 0 obj << /D [6667 0 R /XYZ null 697 null] >> endobj 21731 0 obj << /D [6667 0 R /XYZ null 528.8 null] >> endobj 21732 0 obj << /D [6686 0 R /XYZ null 697 null] >> endobj 21733 0 obj << /D [6686 0 R /XYZ null 697 null] >> endobj 21734 0 obj << /D [6686 0 R /XYZ null 538.8 null] >> endobj 21735 0 obj << /D [6702 0 R /XYZ null 697 null] >> endobj 21736 0 obj << /D [6702 0 R /XYZ null 697 null] >> endobj 21737 0 obj << /D [6702 0 R /XYZ null 533.1 null] >> endobj 21738 0 obj << /D [6711 0 R /XYZ null 697 null] >> endobj 21739 0 obj << /D [6711 0 R /XYZ null 697 null] >> endobj 21740 0 obj << /D [6711 0 R /XYZ null 490.6 null] >> endobj 21741 0 obj << /D [6728 0 R /XYZ null 697 null] >> endobj 21742 0 obj << /D [6728 0 R /XYZ null 697 null] >> endobj 21743 0 obj << /D [6728 0 R /XYZ null 500.6 null] >> endobj 21744 0 obj << /D [6747 0 R /XYZ null 697 null] >> endobj 21745 0 obj << /D [6747 0 R /XYZ null 697 null] >> endobj 21746 0 obj << /D [6747 0 R /XYZ null 543.1 null] >> endobj 21747 0 obj << /D [6756 0 R /XYZ null 697 null] >> endobj 21748 0 obj << /D [6756 0 R /XYZ null 697 null] >> endobj 21749 0 obj << /D [6756 0 R /XYZ null 533.1 null] >> endobj 21750 0 obj << /D [6785 0 R /XYZ null 697 null] >> endobj 21751 0 obj << /D [6785 0 R /XYZ null 697 null] >> endobj 21752 0 obj << /D [6785 0 R /XYZ null 553.1 null] >> endobj 21753 0 obj << /D [6806 0 R /XYZ null 697 null] >> endobj 21754 0 obj << /D [6806 0 R /XYZ null 697 null] >> endobj 21755 0 obj << /D [6806 0 R /XYZ null 543.1 null] >> endobj 21756 0 obj << /D [6815 0 R /XYZ null 697 null] >> endobj 21757 0 obj << /D [6815 0 R /XYZ null 697 null] >> endobj 21758 0 obj << /D [6815 0 R /XYZ null 543.1 null] >> endobj 21759 0 obj << /D [6824 0 R /XYZ null 697 null] >> endobj 21760 0 obj << /D [6824 0 R /XYZ null 697 null] >> endobj 21761 0 obj << /D [6824 0 R /XYZ null 553.1 null] >> endobj 21762 0 obj << /D [6859 0 R /XYZ null 697 null] >> endobj 21763 0 obj << /D [6859 0 R /XYZ null 697 null] >> endobj 21764 0 obj << /D [6859 0 R /XYZ null 553.1 null] >> endobj 21765 0 obj << /D [6859 0 R /XYZ null 225.4904 null] >> endobj 21766 0 obj << /D [6868 0 R /XYZ null 657.5346 null] >> endobj 21767 0 obj << /D [6868 0 R /XYZ null 506.2384 null] >> endobj 21768 0 obj << /D [6878 0 R /XYZ null 697 null] >> endobj 21769 0 obj << /D [6878 0 R /XYZ null 697 null] >> endobj 21770 0 obj << /D [6878 0 R /XYZ null 480.6 null] >> endobj 21771 0 obj << /D [6918 0 R /XYZ null 697 null] >> endobj 21772 0 obj << /D [6918 0 R /XYZ null 697 null] >> endobj 21773 0 obj << /D [6918 0 R /XYZ null 490.6 null] >> endobj 21774 0 obj << /D [6958 0 R /XYZ null 697 null] >> endobj 21775 0 obj << /D [6958 0 R /XYZ null 697 null] >> endobj 21776 0 obj << /D [6958 0 R /XYZ null 490.6 null] >> endobj 21777 0 obj << /D [6998 0 R /XYZ null 697 null] >> endobj 21778 0 obj << /D [6998 0 R /XYZ null 697 null] >> endobj 21779 0 obj << /D [6998 0 R /XYZ null 490.6 null] >> endobj 21780 0 obj << /D [7028 0 R /XYZ null 697 null] >> endobj 21781 0 obj << /D [7028 0 R /XYZ null 697 null] >> endobj 21782 0 obj << /D [7028 0 R /XYZ null 480.6 null] >> endobj 21783 0 obj << /D [7068 0 R /XYZ null 697 null] >> endobj 21784 0 obj << /D [7068 0 R /XYZ null 697 null] >> endobj 21785 0 obj << /D [7068 0 R /XYZ null 490.6 null] >> endobj 21786 0 obj << /D [7110 0 R /XYZ null 697 null] >> endobj 21787 0 obj << /D [7110 0 R /XYZ null 697 null] >> endobj 21788 0 obj << /D [7110 0 R /XYZ null 490.6 null] >> endobj 21789 0 obj << /D [7146 0 R /XYZ null 697 null] >> endobj 21790 0 obj << /D [7146 0 R /XYZ null 697 null] >> endobj 21791 0 obj << /D [7146 0 R /XYZ null 480.6 null] >> endobj 21792 0 obj << /D [7186 0 R /XYZ null 697 null] >> endobj 21793 0 obj << /D [7186 0 R /XYZ null 697 null] >> endobj 21794 0 obj << /D [7186 0 R /XYZ null 490.6 null] >> endobj 21795 0 obj << /D [7227 0 R /XYZ null 697 null] >> endobj 21796 0 obj << /D [7227 0 R /XYZ null 697 null] >> endobj 21797 0 obj << /D [7227 0 R /XYZ null 490.6 null] >> endobj 21798 0 obj << /D [7262 0 R /XYZ null 697 null] >> endobj 21799 0 obj << /D [7262 0 R /XYZ null 697 null] >> endobj 21800 0 obj << /D [7262 0 R /XYZ null 500.6 null] >> endobj 21801 0 obj << /D [7283 0 R /XYZ null 697 null] >> endobj 21802 0 obj << /D [7283 0 R /XYZ null 697 null] >> endobj 21803 0 obj << /D [7283 0 R /XYZ null 490.6 null] >> endobj 21804 0 obj << /D [7315 0 R /XYZ null 697 null] >> endobj 21805 0 obj << /D [7315 0 R /XYZ null 697 null] >> endobj 21806 0 obj << /D [7315 0 R /XYZ null 480.6 null] >> endobj 21807 0 obj << /D [7346 0 R /XYZ null 697 null] >> endobj 21808 0 obj << /D [7346 0 R /XYZ null 697 null] >> endobj 21809 0 obj << /D [7346 0 R /XYZ null 490.6 null] >> endobj 21810 0 obj << /D [7378 0 R /XYZ null 697 null] >> endobj 21811 0 obj << /D [7378 0 R /XYZ null 697 null] >> endobj 21812 0 obj << /D [7378 0 R /XYZ null 490.6 null] >> endobj 21813 0 obj << /D [7409 0 R /XYZ null 697 null] >> endobj 21814 0 obj << /D [7409 0 R /XYZ null 697 null] >> endobj 21815 0 obj << /D [7409 0 R /XYZ null 490.6 null] >> endobj 21816 0 obj << /D [7441 0 R /XYZ null 697 null] >> endobj 21817 0 obj << /D [7441 0 R /XYZ null 697 null] >> endobj 21818 0 obj << /D [7441 0 R /XYZ null 490.6 null] >> endobj 21819 0 obj << /D [7473 0 R /XYZ null 697 null] >> endobj 21820 0 obj << /D [7473 0 R /XYZ null 697 null] >> endobj 21821 0 obj << /D [7473 0 R /XYZ null 490.6 null] >> endobj 21822 0 obj << /D [7506 0 R /XYZ null 697 null] >> endobj 21823 0 obj << /D [7506 0 R /XYZ null 697 null] >> endobj 21824 0 obj << /D [7506 0 R /XYZ null 490.6 null] >> endobj 21825 0 obj << /D [7538 0 R /XYZ null 697 null] >> endobj 21826 0 obj << /D [7538 0 R /XYZ null 697 null] >> endobj 21827 0 obj << /D [7538 0 R /XYZ null 490.6 null] >> endobj 21828 0 obj << /D [7570 0 R /XYZ null 697 null] >> endobj 21829 0 obj << /D [7570 0 R /XYZ null 697 null] >> endobj 21830 0 obj << /D [7570 0 R /XYZ null 490.6 null] >> endobj 21831 0 obj << /D [7602 0 R /XYZ null 697 null] >> endobj 21832 0 obj << /D [7602 0 R /XYZ null 697 null] >> endobj 21833 0 obj << /D [7602 0 R /XYZ null 490.6 null] >> endobj 21834 0 obj << /D [7634 0 R /XYZ null 697 null] >> endobj 21835 0 obj << /D [7634 0 R /XYZ null 697 null] >> endobj 21836 0 obj << /D [7634 0 R /XYZ null 490.6 null] >> endobj 21837 0 obj << /D [7666 0 R /XYZ null 697 null] >> endobj 21838 0 obj << /D [7666 0 R /XYZ null 697 null] >> endobj 21839 0 obj << /D [7666 0 R /XYZ null 490.6 null] >> endobj 21840 0 obj << /D [7709 0 R /XYZ null 697 null] >> endobj 21841 0 obj << /D [7709 0 R /XYZ null 697 null] >> endobj 21842 0 obj << /D [7709 0 R /XYZ null 490.6 null] >> endobj 21843 0 obj << /D [7749 0 R /XYZ null 697 null] >> endobj 21844 0 obj << /D [7749 0 R /XYZ null 697 null] >> endobj 21845 0 obj << /D [7749 0 R /XYZ null 480.6 null] >> endobj 21846 0 obj << /D [7792 0 R /XYZ null 697 null] >> endobj 21847 0 obj << /D [7792 0 R /XYZ null 697 null] >> endobj 21848 0 obj << /D [7792 0 R /XYZ null 490.6 null] >> endobj 21849 0 obj << /D [7834 0 R /XYZ null 697 null] >> endobj 21850 0 obj << /D [7834 0 R /XYZ null 697 null] >> endobj 21851 0 obj << /D [7834 0 R /XYZ null 490.6 null] >> endobj 21852 0 obj << /D [7853 0 R /XYZ null 350.4346 null] >> endobj 21853 0 obj << /D [7864 0 R /XYZ null 697 null] >> endobj 21854 0 obj << /D [7864 0 R /XYZ null 697 null] >> endobj 21855 0 obj << /D [7864 0 R /XYZ null 480.6 null] >> endobj 21856 0 obj << /D [7915 0 R /XYZ null 697 null] >> endobj 21857 0 obj << /D [7915 0 R /XYZ null 697 null] >> endobj 21858 0 obj << /D [7915 0 R /XYZ null 490.6 null] >> endobj 21859 0 obj << /D [7959 0 R /XYZ null 697 null] >> endobj 21860 0 obj << /D [7959 0 R /XYZ null 697 null] >> endobj 21861 0 obj << /D [7959 0 R /XYZ null 490.6 null] >> endobj 21862 0 obj << /D [7989 0 R /XYZ null 697 null] >> endobj 21863 0 obj << /D [7989 0 R /XYZ null 697 null] >> endobj 21864 0 obj << /D [7989 0 R /XYZ null 480.6 null] >> endobj 21865 0 obj << /D [8031 0 R /XYZ null 697 null] >> endobj 21866 0 obj << /D [8031 0 R /XYZ null 697 null] >> endobj 21867 0 obj << /D [8031 0 R /XYZ null 543.1 null] >> endobj 21868 0 obj << /D [8031 0 R /XYZ null 269.3788 null] >> endobj 21869 0 obj << /D [8060 0 R /XYZ null 697 null] >> endobj 21870 0 obj << /D [8060 0 R /XYZ null 697 null] >> endobj 21871 0 obj << /D [8060 0 R /XYZ null 543.1 null] >> endobj 21872 0 obj << /D [8060 0 R /XYZ null 346.375 null] >> endobj 21873 0 obj << /D [8060 0 R /XYZ null 293.875 null] >> endobj 21874 0 obj << /D [8070 0 R /XYZ null 555.1192 null] >> endobj 21875 0 obj << /D [8070 0 R /XYZ null 426.3192 null] >> endobj 21876 0 obj << /D [8070 0 R /XYZ null 388.1192 null] >> endobj 21877 0 obj << /D [8086 0 R /XYZ null 697 null] >> endobj 21878 0 obj << /D [8086 0 R /XYZ null 697 null] >> endobj 21879 0 obj << /D [8086 0 R /XYZ null 553.1 null] >> endobj 21880 0 obj << /D [8096 0 R /XYZ null 687.8 null] >> endobj 21881 0 obj << /D [8107 0 R /XYZ null 697 null] >> endobj 21882 0 obj << /D [8107 0 R /XYZ null 697 null] >> endobj 21883 0 obj << /D [8107 0 R /XYZ null 490.6 null] >> endobj 21884 0 obj << /D [8118 0 R /XYZ null 316.6231 null] >> endobj 21885 0 obj << /D [8141 0 R /XYZ null 697 null] >> endobj 21886 0 obj << /D [8141 0 R /XYZ null 697 null] >> endobj 21887 0 obj << /D [8141 0 R /XYZ null 490.6 null] >> endobj 21888 0 obj << /D [8152 0 R /XYZ null 288.0231 null] >> endobj 21889 0 obj << /D [8173 0 R /XYZ null 697 null] >> endobj 21890 0 obj << /D [8173 0 R /XYZ null 697 null] >> endobj 21891 0 obj << /D [8173 0 R /XYZ null 490.6 null] >> endobj 21892 0 obj << /D [8200 0 R /XYZ null 697 null] >> endobj 21893 0 obj << /D [8200 0 R /XYZ null 697 null] >> endobj 21894 0 obj << /D [8200 0 R /XYZ null 490.6 null] >> endobj 21895 0 obj << /D [8232 0 R /XYZ null 697 null] >> endobj 21896 0 obj << /D [8232 0 R /XYZ null 697 null] >> endobj 21897 0 obj << /D [8232 0 R /XYZ null 490.6 null] >> endobj 21898 0 obj << /D [8264 0 R /XYZ null 697 null] >> endobj 21899 0 obj << /D [8264 0 R /XYZ null 697 null] >> endobj 21900 0 obj << /D [8264 0 R /XYZ null 490.6 null] >> endobj 21901 0 obj << /D [8299 0 R /XYZ null 697 null] >> endobj 21902 0 obj << /D [8299 0 R /XYZ null 697 null] >> endobj 21903 0 obj << /D [8299 0 R /XYZ null 490.6 null] >> endobj 21904 0 obj << /D [8326 0 R /XYZ null 697 null] >> endobj 21905 0 obj << /D [8326 0 R /XYZ null 697 null] >> endobj 21906 0 obj << /D [8326 0 R /XYZ null 480.6 null] >> endobj 21907 0 obj << /D [8362 0 R /XYZ null 697 null] >> endobj 21908 0 obj << /D [8362 0 R /XYZ null 697 null] >> endobj 21909 0 obj << /D [8362 0 R /XYZ null 490.6 null] >> endobj 21910 0 obj << /D [8391 0 R /XYZ null 697 null] >> endobj 21911 0 obj << /D [8391 0 R /XYZ null 697 null] >> endobj 21912 0 obj << /D [8391 0 R /XYZ null 480.6 null] >> endobj 21913 0 obj << /D [8401 0 R /XYZ null 127.8693 null] >> endobj 21914 0 obj << /D [8421 0 R /XYZ null 697 null] >> endobj 21915 0 obj << /D [8421 0 R /XYZ null 697 null] >> endobj 21916 0 obj << /D [8421 0 R /XYZ null 490.6 null] >> endobj 21917 0 obj << /D [8430 0 R /XYZ null 249.9885 null] >> endobj 21918 0 obj << /D [8445 0 R /XYZ null 697 null] >> endobj 21919 0 obj << /D [8445 0 R /XYZ null 697 null] >> endobj 21920 0 obj << /D [8445 0 R /XYZ null 490.6 null] >> endobj 21921 0 obj << /D [8456 0 R /XYZ null 371.2462 null] >> endobj 21922 0 obj << /D [8469 0 R /XYZ null 697 null] >> endobj 21923 0 obj << /D [8469 0 R /XYZ null 697 null] >> endobj 21924 0 obj << /D [8469 0 R /XYZ null 553.1 null] >> endobj 21925 0 obj << /D [8480 0 R /XYZ null 697 null] >> endobj 21926 0 obj << /D [8480 0 R /XYZ null 697 null] >> endobj 21927 0 obj << /D [8480 0 R /XYZ null 490.6 null] >> endobj 21928 0 obj << /D [8503 0 R /XYZ null 697 null] >> endobj 21929 0 obj << /D [8503 0 R /XYZ null 697 null] >> endobj 21930 0 obj << /D [8503 0 R /XYZ null 490.6 null] >> endobj 21931 0 obj << /D [8513 0 R /XYZ null 551.8115 null] >> endobj 21932 0 obj << /D [8535 0 R /XYZ null 697 null] >> endobj 21933 0 obj << /D [8535 0 R /XYZ null 697 null] >> endobj 21934 0 obj << /D [8535 0 R /XYZ null 490.6 null] >> endobj 21935 0 obj << /D [8545 0 R /XYZ null 427.1154 null] >> endobj 21936 0 obj << /D [8566 0 R /XYZ null 697 null] >> endobj 21937 0 obj << /D [8566 0 R /XYZ null 697 null] >> endobj 21938 0 obj << /D [8566 0 R /XYZ null 490.6 null] >> endobj 21939 0 obj << /D [8602 0 R /XYZ null 697 null] >> endobj 21940 0 obj << /D [8602 0 R /XYZ null 697 null] >> endobj 21941 0 obj << /D [8602 0 R /XYZ null 490.6 null] >> endobj 21942 0 obj << /D [8612 0 R /XYZ null 711 null] >> endobj 21943 0 obj << /D [8621 0 R /XYZ null 398.3385 null] >> endobj 21944 0 obj << /D [8621 0 R /XYZ null 360.1385 null] >> endobj 21945 0 obj << /D [8633 0 R /XYZ null 697 null] >> endobj 21946 0 obj << /D [8633 0 R /XYZ null 697 null] >> endobj 21947 0 obj << /D [8633 0 R /XYZ null 490.6 null] >> endobj 21948 0 obj << /D [8666 0 R /XYZ null 697 null] >> endobj 21949 0 obj << /D [8666 0 R /XYZ null 697 null] >> endobj 21950 0 obj << /D [8666 0 R /XYZ null 490.6 null] >> endobj 21951 0 obj << /D [8677 0 R /XYZ null 711 null] >> endobj 21952 0 obj << /D [8686 0 R /XYZ null 412.6385 null] >> endobj 21953 0 obj << /D [8686 0 R /XYZ null 374.4385 null] >> endobj 21954 0 obj << /D [8704 0 R /XYZ null 697 null] >> endobj 21955 0 obj << /D [8704 0 R /XYZ null 697 null] >> endobj 21956 0 obj << /D [8704 0 R /XYZ null 490.6 null] >> endobj 21957 0 obj << /D [8714 0 R /XYZ null 634.0115 null] >> endobj 21958 0 obj << /D [8740 0 R /XYZ null 697 null] >> endobj 21959 0 obj << /D [8740 0 R /XYZ null 697 null] >> endobj 21960 0 obj << /D [8740 0 R /XYZ null 490.6 null] >> endobj 21961 0 obj << /D [8750 0 R /XYZ null 171.2613 null] >> endobj 21962 0 obj << /D [8788 0 R /XYZ null 697 null] >> endobj 21963 0 obj << /D [8788 0 R /XYZ null 697 null] >> endobj 21964 0 obj << /D [8788 0 R /XYZ null 490.6 null] >> endobj 21965 0 obj << /D [8823 0 R /XYZ null 697 null] >> endobj 21966 0 obj << /D [8823 0 R /XYZ null 697 null] >> endobj 21967 0 obj << /D [8823 0 R /XYZ null 490.6 null] >> endobj 21968 0 obj << /D [8823 0 R /XYZ null 293.875 null] >> endobj 21969 0 obj << /D [8833 0 R /XYZ null 711 null] >> endobj 21970 0 obj << /D [8841 0 R /XYZ null 642.9384 null] >> endobj 21971 0 obj << /D [8841 0 R /XYZ null 514.1384 null] >> endobj 21972 0 obj << /D [8853 0 R /XYZ null 697 null] >> endobj 21973 0 obj << /D [8853 0 R /XYZ null 697 null] >> endobj 21974 0 obj << /D [8853 0 R /XYZ null 490.6 null] >> endobj 21975 0 obj << /D [8863 0 R /XYZ null 426.5231 null] >> endobj 21976 0 obj << /D [8896 0 R /XYZ null 697 null] >> endobj 21977 0 obj << /D [8896 0 R /XYZ null 697 null] >> endobj 21978 0 obj << /D [8896 0 R /XYZ null 490.6 null] >> endobj 21979 0 obj << /D [8927 0 R /XYZ null 697 null] >> endobj 21980 0 obj << /D [8927 0 R /XYZ null 697 null] >> endobj 21981 0 obj << /D [8927 0 R /XYZ null 490.6 null] >> endobj 21982 0 obj << /D [8937 0 R /XYZ null 590.7076 null] >> endobj 21983 0 obj << /D [8961 0 R /XYZ null 697 null] >> endobj 21984 0 obj << /D [8961 0 R /XYZ null 697 null] >> endobj 21985 0 obj << /D [8961 0 R /XYZ null 490.6 null] >> endobj 21986 0 obj << /D [8971 0 R /XYZ null 437.7077 null] >> endobj 21987 0 obj << /D [9008 0 R /XYZ null 697 null] >> endobj 21988 0 obj << /D [9008 0 R /XYZ null 697 null] >> endobj 21989 0 obj << /D [9008 0 R /XYZ null 490.6 null] >> endobj 21990 0 obj << /D [9018 0 R /XYZ null 552.1077 null] >> endobj 21991 0 obj << /D [9046 0 R /XYZ null 697 null] >> endobj 21992 0 obj << /D [9046 0 R /XYZ null 697 null] >> endobj 21993 0 obj << /D [9046 0 R /XYZ null 490.6 null] >> endobj 21994 0 obj << /D [9056 0 R /XYZ null 449.6077 null] >> endobj 21995 0 obj << /D [9092 0 R /XYZ null 697 null] >> endobj 21996 0 obj << /D [9092 0 R /XYZ null 697 null] >> endobj 21997 0 obj << /D [9092 0 R /XYZ null 490.6 null] >> endobj 21998 0 obj << /D [9132 0 R /XYZ null 697 null] >> endobj 21999 0 obj << /D [9132 0 R /XYZ null 697 null] >> endobj 22000 0 obj << /D [9132 0 R /XYZ null 490.6 null] >> endobj 22001 0 obj << /D [9172 0 R /XYZ null 697 null] >> endobj 22002 0 obj << /D [9172 0 R /XYZ null 697 null] >> endobj 22003 0 obj << /D [9172 0 R /XYZ null 490.6 null] >> endobj 22004 0 obj << /D [9210 0 R /XYZ null 697 null] >> endobj 22005 0 obj << /D [9210 0 R /XYZ null 697 null] >> endobj 22006 0 obj << /D [9210 0 R /XYZ null 490.6 null] >> endobj 22007 0 obj << /D [9248 0 R /XYZ null 697 null] >> endobj 22008 0 obj << /D [9248 0 R /XYZ null 697 null] >> endobj 22009 0 obj << /D [9248 0 R /XYZ null 490.6 null] >> endobj 22010 0 obj << /D [9289 0 R /XYZ null 697 null] >> endobj 22011 0 obj << /D [9289 0 R /XYZ null 697 null] >> endobj 22012 0 obj << /D [9289 0 R /XYZ null 490.6 null] >> endobj 22013 0 obj << /D [9330 0 R /XYZ null 697 null] >> endobj 22014 0 obj << /D [9330 0 R /XYZ null 697 null] >> endobj 22015 0 obj << /D [9330 0 R /XYZ null 490.6 null] >> endobj 22016 0 obj << /D [9368 0 R /XYZ null 697 null] >> endobj 22017 0 obj << /D [9368 0 R /XYZ null 697 null] >> endobj 22018 0 obj << /D [9368 0 R /XYZ null 490.6 null] >> endobj 22019 0 obj << /D [9368 0 R /XYZ null 293.875 null] >> endobj 22020 0 obj << /D [9378 0 R /XYZ null 711 null] >> endobj 22021 0 obj << /D [9387 0 R /XYZ null 526.8423 null] >> endobj 22022 0 obj << /D [9387 0 R /XYZ null 448.0461 null] >> endobj 22023 0 obj << /D [9387 0 R /XYZ null 409.8461 null] >> endobj 22024 0 obj << /D [9399 0 R /XYZ null 697 null] >> endobj 22025 0 obj << /D [9399 0 R /XYZ null 697 null] >> endobj 22026 0 obj << /D [9399 0 R /XYZ null 500.6 null] >> endobj 22027 0 obj << /D [9432 0 R /XYZ null 697 null] >> endobj 22028 0 obj << /D [9432 0 R /XYZ null 697 null] >> endobj 22029 0 obj << /D [9432 0 R /XYZ null 490.6 null] >> endobj 22030 0 obj << /D [9474 0 R /XYZ null 697 null] >> endobj 22031 0 obj << /D [9474 0 R /XYZ null 697 null] >> endobj 22032 0 obj << /D [9474 0 R /XYZ null 490.6 null] >> endobj 22033 0 obj << /D [9506 0 R /XYZ null 697 null] >> endobj 22034 0 obj << /D [9506 0 R /XYZ null 697 null] >> endobj 22035 0 obj << /D [9506 0 R /XYZ null 490.6 null] >> endobj 22036 0 obj << /D [9516 0 R /XYZ null 537.5115 null] >> endobj 22037 0 obj << /D [9542 0 R /XYZ null 697 null] >> endobj 22038 0 obj << /D [9542 0 R /XYZ null 697 null] >> endobj 22039 0 obj << /D [9542 0 R /XYZ null 490.6 null] >> endobj 22040 0 obj << /D [9570 0 R /XYZ null 697 null] >> endobj 22041 0 obj << /D [9570 0 R /XYZ null 697 null] >> endobj 22042 0 obj << /D [9570 0 R /XYZ null 490.6 null] >> endobj 22043 0 obj << /D [9599 0 R /XYZ null 697 null] >> endobj 22044 0 obj << /D [9599 0 R /XYZ null 697 null] >> endobj 22045 0 obj << /D [9599 0 R /XYZ null 490.6 null] >> endobj 22046 0 obj << /D [9635 0 R /XYZ null 697 null] >> endobj 22047 0 obj << /D [9635 0 R /XYZ null 697 null] >> endobj 22048 0 obj << /D [9635 0 R /XYZ null 500.6 null] >> endobj 22049 0 obj << /D [9659 0 R /XYZ null 697 null] >> endobj 22050 0 obj << /D [9659 0 R /XYZ null 697 null] >> endobj 22051 0 obj << /D [9659 0 R /XYZ null 490.6 null] >> endobj 22052 0 obj << /D [9699 0 R /XYZ null 697 null] >> endobj 22053 0 obj << /D [9699 0 R /XYZ null 697 null] >> endobj 22054 0 obj << /D [9699 0 R /XYZ null 490.6 null] >> endobj 22055 0 obj << /D [9732 0 R /XYZ null 697 null] >> endobj 22056 0 obj << /D [9732 0 R /XYZ null 697 null] >> endobj 22057 0 obj << /D [9732 0 R /XYZ null 490.6 null] >> endobj 22058 0 obj << /D [9763 0 R /XYZ null 697 null] >> endobj 22059 0 obj << /D [9763 0 R /XYZ null 697 null] >> endobj 22060 0 obj << /D [9763 0 R /XYZ null 490.6 null] >> endobj 22061 0 obj << /D [9793 0 R /XYZ null 697 null] >> endobj 22062 0 obj << /D [9793 0 R /XYZ null 697 null] >> endobj 22063 0 obj << /D [9793 0 R /XYZ null 490.6 null] >> endobj 22064 0 obj << /D [9823 0 R /XYZ null 697 null] >> endobj 22065 0 obj << /D [9823 0 R /XYZ null 697 null] >> endobj 22066 0 obj << /D [9823 0 R /XYZ null 490.6 null] >> endobj 22067 0 obj << /D [9855 0 R /XYZ null 697 null] >> endobj 22068 0 obj << /D [9855 0 R /XYZ null 697 null] >> endobj 22069 0 obj << /D [9855 0 R /XYZ null 500.6 null] >> endobj 22070 0 obj << /D [9877 0 R /XYZ null 697 null] >> endobj 22071 0 obj << /D [9877 0 R /XYZ null 697 null] >> endobj 22072 0 obj << /D [9877 0 R /XYZ null 490.6 null] >> endobj 22073 0 obj << /D [9908 0 R /XYZ null 697 null] >> endobj 22074 0 obj << /D [9908 0 R /XYZ null 697 null] >> endobj 22075 0 obj << /D [9908 0 R /XYZ null 490.6 null] >> endobj 22076 0 obj << /D [9927 0 R /XYZ null 311.8347 null] >> endobj 22077 0 obj << /D [9939 0 R /XYZ null 697 null] >> endobj 22078 0 obj << /D [9939 0 R /XYZ null 697 null] >> endobj 22079 0 obj << /D [9939 0 R /XYZ null 528.8 null] >> endobj 22080 0 obj << /D [9965 0 R /XYZ null 697 null] >> endobj 22081 0 obj << /D [9965 0 R /XYZ null 697 null] >> endobj 22082 0 obj << /D [9965 0 R /XYZ null 490.6 null] >> endobj 22083 0 obj << /D [10006 0 R /XYZ null 697 null] >> endobj 22084 0 obj << /D [10006 0 R /XYZ null 697 null] >> endobj 22085 0 obj << /D [10006 0 R /XYZ null 470.6 null] >> endobj 22086 0 obj << /D [10058 0 R /XYZ null 697 null] >> endobj 22087 0 obj << /D [10058 0 R /XYZ null 697 null] >> endobj 22088 0 obj << /D [10058 0 R /XYZ null 480.6 null] >> endobj 22089 0 obj << /D [10098 0 R /XYZ null 697 null] >> endobj 22090 0 obj << /D [10098 0 R /XYZ null 697 null] >> endobj 22091 0 obj << /D [10098 0 R /XYZ null 490.6 null] >> endobj 22092 0 obj << /D [10133 0 R /XYZ null 697 null] >> endobj 22093 0 obj << /D [10133 0 R /XYZ null 697 null] >> endobj 22094 0 obj << /D [10133 0 R /XYZ null 490.6 null] >> endobj 22095 0 obj << /D [10167 0 R /XYZ null 697 null] >> endobj 22096 0 obj << /D [10167 0 R /XYZ null 697 null] >> endobj 22097 0 obj << /D [10167 0 R /XYZ null 500.6 null] >> endobj 22098 0 obj << /D [10188 0 R /XYZ null 697 null] >> endobj 22099 0 obj << /D [10188 0 R /XYZ null 697 null] >> endobj 22100 0 obj << /D [10188 0 R /XYZ null 490.6 null] >> endobj 22101 0 obj << /D [10218 0 R /XYZ null 697 null] >> endobj 22102 0 obj << /D [10218 0 R /XYZ null 697 null] >> endobj 22103 0 obj << /D [10218 0 R /XYZ null 490.6 null] >> endobj 22104 0 obj << /D [10246 0 R /XYZ null 697 null] >> endobj 22105 0 obj << /D [10246 0 R /XYZ null 697 null] >> endobj 22106 0 obj << /D [10246 0 R /XYZ null 500.6 null] >> endobj 22107 0 obj << /D [10267 0 R /XYZ null 697 null] >> endobj 22108 0 obj << /D [10267 0 R /XYZ null 697 null] >> endobj 22109 0 obj << /D [10267 0 R /XYZ null 490.6 null] >> endobj 22110 0 obj << /D [10287 0 R /XYZ null 206.0462 null] >> endobj 22111 0 obj << /D [10299 0 R /XYZ null 697 null] >> endobj 22112 0 obj << /D [10299 0 R /XYZ null 697 null] >> endobj 22113 0 obj << /D [10299 0 R /XYZ null 480.6 null] >> endobj 22114 0 obj << /D [10349 0 R /XYZ null 697 null] >> endobj 22115 0 obj << /D [10349 0 R /XYZ null 697 null] >> endobj 22116 0 obj << /D [10349 0 R /XYZ null 480.6 null] >> endobj 22117 0 obj << /D [10389 0 R /XYZ null 697 null] >> endobj 22118 0 obj << /D [10389 0 R /XYZ null 697 null] >> endobj 22119 0 obj << /D [10389 0 R /XYZ null 490.6 null] >> endobj 22120 0 obj << /D [10409 0 R /XYZ null 711 null] >> endobj 22121 0 obj << /D [10422 0 R /XYZ null 697 null] >> endobj 22122 0 obj << /D [10422 0 R /XYZ null 697 null] >> endobj 22123 0 obj << /D [10422 0 R /XYZ null 490.6 null] >> endobj 22124 0 obj << /D [10442 0 R /XYZ null 711 null] >> endobj 22125 0 obj << /D [10455 0 R /XYZ null 697 null] >> endobj 22126 0 obj << /D [10455 0 R /XYZ null 697 null] >> endobj 22127 0 obj << /D [10455 0 R /XYZ null 480.6 null] >> endobj 22128 0 obj << /D [10475 0 R /XYZ null 657.8038 null] >> endobj 22129 0 obj << /D [10499 0 R /XYZ null 697 null] >> endobj 22130 0 obj << /D [10499 0 R /XYZ null 697 null] >> endobj 22131 0 obj << /D [10499 0 R /XYZ null 490.6 null] >> endobj 22132 0 obj << /D [10509 0 R /XYZ null 697 null] >> endobj 22133 0 obj << /D [10509 0 R /XYZ null 697 null] >> endobj 22134 0 obj << /D [10509 0 R /XYZ null 462 null] >> endobj 22135 0 obj << /D [10518 0 R /XYZ null 697 null] >> endobj 22136 0 obj << /D [10518 0 R /XYZ null 697 null] >> endobj 22137 0 obj << /D [10518 0 R /XYZ null 490.6 null] >> endobj 22138 0 obj << /D [10537 0 R /XYZ null 541.1307 null] >> endobj 22139 0 obj << /D [10550 0 R /XYZ null 697 null] >> endobj 22140 0 obj << /D [10550 0 R /XYZ null 697 null] >> endobj 22141 0 obj << /D [10550 0 R /XYZ null 490.6 null] >> endobj 22142 0 obj << /D [10583 0 R /XYZ null 697 null] >> endobj 22143 0 obj << /D [10583 0 R /XYZ null 697 null] >> endobj 22144 0 obj << /D [10583 0 R /XYZ null 490.6 null] >> endobj 22145 0 obj << /D [10613 0 R /XYZ null 697 null] >> endobj 22146 0 obj << /D [10613 0 R /XYZ null 697 null] >> endobj 22147 0 obj << /D [10613 0 R /XYZ null 500.6 null] >> endobj 22148 0 obj << /D [10647 0 R /XYZ null 697 null] >> endobj 22149 0 obj << /D [10647 0 R /XYZ null 697 null] >> endobj 22150 0 obj << /D [10647 0 R /XYZ null 500.6 null] >> endobj 22151 0 obj << /D [10658 0 R /XYZ null 333.4423 null] >> endobj 22152 0 obj << /D [10658 0 R /XYZ null 295.2423 null] >> endobj 22153 0 obj << /D [10670 0 R /XYZ null 697 null] >> endobj 22154 0 obj << /D [10670 0 R /XYZ null 697 null] >> endobj 22155 0 obj << /D [10670 0 R /XYZ null 490.6 null] >> endobj 22156 0 obj << /D [10689 0 R /XYZ null 502.2346 null] >> endobj 22157 0 obj << /D [10702 0 R /XYZ null 697 null] >> endobj 22158 0 obj << /D [10702 0 R /XYZ null 697 null] >> endobj 22159 0 obj << /D [10702 0 R /XYZ null 490.6 null] >> endobj 22160 0 obj << /D [10733 0 R /XYZ null 697 null] >> endobj 22161 0 obj << /D [10733 0 R /XYZ null 697 null] >> endobj 22162 0 obj << /D [10733 0 R /XYZ null 490.6 null] >> endobj 22163 0 obj << /D [10764 0 R /XYZ null 697 null] >> endobj 22164 0 obj << /D [10764 0 R /XYZ null 697 null] >> endobj 22165 0 obj << /D [10764 0 R /XYZ null 490.6 null] >> endobj 22166 0 obj << /D [10790 0 R /XYZ null 697 null] >> endobj 22167 0 obj << /D [10790 0 R /XYZ null 697 null] >> endobj 22168 0 obj << /D [10790 0 R /XYZ null 500.6 null] >> endobj 22169 0 obj << /D [10813 0 R /XYZ null 697 null] >> endobj 22170 0 obj << /D [10813 0 R /XYZ null 697 null] >> endobj 22171 0 obj << /D [10813 0 R /XYZ null 543.1 null] >> endobj 22172 0 obj << /D [10840 0 R /XYZ null 697 null] >> endobj 22173 0 obj << /D [10840 0 R /XYZ null 697 null] >> endobj 22174 0 obj << /D [10840 0 R /XYZ null 490.6 null] >> endobj 22175 0 obj << /D [10874 0 R /XYZ null 697 null] >> endobj 22176 0 obj << /D [10874 0 R /XYZ null 697 null] >> endobj 22177 0 obj << /D [10874 0 R /XYZ null 490.6 null] >> endobj 22178 0 obj << /D [10894 0 R /XYZ null 711 null] >> endobj 22179 0 obj << /D [10905 0 R /XYZ null 697 null] >> endobj 22180 0 obj << /D [10905 0 R /XYZ null 697 null] >> endobj 22181 0 obj << /D [10905 0 R /XYZ null 490.6 null] >> endobj 22182 0 obj << /D [10945 0 R /XYZ null 697 null] >> endobj 22183 0 obj << /D [10945 0 R /XYZ null 697 null] >> endobj 22184 0 obj << /D [10945 0 R /XYZ null 490.6 null] >> endobj 22185 0 obj << /D [10976 0 R /XYZ null 697 null] >> endobj 22186 0 obj << /D [10976 0 R /XYZ null 697 null] >> endobj 22187 0 obj << /D [10976 0 R /XYZ null 490.6 null] >> endobj 22188 0 obj << /D [11015 0 R /XYZ null 697 null] >> endobj 22189 0 obj << /D [11015 0 R /XYZ null 697 null] >> endobj 22190 0 obj << /D [11015 0 R /XYZ null 486.3 null] >> endobj 22191 0 obj << /D [11031 0 R /XYZ null 697 null] >> endobj 22192 0 obj << /D [11031 0 R /XYZ null 697 null] >> endobj 22193 0 obj << /D [11031 0 R /XYZ null 500.6 null] >> endobj 22194 0 obj << /D [11049 0 R /XYZ null 697 null] >> endobj 22195 0 obj << /D [11049 0 R /XYZ null 697 null] >> endobj 22196 0 obj << /D [11049 0 R /XYZ null 490.6 null] >> endobj 22197 0 obj << /D [11085 0 R /XYZ null 697 null] >> endobj 22198 0 obj << /D [11085 0 R /XYZ null 697 null] >> endobj 22199 0 obj << /D [11085 0 R /XYZ null 490.6 null] >> endobj 22200 0 obj << /D [11096 0 R /XYZ null 286.627 null] >> endobj 22201 0 obj << /D [11114 0 R /XYZ null 697 null] >> endobj 22202 0 obj << /D [11114 0 R /XYZ null 697 null] >> endobj 22203 0 obj << /D [11114 0 R /XYZ null 483.1 null] >> endobj 22204 0 obj << /D [11164 0 R /XYZ null 697 null] >> endobj 22205 0 obj << /D [11164 0 R /XYZ null 697 null] >> endobj 22206 0 obj << /D [11164 0 R /XYZ null 500.6 null] >> endobj 22207 0 obj << /D [11183 0 R /XYZ null 697 null] >> endobj 22208 0 obj << /D [11183 0 R /XYZ null 697 null] >> endobj 22209 0 obj << /D [11183 0 R /XYZ null 490.6 null] >> endobj 22210 0 obj << /D [11213 0 R /XYZ null 697 null] >> endobj 22211 0 obj << /D [11213 0 R /XYZ null 697 null] >> endobj 22212 0 obj << /D [11213 0 R /XYZ null 490.6 null] >> endobj 22213 0 obj << /D [11244 0 R /XYZ null 697 null] >> endobj 22214 0 obj << /D [11244 0 R /XYZ null 697 null] >> endobj 22215 0 obj << /D [11244 0 R /XYZ null 490.6 null] >> endobj 22216 0 obj << /D [11253 0 R /XYZ null 697 null] >> endobj 22217 0 obj << /D [11253 0 R /XYZ null 697 null] >> endobj 22218 0 obj << /D [11253 0 R /XYZ null 480.6 null] >> endobj 22219 0 obj << /D [11286 0 R /XYZ null 697 null] >> endobj 22220 0 obj << /D [11286 0 R /XYZ null 697 null] >> endobj 22221 0 obj << /D [11286 0 R /XYZ null 462 null] >> endobj 22222 0 obj << /D [11306 0 R /XYZ null 697 null] >> endobj 22223 0 obj << /D [11306 0 R /XYZ null 697 null] >> endobj 22224 0 obj << /D [11306 0 R /XYZ null 543.1 null] >> endobj 22225 0 obj << /D [11306 0 R /XYZ null 127.7826 null] >> endobj 22226 0 obj << /D [11316 0 R /XYZ null 609.3615 null] >> endobj 22227 0 obj << /D [11333 0 R /XYZ null 697 null] >> endobj 22228 0 obj << /D [11333 0 R /XYZ null 697 null] >> endobj 22229 0 obj << /D [11333 0 R /XYZ null 533.1 null] >> endobj 22230 0 obj << /D [11370 0 R /XYZ null 697 null] >> endobj 22231 0 obj << /D [11370 0 R /XYZ null 697 null] >> endobj 22232 0 obj << /D [11370 0 R /XYZ null 543.1 null] >> endobj 22233 0 obj << /D [11393 0 R /XYZ null 697 null] >> endobj 22234 0 obj << /D [11393 0 R /XYZ null 697 null] >> endobj 22235 0 obj << /D [11393 0 R /XYZ null 553.1 null] >> endobj 22236 0 obj << /D [11415 0 R /XYZ null 697 null] >> endobj 22237 0 obj << /D [11415 0 R /XYZ null 697 null] >> endobj 22238 0 obj << /D [11415 0 R /XYZ null 543.1 null] >> endobj 22239 0 obj << /D [11446 0 R /XYZ null 697 null] >> endobj 22240 0 obj << /D [11446 0 R /XYZ null 697 null] >> endobj 22241 0 obj << /D [11446 0 R /XYZ null 543.1 null] >> endobj 22242 0 obj << /D [11446 0 R /XYZ null 337.175 null] >> endobj 22243 0 obj << /D [11455 0 R /XYZ null 542.5423 null] >> endobj 22244 0 obj << /D [11462 0 R /XYZ null 711 null] >> endobj 22245 0 obj << /D [11469 0 R /XYZ null 697 null] >> endobj 22246 0 obj << /D [11469 0 R /XYZ null 697 null] >> endobj 22247 0 obj << /D [11469 0 R /XYZ null 490.6 null] >> endobj 22248 0 obj << /D [11494 0 R /XYZ null 697 null] >> endobj 22249 0 obj << /D [11494 0 R /XYZ null 697 null] >> endobj 22250 0 obj << /D [11494 0 R /XYZ null 500.6 null] >> endobj 22251 0 obj << /D [11516 0 R /XYZ null 697 null] >> endobj 22252 0 obj << /D [11516 0 R /XYZ null 697 null] >> endobj 22253 0 obj << /D [11516 0 R /XYZ null 500.6 null] >> endobj 22254 0 obj << /D [11534 0 R /XYZ null 697 null] >> endobj 22255 0 obj << /D [11534 0 R /XYZ null 697 null] >> endobj 22256 0 obj << /D [11534 0 R /XYZ null 500.6 null] >> endobj 22257 0 obj << /D [11552 0 R /XYZ null 697 null] >> endobj 22258 0 obj << /D [11552 0 R /XYZ null 697 null] >> endobj 22259 0 obj << /D [11552 0 R /XYZ null 500.6 null] >> endobj 22260 0 obj << /D [11570 0 R /XYZ null 697 null] >> endobj 22261 0 obj << /D [11570 0 R /XYZ null 697 null] >> endobj 22262 0 obj << /D [11570 0 R /XYZ null 500.6 null] >> endobj 22263 0 obj << /D [11592 0 R /XYZ null 697 null] >> endobj 22264 0 obj << /D [11592 0 R /XYZ null 697 null] >> endobj 22265 0 obj << /D [11592 0 R /XYZ null 553.1 null] >> endobj 22266 0 obj << /D [11611 0 R /XYZ null 697 null] >> endobj 22267 0 obj << /D [11611 0 R /XYZ null 697 null] >> endobj 22268 0 obj << /D [11611 0 R /XYZ null 480.6 null] >> endobj 22269 0 obj << /D [11639 0 R /XYZ null 697 null] >> endobj 22270 0 obj << /D [11639 0 R /XYZ null 697 null] >> endobj 22271 0 obj << /D [11639 0 R /XYZ null 490.6 null] >> endobj 22272 0 obj << /D [11657 0 R /XYZ null 697 null] >> endobj 22273 0 obj << /D [11657 0 R /XYZ null 697 null] >> endobj 22274 0 obj << /D [11657 0 R /XYZ null 473.1 null] >> endobj 22275 0 obj << /D [11692 0 R /XYZ null 697 null] >> endobj 22276 0 obj << /D [11692 0 R /XYZ null 697 null] >> endobj 22277 0 obj << /D [11692 0 R /XYZ null 543.1 null] >> endobj 22278 0 obj << /D [11715 0 R /XYZ null 697 null] >> endobj 22279 0 obj << /D [11715 0 R /XYZ null 697 null] >> endobj 22280 0 obj << /D [11715 0 R /XYZ null 553.1 null] >> endobj 22281 0 obj << /D [11733 0 R /XYZ null 697 null] >> endobj 22282 0 obj << /D [11733 0 R /XYZ null 697 null] >> endobj 22283 0 obj << /D [11733 0 R /XYZ null 513.1 null] >> endobj 22284 0 obj << /D [11782 0 R /XYZ null 697 null] >> endobj 22285 0 obj << /D [11782 0 R /XYZ null 697 null] >> endobj 22286 0 obj << /D [11782 0 R /XYZ null 523.1 null] >> endobj 22287 0 obj << /D [11798 0 R /XYZ null 197.4579 null] >> endobj 22288 0 obj << /D [11832 0 R /XYZ null 697 null] >> endobj 22289 0 obj << /D [11832 0 R /XYZ null 697 null] >> endobj 22290 0 obj << /D [11832 0 R /XYZ null 553.1 null] >> endobj 22291 0 obj << /D [11851 0 R /XYZ null 697 null] >> endobj 22292 0 obj << /D [11851 0 R /XYZ null 697 null] >> endobj 22293 0 obj << /D [11851 0 R /XYZ null 480.6 null] >> endobj 22294 0 obj << /D [11887 0 R /XYZ null 697 null] >> endobj 22295 0 obj << /D [11887 0 R /XYZ null 697 null] >> endobj 22296 0 obj << /D [11887 0 R /XYZ null 553.1 null] >> endobj 22297 0 obj << /D [11906 0 R /XYZ null 697 null] >> endobj 22298 0 obj << /D [11906 0 R /XYZ null 697 null] >> endobj 22299 0 obj << /D [11906 0 R /XYZ null 500.6 null] >> endobj 22300 0 obj << /D [11928 0 R /XYZ null 697 null] >> endobj 22301 0 obj << /D [11928 0 R /XYZ null 697 null] >> endobj 22302 0 obj << /D [11928 0 R /XYZ null 500.6 null] >> endobj 22303 0 obj << /D [11949 0 R /XYZ null 697 null] >> endobj 22304 0 obj << /D [11949 0 R /XYZ null 697 null] >> endobj 22305 0 obj << /D [11949 0 R /XYZ null 490.6 null] >> endobj 22306 0 obj << /D [11974 0 R /XYZ null 697 null] >> endobj 22307 0 obj << /D [11974 0 R /XYZ null 697 null] >> endobj 22308 0 obj << /D [11974 0 R /XYZ null 490.6 null] >> endobj 22309 0 obj << /D [12000 0 R /XYZ null 697 null] >> endobj 22310 0 obj << /D [12000 0 R /XYZ null 697 null] >> endobj 22311 0 obj << /D [12000 0 R /XYZ null 500.6 null] >> endobj 22312 0 obj << /D [12023 0 R /XYZ null 697 null] >> endobj 22313 0 obj << /D [12023 0 R /XYZ null 697 null] >> endobj 22314 0 obj << /D [12023 0 R /XYZ null 553.1 null] >> endobj 22315 0 obj << /D [12042 0 R /XYZ null 697 null] >> endobj 22316 0 obj << /D [12042 0 R /XYZ null 697 null] >> endobj 22317 0 obj << /D [12042 0 R /XYZ null 538.8 null] >> endobj 22318 0 obj << /D [12058 0 R /XYZ null 656.4 null] >> endobj 22319 0 obj << /D [12068 0 R /XYZ null 697 null] >> endobj 22320 0 obj << /D [12068 0 R /XYZ null 697 null] >> endobj 22321 0 obj << /D [12068 0 R /XYZ null 500.6 null] >> endobj 22322 0 obj << /D [12086 0 R /XYZ null 697 null] >> endobj 22323 0 obj << /D [12086 0 R /XYZ null 697 null] >> endobj 22324 0 obj << /D [12086 0 R /XYZ null 500.6 null] >> endobj 22325 0 obj << /D [12104 0 R /XYZ null 697 null] >> endobj 22326 0 obj << /D [12104 0 R /XYZ null 697 null] >> endobj 22327 0 obj << /D [12104 0 R /XYZ null 510.2 null] >> endobj 22328 0 obj << /D [12120 0 R /XYZ null 697 null] >> endobj 22329 0 obj << /D [12120 0 R /XYZ null 697 null] >> endobj 22330 0 obj << /D [12120 0 R /XYZ null 553.1 null] >> endobj 22331 0 obj << /D [12138 0 R /XYZ null 697 null] >> endobj 22332 0 obj << /D [12138 0 R /XYZ null 697 null] >> endobj 22333 0 obj << /D [12138 0 R /XYZ null 486.3 null] >> endobj 22334 0 obj << /D [12158 0 R /XYZ null 697 null] >> endobj 22335 0 obj << /D [12158 0 R /XYZ null 697 null] >> endobj 22336 0 obj << /D [12158 0 R /XYZ null 486.3 null] >> endobj 22337 0 obj << /D [12158 0 R /XYZ null 175.2598 null] >> endobj 22338 0 obj << /D [12167 0 R /XYZ null 579.7422 null] >> endobj 22339 0 obj << /D [12167 0 R /XYZ null 421.75 null] >> endobj 22340 0 obj << /D [12167 0 R /XYZ null 383.55 null] >> endobj 22341 0 obj << /D [12178 0 R /XYZ null 697 null] >> endobj 22342 0 obj << /D [12178 0 R /XYZ null 697 null] >> endobj 22343 0 obj << /D [12178 0 R /XYZ null 553.1 null] >> endobj 22344 0 obj << /D [12199 0 R /XYZ null 697 null] >> endobj 22345 0 obj << /D [12199 0 R /XYZ null 697 null] >> endobj 22346 0 obj << /D [12199 0 R /XYZ null 543.1 null] >> endobj 22347 0 obj << /D [12230 0 R /XYZ null 697 null] >> endobj 22348 0 obj << /D [12230 0 R /XYZ null 697 null] >> endobj 22349 0 obj << /D [12230 0 R /XYZ null 553.1 null] >> endobj 22350 0 obj << /D [12253 0 R /XYZ null 697 null] >> endobj 22351 0 obj << /D [12253 0 R /XYZ null 697 null] >> endobj 22352 0 obj << /D [12253 0 R /XYZ null 553.1 null] >> endobj 22353 0 obj << /D [12253 0 R /XYZ null 335.275 null] >> endobj 22354 0 obj << /D [12275 0 R /XYZ null 697 null] >> endobj 22355 0 obj << /D [12275 0 R /XYZ null 697 null] >> endobj 22356 0 obj << /D [12275 0 R /XYZ null 476.3 null] >> endobj 22357 0 obj << /D [12295 0 R /XYZ null 697 null] >> endobj 22358 0 obj << /D [12295 0 R /XYZ null 697 null] >> endobj 22359 0 obj << /D [12295 0 R /XYZ null 543.1 null] >> endobj 22360 0 obj << /D [12315 0 R /XYZ null 697 null] >> endobj 22361 0 obj << /D [12315 0 R /XYZ null 697 null] >> endobj 22362 0 obj << /D [12315 0 R /XYZ null 490.6 null] >> endobj 22363 0 obj << /D [12333 0 R /XYZ null 697 null] >> endobj 22364 0 obj << /D [12333 0 R /XYZ null 697 null] >> endobj 22365 0 obj << /D [12333 0 R /XYZ null 528.8 null] >> endobj 22366 0 obj << /D [12342 0 R /XYZ null 697 null] >> endobj 22367 0 obj << /D [12342 0 R /XYZ null 697 null] >> endobj 22368 0 obj << /D [12342 0 R /XYZ null 500.6 null] >> endobj 22369 0 obj << /D [12363 0 R /XYZ null 697 null] >> endobj 22370 0 obj << /D [12363 0 R /XYZ null 697 null] >> endobj 22371 0 obj << /D [12363 0 R /XYZ null 553.1 null] >> endobj 22372 0 obj << /D [12384 0 R /XYZ null 697 null] >> endobj 22373 0 obj << /D [12384 0 R /XYZ null 697 null] >> endobj 22374 0 obj << /D [12384 0 R /XYZ null 490.6 null] >> endobj 22375 0 obj << /D [12406 0 R /XYZ null 697 null] >> endobj 22376 0 obj << /D [12406 0 R /XYZ null 697 null] >> endobj 22377 0 obj << /D [12406 0 R /XYZ null 553.1 null] >> endobj 22378 0 obj << /D [12440 0 R /XYZ null 697 null] >> endobj 22379 0 obj << /D [12440 0 R /XYZ null 697 null] >> endobj 22380 0 obj << /D [12440 0 R /XYZ null 500.6 null] >> endobj 22381 0 obj << /D [12461 0 R /XYZ null 697 null] >> endobj 22382 0 obj << /D [12461 0 R /XYZ null 697 null] >> endobj 22383 0 obj << /D [12461 0 R /XYZ null 543.1 null] >> endobj 22384 0 obj << /D [12492 0 R /XYZ null 697 null] >> endobj 22385 0 obj << /D [12492 0 R /XYZ null 697 null] >> endobj 22386 0 obj << /D [12492 0 R /XYZ null 490.6 null] >> endobj 22387 0 obj << /D [12510 0 R /XYZ null 697 null] >> endobj 22388 0 obj << /D [12510 0 R /XYZ null 697 null] >> endobj 22389 0 obj << /D [12510 0 R /XYZ null 500.6 null] >> endobj 22390 0 obj << /D [12532 0 R /XYZ null 697 null] >> endobj 22391 0 obj << /D [12532 0 R /XYZ null 697 null] >> endobj 22392 0 obj << /D [12532 0 R /XYZ null 543.1 null] >> endobj 22393 0 obj << /D [12567 0 R /XYZ null 697 null] >> endobj 22394 0 obj << /D [12567 0 R /XYZ null 697 null] >> endobj 22395 0 obj << /D [12567 0 R /XYZ null 500.6 null] >> endobj 22396 0 obj << /D [12589 0 R /XYZ null 697 null] >> endobj 22397 0 obj << /D [12589 0 R /XYZ null 697 null] >> endobj 22398 0 obj << /D [12589 0 R /XYZ null 472 null] >> endobj 22399 0 obj << /D [12606 0 R /XYZ null 697 null] >> endobj 22400 0 obj << /D [12606 0 R /XYZ null 697 null] >> endobj 22401 0 obj << /D [12606 0 R /XYZ null 472 null] >> endobj 22402 0 obj << /D [12628 0 R /XYZ null 697 null] >> endobj 22403 0 obj << /D [12628 0 R /XYZ null 697 null] >> endobj 22404 0 obj << /D [12628 0 R /XYZ null 500.6 null] >> endobj 22405 0 obj << /D [12649 0 R /XYZ null 697 null] >> endobj 22406 0 obj << /D [12649 0 R /XYZ null 697 null] >> endobj 22407 0 obj << /D [12649 0 R /XYZ null 528.8 null] >> endobj 22408 0 obj << /D [12677 0 R /XYZ null 697 null] >> endobj 22409 0 obj << /D [12677 0 R /XYZ null 697 null] >> endobj 22410 0 obj << /D [12677 0 R /XYZ null 478.8 null] >> endobj 22411 0 obj << /D [12707 0 R /XYZ null 504.5077 null] >> endobj 22412 0 obj << /D [12707 0 R /XYZ null 490.7577 null] >> endobj 22413 0 obj << /D [12707 0 R /XYZ null 409.9115 null] >> endobj 22414 0 obj << /D [12735 0 R /XYZ null 697 null] >> endobj 22415 0 obj << /D [12735 0 R /XYZ null 697 null] >> endobj 22416 0 obj << /D [12735 0 R /XYZ null 553.1 null] >> endobj 22417 0 obj << /D [12735 0 R /XYZ null 343.8827 null] >> endobj 22418 0 obj << /D [12744 0 R /XYZ null 711 null] >> endobj 22419 0 obj << /D [12744 0 R /XYZ null 647.5 null] >> endobj 22420 0 obj << /D [12744 0 R /XYZ null 609.3 null] >> endobj 22421 0 obj << /D [12762 0 R /XYZ null 697 null] >> endobj 22422 0 obj << /D [12762 0 R /XYZ null 697 null] >> endobj 22423 0 obj << /D [12762 0 R /XYZ null 483.1 null] >> endobj 22424 0 obj << /D [12815 0 R /XYZ null 697 null] >> endobj 22425 0 obj << /D [12815 0 R /XYZ null 697 null] >> endobj 22426 0 obj << /D [12815 0 R /XYZ null 538.8 null] >> endobj 22427 0 obj << /D [12842 0 R /XYZ null 697 null] >> endobj 22428 0 obj << /D [12842 0 R /XYZ null 697 null] >> endobj 22429 0 obj << /D [12842 0 R /XYZ null 533.1 null] >> endobj 22430 0 obj << /D [12888 0 R /XYZ null 697 null] >> endobj 22431 0 obj << /D [12888 0 R /XYZ null 697 null] >> endobj 22432 0 obj << /D [12888 0 R /XYZ null 543.1 null] >> endobj 22433 0 obj << /D [12917 0 R /XYZ null 697 null] >> endobj 22434 0 obj << /D [12917 0 R /XYZ null 697 null] >> endobj 22435 0 obj << /D [12917 0 R /XYZ null 543.1 null] >> endobj 22436 0 obj << /D [12935 0 R /XYZ null 697 null] >> endobj 22437 0 obj << /D [12935 0 R /XYZ null 697 null] >> endobj 22438 0 obj << /D [12935 0 R /XYZ null 553.1 null] >> endobj 22439 0 obj << /D [12958 0 R /XYZ null 697 null] >> endobj 22440 0 obj << /D [12958 0 R /XYZ null 697 null] >> endobj 22441 0 obj << /D [12958 0 R /XYZ null 538.8 null] >> endobj 22442 0 obj << /D [12967 0 R /XYZ null 697 null] >> endobj 22443 0 obj << /D [12967 0 R /XYZ null 697 null] >> endobj 22444 0 obj << /D [12967 0 R /XYZ null 528.8 null] >> endobj 22445 0 obj << /D [12976 0 R /XYZ null 697 null] >> endobj 22446 0 obj << /D [12976 0 R /XYZ null 697 null] >> endobj 22447 0 obj << /D [12976 0 R /XYZ null 500.2 null] >> endobj 22448 0 obj << /D [13002 0 R /XYZ null 697 null] >> endobj 22449 0 obj << /D [13002 0 R /XYZ null 697 null] >> endobj 22450 0 obj << /D [13002 0 R /XYZ null 543.1 null] >> endobj 22451 0 obj << /D [13036 0 R /XYZ null 697 null] >> endobj 22452 0 obj << /D [13036 0 R /XYZ null 697 null] >> endobj 22453 0 obj << /D [13036 0 R /XYZ null 450.6 null] >> endobj 22454 0 obj << /D [13085 0 R /XYZ null 697 null] >> endobj 22455 0 obj << /D [13085 0 R /XYZ null 697 null] >> endobj 22456 0 obj << /D [13085 0 R /XYZ null 553.1 null] >> endobj 22457 0 obj << /D [13103 0 R /XYZ null 697 null] >> endobj 22458 0 obj << /D [13103 0 R /XYZ null 697 null] >> endobj 22459 0 obj << /D [13103 0 R /XYZ null 500.6 null] >> endobj 22460 0 obj << /D [13125 0 R /XYZ null 697 null] >> endobj 22461 0 obj << /D [13125 0 R /XYZ null 697 null] >> endobj 22462 0 obj << /D [13125 0 R /XYZ null 533.1 null] >> endobj 22463 0 obj << /D [13134 0 R /XYZ null 192.5116 null] >> endobj 22464 0 obj << /D [13165 0 R /XYZ null 697 null] >> endobj 22465 0 obj << /D [13165 0 R /XYZ null 697 null] >> endobj 22466 0 obj << /D [13165 0 R /XYZ null 553.1 null] >> endobj 22467 0 obj << /D [13187 0 R /XYZ null 697 null] >> endobj 22468 0 obj << /D [13187 0 R /XYZ null 697 null] >> endobj 22469 0 obj << /D [13187 0 R /XYZ null 500.6 null] >> endobj 22470 0 obj << /D [13205 0 R /XYZ null 697 null] >> endobj 22471 0 obj << /D [13205 0 R /XYZ null 697 null] >> endobj 22472 0 obj << /D [13205 0 R /XYZ null 500.6 null] >> endobj 22473 0 obj << /D [13229 0 R /XYZ null 697 null] >> endobj 22474 0 obj << /D [13229 0 R /XYZ null 697 null] >> endobj 22475 0 obj << /D [13229 0 R /XYZ null 486.3 null] >> endobj 22476 0 obj << /D [13255 0 R /XYZ null 697 null] >> endobj 22477 0 obj << /D [13255 0 R /XYZ null 697 null] >> endobj 22478 0 obj << /D [13255 0 R /XYZ null 486.3 null] >> endobj 22479 0 obj << /D [13281 0 R /XYZ null 697 null] >> endobj 22480 0 obj << /D [13281 0 R /XYZ null 697 null] >> endobj 22481 0 obj << /D [13281 0 R /XYZ null 500.6 null] >> endobj 22482 0 obj << /D [13301 0 R /XYZ null 697 null] >> endobj 22483 0 obj << /D [13301 0 R /XYZ null 697 null] >> endobj 22484 0 obj << /D [13301 0 R /XYZ null 483.1 null] >> endobj 22485 0 obj << /D [13337 0 R /XYZ null 697 null] >> endobj 22486 0 obj << /D [13337 0 R /XYZ null 697 null] >> endobj 22487 0 obj << /D [13337 0 R /XYZ null 500.6 null] >> endobj 22488 0 obj << /D [13356 0 R /XYZ null 697 null] >> endobj 22489 0 obj << /D [13356 0 R /XYZ null 697 null] >> endobj 22490 0 obj << /D [13356 0 R /XYZ null 553.1 null] >> endobj 22491 0 obj << /D [13367 0 R /XYZ null 623.2 null] >> endobj 22492 0 obj << /D [13367 0 R /XYZ null 585 null] >> endobj 22493 0 obj << /D [13378 0 R /XYZ null 697 null] >> endobj 22494 0 obj << /D [13378 0 R /XYZ null 697 null] >> endobj 22495 0 obj << /D [13378 0 R /XYZ null 543.1 null] >> endobj 22496 0 obj << /D [13398 0 R /XYZ null 697 null] >> endobj 22497 0 obj << /D [13398 0 R /XYZ null 697 null] >> endobj 22498 0 obj << /D [13398 0 R /XYZ null 500.6 null] >> endobj 22499 0 obj << /D [13416 0 R /XYZ null 697 null] >> endobj 22500 0 obj << /D [13416 0 R /XYZ null 697 null] >> endobj 22501 0 obj << /D [13416 0 R /XYZ null 553.1 null] >> endobj 22502 0 obj << /D [13434 0 R /XYZ null 697 null] >> endobj 22503 0 obj << /D [13434 0 R /XYZ null 697 null] >> endobj 22504 0 obj << /D [13434 0 R /XYZ null 500.6 null] >> endobj 22505 0 obj << /D [13454 0 R /XYZ null 697 null] >> endobj 22506 0 obj << /D [13454 0 R /XYZ null 697 null] >> endobj 22507 0 obj << /D [13454 0 R /XYZ null 500.6 null] >> endobj 22508 0 obj << /D [13474 0 R /XYZ null 697 null] >> endobj 22509 0 obj << /D [13474 0 R /XYZ null 697 null] >> endobj 22510 0 obj << /D [13474 0 R /XYZ null 490.6 null] >> endobj 22511 0 obj << /D [13502 0 R /XYZ null 697 null] >> endobj 22512 0 obj << /D [13502 0 R /XYZ null 697 null] >> endobj 22513 0 obj << /D [13502 0 R /XYZ null 543.1 null] >> endobj 22514 0 obj << /D [13542 0 R /XYZ null 697 null] >> endobj 22515 0 obj << /D [13542 0 R /XYZ null 697 null] >> endobj 22516 0 obj << /D [13542 0 R /XYZ null 490.6 null] >> endobj 22517 0 obj << /D [13575 0 R /XYZ null 697 null] >> endobj 22518 0 obj << /D [13575 0 R /XYZ null 697 null] >> endobj 22519 0 obj << /D [13575 0 R /XYZ null 500.6 null] >> endobj 22520 0 obj << /D [13595 0 R /XYZ null 697 null] >> endobj 22521 0 obj << /D [13595 0 R /XYZ null 697 null] >> endobj 22522 0 obj << /D [13595 0 R /XYZ null 553.1 null] >> endobj 22523 0 obj << /D [13613 0 R /XYZ null 697 null] >> endobj 22524 0 obj << /D [13613 0 R /XYZ null 697 null] >> endobj 22525 0 obj << /D [13613 0 R /XYZ null 553.1 null] >> endobj 22526 0 obj << /D [13635 0 R /XYZ null 697 null] >> endobj 22527 0 obj << /D [13635 0 R /XYZ null 697 null] >> endobj 22528 0 obj << /D [13635 0 R /XYZ null 514.5 null] >> endobj 22529 0 obj << /D [13635 0 R /XYZ null 366.575 null] >> endobj 22530 0 obj << /D [13635 0 R /XYZ null 141.0749 null] >> endobj 22531 0 obj << /D [13644 0 R /XYZ null 371.9144 null] >> endobj 22532 0 obj << /D [13644 0 R /XYZ null 333.7144 null] >> endobj 22533 0 obj << /D [13656 0 R /XYZ null 697 null] >> endobj 22534 0 obj << /D [13656 0 R /XYZ null 697 null] >> endobj 22535 0 obj << /D [13656 0 R /XYZ null 543.1 null] >> endobj 22536 0 obj << /D [13676 0 R /XYZ null 697 null] >> endobj 22537 0 obj << /D [13676 0 R /XYZ null 697 null] >> endobj 22538 0 obj << /D [13676 0 R /XYZ null 514.5 null] >> endobj 22539 0 obj << /D [13676 0 R /XYZ null 366.575 null] >> endobj 22540 0 obj << /D [13676 0 R /XYZ null 155.3749 null] >> endobj 22541 0 obj << /D [13685 0 R /XYZ null 307.3144 null] >> endobj 22542 0 obj << /D [13685 0 R /XYZ null 269.1144 null] >> endobj 22543 0 obj << /D [13703 0 R /XYZ null 697 null] >> endobj 22544 0 obj << /D [13703 0 R /XYZ null 697 null] >> endobj 22545 0 obj << /D [13703 0 R /XYZ null 514.5 null] >> endobj 22546 0 obj << /D [13727 0 R /XYZ null 697 null] >> endobj 22547 0 obj << /D [13727 0 R /XYZ null 697 null] >> endobj 22548 0 obj << /D [13727 0 R /XYZ null 553.1 null] >> endobj 22549 0 obj << /D [13727 0 R /XYZ null 435.575 null] >> endobj 22550 0 obj << /D [13727 0 R /XYZ null 234.3751 null] >> endobj 22551 0 obj << /D [13736 0 R /XYZ null 671.8346 null] >> endobj 22552 0 obj << /D [13736 0 R /XYZ null 593.3346 null] >> endobj 22553 0 obj << /D [13747 0 R /XYZ null 697 null] >> endobj 22554 0 obj << /D [13747 0 R /XYZ null 697 null] >> endobj 22555 0 obj << /D [13747 0 R /XYZ null 553.1 null] >> endobj 22556 0 obj << /D [13768 0 R /XYZ null 697 null] >> endobj 22557 0 obj << /D [13768 0 R /XYZ null 697 null] >> endobj 22558 0 obj << /D [13768 0 R /XYZ null 514.5 null] >> endobj 22559 0 obj << /D [13796 0 R /XYZ null 697 null] >> endobj 22560 0 obj << /D [13796 0 R /XYZ null 697 null] >> endobj 22561 0 obj << /D [13796 0 R /XYZ null 518.5 null] >> endobj 22562 0 obj << /D [13805 0 R /XYZ null 442.2461 null] >> endobj 22563 0 obj << /D [13805 0 R /XYZ null 404.0461 null] >> endobj 22564 0 obj << /D [13817 0 R /XYZ null 697 null] >> endobj 22565 0 obj << /D [13817 0 R /XYZ null 697 null] >> endobj 22566 0 obj << /D [13817 0 R /XYZ null 514.5 null] >> endobj 22567 0 obj << /D [13840 0 R /XYZ null 697 null] >> endobj 22568 0 obj << /D [13840 0 R /XYZ null 697 null] >> endobj 22569 0 obj << /D [13840 0 R /XYZ null 553.1 null] >> endobj 22570 0 obj << /D [13864 0 R /XYZ null 697 null] >> endobj 22571 0 obj << /D [13864 0 R /XYZ null 697 null] >> endobj 22572 0 obj << /D [13864 0 R /XYZ null 538.8 null] >> endobj 22573 0 obj << /D [13890 0 R /XYZ null 697 null] >> endobj 22574 0 obj << /D [13890 0 R /XYZ null 697 null] >> endobj 22575 0 obj << /D [13890 0 R /XYZ null 553.1 null] >> endobj 22576 0 obj << /D [13901 0 R /XYZ null 697 null] >> endobj 22577 0 obj << /D [13901 0 R /XYZ null 697 null] >> endobj 22578 0 obj << /D [13901 0 R /XYZ null 543.1 null] >> endobj 22579 0 obj << /D [13922 0 R /XYZ null 697 null] >> endobj 22580 0 obj << /D [13922 0 R /XYZ null 697 null] >> endobj 22581 0 obj << /D [13922 0 R /XYZ null 500.6 null] >> endobj 22582 0 obj << /D [13947 0 R /XYZ null 697 null] >> endobj 22583 0 obj << /D [13947 0 R /XYZ null 697 null] >> endobj 22584 0 obj << /D [13947 0 R /XYZ null 500.6 null] >> endobj 22585 0 obj << /D [13966 0 R /XYZ null 697 null] >> endobj 22586 0 obj << /D [13966 0 R /XYZ null 697 null] >> endobj 22587 0 obj << /D [13966 0 R /XYZ null 500.6 null] >> endobj 22588 0 obj << /D [13985 0 R /XYZ null 697 null] >> endobj 22589 0 obj << /D [13985 0 R /XYZ null 697 null] >> endobj 22590 0 obj << /D [13985 0 R /XYZ null 543.1 null] >> endobj 22591 0 obj << /D [14002 0 R /XYZ null 697 null] >> endobj 22592 0 obj << /D [14002 0 R /XYZ null 697 null] >> endobj 22593 0 obj << /D [14002 0 R /XYZ null 553.1 null] >> endobj 22594 0 obj << /D [14024 0 R /XYZ null 697 null] >> endobj 22595 0 obj << /D [14024 0 R /XYZ null 697 null] >> endobj 22596 0 obj << /D [14024 0 R /XYZ null 553.1 null] >> endobj 22597 0 obj << /D [14043 0 R /XYZ null 697 null] >> endobj 22598 0 obj << /D [14043 0 R /XYZ null 697 null] >> endobj 22599 0 obj << /D [14043 0 R /XYZ null 553.1 null] >> endobj 22600 0 obj << /D [14063 0 R /XYZ null 697 null] >> endobj 22601 0 obj << /D [14063 0 R /XYZ null 697 null] >> endobj 22602 0 obj << /D [14063 0 R /XYZ null 553.1 null] >> endobj 22603 0 obj << /D [14080 0 R /XYZ null 697 null] >> endobj 22604 0 obj << /D [14080 0 R /XYZ null 697 null] >> endobj 22605 0 obj << /D [14080 0 R /XYZ null 490.6 null] >> endobj 22606 0 obj << /D [14123 0 R /XYZ null 697 null] >> endobj 22607 0 obj << /D [14123 0 R /XYZ null 697 null] >> endobj 22608 0 obj << /D [14123 0 R /XYZ null 500.6 null] >> endobj 22609 0 obj << /D [14143 0 R /XYZ null 697 null] >> endobj 22610 0 obj << /D [14143 0 R /XYZ null 697 null] >> endobj 22611 0 obj << /D [14143 0 R /XYZ null 553.1 null] >> endobj 22612 0 obj << /D [14160 0 R /XYZ null 697 null] >> endobj 22613 0 obj << /D [14160 0 R /XYZ null 697 null] >> endobj 22614 0 obj << /D [14160 0 R /XYZ null 543.1 null] >> endobj 22615 0 obj << /D [14170 0 R /XYZ null 697 null] >> endobj 22616 0 obj << /D [14170 0 R /XYZ null 697 null] >> endobj 22617 0 obj << /D [14170 0 R /XYZ null 543.1 null] >> endobj 22618 0 obj << /D [14179 0 R /XYZ null 565.6999 null] >> endobj 22619 0 obj << /D [14192 0 R /XYZ null 697 null] >> endobj 22620 0 obj << /D [14192 0 R /XYZ null 697 null] >> endobj 22621 0 obj << /D [14192 0 R /XYZ null 553.1 null] >> endobj 22622 0 obj << /D [14201 0 R /XYZ null 687.8 null] >> endobj 22623 0 obj << /D [14214 0 R /XYZ null 697 null] >> endobj 22624 0 obj << /D [14214 0 R /XYZ null 697 null] >> endobj 22625 0 obj << /D [14214 0 R /XYZ null 538.8 null] >> endobj 22626 0 obj << /D [14214 0 R /XYZ null 390.875 null] >> endobj 22627 0 obj << /D [14214 0 R /XYZ null 261.3547 null] >> endobj 22628 0 obj << /D [14223 0 R /XYZ null 633.8 null] >> endobj 22629 0 obj << /D [14223 0 R /XYZ null 531 null] >> endobj 22630 0 obj << /D [14223 0 R /XYZ null 492.8 null] >> endobj 22631 0 obj << /D [14240 0 R /XYZ null 697 null] >> endobj 22632 0 obj << /D [14240 0 R /XYZ null 697 null] >> endobj 22633 0 obj << /D [14240 0 R /XYZ null 543.1 null] >> endobj 22634 0 obj << /D [14265 0 R /XYZ null 697 null] >> endobj 22635 0 obj << /D [14265 0 R /XYZ null 697 null] >> endobj 22636 0 obj << /D [14265 0 R /XYZ null 553.1 null] >> endobj 22637 0 obj << /D [14289 0 R /XYZ null 697 null] >> endobj 22638 0 obj << /D [14289 0 R /XYZ null 697 null] >> endobj 22639 0 obj << /D [14289 0 R /XYZ null 553.1 null] >> endobj 22640 0 obj << /D [14313 0 R /XYZ null 697 null] >> endobj 22641 0 obj << /D [14313 0 R /XYZ null 697 null] >> endobj 22642 0 obj << /D [14313 0 R /XYZ null 553.1 null] >> endobj 22643 0 obj << /D [14336 0 R /XYZ null 697 null] >> endobj 22644 0 obj << /D [14336 0 R /XYZ null 697 null] >> endobj 22645 0 obj << /D [14336 0 R /XYZ null 553.1 null] >> endobj 22646 0 obj << /D [14359 0 R /XYZ null 697 null] >> endobj 22647 0 obj << /D [14359 0 R /XYZ null 697 null] >> endobj 22648 0 obj << /D [14359 0 R /XYZ null 553.1 null] >> endobj 22649 0 obj << /D [14383 0 R /XYZ null 697 null] >> endobj 22650 0 obj << /D [14383 0 R /XYZ null 697 null] >> endobj 22651 0 obj << /D [14383 0 R /XYZ null 553.1 null] >> endobj 22652 0 obj << /D [14401 0 R /XYZ null 697 null] >> endobj 22653 0 obj << /D [14401 0 R /XYZ null 697 null] >> endobj 22654 0 obj << /D [14401 0 R /XYZ null 553.1 null] >> endobj 22655 0 obj << /D [14429 0 R /XYZ null 697 null] >> endobj 22656 0 obj << /D [14429 0 R /XYZ null 697 null] >> endobj 22657 0 obj << /D [14429 0 R /XYZ null 553.1 null] >> endobj 22658 0 obj << /D [14438 0 R /XYZ null 697 null] >> endobj 22659 0 obj << /D [14438 0 R /XYZ null 697 null] >> endobj 22660 0 obj << /D [14438 0 R /XYZ null 553.1 null] >> endobj 22661 0 obj << /D [14464 0 R /XYZ null 697 null] >> endobj 22662 0 obj << /D [14464 0 R /XYZ null 697 null] >> endobj 22663 0 obj << /D [14464 0 R /XYZ null 553.1 null] >> endobj 22664 0 obj << /D [14492 0 R /XYZ null 697 null] >> endobj 22665 0 obj << /D [14492 0 R /XYZ null 697 null] >> endobj 22666 0 obj << /D [14492 0 R /XYZ null 553.1 null] >> endobj 22667 0 obj << /D [14528 0 R /XYZ null 697 null] >> endobj 22668 0 obj << /D [14528 0 R /XYZ null 697 null] >> endobj 22669 0 obj << /D [14528 0 R /XYZ null 553.1 null] >> endobj 22670 0 obj << /D [14554 0 R /XYZ null 697 null] >> endobj 22671 0 obj << /D [14554 0 R /XYZ null 697 null] >> endobj 22672 0 obj << /D [14554 0 R /XYZ null 553.1 null] >> endobj 22673 0 obj << /D [14576 0 R /XYZ null 697 null] >> endobj 22674 0 obj << /D [14576 0 R /XYZ null 697 null] >> endobj 22675 0 obj << /D [14576 0 R /XYZ null 543.1 null] >> endobj 22676 0 obj << /D [14597 0 R /XYZ null 697 null] >> endobj 22677 0 obj << /D [14597 0 R /XYZ null 697 null] >> endobj 22678 0 obj << /D [14597 0 R /XYZ null 476.3 null] >> endobj 22679 0 obj << /D [14623 0 R /XYZ null 697 null] >> endobj 22680 0 obj << /D [14623 0 R /XYZ null 697 null] >> endobj 22681 0 obj << /D [14623 0 R /XYZ null 456.3 null] >> endobj 22682 0 obj << /D [14632 0 R /XYZ null 697.8 null] >> endobj 22683 0 obj << /D [14632 0 R /XYZ null 436.3693 null] >> endobj 22684 0 obj << /D [14656 0 R /XYZ null 697 null] >> endobj 22685 0 obj << /D [14656 0 R /XYZ null 697 null] >> endobj 22686 0 obj << /D [14656 0 R /XYZ null 500.6 null] >> endobj 22687 0 obj << /D [14673 0 R /XYZ null 697 null] >> endobj 22688 0 obj << /D [14673 0 R /XYZ null 697 null] >> endobj 22689 0 obj << /D [14673 0 R /XYZ null 513.1 null] >> endobj 22690 0 obj << /D [14707 0 R /XYZ null 697 null] >> endobj 22691 0 obj << /D [14707 0 R /XYZ null 697 null] >> endobj 22692 0 obj << /D [14707 0 R /XYZ null 490.6 null] >> endobj 22693 0 obj << /D [14723 0 R /XYZ null 697 null] >> endobj 22694 0 obj << /D [14723 0 R /XYZ null 697 null] >> endobj 22695 0 obj << /D [14723 0 R /XYZ null 523.1 null] >> endobj 22696 0 obj << /D [14766 0 R /XYZ null 697 null] >> endobj 22697 0 obj << /D [14766 0 R /XYZ null 697 null] >> endobj 22698 0 obj << /D [14766 0 R /XYZ null 523.1 null] >> endobj 22699 0 obj << /D [14796 0 R /XYZ null 697 null] >> endobj 22700 0 obj << /D [14796 0 R /XYZ null 697 null] >> endobj 22701 0 obj << /D [14796 0 R /XYZ null 523.1 null] >> endobj 22702 0 obj << /D [14824 0 R /XYZ null 697 null] >> endobj 22703 0 obj << /D [14824 0 R /XYZ null 697 null] >> endobj 22704 0 obj << /D [14824 0 R /XYZ null 480.6 null] >> endobj 22705 0 obj << /D [14851 0 R /XYZ null 697 null] >> endobj 22706 0 obj << /D [14851 0 R /XYZ null 697 null] >> endobj 22707 0 obj << /D [14851 0 R /XYZ null 543.1 null] >> endobj 22708 0 obj << /D [14872 0 R /XYZ null 697 null] >> endobj 22709 0 obj << /D [14872 0 R /XYZ null 697 null] >> endobj 22710 0 obj << /D [14872 0 R /XYZ null 533.1 null] >> endobj 22711 0 obj << /D [14899 0 R /XYZ null 697 null] >> endobj 22712 0 obj << /D [14899 0 R /XYZ null 697 null] >> endobj 22713 0 obj << /D [14899 0 R /XYZ null 500.6 null] >> endobj 22714 0 obj << /D [14916 0 R /XYZ null 697 null] >> endobj 22715 0 obj << /D [14916 0 R /XYZ null 697 null] >> endobj 22716 0 obj << /D [14916 0 R /XYZ null 490.6 null] >> endobj 22717 0 obj << /D [14925 0 R /XYZ null 350.0116 null] >> endobj 22718 0 obj << /D [14925 0 R /XYZ null 311.8116 null] >> endobj 22719 0 obj << /D [14934 0 R /XYZ null 697 null] >> endobj 22720 0 obj << /D [14934 0 R /XYZ null 697 null] >> endobj 22721 0 obj << /D [14934 0 R /XYZ null 543.1 null] >> endobj 22722 0 obj << /D [14960 0 R /XYZ null 697 null] >> endobj 22723 0 obj << /D [14960 0 R /XYZ null 697 null] >> endobj 22724 0 obj << /D [14960 0 R /XYZ null 533.1 null] >> endobj 22725 0 obj << /D [14960 0 R /XYZ null 281.575 null] >> endobj 22726 0 obj << /D [14960 0 R /XYZ null 229.075 null] >> endobj 22727 0 obj << /D [14970 0 R /XYZ null 423.2423 null] >> endobj 22728 0 obj << /D [14970 0 R /XYZ null 234.1423 null] >> endobj 22729 0 obj << /D [14970 0 R /XYZ null 195.9423 null] >> endobj 22730 0 obj << /D [14979 0 R /XYZ null 697 null] >> endobj 22731 0 obj << /D [14979 0 R /XYZ null 697 null] >> endobj 22732 0 obj << /D [14979 0 R /XYZ null 480.6 null] >> endobj 22733 0 obj << /D [15002 0 R /XYZ null 697 null] >> endobj 22734 0 obj << /D [15002 0 R /XYZ null 697 null] >> endobj 22735 0 obj << /D [15002 0 R /XYZ null 533.1 null] >> endobj 22736 0 obj << /D [15028 0 R /XYZ null 697 null] >> endobj 22737 0 obj << /D [15028 0 R /XYZ null 697 null] >> endobj 22738 0 obj << /D [15028 0 R /XYZ null 543.1 null] >> endobj 22739 0 obj << /D [15047 0 R /XYZ null 697 null] >> endobj 22740 0 obj << /D [15047 0 R /XYZ null 697 null] >> endobj 22741 0 obj << /D [15047 0 R /XYZ null 463.1 null] >> endobj 22742 0 obj << /D [15078 0 R /XYZ null 610.5 null] >> endobj 22743 0 obj << /D [15130 0 R /XYZ null 697 null] >> endobj 22744 0 obj << /D [15130 0 R /XYZ null 697 null] >> endobj 22745 0 obj << /D [15130 0 R /XYZ null 543.1 null] >> endobj 22746 0 obj << /D [15157 0 R /XYZ null 697 null] >> endobj 22747 0 obj << /D [15157 0 R /XYZ null 697 null] >> endobj 22748 0 obj << /D [15157 0 R /XYZ null 533.1 null] >> endobj 22749 0 obj << /D [15206 0 R /XYZ null 697 null] >> endobj 22750 0 obj << /D [15206 0 R /XYZ null 697 null] >> endobj 22751 0 obj << /D [15206 0 R /XYZ null 553.1 null] >> endobj 22752 0 obj << /D [15237 0 R /XYZ null 697 null] >> endobj 22753 0 obj << /D [15237 0 R /XYZ null 697 null] >> endobj 22754 0 obj << /D [15237 0 R /XYZ null 543.1 null] >> endobj 22755 0 obj << /D [15253 0 R /XYZ null 697 null] >> endobj 22756 0 obj << /D [15253 0 R /XYZ null 697 null] >> endobj 22757 0 obj << /D [15253 0 R /XYZ null 493.1 null] >> endobj 22758 0 obj << /D [15295 0 R /XYZ null 697 null] >> endobj 22759 0 obj << /D [15295 0 R /XYZ null 697 null] >> endobj 22760 0 obj << /D [15295 0 R /XYZ null 500.6 null] >> endobj 22761 0 obj << /D [15319 0 R /XYZ null 697 null] >> endobj 22762 0 obj << /D [15319 0 R /XYZ null 697 null] >> endobj 22763 0 obj << /D [15319 0 R /XYZ null 460.6 null] >> endobj 22764 0 obj << /D [15352 0 R /XYZ null 697 null] >> endobj 22765 0 obj << /D [15352 0 R /XYZ null 697 null] >> endobj 22766 0 obj << /D [15352 0 R /XYZ null 543.1 null] >> endobj 22767 0 obj << /D [15382 0 R /XYZ null 697 null] >> endobj 22768 0 obj << /D [15382 0 R /XYZ null 697 null] >> endobj 22769 0 obj << /D [15382 0 R /XYZ null 528.8 null] >> endobj 22770 0 obj << /D [15392 0 R /XYZ null 283.2116 null] >> endobj 22771 0 obj << /D [15404 0 R /XYZ null 697 null] >> endobj 22772 0 obj << /D [15404 0 R /XYZ null 697 null] >> endobj 22773 0 obj << /D [15404 0 R /XYZ null 543.1 null] >> endobj 22774 0 obj << /D [15428 0 R /XYZ null 697 null] >> endobj 22775 0 obj << /D [15428 0 R /XYZ null 697 null] >> endobj 22776 0 obj << /D [15428 0 R /XYZ null 543.1 null] >> endobj 22777 0 obj << /D [15428 0 R /XYZ null 379.975 null] >> endobj 22778 0 obj << /D [15428 0 R /XYZ null 178.775 null] >> endobj 22779 0 obj << /D [15437 0 R /XYZ null 414.4808 null] >> endobj 22780 0 obj << /D [15437 0 R /XYZ null 271.3808 null] >> endobj 22781 0 obj << /D [15448 0 R /XYZ null 697 null] >> endobj 22782 0 obj << /D [15448 0 R /XYZ null 697 null] >> endobj 22783 0 obj << /D [15448 0 R /XYZ null 532.8 null] >> endobj 22784 0 obj << /D [15458 0 R /XYZ null 246.2885 null] >> endobj 22785 0 obj << /D [15470 0 R /XYZ null 697 null] >> endobj 22786 0 obj << /D [15470 0 R /XYZ null 697 null] >> endobj 22787 0 obj << /D [15470 0 R /XYZ null 500.6 null] >> endobj 22788 0 obj << /D [15491 0 R /XYZ null 697 null] >> endobj 22789 0 obj << /D [15491 0 R /XYZ null 697 null] >> endobj 22790 0 obj << /D [15491 0 R /XYZ null 533.1 null] >> endobj 22791 0 obj << /D [15519 0 R /XYZ null 697 null] >> endobj 22792 0 obj << /D [15519 0 R /XYZ null 697 null] >> endobj 22793 0 obj << /D [15519 0 R /XYZ null 490.6 null] >> endobj 22794 0 obj << /D [15537 0 R /XYZ null 697 null] >> endobj 22795 0 obj << /D [15537 0 R /XYZ null 697 null] >> endobj 22796 0 obj << /D [15537 0 R /XYZ null 490.6 null] >> endobj 22797 0 obj << /D [15546 0 R /XYZ null 432.5039 null] >> endobj 22798 0 obj << /D [15565 0 R /XYZ null 697 null] >> endobj 22799 0 obj << /D [15565 0 R /XYZ null 697 null] >> endobj 22800 0 obj << /D [15565 0 R /XYZ null 523.1 null] >> endobj 22801 0 obj << /D [15603 0 R /XYZ null 697 null] >> endobj 22802 0 obj << /D [15603 0 R /XYZ null 697 null] >> endobj 22803 0 obj << /D [15603 0 R /XYZ null 500.6 null] >> endobj 22804 0 obj << /D [15621 0 R /XYZ null 697 null] >> endobj 22805 0 obj << /D [15621 0 R /XYZ null 697 null] >> endobj 22806 0 obj << /D [15621 0 R /XYZ null 503.1 null] >> endobj 22807 0 obj << /D [15653 0 R /XYZ null 697 null] >> endobj 22808 0 obj << /D [15653 0 R /XYZ null 697 null] >> endobj 22809 0 obj << /D [15653 0 R /XYZ null 490.6 null] >> endobj 22810 0 obj << /D [15675 0 R /XYZ null 697 null] >> endobj 22811 0 obj << /D [15675 0 R /XYZ null 697 null] >> endobj 22812 0 obj << /D [15675 0 R /XYZ null 543.1 null] >> endobj 22813 0 obj << /D [15693 0 R /XYZ null 697 null] >> endobj 22814 0 obj << /D [15693 0 R /XYZ null 697 null] >> endobj 22815 0 obj << /D [15693 0 R /XYZ null 533.1 null] >> endobj 22816 0 obj << /D [15721 0 R /XYZ null 697 null] >> endobj 22817 0 obj << /D [15721 0 R /XYZ null 697 null] >> endobj 22818 0 obj << /D [15721 0 R /XYZ null 523.1 null] >> endobj 22819 0 obj << /D [15756 0 R /XYZ null 697 null] >> endobj 22820 0 obj << /D [15756 0 R /XYZ null 697 null] >> endobj 22821 0 obj << /D [15756 0 R /XYZ null 543.1 null] >> endobj 22822 0 obj << /D [15775 0 R /XYZ null 697 null] >> endobj 22823 0 obj << /D [15775 0 R /XYZ null 697 null] >> endobj 22824 0 obj << /D [15775 0 R /XYZ null 533.1 null] >> endobj 22825 0 obj << /D [15791 0 R /XYZ null 647.5 null] >> endobj 22826 0 obj << /D [15791 0 R /XYZ null 609.3 null] >> endobj 22827 0 obj << /D [15805 0 R /XYZ null 697 null] >> endobj 22828 0 obj << /D [15805 0 R /XYZ null 697 null] >> endobj 22829 0 obj << /D [15805 0 R /XYZ null 490.6 null] >> endobj 22830 0 obj << /D [15852 0 R /XYZ null 697 null] >> endobj 22831 0 obj << /D [15852 0 R /XYZ null 697 null] >> endobj 22832 0 obj << /D [15852 0 R /XYZ null 513.1 null] >> endobj 22833 0 obj << /D [15885 0 R /XYZ null 697 null] >> endobj 22834 0 obj << /D [15885 0 R /XYZ null 697 null] >> endobj 22835 0 obj << /D [15885 0 R /XYZ null 533.1 null] >> endobj 22836 0 obj << /D [15885 0 R /XYZ null 181.375 null] >> endobj 22837 0 obj << /D [15896 0 R /XYZ null 352.7885 null] >> endobj 22838 0 obj << /D [15913 0 R /XYZ null 697 null] >> endobj 22839 0 obj << /D [15913 0 R /XYZ null 697 null] >> endobj 22840 0 obj << /D [15913 0 R /XYZ null 523.1 null] >> endobj 22841 0 obj << /D [15925 0 R /XYZ null 455.3154 null] >> endobj 22842 0 obj << /D [15925 0 R /XYZ null 279.6732 null] >> endobj 22843 0 obj << /D [15925 0 R /XYZ null 157.9308 null] >> endobj 22844 0 obj << /D [15932 0 R /XYZ null 473.8385 null] >> endobj 22845 0 obj << /D [15939 0 R /XYZ null 711 null] >> endobj 22846 0 obj << /D [15951 0 R /XYZ null 697 null] >> endobj 22847 0 obj << /D [15951 0 R /XYZ null 697 null] >> endobj 22848 0 obj << /D [15951 0 R /XYZ null 480.6 null] >> endobj 22849 0 obj << /D [15951 0 R /XYZ null 137.7787 null] >> endobj 22850 0 obj << /D [15977 0 R /XYZ null 697 null] >> endobj 22851 0 obj << /D [15977 0 R /XYZ null 697 null] >> endobj 22852 0 obj << /D [15977 0 R /XYZ null 523.1 null] >> endobj 22853 0 obj << /D [15986 0 R /XYZ null 153.5731 null] >> endobj 22854 0 obj << /D [16009 0 R /XYZ null 697 null] >> endobj 22855 0 obj << /D [16009 0 R /XYZ null 697 null] >> endobj 22856 0 obj << /D [16009 0 R /XYZ null 500.6 null] >> endobj 22857 0 obj << /D [16026 0 R /XYZ null 697 null] >> endobj 22858 0 obj << /D [16026 0 R /XYZ null 697 null] >> endobj 22859 0 obj << /D [16026 0 R /XYZ null 490.6 null] >> endobj 22860 0 obj << /D [16026 0 R /XYZ null 327.475 null] >> endobj 22861 0 obj << /D [16026 0 R /XYZ null 191.6211 null] >> endobj 22862 0 obj << /D [16035 0 R /XYZ null 457.9769 null] >> endobj 22863 0 obj << /D [16035 0 R /XYZ null 364.5846 null] >> endobj 22864 0 obj << /D [16035 0 R /XYZ null 326.3846 null] >> endobj 22865 0 obj << /D [16046 0 R /XYZ null 697 null] >> endobj 22866 0 obj << /D [16046 0 R /XYZ null 697 null] >> endobj 22867 0 obj << /D [16046 0 R /XYZ null 490.6 null] >> endobj 22868 0 obj << /D [16055 0 R /XYZ null 697 null] >> endobj 22869 0 obj << /D [16055 0 R /XYZ null 697 null] >> endobj 22870 0 obj << /D [16055 0 R /XYZ null 553.1 null] >> endobj 22871 0 obj << /D [16071 0 R /XYZ null 697 null] >> endobj 22872 0 obj << /D [16071 0 R /XYZ null 697 null] >> endobj 22873 0 obj << /D [16071 0 R /XYZ null 490.6 null] >> endobj 22874 0 obj << /D [16102 0 R /XYZ null 697 null] >> endobj 22875 0 obj << /D [16102 0 R /XYZ null 697 null] >> endobj 22876 0 obj << /D [16102 0 R /XYZ null 500.6 null] >> endobj 22877 0 obj << /D [16118 0 R /XYZ null 697 null] >> endobj 22878 0 obj << /D [16118 0 R /XYZ null 697 null] >> endobj 22879 0 obj << /D [16118 0 R /XYZ null 523.1 null] >> endobj 22880 0 obj << /D [16153 0 R /XYZ null 697 null] >> endobj 22881 0 obj << /D [16153 0 R /XYZ null 697 null] >> endobj 22882 0 obj << /D [16153 0 R /XYZ null 480.6 null] >> endobj 22883 0 obj << /D [16191 0 R /XYZ null 697 null] >> endobj 22884 0 obj << /D [16191 0 R /XYZ null 697 null] >> endobj 22885 0 obj << /D [16191 0 R /XYZ null 453.1 null] >> endobj 22886 0 obj << /D [16222 0 R /XYZ null 586.0077 null] >> endobj 22887 0 obj << /D [16262 0 R /XYZ null 697 null] >> endobj 22888 0 obj << /D [16262 0 R /XYZ null 697 null] >> endobj 22889 0 obj << /D [16262 0 R /XYZ null 483.1 null] >> endobj 22890 0 obj << /D [16286 0 R /XYZ null 506.1616 null] >> endobj 22891 0 obj << /D [16320 0 R /XYZ null 697 null] >> endobj 22892 0 obj << /D [16320 0 R /XYZ null 697 null] >> endobj 22893 0 obj << /D [16320 0 R /XYZ null 543.1 null] >> endobj 22894 0 obj << /D [16336 0 R /XYZ null 697 null] >> endobj 22895 0 obj << /D [16336 0 R /XYZ null 697 null] >> endobj 22896 0 obj << /D [16336 0 R /XYZ null 440.6 null] >> endobj 22897 0 obj << /D [16375 0 R /XYZ null 697 null] >> endobj 22898 0 obj << /D [16375 0 R /XYZ null 697 null] >> endobj 22899 0 obj << /D [16375 0 R /XYZ null 500.6 null] >> endobj 22900 0 obj << /D [16394 0 R /XYZ null 697 null] >> endobj 22901 0 obj << /D [16394 0 R /XYZ null 697 null] >> endobj 22902 0 obj << /D [16394 0 R /XYZ null 533.1 null] >> endobj 22903 0 obj << /D [16427 0 R /XYZ null 697 null] >> endobj 22904 0 obj << /D [16427 0 R /XYZ null 697 null] >> endobj 22905 0 obj << /D [16427 0 R /XYZ null 553.1 null] >> endobj 22906 0 obj << /D [16446 0 R /XYZ null 697 null] >> endobj 22907 0 obj << /D [16446 0 R /XYZ null 697 null] >> endobj 22908 0 obj << /D [16446 0 R /XYZ null 553.1 null] >> endobj 22909 0 obj << /D [16468 0 R /XYZ null 697 null] >> endobj 22910 0 obj << /D [16468 0 R /XYZ null 697 null] >> endobj 22911 0 obj << /D [16468 0 R /XYZ null 553.1 null] >> endobj 22912 0 obj << /D [16490 0 R /XYZ null 697 null] >> endobj 22913 0 obj << /D [16490 0 R /XYZ null 697 null] >> endobj 22914 0 obj << /D [16490 0 R /XYZ null 543.1 null] >> endobj 22915 0 obj << /D [16511 0 R /XYZ null 697 null] >> endobj 22916 0 obj << /D [16511 0 R /XYZ null 697 null] >> endobj 22917 0 obj << /D [16511 0 R /XYZ null 553.1 null] >> endobj 22918 0 obj << /D [16511 0 R /XYZ null 435.575 null] >> endobj 22919 0 obj << /D [16511 0 R /XYZ null 248.6751 null] >> endobj 22920 0 obj << /D [16520 0 R /XYZ null 696.4038 null] >> endobj 22921 0 obj << /D [16520 0 R /XYZ null 617.9038 null] >> endobj 22922 0 obj << /D [16531 0 R /XYZ null 697 null] >> endobj 22923 0 obj << /D [16531 0 R /XYZ null 697 null] >> endobj 22924 0 obj << /D [16531 0 R /XYZ null 538.8 null] >> endobj 22925 0 obj << /D [16557 0 R /XYZ null 697 null] >> endobj 22926 0 obj << /D [16557 0 R /XYZ null 697 null] >> endobj 22927 0 obj << /D [16557 0 R /XYZ null 553.1 null] >> endobj 22928 0 obj << /D [16583 0 R /XYZ null 697 null] >> endobj 22929 0 obj << /D [16583 0 R /XYZ null 697 null] >> endobj 22930 0 obj << /D [16583 0 R /XYZ null 553.1 null] >> endobj 22931 0 obj << /D [16604 0 R /XYZ null 697 null] >> endobj 22932 0 obj << /D [16604 0 R /XYZ null 697 null] >> endobj 22933 0 obj << /D [16604 0 R /XYZ null 553.1 null] >> endobj 22934 0 obj << /D [16625 0 R /XYZ null 697 null] >> endobj 22935 0 obj << /D [16625 0 R /XYZ null 697 null] >> endobj 22936 0 obj << /D [16625 0 R /XYZ null 543.1 null] >> endobj 22937 0 obj << /D [16659 0 R /XYZ null 697 null] >> endobj 22938 0 obj << /D [16659 0 R /XYZ null 697 null] >> endobj 22939 0 obj << /D [16659 0 R /XYZ null 500.6 null] >> endobj 22940 0 obj << /D [16677 0 R /XYZ null 697 null] >> endobj 22941 0 obj << /D [16677 0 R /XYZ null 697 null] >> endobj 22942 0 obj << /D [16677 0 R /XYZ null 513.1 null] >> endobj 22943 0 obj << /D [16705 0 R /XYZ null 697 null] >> endobj 22944 0 obj << /D [16705 0 R /XYZ null 697 null] >> endobj 22945 0 obj << /D [16705 0 R /XYZ null 500.6 null] >> endobj 22946 0 obj << /D [16726 0 R /XYZ null 697 null] >> endobj 22947 0 obj << /D [16726 0 R /XYZ null 697 null] >> endobj 22948 0 obj << /D [16726 0 R /XYZ null 543.1 null] >> endobj 22949 0 obj << /D [16745 0 R /XYZ null 697 null] >> endobj 22950 0 obj << /D [16745 0 R /XYZ null 697 null] >> endobj 22951 0 obj << /D [16745 0 R /XYZ null 500.6 null] >> endobj 22952 0 obj << /D [16770 0 R /XYZ null 697 null] >> endobj 22953 0 obj << /D [16770 0 R /XYZ null 697 null] >> endobj 22954 0 obj << /D [16770 0 R /XYZ null 553.1 null] >> endobj 22955 0 obj << /D [16779 0 R /XYZ null 697 null] >> endobj 22956 0 obj << /D [16779 0 R /XYZ null 697 null] >> endobj 22957 0 obj << /D [16779 0 R /XYZ null 553.1 null] >> endobj 22958 0 obj << /D [16795 0 R /XYZ null 697 null] >> endobj 22959 0 obj << /D [16795 0 R /XYZ null 697 null] >> endobj 22960 0 obj << /D [16795 0 R /XYZ null 553.1 null] >> endobj 22961 0 obj << /D [16813 0 R /XYZ null 697 null] >> endobj 22962 0 obj << /D [16813 0 R /XYZ null 697 null] >> endobj 22963 0 obj << /D [16813 0 R /XYZ null 543.1 null] >> endobj 22964 0 obj << /D [16842 0 R /XYZ null 697 null] >> endobj 22965 0 obj << /D [16842 0 R /XYZ null 697 null] >> endobj 22966 0 obj << /D [16842 0 R /XYZ null 553.1 null] >> endobj 22967 0 obj << /D [16861 0 R /XYZ null 697 null] >> endobj 22968 0 obj << /D [16861 0 R /XYZ null 697 null] >> endobj 22969 0 obj << /D [16861 0 R /XYZ null 553.1 null] >> endobj 22970 0 obj << /D [16882 0 R /XYZ null 697 null] >> endobj 22971 0 obj << /D [16882 0 R /XYZ null 697 null] >> endobj 22972 0 obj << /D [16882 0 R /XYZ null 543.1 null] >> endobj 22973 0 obj << /D [16912 0 R /XYZ null 697 null] >> endobj 22974 0 obj << /D [16912 0 R /XYZ null 697 null] >> endobj 22975 0 obj << /D [16912 0 R /XYZ null 543.1 null] >> endobj 22976 0 obj << /D [16939 0 R /XYZ null 697 null] >> endobj 22977 0 obj << /D [16939 0 R /XYZ null 697 null] >> endobj 22978 0 obj << /D [16939 0 R /XYZ null 543.1 null] >> endobj 22979 0 obj << /D [16974 0 R /XYZ null 697 null] >> endobj 22980 0 obj << /D [16974 0 R /XYZ null 697 null] >> endobj 22981 0 obj << /D [16974 0 R /XYZ null 543.1 null] >> endobj 22982 0 obj << /D [17002 0 R /XYZ null 697 null] >> endobj 22983 0 obj << /D [17002 0 R /XYZ null 697 null] >> endobj 22984 0 obj << /D [17002 0 R /XYZ null 486.3 null] >> endobj 22985 0 obj << /D [17020 0 R /XYZ null 697 null] >> endobj 22986 0 obj << /D [17020 0 R /XYZ null 697 null] >> endobj 22987 0 obj << /D [17020 0 R /XYZ null 553.1 null] >> endobj 22988 0 obj << /D [17020 0 R /XYZ null 435.575 null] >> endobj 22989 0 obj << /D [17020 0 R /XYZ null 343.8827 null] >> endobj 22990 0 obj << /D [17029 0 R /XYZ null 711 null] >> endobj 22991 0 obj << /D [17029 0 R /XYZ null 647.5 null] >> endobj 22992 0 obj << /D [17029 0 R /XYZ null 609.3 null] >> endobj 22993 0 obj << /D [17047 0 R /XYZ null 697 null] >> endobj 22994 0 obj << /D [17047 0 R /XYZ null 697 null] >> endobj 22995 0 obj << /D [17047 0 R /XYZ null 513.1 null] >> endobj 22996 0 obj << /D [17102 0 R /XYZ null 697 null] >> endobj 22997 0 obj << /D [17102 0 R /XYZ null 697 null] >> endobj 22998 0 obj << /D [17102 0 R /XYZ null 553.1 null] >> endobj 22999 0 obj << /D [17122 0 R /XYZ null 697 null] >> endobj 23000 0 obj << /D [17122 0 R /XYZ null 697 null] >> endobj 23001 0 obj << /D [17122 0 R /XYZ null 553.1 null] >> endobj 23002 0 obj << /D [17145 0 R /XYZ null 697 null] >> endobj 23003 0 obj << /D [17145 0 R /XYZ null 697 null] >> endobj 23004 0 obj << /D [17145 0 R /XYZ null 553.1 null] >> endobj 23005 0 obj << /D [17155 0 R /XYZ null 554.7346 null] >> endobj 23006 0 obj << /D [17155 0 R /XYZ null 516.5346 null] >> endobj 23007 0 obj << /D [17173 0 R /XYZ null 697 null] >> endobj 23008 0 obj << /D [17173 0 R /XYZ null 697 null] >> endobj 23009 0 obj << /D [17173 0 R /XYZ null 543.1 null] >> endobj 23010 0 obj << /D [17232 0 R /XYZ null 697 null] >> endobj 23011 0 obj << /D [17232 0 R /XYZ null 697 null] >> endobj 23012 0 obj << /D [17232 0 R /XYZ null 553.1 null] >> endobj 23013 0 obj << /D [17256 0 R /XYZ null 697 null] >> endobj 23014 0 obj << /D [17256 0 R /XYZ null 697 null] >> endobj 23015 0 obj << /D [17256 0 R /XYZ null 553.1 null] >> endobj 23016 0 obj << /D [17280 0 R /XYZ null 697 null] >> endobj 23017 0 obj << /D [17280 0 R /XYZ null 697 null] >> endobj 23018 0 obj << /D [17280 0 R /XYZ null 500.6 null] >> endobj 23019 0 obj << /D [17311 0 R /XYZ null 697 null] >> endobj 23020 0 obj << /D [17311 0 R /XYZ null 697 null] >> endobj 23021 0 obj << /D [17311 0 R /XYZ null 543.1 null] >> endobj 23022 0 obj << /D [17342 0 R /XYZ null 697 null] >> endobj 23023 0 obj << /D [17342 0 R /XYZ null 697 null] >> endobj 23024 0 obj << /D [17342 0 R /XYZ null 500.6 null] >> endobj 23025 0 obj << /D [17364 0 R /XYZ null 697 null] >> endobj 23026 0 obj << /D [17364 0 R /XYZ null 697 null] >> endobj 23027 0 obj << /D [17364 0 R /XYZ null 476.3 null] >> endobj 23028 0 obj << /D [17387 0 R /XYZ null 697 null] >> endobj 23029 0 obj << /D [17387 0 R /XYZ null 697 null] >> endobj 23030 0 obj << /D [17387 0 R /XYZ null 553.1 null] >> endobj 23031 0 obj << /D [17405 0 R /XYZ null 697 null] >> endobj 23032 0 obj << /D [17405 0 R /XYZ null 697 null] >> endobj 23033 0 obj << /D [17405 0 R /XYZ null 543.1 null] >> endobj 23034 0 obj << /D [17423 0 R /XYZ null 697 null] >> endobj 23035 0 obj << /D [17423 0 R /XYZ null 697 null] >> endobj 23036 0 obj << /D [17423 0 R /XYZ null 490.6 null] >> endobj 23037 0 obj << /D [17450 0 R /XYZ null 697 null] >> endobj 23038 0 obj << /D [17450 0 R /XYZ null 697 null] >> endobj 23039 0 obj << /D [17450 0 R /XYZ null 500.6 null] >> endobj 23040 0 obj << /D [17471 0 R /XYZ null 697 null] >> endobj 23041 0 obj << /D [17471 0 R /XYZ null 697 null] >> endobj 23042 0 obj << /D [17471 0 R /XYZ null 490.6 null] >> endobj 23043 0 obj << /D [17509 0 R /XYZ null 697 null] >> endobj 23044 0 obj << /D [17509 0 R /XYZ null 697 null] >> endobj 23045 0 obj << /D [17509 0 R /XYZ null 490.6 null] >> endobj 23046 0 obj << /D [17546 0 R /XYZ null 697 null] >> endobj 23047 0 obj << /D [17546 0 R /XYZ null 697 null] >> endobj 23048 0 obj << /D [17546 0 R /XYZ null 553.1 null] >> endobj 23049 0 obj << /D [17567 0 R /XYZ null 697 null] >> endobj 23050 0 obj << /D [17567 0 R /XYZ null 697 null] >> endobj 23051 0 obj << /D [17567 0 R /XYZ null 553.1 null] >> endobj 23052 0 obj << /D [17587 0 R /XYZ null 697 null] >> endobj 23053 0 obj << /D [17587 0 R /XYZ null 697 null] >> endobj 23054 0 obj << /D [17587 0 R /XYZ null 523.1 null] >> endobj 23055 0 obj << /D [17623 0 R /XYZ null 697 null] >> endobj 23056 0 obj << /D [17623 0 R /XYZ null 697 null] >> endobj 23057 0 obj << /D [17623 0 R /XYZ null 553.1 null] >> endobj 23058 0 obj << /D [17643 0 R /XYZ null 697 null] >> endobj 23059 0 obj << /D [17643 0 R /XYZ null 697 null] >> endobj 23060 0 obj << /D [17643 0 R /XYZ null 533.1 null] >> endobj 23061 0 obj << /D [17670 0 R /XYZ null 697 null] >> endobj 23062 0 obj << /D [17670 0 R /XYZ null 697 null] >> endobj 23063 0 obj << /D [17670 0 R /XYZ null 543.1 null] >> endobj 23064 0 obj << /D [17696 0 R /XYZ null 697 null] >> endobj 23065 0 obj << /D [17696 0 R /XYZ null 697 null] >> endobj 23066 0 obj << /D [17696 0 R /XYZ null 543.1 null] >> endobj 23067 0 obj << /D [17716 0 R /XYZ null 697 null] >> endobj 23068 0 obj << /D [17716 0 R /XYZ null 697 null] >> endobj 23069 0 obj << /D [17716 0 R /XYZ null 533.1 null] >> endobj 23070 0 obj << /D [17746 0 R /XYZ null 697 null] >> endobj 23071 0 obj << /D [17746 0 R /XYZ null 697 null] >> endobj 23072 0 obj << /D [17746 0 R /XYZ null 533.1 null] >> endobj 23073 0 obj << /D [17774 0 R /XYZ null 697 null] >> endobj 23074 0 obj << /D [17774 0 R /XYZ null 697 null] >> endobj 23075 0 obj << /D [17774 0 R /XYZ null 553.1 null] >> endobj 23076 0 obj << /D [17792 0 R /XYZ null 697 null] >> endobj 23077 0 obj << /D [17792 0 R /XYZ null 697 null] >> endobj 23078 0 obj << /D [17792 0 R /XYZ null 553.1 null] >> endobj 23079 0 obj << /D [17814 0 R /XYZ null 697 null] >> endobj 23080 0 obj << /D [17814 0 R /XYZ null 697 null] >> endobj 23081 0 obj << /D [17814 0 R /XYZ null 543.1 null] >> endobj 23082 0 obj << /D [17835 0 R /XYZ null 697 null] >> endobj 23083 0 obj << /D [17835 0 R /XYZ null 697 null] >> endobj 23084 0 obj << /D [17835 0 R /XYZ null 523.1 null] >> endobj 23085 0 obj << /D [17864 0 R /XYZ null 697 null] >> endobj 23086 0 obj << /D [17864 0 R /XYZ null 697 null] >> endobj 23087 0 obj << /D [17864 0 R /XYZ null 553.1 null] >> endobj 23088 0 obj << /D [17883 0 R /XYZ null 697 null] >> endobj 23089 0 obj << /D [17883 0 R /XYZ null 697 null] >> endobj 23090 0 obj << /D [17883 0 R /XYZ null 553.1 null] >> endobj 23091 0 obj << /D [17916 0 R /XYZ null 697 null] >> endobj 23092 0 obj << /D [17916 0 R /XYZ null 697 null] >> endobj 23093 0 obj << /D [17916 0 R /XYZ null 533.1 null] >> endobj 23094 0 obj << /D [17956 0 R /XYZ null 697 null] >> endobj 23095 0 obj << /D [17956 0 R /XYZ null 697 null] >> endobj 23096 0 obj << /D [17956 0 R /XYZ null 500.6 null] >> endobj 23097 0 obj << /D [17990 0 R /XYZ null 697 null] >> endobj 23098 0 obj << /D [17990 0 R /XYZ null 697 null] >> endobj 23099 0 obj << /D [17990 0 R /XYZ null 543.1 null] >> endobj 23100 0 obj << /D [18011 0 R /XYZ null 697 null] >> endobj 23101 0 obj << /D [18011 0 R /XYZ null 697 null] >> endobj 23102 0 obj << /D [18011 0 R /XYZ null 553.1 null] >> endobj 23103 0 obj << /D [18035 0 R /XYZ null 697 null] >> endobj 23104 0 obj << /D [18035 0 R /XYZ null 697 null] >> endobj 23105 0 obj << /D [18035 0 R /XYZ null 553.1 null] >> endobj 23106 0 obj << /D [18059 0 R /XYZ null 697 null] >> endobj 23107 0 obj << /D [18059 0 R /XYZ null 697 null] >> endobj 23108 0 obj << /D [18059 0 R /XYZ null 553.1 null] >> endobj 23109 0 obj << /D [18079 0 R /XYZ null 697 null] >> endobj 23110 0 obj << /D [18079 0 R /XYZ null 697 null] >> endobj 23111 0 obj << /D [18079 0 R /XYZ null 553.1 null] >> endobj 23112 0 obj << /D [18102 0 R /XYZ null 697 null] >> endobj 23113 0 obj << /D [18102 0 R /XYZ null 697 null] >> endobj 23114 0 obj << /D [18102 0 R /XYZ null 523.1 null] >> endobj 23115 0 obj << /D [18142 0 R /XYZ null 697 null] >> endobj 23116 0 obj << /D [18142 0 R /XYZ null 697 null] >> endobj 23117 0 obj << /D [18142 0 R /XYZ null 553.1 null] >> endobj 23118 0 obj << /D [18163 0 R /XYZ null 697 null] >> endobj 23119 0 obj << /D [18163 0 R /XYZ null 697 null] >> endobj 23120 0 obj << /D [18163 0 R /XYZ null 523.1 null] >> endobj 23121 0 obj << /D [18193 0 R /XYZ null 697 null] >> endobj 23122 0 obj << /D [18193 0 R /XYZ null 697 null] >> endobj 23123 0 obj << /D [18193 0 R /XYZ null 518.8 null] >> endobj 23124 0 obj << /D [18244 0 R /XYZ null 697 null] >> endobj 23125 0 obj << /D [18244 0 R /XYZ null 697 null] >> endobj 23126 0 obj << /D [18244 0 R /XYZ null 513.1 null] >> endobj 23127 0 obj << /D [18287 0 R /XYZ null 697 null] >> endobj 23128 0 obj << /D [18287 0 R /XYZ null 697 null] >> endobj 23129 0 obj << /D [18287 0 R /XYZ null 503.1 null] >> endobj 23130 0 obj << /D [18323 0 R /XYZ null 697 null] >> endobj 23131 0 obj << /D [18323 0 R /XYZ null 697 null] >> endobj 23132 0 obj << /D [18323 0 R /XYZ null 533.1 null] >> endobj 23133 0 obj << /D [18340 0 R /XYZ null 316.6654 null] >> endobj 23134 0 obj << /D [18340 0 R /XYZ null 278.4654 null] >> endobj 23135 0 obj << /D [18353 0 R /XYZ null 697 null] >> endobj 23136 0 obj << /D [18353 0 R /XYZ null 697 null] >> endobj 23137 0 obj << /D [18353 0 R /XYZ null 553.1 null] >> endobj 23138 0 obj << /D [18374 0 R /XYZ null 697 null] >> endobj 23139 0 obj << /D [18374 0 R /XYZ null 697 null] >> endobj 23140 0 obj << /D [18374 0 R /XYZ null 500.6 null] >> endobj 23141 0 obj << /D [18403 0 R /XYZ null 697 null] >> endobj 23142 0 obj << /D [18403 0 R /XYZ null 697 null] >> endobj 23143 0 obj << /D [18403 0 R /XYZ null 553.1 null] >> endobj 23144 0 obj << /D [18427 0 R /XYZ null 697 null] >> endobj 23145 0 obj << /D [18427 0 R /XYZ null 697 null] >> endobj 23146 0 obj << /D [18427 0 R /XYZ null 543.1 null] >> endobj 23147 0 obj << /D [18455 0 R /XYZ null 697 null] >> endobj 23148 0 obj << /D [18455 0 R /XYZ null 697 null] >> endobj 23149 0 obj << /D [18455 0 R /XYZ null 553.1 null] >> endobj 23150 0 obj << /D [18475 0 R /XYZ null 697 null] >> endobj 23151 0 obj << /D [18475 0 R /XYZ null 697 null] >> endobj 23152 0 obj << /D [18475 0 R /XYZ null 553.1 null] >> endobj 23153 0 obj << /D [18519 0 R /XYZ null 697 null] >> endobj 23154 0 obj << /D [18519 0 R /XYZ null 697 null] >> endobj 23155 0 obj << /D [18519 0 R /XYZ null 500.6 null] >> endobj 23156 0 obj << /D [18541 0 R /XYZ null 697 null] >> endobj 23157 0 obj << /D [18541 0 R /XYZ null 697 null] >> endobj 23158 0 obj << /D [18541 0 R /XYZ null 523.1 null] >> endobj 23159 0 obj << /D [18587 0 R /XYZ null 697 null] >> endobj 23160 0 obj << /D [18587 0 R /XYZ null 697 null] >> endobj 23161 0 obj << /D [18587 0 R /XYZ null 500.6 null] >> endobj 23162 0 obj << /D [18615 0 R /XYZ null 697 null] >> endobj 23163 0 obj << /D [18615 0 R /XYZ null 697 null] >> endobj 23164 0 obj << /D [18615 0 R /XYZ null 533.1 null] >> endobj 23165 0 obj << /D [18635 0 R /XYZ null 697 null] >> endobj 23166 0 obj << /D [18635 0 R /XYZ null 697 null] >> endobj 23167 0 obj << /D [18635 0 R /XYZ null 553.1 null] >> endobj 23168 0 obj << /D [18661 0 R /XYZ null 697 null] >> endobj 23169 0 obj << /D [18661 0 R /XYZ null 697 null] >> endobj 23170 0 obj << /D [18661 0 R /XYZ null 466.3 null] >> endobj 23171 0 obj << /D [18690 0 R /XYZ null 697 null] >> endobj 23172 0 obj << /D [18690 0 R /XYZ null 697 null] >> endobj 23173 0 obj << /D [18690 0 R /XYZ null 528.8 null] >> endobj 23174 0 obj << /D [18699 0 R /XYZ null 280.55 null] >> endobj 23175 0 obj << /D [18713 0 R /XYZ null 697 null] >> endobj 23176 0 obj << /D [18713 0 R /XYZ null 697 null] >> endobj 23177 0 obj << /D [18713 0 R /XYZ null 553.1 null] >> endobj 23178 0 obj << /D [18736 0 R /XYZ null 697 null] >> endobj 23179 0 obj << /D [18736 0 R /XYZ null 697 null] >> endobj 23180 0 obj << /D [18736 0 R /XYZ null 543.1 null] >> endobj 23181 0 obj << /D [18766 0 R /XYZ null 697 null] >> endobj 23182 0 obj << /D [18766 0 R /XYZ null 697 null] >> endobj 23183 0 obj << /D [18766 0 R /XYZ null 553.1 null] >> endobj 23184 0 obj << /D [18786 0 R /XYZ null 697 null] >> endobj 23185 0 obj << /D [18786 0 R /XYZ null 697 null] >> endobj 23186 0 obj << /D [18786 0 R /XYZ null 553.1 null] >> endobj 23187 0 obj << /D [18796 0 R /XYZ null 697 null] >> endobj 23188 0 obj << /D [18796 0 R /XYZ null 697 null] >> endobj 23189 0 obj << /D [18796 0 R /XYZ null 538.8 null] >> endobj 23190 0 obj << /D [18814 0 R /XYZ null 697 null] >> endobj 23191 0 obj << /D [18814 0 R /XYZ null 697 null] >> endobj 23192 0 obj << /D [18814 0 R /XYZ null 500.6 null] >> endobj 23193 0 obj << /D [18834 0 R /XYZ null 697 null] >> endobj 23194 0 obj << /D [18834 0 R /XYZ null 697 null] >> endobj 23195 0 obj << /D [18834 0 R /XYZ null 553.1 null] >> endobj 23196 0 obj << /D [18851 0 R /XYZ null 697 null] >> endobj 23197 0 obj << /D [18851 0 R /XYZ null 697 null] >> endobj 23198 0 obj << /D [18851 0 R /XYZ null 500.6 null] >> endobj 23199 0 obj << /D [18871 0 R /XYZ null 697 null] >> endobj 23200 0 obj << /D [18871 0 R /XYZ null 697 null] >> endobj 23201 0 obj << /D [18871 0 R /XYZ null 553.1 null] >> endobj 23202 0 obj << /D [18881 0 R /XYZ null 697 null] >> endobj 23203 0 obj << /D [18881 0 R /XYZ null 697 null] >> endobj 23204 0 obj << /D [18881 0 R /XYZ null 553.1 null] >> endobj 23205 0 obj << /D [18881 0 R /XYZ null 435.575 null] >> endobj 23206 0 obj << /D [18881 0 R /XYZ null 343.8827 null] >> endobj 23207 0 obj << /D [18890 0 R /XYZ null 711 null] >> endobj 23208 0 obj << /D [18890 0 R /XYZ null 647.5 null] >> endobj 23209 0 obj << /D [18890 0 R /XYZ null 609.3 null] >> endobj 23210 0 obj << /D [18907 0 R /XYZ null 697 null] >> endobj 23211 0 obj << /D [18907 0 R /XYZ null 697 null] >> endobj 23212 0 obj << /D [18907 0 R /XYZ null 553.1 null] >> endobj 23213 0 obj << /D [18931 0 R /XYZ null 697 null] >> endobj 23214 0 obj << /D [18931 0 R /XYZ null 697 null] >> endobj 23215 0 obj << /D [18931 0 R /XYZ null 553.1 null] >> endobj 23216 0 obj << /D [18955 0 R /XYZ null 697 null] >> endobj 23217 0 obj << /D [18955 0 R /XYZ null 697 null] >> endobj 23218 0 obj << /D [18955 0 R /XYZ null 538.8 null] >> endobj 23219 0 obj << /D [18973 0 R /XYZ null 697 null] >> endobj 23220 0 obj << /D [18973 0 R /XYZ null 697 null] >> endobj 23221 0 obj << /D [18973 0 R /XYZ null 553.1 null] >> endobj 23222 0 obj << /D [18993 0 R /XYZ null 697 null] >> endobj 23223 0 obj << /D [18993 0 R /XYZ null 697 null] >> endobj 23224 0 obj << /D [18993 0 R /XYZ null 380.6 null] >> endobj 23225 0 obj << /D [19067 0 R /XYZ null 697 null] >> endobj 23226 0 obj << /D [19067 0 R /XYZ null 697 null] >> endobj 23227 0 obj << /D [19067 0 R /XYZ null 553.1 null] >> endobj 23228 0 obj << /D [19092 0 R /XYZ null 697 null] >> endobj 23229 0 obj << /D [19092 0 R /XYZ null 697 null] >> endobj 23230 0 obj << /D [19092 0 R /XYZ null 553.1 null] >> endobj 23231 0 obj << /D [19099 0 R /XYZ null 697 null] >> endobj 23232 0 obj << /D [19099 0 R /XYZ null 697 null] >> endobj 23233 0 obj << /D [19099 0 R /XYZ null 553.1 null] >> endobj 23234 0 obj << /D [19099 0 R /XYZ null 435.575 null] >> endobj 23235 0 obj << /D [19099 0 R /XYZ null 397.375 null] >> endobj 23236 0 obj << /D [19099 0 R /XYZ null 340.475 null] >> endobj 23237 0 obj << /D [19108 0 R /XYZ null 711 null] >> endobj 23238 0 obj << /D [19108 0 R /XYZ null 448.5423 null] >> endobj 23239 0 obj << /D [19108 0 R /XYZ null 410.3423 null] >> endobj 23240 0 obj << /D [19122 0 R /XYZ null 697 null] >> endobj 23241 0 obj << /D [19122 0 R /XYZ null 697 null] >> endobj 23242 0 obj << /D [19122 0 R /XYZ null 553.1 null] >> endobj 23243 0 obj << /D [19143 0 R /XYZ null 697 null] >> endobj 23244 0 obj << /D [19143 0 R /XYZ null 697 null] >> endobj 23245 0 obj << /D [19143 0 R /XYZ null 500.6 null] >> endobj 23246 0 obj << /D [19163 0 R /XYZ null 697 null] >> endobj 23247 0 obj << /D [19163 0 R /XYZ null 697 null] >> endobj 23248 0 obj << /D [19163 0 R /XYZ null 472 null] >> endobj 23249 0 obj << /D [19184 0 R /XYZ null 697 null] >> endobj 23250 0 obj << /D [19184 0 R /XYZ null 697 null] >> endobj 23251 0 obj << /D [19184 0 R /XYZ null 543.1 null] >> endobj 23252 0 obj << /D [19203 0 R /XYZ null 697 null] >> endobj 23253 0 obj << /D [19203 0 R /XYZ null 697 null] >> endobj 23254 0 obj << /D [19203 0 R /XYZ null 553.1 null] >> endobj 23255 0 obj << /D [19222 0 R /XYZ null 697 null] >> endobj 23256 0 obj << /D [19222 0 R /XYZ null 697 null] >> endobj 23257 0 obj << /D [19222 0 R /XYZ null 553.1 null] >> endobj 23258 0 obj << /D [19242 0 R /XYZ null 697 null] >> endobj 23259 0 obj << /D [19242 0 R /XYZ null 697 null] >> endobj 23260 0 obj << /D [19242 0 R /XYZ null 500.6 null] >> endobj 23261 0 obj << /D [19260 0 R /XYZ null 697 null] >> endobj 23262 0 obj << /D [19260 0 R /XYZ null 697 null] >> endobj 23263 0 obj << /D [19260 0 R /XYZ null 553.1 null] >> endobj 23264 0 obj << /D [19279 0 R /XYZ null 697 null] >> endobj 23265 0 obj << /D [19279 0 R /XYZ null 697 null] >> endobj 23266 0 obj << /D [19279 0 R /XYZ null 462 null] >> endobj 23267 0 obj << /D [19279 0 R /XYZ null 209.675 null] >> endobj 23268 0 obj << /D [19288 0 R /XYZ null 589.672 null] >> endobj 23269 0 obj << /D [19288 0 R /XYZ null 260.3298 null] >> endobj 23270 0 obj << /D [19295 0 R /XYZ null 491.123 null] >> endobj 23271 0 obj << /D [19295 0 R /XYZ null 452.923 null] >> endobj 23272 0 obj << /D [19307 0 R /XYZ null 697 null] >> endobj 23273 0 obj << /D [19307 0 R /XYZ null 697 null] >> endobj 23274 0 obj << /D [19307 0 R /XYZ null 553.1 null] >> endobj 23275 0 obj << /D [19326 0 R /XYZ null 697 null] >> endobj 23276 0 obj << /D [19326 0 R /XYZ null 697 null] >> endobj 23277 0 obj << /D [19326 0 R /XYZ null 553.1 null] >> endobj 23278 0 obj << /D [19345 0 R /XYZ null 697 null] >> endobj 23279 0 obj << /D [19345 0 R /XYZ null 697 null] >> endobj 23280 0 obj << /D [19345 0 R /XYZ null 533.1 null] >> endobj 23281 0 obj << /D [19371 0 R /XYZ null 697 null] >> endobj 23282 0 obj << /D [19371 0 R /XYZ null 697 null] >> endobj 23283 0 obj << /D [19371 0 R /XYZ null 490.6 null] >> endobj 23284 0 obj << /D [19389 0 R /XYZ null 697 null] >> endobj 23285 0 obj << /D [19389 0 R /XYZ null 697 null] >> endobj 23286 0 obj << /D [19389 0 R /XYZ null 543.1 null] >> endobj 23287 0 obj << /D [19398 0 R /XYZ null 697 null] >> endobj 23288 0 obj << /D [19398 0 R /XYZ null 697 null] >> endobj 23289 0 obj << /D [19398 0 R /XYZ null 490.6 null] >> endobj 23290 0 obj << /D [19407 0 R /XYZ null 697 null] >> endobj 23291 0 obj << /D [19407 0 R /XYZ null 697 null] >> endobj 23292 0 obj << /D [19407 0 R /XYZ null 456.3 null] >> endobj 23293 0 obj << /D [19444 0 R /XYZ null 697 null] >> endobj 23294 0 obj << /D [19444 0 R /XYZ null 697 null] >> endobj 23295 0 obj << /D [19444 0 R /XYZ null 553.1 null] >> endobj 23296 0 obj << /D [19475 0 R /XYZ null 697 null] >> endobj 23297 0 obj << /D [19475 0 R /XYZ null 697 null] >> endobj 23298 0 obj << /D [19475 0 R /XYZ null 500.6 null] >> endobj 23299 0 obj << /D [19494 0 R /XYZ null 697 null] >> endobj 23300 0 obj << /D [19494 0 R /XYZ null 697 null] >> endobj 23301 0 obj << /D [19494 0 R /XYZ null 553.1 null] >> endobj 23302 0 obj << /D [19503 0 R /XYZ null 697 null] >> endobj 23303 0 obj << /D [19503 0 R /XYZ null 697 null] >> endobj 23304 0 obj << /D [19503 0 R /XYZ null 553.1 null] >> endobj 23305 0 obj << /D [19522 0 R /XYZ null 697 null] >> endobj 23306 0 obj << /D [19522 0 R /XYZ null 697 null] >> endobj 23307 0 obj << /D [19522 0 R /XYZ null 553.1 null] >> endobj 23308 0 obj << /D [19542 0 R /XYZ null 697 null] >> endobj 23309 0 obj << /D [19542 0 R /XYZ null 697 null] >> endobj 23310 0 obj << /D [19542 0 R /XYZ null 500.6 null] >> endobj 23311 0 obj << /D [19561 0 R /XYZ null 697 null] >> endobj 23312 0 obj << /D [19561 0 R /XYZ null 697 null] >> endobj 23313 0 obj << /D [19561 0 R /XYZ null 490.6 null] >> endobj 23314 0 obj << /D [19590 0 R /XYZ null 697 null] >> endobj 23315 0 obj << /D [19590 0 R /XYZ null 697 null] >> endobj 23316 0 obj << /D [19590 0 R /XYZ null 553.1 null] >> endobj 23317 0 obj << /D [19599 0 R /XYZ null 697 null] >> endobj 23318 0 obj << /D [19599 0 R /XYZ null 697 null] >> endobj 23319 0 obj << /D [19599 0 R /XYZ null 500.6 null] >> endobj 23320 0 obj << /D [19634 0 R /XYZ null 697 null] >> endobj 23321 0 obj << /D [19634 0 R /XYZ null 697 null] >> endobj 23322 0 obj << /D [19634 0 R /XYZ null 490.6 null] >> endobj 23323 0 obj << /D [19654 0 R /XYZ null 697 null] >> endobj 23324 0 obj << /D [19654 0 R /XYZ null 697 null] >> endobj 23325 0 obj << /D [19654 0 R /XYZ null 404.8 null] >> endobj 23326 0 obj << /D [19666 0 R /XYZ null 697 null] >> endobj 23327 0 obj << /D [19666 0 R /XYZ null 697 null] >> endobj 23328 0 obj << /D [19666 0 R /XYZ null 543.1 null] >> endobj 23329 0 obj << /D [19686 0 R /XYZ null 697 null] >> endobj 23330 0 obj << /D [19686 0 R /XYZ null 697 null] >> endobj 23331 0 obj << /D [19686 0 R /XYZ null 500.6 null] >> endobj 23332 0 obj << /D [19706 0 R /XYZ null 697 null] >> endobj 23333 0 obj << /D [19706 0 R /XYZ null 697 null] >> endobj 23334 0 obj << /D [19706 0 R /XYZ null 538.8 null] >> endobj 23335 0 obj << /D [19728 0 R /XYZ null 697 null] >> endobj 23336 0 obj << /D [19728 0 R /XYZ null 697 null] >> endobj 23337 0 obj << /D [19728 0 R /XYZ null 490.6 null] >> endobj 23338 0 obj << /D [19737 0 R /XYZ null 226.0347 null] >> endobj 23339 0 obj << /D [19764 0 R /XYZ null 697 null] >> endobj 23340 0 obj << /D [19764 0 R /XYZ null 697 null] >> endobj 23341 0 obj << /D [19764 0 R /XYZ null 500.6 null] >> endobj 23342 0 obj << /D [19780 0 R /XYZ null 697 null] >> endobj 23343 0 obj << /D [19780 0 R /XYZ null 697 null] >> endobj 23344 0 obj << /D [19780 0 R /XYZ null 553.1 null] >> endobj 23345 0 obj << /D [19805 0 R /XYZ null 697 null] >> endobj 23346 0 obj << /D [19805 0 R /XYZ null 697 null] >> endobj 23347 0 obj << /D [19805 0 R /XYZ null 553.1 null] >> endobj 23348 0 obj << /D [19829 0 R /XYZ null 697 null] >> endobj 23349 0 obj << /D [19829 0 R /XYZ null 697 null] >> endobj 23350 0 obj << /D [19829 0 R /XYZ null 543.1 null] >> endobj 23351 0 obj << /D [19853 0 R /XYZ null 697 null] >> endobj 23352 0 obj << /D [19853 0 R /XYZ null 697 null] >> endobj 23353 0 obj << /D [19853 0 R /XYZ null 543.1 null] >> endobj 23354 0 obj << /D [19853 0 R /XYZ null 376.775 null] >> endobj 23355 0 obj << /D [19853 0 R /XYZ null 244.075 null] >> endobj 23356 0 obj << /D [19853 0 R /XYZ null 127.5826 null] >> endobj 23357 0 obj << /D [19862 0 R /XYZ null 711 null] >> endobj 23358 0 obj << /D [19862 0 R /XYZ null 450.4462 null] >> endobj 23359 0 obj << /D [19862 0 R /XYZ null 370.6462 null] >> endobj 23360 0 obj << /D [19862 0 R /XYZ null 347.6462 null] >> endobj 23361 0 obj << /D [19862 0 R /XYZ null 309.4462 null] >> endobj 23362 0 obj << /D [19871 0 R /XYZ null 697 null] >> endobj 23363 0 obj << /D [19871 0 R /XYZ null 697 null] >> endobj 23364 0 obj << /D [19871 0 R /XYZ null 500.6 null] >> endobj 23365 0 obj << /D [19880 0 R /XYZ null 697 null] >> endobj 23366 0 obj << /D [19880 0 R /XYZ null 697 null] >> endobj 23367 0 obj << /D [19880 0 R /XYZ null 490.6 null] >> endobj 23368 0 obj << /D [19909 0 R /XYZ null 697 null] >> endobj 23369 0 obj << /D [19909 0 R /XYZ null 697 null] >> endobj 23370 0 obj << /D [19909 0 R /XYZ null 460.6 null] >> endobj 23371 0 obj << /D [19943 0 R /XYZ null 697 null] >> endobj 23372 0 obj << /D [19943 0 R /XYZ null 697 null] >> endobj 23373 0 obj << /D [19943 0 R /XYZ null 523.1 null] >> endobj 23374 0 obj << /D [19986 0 R /XYZ null 697 null] >> endobj 23375 0 obj << /D [19986 0 R /XYZ null 697 null] >> endobj 23376 0 obj << /D [19986 0 R /XYZ null 500.6 null] >> endobj 23377 0 obj << /D [20002 0 R /XYZ null 697 null] >> endobj 23378 0 obj << /D [20002 0 R /XYZ null 697 null] >> endobj 23379 0 obj << /D [20002 0 R /XYZ null 500.6 null] >> endobj 23380 0 obj << /D [20020 0 R /XYZ null 697 null] >> endobj 23381 0 obj << /D [20020 0 R /XYZ null 697 null] >> endobj 23382 0 obj << /D [20020 0 R /XYZ null 533.1 null] >> endobj 23383 0 obj << /D [20060 0 R /XYZ null 697 null] >> endobj 23384 0 obj << /D [20060 0 R /XYZ null 697 null] >> endobj 23385 0 obj << /D [20060 0 R /XYZ null 490.6 null] >> endobj 23386 0 obj << /D [20085 0 R /XYZ null 697 null] >> endobj 23387 0 obj << /D [20085 0 R /XYZ null 697 null] >> endobj 23388 0 obj << /D [20085 0 R /XYZ null 500.6 null] >> endobj 23389 0 obj << /D [20103 0 R /XYZ null 697 null] >> endobj 23390 0 obj << /D [20103 0 R /XYZ null 697 null] >> endobj 23391 0 obj << /D [20103 0 R /XYZ null 490.6 null] >> endobj 23392 0 obj << /D [20124 0 R /XYZ null 697 null] >> endobj 23393 0 obj << /D [20124 0 R /XYZ null 697 null] >> endobj 23394 0 obj << /D [20124 0 R /XYZ null 533.1 null] >> endobj 23395 0 obj << /D [20133 0 R /XYZ null 697 null] >> endobj 23396 0 obj << /D [20133 0 R /XYZ null 697 null] >> endobj 23397 0 obj << /D [20133 0 R /XYZ null 490.6 null] >> endobj 23398 0 obj << /D [20158 0 R /XYZ null 697 null] >> endobj 23399 0 obj << /D [20158 0 R /XYZ null 697 null] >> endobj 23400 0 obj << /D [20158 0 R /XYZ null 490.6 null] >> endobj 23401 0 obj << /D [20189 0 R /XYZ null 697 null] >> endobj 23402 0 obj << /D [20189 0 R /XYZ null 697 null] >> endobj 23403 0 obj << /D [20189 0 R /XYZ null 490.6 null] >> endobj 23404 0 obj << /D [20200 0 R /XYZ null 711 null] >> endobj 23405 0 obj << /D [20207 0 R /XYZ null 683.7 null] >> endobj 23406 0 obj << /D [20207 0 R /XYZ null 670.7 null] >> endobj 23407 0 obj << /D [20207 0 R /XYZ null 632.5 null] >> endobj 23408 0 obj << /D [20217 0 R /XYZ null 697 null] >> endobj 23409 0 obj << /D [20217 0 R /XYZ null 697 null] >> endobj 23410 0 obj << /D [20217 0 R /XYZ null 500.6 null] >> endobj 23411 0 obj << /D [20217 0 R /XYZ null 297.075 null] >> endobj 23412 0 obj << /D [20228 0 R /XYZ null 603.9 null] >> endobj 23413 0 obj << /D [20228 0 R /XYZ null 565.7 null] >> endobj 23414 0 obj << /D [20239 0 R /XYZ null 697 null] >> endobj 23415 0 obj << /D [20239 0 R /XYZ null 697 null] >> endobj 23416 0 obj << /D [20239 0 R /XYZ null 500.6 null] >> endobj 23417 0 obj << /D [20265 0 R /XYZ null 697 null] >> endobj 23418 0 obj << /D [20265 0 R /XYZ null 697 null] >> endobj 23419 0 obj << /D [20265 0 R /XYZ null 500.6 null] >> endobj 23420 0 obj << /D [20291 0 R /XYZ null 697 null] >> endobj 23421 0 obj << /D [20291 0 R /XYZ null 697 null] >> endobj 23422 0 obj << /D [20291 0 R /XYZ null 553.1 null] >> endobj 23423 0 obj << /D [20325 0 R /XYZ null 697 null] >> endobj 23424 0 obj << /D [20325 0 R /XYZ null 697 null] >> endobj 23425 0 obj << /D [20325 0 R /XYZ null 480.6 null] >> endobj 23426 0 obj << /D [20348 0 R /XYZ null 697 null] >> endobj 23427 0 obj << /D [20348 0 R /XYZ null 697 null] >> endobj 23428 0 obj << /D [20348 0 R /XYZ null 523.1 null] >> endobj 23429 0 obj << /D [20390 0 R /XYZ null 697 null] >> endobj 23430 0 obj << /D [20390 0 R /XYZ null 697 null] >> endobj 23431 0 obj << /D [20390 0 R /XYZ null 490.6 null] >> endobj 23432 0 obj << /D [20401 0 R /XYZ null 697 null] >> endobj 23433 0 obj << /D [20401 0 R /XYZ null 697 null] >> endobj 23434 0 obj << /D [20401 0 R /XYZ null 553.1 null] >> endobj 23435 0 obj << /D [20422 0 R /XYZ null 697 null] >> endobj 23436 0 obj << /D [20422 0 R /XYZ null 697 null] >> endobj 23437 0 obj << /D [20422 0 R /XYZ null 490.6 null] >> endobj 23438 0 obj << /D [20440 0 R /XYZ null 697 null] >> endobj 23439 0 obj << /D [20440 0 R /XYZ null 697 null] >> endobj 23440 0 obj << /D [20440 0 R /XYZ null 553.1 null] >> endobj 23441 0 obj << /D [20462 0 R /XYZ null 697 null] >> endobj 23442 0 obj << /D [20462 0 R /XYZ null 697 null] >> endobj 23443 0 obj << /D [20462 0 R /XYZ null 470.6 null] >> endobj 23444 0 obj << /D [20504 0 R /XYZ null 697 null] >> endobj 23445 0 obj << /D [20504 0 R /XYZ null 697 null] >> endobj 23446 0 obj << /D [20504 0 R /XYZ null 533.1 null] >> endobj 23447 0 obj << /D [20522 0 R /XYZ null 455.4923 null] >> endobj 23448 0 obj << /D [20522 0 R /XYZ null 417.2923 null] >> endobj 23449 0 obj << /D [20533 0 R /XYZ null 697 null] >> endobj 23450 0 obj << /D [20533 0 R /XYZ null 697 null] >> endobj 23451 0 obj << /D [20533 0 R /XYZ null 490.6 null] >> endobj 23452 0 obj << /D [20559 0 R /XYZ null 697 null] >> endobj 23453 0 obj << /D [20559 0 R /XYZ null 697 null] >> endobj 23454 0 obj << /D [20559 0 R /XYZ null 533.1 null] >> endobj 23455 0 obj << /D [20578 0 R /XYZ null 697 null] >> endobj 23456 0 obj << /D [20578 0 R /XYZ null 697 null] >> endobj 23457 0 obj << /D [20578 0 R /XYZ null 470.6 null] >> endobj 23458 0 obj << /D [20611 0 R /XYZ null 697 null] >> endobj 23459 0 obj << /D [20611 0 R /XYZ null 697 null] >> endobj 23460 0 obj << /D [20611 0 R /XYZ null 480.6 null] >> endobj 23461 0 obj << /D [20636 0 R /XYZ null 697 null] >> endobj 23462 0 obj << /D [20636 0 R /XYZ null 697 null] >> endobj 23463 0 obj << /D [20636 0 R /XYZ null 543.1 null] >> endobj 23464 0 obj << /D [20646 0 R /XYZ null 463.6462 null] >> endobj 23465 0 obj << /D [20664 0 R /XYZ null 697 null] >> endobj 23466 0 obj << /D [20664 0 R /XYZ null 697 null] >> endobj 23467 0 obj << /D [20664 0 R /XYZ null 508.8 null] >> endobj 23468 0 obj << /D [20697 0 R /XYZ null 697 null] >> endobj 23469 0 obj << /D [20697 0 R /XYZ null 697 null] >> endobj 23470 0 obj << /D [20697 0 R /XYZ null 528.8 null] >> endobj 23471 0 obj << /D [20697 0 R /XYZ null 382.775 null] >> endobj 23472 0 obj << /D [20697 0 R /XYZ null 263.075 null] >> endobj 23473 0 obj << /D [20697 0 R /XYZ null 100.706 null] >> endobj 23474 0 obj << /D [20704 0 R /XYZ null 650.995 null] >> endobj 23475 0 obj << /D [20704 0 R /XYZ null 622.795 null] >> endobj 23476 0 obj << /D [20704 0 R /XYZ null 360.2528 null] >> endobj 23477 0 obj << /D [20704 0 R /XYZ null 137.3642 null] >> endobj 23478 0 obj << /D [20704 0 R /XYZ null 99.1642 null] >> endobj 23479 0 obj << /D [20713 0 R /XYZ null 697 null] >> endobj 23480 0 obj << /D [20713 0 R /XYZ null 697 null] >> endobj 23481 0 obj << /D [20713 0 R /XYZ null 400.6 null] >> endobj 23482 0 obj << /D [20750 0 R /XYZ null 711 null] >> endobj 23483 0 obj << /D [20750 0 R /XYZ null 711 null] >> endobj 23484 0 obj << /D [20750 0 R /XYZ null 523 null] >> endobj 23485 0 obj << /D [20750 0 R /XYZ null 523 null] >> endobj 23486 0 obj << /D [20750 0 R /XYZ null 497.8 null] >> endobj 23487 0 obj << /D [20750 0 R /XYZ null 419.2 null] >> endobj 23488 0 obj << /D [20750 0 R /XYZ null 419.2 null] >> endobj 23489 0 obj << /D [20750 0 R /XYZ null 394 null] >> endobj 23490 0 obj << /D [20750 0 R /XYZ null 345.4 null] >> endobj 23491 0 obj << /D [20750 0 R /XYZ null 228.6038 null] >> endobj 23492 0 obj << /D [20750 0 R /XYZ null 185.2039 null] >> endobj 23493 0 obj << /D [20767 0 R /XYZ null 697 null] >> endobj 23494 0 obj << /D [20767 0 R /XYZ null 697 null] >> endobj 23495 0 obj << /D [20767 0 R /XYZ null 671.8 null] >> endobj 23496 0 obj << /D [20767 0 R /XYZ null 495.5001 null] >> endobj 23497 0 obj << /D [20767 0 R /XYZ null 457.3 null] >> endobj 23498 0 obj << /D [20767 0 R /XYZ null 363.3 null] >> endobj 23499 0 obj << /D [20767 0 R /XYZ null 223.6 null] >> endobj 23500 0 obj << /D [20787 0 R /XYZ null 697 null] >> endobj 23501 0 obj << /D [20787 0 R /XYZ null 697 null] >> endobj 23502 0 obj << /D [20787 0 R /XYZ null 671.8 null] >> endobj 23503 0 obj << /D [20787 0 R /XYZ null 361.5002 null] >> endobj 23504 0 obj << /D [20797 0 R /XYZ null 711 null] >> endobj 23505 0 obj << /Count 6 /First 25000 0 R /Last 25000 0 R /Type /Outlines >> endobj 23506 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20349) /S /GoTo >> /C [0 0 0] /Next 23507 0 R /Parent 25027 0 R /Prev 25047 0 R /Title (all_ffs) >> endobj 23507 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20572) /S /GoTo >> /C [0 0 0] /Next 23508 0 R /Parent 25027 0 R /Prev 23506 0 R /Title (all_hsios) >> endobj 23508 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e20805) /S /GoTo >> /C [0 0 0] /Next 23509 0 R /Parent 25027 0 R /Prev 23507 0 R /Title (all_inputs) >> endobj 23509 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21037) /S /GoTo >> /C [0 0 0] /Next 23510 0 R /Parent 25027 0 R /Prev 23508 0 R /Title (all_latches) >> endobj 23510 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21265) /S /GoTo >> /C [0 0 0] /Next 23511 0 R /Parent 25027 0 R /Prev 23509 0 R /Title (all_outputs) >> endobj 23511 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21476) /S /GoTo >> /C [0 0 0] /Next 23512 0 R /Parent 25027 0 R /Prev 23510 0 R /Title (all_rams) >> endobj 23512 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e21699) /S /GoTo >> /C [0 0 0] /Next 23513 0 R /Parent 25027 0 R /Prev 23511 0 R /Title (all_registers) >> endobj 23513 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22151) /S /GoTo >> /C [0 0 0] /Next 23514 0 R /Parent 25027 0 R /Prev 23512 0 R /Title (apply_bd_automation) >> endobj 23514 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22521) /S /GoTo >> /C [0 0 0] /Next 23515 0 R /Parent 25027 0 R /Prev 23513 0 R /Title (apply_board_connection) >> endobj 23515 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e22834) /S /GoTo >> /C [0 0 0] /Next 23516 0 R /Parent 25027 0 R /Prev 23514 0 R /Title (apply_hw_ila_trigger) >> endobj 23516 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23119) /S /GoTo >> /C [0 0 0] /Next 23517 0 R /Parent 25027 0 R /Prev 23515 0 R /Title (archive_project) >> endobj 23517 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23472) /S /GoTo >> /C [0 0 0] /Next 23518 0 R /Parent 25027 0 R /Prev 23516 0 R /Title (assign_bd_address) >> endobj 23518 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e23928) /S /GoTo >> /C [0 0 0] /Next 23519 0 R /Parent 25027 0 R /Prev 23517 0 R /Title (auto_detect_xpm) >> endobj 23519 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24100) /S /GoTo >> /C [0 0 0] /Next 23520 0 R /Parent 25027 0 R /Prev 23518 0 R /Title (boot_hw_device) >> endobj 23520 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24371) /S /GoTo >> /C [0 0 0] /Next 23521 0 R /Parent 25027 0 R /Prev 23519 0 R /Title (calc_config_time) >> endobj 23521 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24706) /S /GoTo >> /C [0 0 0] /Next 23522 0 R /Parent 25027 0 R /Prev 23520 0 R /Title (can_resolve_reference) >> endobj 23522 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e24889) /S /GoTo >> /C [0 0 0] /Next 23523 0 R /Parent 25027 0 R /Prev 23521 0 R /Title (check_syntax) >> endobj 23523 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25083) /S /GoTo >> /C [0 0 0] /Next 23524 0 R /Parent 25027 0 R /Prev 23522 0 R /Title (check_timing) >> endobj 23524 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25656) /S /GoTo >> /C [0 0 0] /Next 23525 0 R /Parent 25027 0 R /Prev 23523 0 R /Title (checkpoint_vcd) >> endobj 23525 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e25859) /S /GoTo >> /C [0 0 0] /Next 23526 0 R /Parent 25027 0 R /Prev 23524 0 R /Title (close_bd_design) >> endobj 23526 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26069) /S /GoTo >> /C [0 0 0] /Next 23527 0 R /Parent 25027 0 R /Prev 23525 0 R /Title (close_design) >> endobj 23527 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26257) /S /GoTo >> /C [0 0 0] /Next 23528 0 R /Parent 25027 0 R /Prev 23526 0 R /Title (close_hw_manager) >> endobj 23528 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26425) /S /GoTo >> /C [0 0 0] /Next 23529 0 R /Parent 25027 0 R /Prev 23527 0 R /Title (close_hw_target) >> endobj 23529 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26628) /S /GoTo >> /C [0 0 0] /Next 23530 0 R /Parent 25027 0 R /Prev 23528 0 R /Title (close_project) >> endobj 23530 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26828) /S /GoTo >> /C [0 0 0] /Next 23531 0 R /Parent 25027 0 R /Prev 23529 0 R /Title (close_saif) >> endobj 23531 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e26992) /S /GoTo >> /C [0 0 0] /Next 23532 0 R /Parent 25027 0 R /Prev 23530 0 R /Title (close_sim) >> endobj 23532 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27166) /S /GoTo >> /C [0 0 0] /Next 23533 0 R /Parent 25027 0 R /Prev 23531 0 R /Title (close_vcd) >> endobj 23533 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27331) /S /GoTo >> /C [0 0 0] /Next 23534 0 R /Parent 25027 0 R /Prev 23532 0 R /Title (close_wave_config) >> endobj 23534 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27577) /S /GoTo >> /C [0 0 0] /Next 23535 0 R /Parent 25027 0 R /Prev 23533 0 R /Title (commit_hw_hbm) >> endobj 23535 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e27812) /S /GoTo >> /C [0 0 0] /Next 23536 0 R /Parent 25027 0 R /Prev 23534 0 R /Title (commit_hw_mig) >> endobj 23536 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28036) /S /GoTo >> /C [0 0 0] /Next 23537 0 R /Parent 25027 0 R /Prev 23535 0 R /Title (commit_hw_sio) >> endobj 23537 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28290) /S /GoTo >> /C [0 0 0] /Next 23538 0 R /Parent 25027 0 R /Prev 23536 0 R /Title (commit_hw_sysmon) >> endobj 23538 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28510) /S /GoTo >> /C [0 0 0] /Next 23539 0 R /Parent 25027 0 R /Prev 23537 0 R /Title (commit_hw_vio) >> endobj 23539 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28749) /S /GoTo >> /C [0 0 0] /Next 23540 0 R /Parent 25027 0 R /Prev 23538 0 R /Title (compile_c) >> endobj 23540 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e28960) /S /GoTo >> /C [0 0 0] /Next 23541 0 R /Parent 25027 0 R /Prev 23539 0 R /Title (compile_simlib) >> endobj 23541 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29591) /S /GoTo >> /C [0 0 0] /Next 23542 0 R /Parent 25027 0 R /Prev 23540 0 R /Title (config_compile_simlib) >> endobj 23542 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e29912) /S /GoTo >> /C [0 0 0] /Next 23543 0 R /Parent 25027 0 R /Prev 23541 0 R /Title (config_design_analysis) >> endobj 23543 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30095) /S /GoTo >> /C [0 0 0] /Next 23544 0 R /Parent 25027 0 R /Prev 23542 0 R /Title (config_hw_sio_gts) >> endobj 23544 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30225) /S /GoTo >> /C [0 0 0] /Next 23545 0 R /Parent 25027 0 R /Prev 23543 0 R /Title (config_implementation) >> endobj 23545 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e30401) /S /GoTo >> /C [0 0 0] /Next 23546 0 R /Parent 25027 0 R /Prev 23544 0 R /Title (config_ip_cache) >> endobj 23546 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31209) /S /GoTo >> /C [0 0 0] /Next 23547 0 R /Parent 25027 0 R /Prev 23545 0 R /Title (config_timing_analysis) >> endobj 23547 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31497) /S /GoTo >> /C [0 0 0] /Next 23548 0 R /Parent 25027 0 R /Prev 23546 0 R /Title (config_timing_corners) >> endobj 23548 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e31787) /S /GoTo >> /C [0 0 0] /Next 23549 0 R /Parent 25027 0 R /Prev 23547 0 R /Title (connect_bd_intf_net) >> endobj 23549 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32070) /S /GoTo >> /C [0 0 0] /Next 23550 0 R /Parent 25027 0 R /Prev 23548 0 R /Title (connect_bd_net) >> endobj 23550 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32357) /S /GoTo >> /C [0 0 0] /Next 23551 0 R /Parent 25027 0 R /Prev 23549 0 R /Title (connect_debug_cores) >> endobj 23551 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32601) /S /GoTo >> /C [0 0 0] /Next 23552 0 R /Parent 25027 0 R /Prev 23550 0 R /Title (connect_debug_port) >> endobj 23552 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e32871) /S /GoTo >> /C [0 0 0] /Next 23553 0 R /Parent 25027 0 R /Prev 23551 0 R /Title (connect_hw_server) >> endobj 23553 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33149) /S /GoTo >> /C [0 0 0] /Next 23554 0 R /Parent 25027 0 R /Prev 23552 0 R /Title (connect_net) >> endobj 23554 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33565) /S /GoTo >> /C [0 0 0] /Next 23555 0 R /Parent 25027 0 R /Prev 23553 0 R /Title (convert_ips) >> endobj 23555 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e33858) /S /GoTo >> /C [0 0 0] /Next 23556 0 R /Parent 25027 0 R /Prev 23554 0 R /Title (convert_ngc) >> endobj 23556 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34163) /S /GoTo >> /C [0 0 0] /Next 23557 0 R /Parent 25027 0 R /Prev 23555 0 R /Title (copy_bd_objs) >> endobj 23557 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34451) /S /GoTo >> /C [0 0 0] /Next 23558 0 R /Parent 25027 0 R /Prev 23556 0 R /Title (copy_constraints) >> endobj 23558 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34591) /S /GoTo >> /C [0 0 0] /Next 23559 0 R /Parent 25027 0 R /Prev 23557 0 R /Title (copy_ip) >> endobj 23559 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e34833) /S /GoTo >> /C [0 0 0] /Next 23560 0 R /Parent 25027 0 R /Prev 23558 0 R /Title (copy_run) >> endobj 23560 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35076) /S /GoTo >> /C [0 0 0] /Next 23561 0 R /Parent 25027 0 R /Prev 23559 0 R /Title (create_bd_addr_seg) >> endobj 23561 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35348) /S /GoTo >> /C [0 0 0] /Next 23562 0 R /Parent 25027 0 R /Prev 23560 0 R /Title (create_bd_cell) >> endobj 23562 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35720) /S /GoTo >> /C [0 0 0] /Next 23563 0 R /Parent 25027 0 R /Prev 23561 0 R /Title (create_bd_design) >> endobj 23563 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e35972) /S /GoTo >> /C [0 0 0] /Next 23564 0 R /Parent 25027 0 R /Prev 23562 0 R /Title (create_bd_intf_net) >> endobj 23564 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36156) /S /GoTo >> /C [0 0 0] /Next 23565 0 R /Parent 25027 0 R /Prev 23563 0 R /Title (create_bd_intf_pin) >> endobj 23565 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36417) /S /GoTo >> /C [0 0 0] /Next 23566 0 R /Parent 25027 0 R /Prev 23564 0 R /Title (create_bd_intf_port) >> endobj 23566 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36675) /S /GoTo >> /C [0 0 0] /Next 23567 0 R /Parent 25027 0 R /Prev 23565 0 R /Title (create_bd_intf_tlm_port) >> endobj 23567 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e36826) /S /GoTo >> /C [0 0 0] /Next 23568 0 R /Parent 25027 0 R /Prev 23566 0 R /Title (create_bd_net) >> endobj 23568 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e37051) /S /GoTo >> /C [0 0 0] /Next 23569 0 R /Parent 25027 0 R /Prev 23567 0 R /Title (create_bd_pin) >> endobj 23569 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e37323) /S /GoTo >> /C [0 0 0] /Next 23570 0 R /Parent 25027 0 R /Prev 23568 0 R /Title (create_bd_port) >> endobj 23570 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e37611) /S /GoTo >> /C [0 0 0] /Next 23571 0 R /Parent 25027 0 R /Prev 23569 0 R /Title (create_bd_tlm_port) >> endobj 23571 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e37738) /S /GoTo >> /C [0 0 0] /Next 23572 0 R /Parent 25027 0 R /Prev 23570 0 R /Title (create_cell) >> endobj 23572 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e38032) /S /GoTo >> /C [0 0 0] /Next 23573 0 R /Parent 25027 0 R /Prev 23571 0 R /Title (create_clock) >> endobj 23573 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e38438) /S /GoTo >> /C [0 0 0] /Next 23574 0 R /Parent 25027 0 R /Prev 23572 0 R /Title (create_cluster_configuration) >> endobj 23574 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e38808) /S /GoTo >> /C [0 0 0] /Next 23575 0 R /Parent 25027 0 R /Prev 23573 0 R /Title (create_dashboard_gadget) >> endobj 23575 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e39050) /S /GoTo >> /C [0 0 0] /Next 23576 0 R /Parent 25027 0 R /Prev 23574 0 R /Title (create_debug_core) >> endobj 23576 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e39328) /S /GoTo >> /C [0 0 0] /Next 23577 0 R /Parent 25027 0 R /Prev 23575 0 R /Title (create_debug_port) >> endobj 23577 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e39647) /S /GoTo >> /C [0 0 0] /Next 23578 0 R /Parent 25027 0 R /Prev 23576 0 R /Title (create_drc_check) >> endobj 23578 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e40137) /S /GoTo >> /C [0 0 0] /Next 23579 0 R /Parent 25027 0 R /Prev 23577 0 R /Title (create_drc_ruledeck) >> endobj 23579 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e40369) /S /GoTo >> /C [0 0 0] /Next 23580 0 R /Parent 25027 0 R /Prev 23578 0 R /Title (create_drc_violation) >> endobj 23580 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e40874) /S /GoTo >> /C [0 0 0] /Next 23581 0 R /Parent 25027 0 R /Prev 23579 0 R /Title (create_fileset) >> endobj 23581 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e41239) /S /GoTo >> /C [0 0 0] /Next 23582 0 R /Parent 25027 0 R /Prev 23580 0 R /Title (create_generated_clock) >> endobj 23582 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e41776) /S /GoTo >> /C [0 0 0] /Next 23583 0 R /Parent 25027 0 R /Prev 23581 0 R /Title (create_gui_custom_command) >> endobj 23583 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e42118) /S /GoTo >> /C [0 0 0] /Next 23584 0 R /Parent 25027 0 R /Prev 23582 0 R /Title (create_gui_custom_command_arg) >> endobj 23584 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e42394) /S /GoTo >> /C [0 0 0] /Next 23585 0 R /Parent 25027 0 R /Prev 23583 0 R /Title (create_hw_axi_txn) >> endobj 23585 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e42811) /S /GoTo >> /C [0 0 0] /Next 23586 0 R /Parent 25027 0 R /Prev 23584 0 R /Title (create_hw_bitstream) >> endobj 23586 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e43138) /S /GoTo >> /C [0 0 0] /Next 23587 0 R /Parent 25027 0 R /Prev 23585 0 R /Title (create_hw_cfgmem) >> endobj 23587 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e43435) /S /GoTo >> /C [0 0 0] /Next 23588 0 R /Parent 25027 0 R /Prev 23586 0 R /Title (create_hw_device) >> endobj 23588 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e43795) /S /GoTo >> /C [0 0 0] /Next 23589 0 R /Parent 25027 0 R /Prev 23587 0 R /Title (create_hw_probe) >> endobj 23589 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e44081) /S /GoTo >> /C [0 0 0] /Next 23590 0 R /Parent 25027 0 R /Prev 23588 0 R /Title (create_hw_sio_link) >> endobj 23590 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e44349) /S /GoTo >> /C [0 0 0] /Next 23591 0 R /Parent 25027 0 R /Prev 23589 0 R /Title (create_hw_sio_linkgroup) >> endobj 23591 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e44590) /S /GoTo >> /C [0 0 0] /Next 23592 0 R /Parent 25027 0 R /Prev 23590 0 R /Title (create_hw_sio_scan) >> endobj 23592 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e44899) /S /GoTo >> /C [0 0 0] /Next 23593 0 R /Parent 25027 0 R /Prev 23591 0 R /Title (create_hw_sio_sweep) >> endobj 23593 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e45220) /S /GoTo >> /C [0 0 0] /Next 23594 0 R /Parent 25027 0 R /Prev 23592 0 R /Title (create_hw_target) >> endobj 23594 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e45542) /S /GoTo >> /C [0 0 0] /Next 23595 0 R /Parent 25027 0 R /Prev 23593 0 R /Title (create_interface) >> endobj 23595 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e45777) /S /GoTo >> /C [0 0 0] /Next 23596 0 R /Parent 25027 0 R /Prev 23594 0 R /Title (create_ip) >> endobj 23596 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e46224) /S /GoTo >> /C [0 0 0] /Next 23597 0 R /Parent 25027 0 R /Prev 23595 0 R /Title (create_ip_run) >> endobj 23597 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e46465) /S /GoTo >> /C [0 0 0] /Next 23598 0 R /Parent 25027 0 R /Prev 23596 0 R /Title (create_macro) >> endobj 23598 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e46685) /S /GoTo >> /C [0 0 0] /Next 23599 0 R /Parent 25027 0 R /Prev 23597 0 R /Title (create_net) >> endobj 23599 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e46987) /S /GoTo >> /C [0 0 0] /Next 23600 0 R /Parent 25027 0 R /Prev 23598 0 R /Title (create_partition_def) >> endobj 23600 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e47238) /S /GoTo >> /C [0 0 0] /Next 23601 0 R /Parent 25027 0 R /Prev 23599 0 R /Title (create_pblock) >> endobj 23601 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e47461) /S /GoTo >> /C [0 0 0] /Next 23602 0 R /Parent 25027 0 R /Prev 23600 0 R /Title (create_peripheral) >> endobj 23602 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e47737) /S /GoTo >> /C [0 0 0] /Next 23603 0 R /Parent 25027 0 R /Prev 23601 0 R /Title (create_pin) >> endobj 23603 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e48051) /S /GoTo >> /C [0 0 0] /Next 23604 0 R /Parent 25027 0 R /Prev 23602 0 R /Title (create_port) >> endobj 23604 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e48438) /S /GoTo >> /C [0 0 0] /Next 23605 0 R /Parent 25027 0 R /Prev 23603 0 R /Title (create_port_on_reconfigurable_module) >> endobj 23605 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e48605) /S /GoTo >> /C [0 0 0] /Next 23606 0 R /Parent 25027 0 R /Prev 23604 0 R /Title (create_power_rail) >> endobj 23606 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e48783) /S /GoTo >> /C [0 0 0] /Next 23607 0 R /Parent 25027 0 R /Prev 23605 0 R /Title (create_pr_configuration) >> endobj 23607 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e49069) /S /GoTo >> /C [0 0 0] /Next 23608 0 R /Parent 25027 0 R /Prev 23606 0 R /Title (create_project) >> endobj 23608 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e49550) /S /GoTo >> /C [0 0 0] /Next 23609 0 R /Parent 25027 0 R /Prev 23607 0 R /Title (create_property) >> endobj 23609 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e49967) /S /GoTo >> /C [0 0 0] /Next 23610 0 R /Parent 25027 0 R /Prev 23608 0 R /Title (create_reconfig_module) >> endobj 23610 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e50282) /S /GoTo >> /C [0 0 0] /Next 23611 0 R /Parent 25027 0 R /Prev 23609 0 R /Title (create_report_config) >> endobj 23611 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e50647) /S /GoTo >> /C [0 0 0] /Next 23612 0 R /Parent 25027 0 R /Prev 23610 0 R /Title (create_run) >> endobj 23612 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e51009) /S /GoTo >> /C [0 0 0] /Next 23613 0 R /Parent 25027 0 R /Prev 23611 0 R /Title (create_single_pass_run) >> endobj 23613 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e51229) /S /GoTo >> /C [0 0 0] /Next 23614 0 R /Parent 25027 0 R /Prev 23612 0 R /Title (create_slack_histogram) >> endobj 23614 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e51705) /S /GoTo >> /C [0 0 0] /Next 23615 0 R /Parent 25027 0 R /Prev 23613 0 R /Title (create_sysgen) >> endobj 23615 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e51924) /S /GoTo >> /C [0 0 0] /Next 23616 0 R /Parent 25027 0 R /Prev 23614 0 R /Title (create_testbench) >> endobj 23616 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e52232) /S /GoTo >> /C [0 0 0] /Next 23617 0 R /Parent 25027 0 R /Prev 23615 0 R /Title (create_waiver) >> endobj 23617 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e52824) /S /GoTo >> /C [0 0 0] /Next 23618 0 R /Parent 25027 0 R /Prev 23616 0 R /Title (create_wave_config) >> endobj 23618 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e53052) /S /GoTo >> /C [0 0 0] /Next 23619 0 R /Parent 25027 0 R /Prev 23617 0 R /Title (create_xps) >> endobj 23619 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e53268) /S /GoTo >> /C [0 0 0] /Next 23620 0 R /Parent 25027 0 R /Prev 23618 0 R /Title (current_bd_design) >> endobj 23620 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e53484) /S /GoTo >> /C [0 0 0] /Next 23621 0 R /Parent 25027 0 R /Prev 23619 0 R /Title (current_bd_instance) >> endobj 23621 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e53691) /S /GoTo >> /C [0 0 0] /Next 23622 0 R /Parent 25027 0 R /Prev 23620 0 R /Title (current_board) >> endobj 23622 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e53961) /S /GoTo >> /C [0 0 0] /Next 23623 0 R /Parent 25027 0 R /Prev 23621 0 R /Title (current_board_part) >> endobj 23623 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e54234) /S /GoTo >> /C [0 0 0] /Next 23624 0 R /Parent 25027 0 R /Prev 23622 0 R /Title (current_design) >> endobj 23624 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e54440) /S /GoTo >> /C [0 0 0] /Next 23625 0 R /Parent 25027 0 R /Prev 23623 0 R /Title (current_fileset) >> endobj 23625 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e54681) /S /GoTo >> /C [0 0 0] /Next 23626 0 R /Parent 25027 0 R /Prev 23624 0 R /Title (current_frame) >> endobj 23626 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e54934) /S /GoTo >> /C [0 0 0] /Next 23627 0 R /Parent 25027 0 R /Prev 23625 0 R /Title (current_hw_cfgmem) >> endobj 23627 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e55198) /S /GoTo >> /C [0 0 0] /Next 23628 0 R /Parent 25027 0 R /Prev 23626 0 R /Title (current_hw_device) >> endobj 23628 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e55479) /S /GoTo >> /C [0 0 0] /Next 23629 0 R /Parent 25027 0 R /Prev 23627 0 R /Title (current_hw_ila) >> endobj 23629 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e55708) /S /GoTo >> /C [0 0 0] /Next 23630 0 R /Parent 25027 0 R /Prev 23628 0 R /Title (current_hw_ila_data) >> endobj 23630 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e55953) /S /GoTo >> /C [0 0 0] /Next 23631 0 R /Parent 25027 0 R /Prev 23629 0 R /Title (current_hw_server) >> endobj 23631 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e56216) /S /GoTo >> /C [0 0 0] /Next 23632 0 R /Parent 25027 0 R /Prev 23630 0 R /Title (current_hw_target) >> endobj 23632 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e56484) /S /GoTo >> /C [0 0 0] /Next 23633 0 R /Parent 25027 0 R /Prev 23631 0 R /Title (current_instance) >> endobj 23633 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e56749) /S /GoTo >> /C [0 0 0] /Next 23634 0 R /Parent 25027 0 R /Prev 23632 0 R /Title (current_pr_configuration) >> endobj 23634 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e56970) /S /GoTo >> /C [0 0 0] /Next 23635 0 R /Parent 25027 0 R /Prev 23633 0 R /Title (current_project) >> endobj 23635 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e57176) /S /GoTo >> /C [0 0 0] /Next 23636 0 R /Parent 25027 0 R /Prev 23634 0 R /Title (current_run) >> endobj 23636 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e57432) /S /GoTo >> /C [0 0 0] /Next 23637 0 R /Parent 25027 0 R /Prev 23635 0 R /Title (current_scope) >> endobj 23637 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e57646) /S /GoTo >> /C [0 0 0] /Next 23638 0 R /Parent 25027 0 R /Prev 23636 0 R /Title (current_sim) >> endobj 23638 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e57828) /S /GoTo >> /C [0 0 0] /Next 23639 0 R /Parent 25027 0 R /Prev 23637 0 R /Title (current_time) >> endobj 23639 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e58014) /S /GoTo >> /C [0 0 0] /Next 23640 0 R /Parent 25027 0 R /Prev 23638 0 R /Title (current_vcd) >> endobj 23640 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e58187) /S /GoTo >> /C [0 0 0] /Next 23641 0 R /Parent 25027 0 R /Prev 23639 0 R /Title (current_vivado_preferences) >> endobj 23641 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e58293) /S /GoTo >> /C [0 0 0] /Next 23642 0 R /Parent 25027 0 R /Prev 23640 0 R /Title (current_wave_config) >> endobj 23642 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e58500) /S /GoTo >> /C [0 0 0] /Next 23643 0 R /Parent 25027 0 R /Prev 23641 0 R /Title (decrypt_bitstream) >> endobj 23643 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e58765) /S /GoTo >> /C [0 0 0] /Next 23644 0 R /Parent 25027 0 R /Prev 23642 0 R /Title (delete_bd_objs) >> endobj 23644 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e59001) /S /GoTo >> /C [0 0 0] /Next 23645 0 R /Parent 25027 0 R /Prev 23643 0 R /Title (delete_clock_networks_results) >> endobj 23645 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e59175) /S /GoTo >> /C [0 0 0] /Next 23646 0 R /Parent 25027 0 R /Prev 23644 0 R /Title (delete_dashboard_gadgets) >> endobj 23646 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e59359) /S /GoTo >> /C [0 0 0] /Next 23647 0 R /Parent 25027 0 R /Prev 23645 0 R /Title (delete_debug_core) >> endobj 23647 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e59547) /S /GoTo >> /C [0 0 0] /Next 23648 0 R /Parent 25027 0 R /Prev 23646 0 R /Title (delete_debug_port) >> endobj 23648 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e59735) /S /GoTo >> /C [0 0 0] /Next 23649 0 R /Parent 25027 0 R /Prev 23647 0 R /Title (delete_drc_check) >> endobj 23649 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e59922) /S /GoTo >> /C [0 0 0] /Next 23650 0 R /Parent 25027 0 R /Prev 23648 0 R /Title (delete_drc_ruledeck) >> endobj 23650 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e60230) /S /GoTo >> /C [0 0 0] /Next 23651 0 R /Parent 25027 0 R /Prev 23649 0 R /Title (delete_fileset) >> endobj 23651 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e60427) /S /GoTo >> /C [0 0 0] /Next 23652 0 R /Parent 25027 0 R /Prev 23650 0 R /Title (delete_hw_axi_txn) >> endobj 23652 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e60628) /S /GoTo >> /C [0 0 0] /Next 23653 0 R /Parent 25027 0 R /Prev 23651 0 R /Title (delete_hw_bitstream) >> endobj 23653 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e60845) /S /GoTo >> /C [0 0 0] /Next 23654 0 R /Parent 25027 0 R /Prev 23652 0 R /Title (delete_hw_cfgmem) >> endobj 23654 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e61046) /S /GoTo >> /C [0 0 0] /Next 23655 0 R /Parent 25027 0 R /Prev 23653 0 R /Title (delete_hw_probe) >> endobj 23655 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e61239) /S /GoTo >> /C [0 0 0] /Next 23656 0 R /Parent 25027 0 R /Prev 23654 0 R /Title (delete_hw_target) >> endobj 23656 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e61420) /S /GoTo >> /C [0 0 0] /Next 23657 0 R /Parent 25027 0 R /Prev 23655 0 R /Title (delete_interface) >> endobj 23657 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e61612) /S /GoTo >> /C [0 0 0] /Next 23658 0 R /Parent 25027 0 R /Prev 23656 0 R /Title (delete_ip_run) >> endobj 23658 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e61852) /S /GoTo >> /C [0 0 0] /Next 23659 0 R /Parent 25027 0 R /Prev 23657 0 R /Title (delete_macros) >> endobj 23659 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62025) /S /GoTo >> /C [0 0 0] /Next 23660 0 R /Parent 25027 0 R /Prev 23658 0 R /Title (delete_partition_defs) >> endobj 23660 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62227) /S /GoTo >> /C [0 0 0] /Next 23661 0 R /Parent 25027 0 R /Prev 23659 0 R /Title (delete_pblocks) >> endobj 23661 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62421) /S /GoTo >> /C [0 0 0] /Next 23662 0 R /Parent 25027 0 R /Prev 23660 0 R /Title (delete_power_rails) >> endobj 23662 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62541) /S /GoTo >> /C [0 0 0] /Next 23663 0 R /Parent 25027 0 R /Prev 23661 0 R /Title (delete_power_results) >> endobj 23663 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62732) /S /GoTo >> /C [0 0 0] /Next 23664 0 R /Parent 25027 0 R /Prev 23662 0 R /Title (delete_pr_configurations) >> endobj 23664 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e62917) /S /GoTo >> /C [0 0 0] /Next 23665 0 R /Parent 25027 0 R /Prev 23663 0 R /Title (delete_qor_suggestions) >> endobj 23665 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e63127) /S /GoTo >> /C [0 0 0] /Next 23666 0 R /Parent 25027 0 R /Prev 23664 0 R /Title (delete_reconfig_modules) >> endobj 23666 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e63329) /S /GoTo >> /C [0 0 0] /Next 23667 0 R /Parent 25027 0 R /Prev 23665 0 R /Title (delete_report_configs) >> endobj 23667 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e63514) /S /GoTo >> /C [0 0 0] /Next 23668 0 R /Parent 25027 0 R /Prev 23666 0 R /Title (delete_rpm) >> endobj 23668 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e63679) /S /GoTo >> /C [0 0 0] /Next 23669 0 R /Parent 25027 0 R /Prev 23667 0 R /Title (delete_runs) >> endobj 23669 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e63878) /S /GoTo >> /C [0 0 0] /Next 23670 0 R /Parent 25027 0 R /Prev 23668 0 R /Title (delete_timing_results) >> endobj 23670 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e64176) /S /GoTo >> /C [0 0 0] /Next 23671 0 R /Parent 25027 0 R /Prev 23669 0 R /Title (delete_utilization_results) >> endobj 23671 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e64347) /S /GoTo >> /C [0 0 0] /Next 23672 0 R /Parent 25027 0 R /Prev 23670 0 R /Title (delete_waivers) >> endobj 23672 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e64587) /S /GoTo >> /C [0 0 0] /Next 23673 0 R /Parent 25027 0 R /Prev 23671 0 R /Title (describe) >> endobj 23673 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e64790) /S /GoTo >> /C [0 0 0] /Next 23674 0 R /Parent 25027 0 R /Prev 23672 0 R /Title (detect_hw_sio_links) >> endobj 23674 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65030) /S /GoTo >> /C [0 0 0] /Next 23675 0 R /Parent 25027 0 R /Prev 23673 0 R /Title (disconnect_bd_intf_net) >> endobj 23675 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65275) /S /GoTo >> /C [0 0 0] /Next 23676 0 R /Parent 25027 0 R /Prev 23674 0 R /Title (disconnect_bd_net) >> endobj 23676 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65522) /S /GoTo >> /C [0 0 0] /Next 23677 0 R /Parent 25027 0 R /Prev 23675 0 R /Title (disconnect_debug_port) >> endobj 23677 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65756) /S /GoTo >> /C [0 0 0] /Next 23678 0 R /Parent 25027 0 R /Prev 23676 0 R /Title (disconnect_hw_server) >> endobj 23678 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e65958) /S /GoTo >> /C [0 0 0] /Next 23679 0 R /Parent 25027 0 R /Prev 23677 0 R /Title (disconnect_net) >> endobj 23679 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e66292) /S /GoTo >> /C [0 0 0] /Next 23680 0 R /Parent 25027 0 R /Prev 23678 0 R /Title (display_hw_ila_data) >> endobj 23680 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e66576) /S /GoTo >> /C [0 0 0] /Next 23681 0 R /Parent 25027 0 R /Prev 23679 0 R /Title (display_hw_sio_scan) >> endobj 23681 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e66795) /S /GoTo >> /C [0 0 0] /Next 23682 0 R /Parent 25027 0 R /Prev 23680 0 R /Title (encrypt) >> endobj 23682 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e67049) /S /GoTo >> /C [0 0 0] /Next 23683 0 R /Parent 25027 0 R /Prev 23681 0 R /Title (endgroup) >> endobj 23683 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e67259) /S /GoTo >> /C [0 0 0] /Next 23684 0 R /Parent 25027 0 R /Prev 23682 0 R /Title (exclude_bd_addr_seg) >> endobj 23684 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e67514) /S /GoTo >> /C [0 0 0] /Next 23685 0 R /Parent 25027 0 R /Prev 23683 0 R /Title (execute_hw_svf) >> endobj 23685 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e67744) /S /GoTo >> /C [0 0 0] /Next 23686 0 R /Parent 25027 0 R /Prev 23684 0 R /Title (export_as_example_design) >> endobj 23686 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e67899) /S /GoTo >> /C [0 0 0] /Next 23687 0 R /Parent 25027 0 R /Prev 23685 0 R /Title (export_bd_synth) >> endobj 23687 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e68128) /S /GoTo >> /C [0 0 0] /Next 23688 0 R /Parent 25027 0 R /Prev 23686 0 R /Title (export_ip_user_files) >> endobj 23688 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e68556) /S /GoTo >> /C [0 0 0] /Next 23689 0 R /Parent 25027 0 R /Prev 23687 0 R /Title (export_simulation) >> endobj 23689 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e69348) /S /GoTo >> /C [0 0 0] /Next 23690 0 R /Parent 25027 0 R /Prev 23688 0 R /Title (export_xsim_coverage) >> endobj 23690 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e69704) /S /GoTo >> /C [0 0 0] /Next 23691 0 R /Parent 25027 0 R /Prev 23689 0 R /Title (extract_files) >> endobj 23691 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e69990) /S /GoTo >> /C [0 0 0] /Next 23692 0 R /Parent 25027 0 R /Prev 23690 0 R /Title (filter) >> endobj 23692 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e70291) /S /GoTo >> /C [0 0 0] /Next 23693 0 R /Parent 25027 0 R /Prev 23691 0 R /Title (find_bd_objs) >> endobj 23693 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e70646) /S /GoTo >> /C [0 0 0] /Next 23694 0 R /Parent 25027 0 R /Prev 23692 0 R /Title (find_routing_path) >> endobj 23694 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71012) /S /GoTo >> /C [0 0 0] /Next 23695 0 R /Parent 25027 0 R /Prev 23693 0 R /Title (find_top) >> endobj 23695 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71248) /S /GoTo >> /C [0 0 0] /Next 23696 0 R /Parent 25027 0 R /Prev 23694 0 R /Title (flush_vcd) >> endobj 23696 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71422) /S /GoTo >> /C [0 0 0] /Next 23697 0 R /Parent 25027 0 R /Prev 23695 0 R /Title (generate_base_platform) >> endobj 23697 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71577) /S /GoTo >> /C [0 0 0] /Next 23698 0 R /Parent 25027 0 R /Prev 23696 0 R /Title (generate_hier_access) >> endobj 23698 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e71826) /S /GoTo >> /C [0 0 0] /Next 23699 0 R /Parent 25027 0 R /Prev 23697 0 R /Title (generate_mem_files) >> endobj 23699 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e72035) /S /GoTo >> /C [0 0 0] /Next 23700 0 R /Parent 25027 0 R /Prev 23698 0 R /Title (generate_pblock) >> endobj 23700 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e72190) /S /GoTo >> /C [0 0 0] /Next 23701 0 R /Parent 25027 0 R /Prev 23699 0 R /Title (generate_peripheral) >> endobj 23701 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e72505) /S /GoTo >> /C [0 0 0] /Next 23702 0 R /Parent 25027 0 R /Prev 23700 0 R /Title (generate_reports) >> endobj 23702 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e72718) /S /GoTo >> /C [0 0 0] /Next 23703 0 R /Parent 25027 0 R /Prev 23701 0 R /Title (generate_rl_platform) >> endobj 23703 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e72873) /S /GoTo >> /C [0 0 0] /Next 23704 0 R /Parent 25027 0 R /Prev 23702 0 R /Title (generate_shx_platform) >> endobj 23704 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e73028) /S /GoTo >> /C [0 0 0] /Next 23705 0 R /Parent 25027 0 R /Prev 23703 0 R /Title (generate_target) >> endobj 23705 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e73390) /S /GoTo >> /C [0 0 0] /Next 23706 0 R /Parent 25027 0 R /Prev 23704 0 R /Title (generate_vcd_ports) >> endobj 23706 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e73606) /S /GoTo >> /C [0 0 0] /Next 23707 0 R /Parent 25027 0 R /Prev 23705 0 R /Title (get_bd_addr_segs) >> endobj 23707 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e74090) /S /GoTo >> /C [0 0 0] /Next 23708 0 R /Parent 25027 0 R /Prev 23706 0 R /Title (get_bd_addr_spaces) >> endobj 23708 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e74463) /S /GoTo >> /C [0 0 0] /Next 23709 0 R /Parent 25027 0 R /Prev 23707 0 R /Title (get_bd_cells) >> endobj 23709 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e74843) /S /GoTo >> /C [0 0 0] /Next 23710 0 R /Parent 25027 0 R /Prev 23708 0 R /Title (get_bd_designs) >> endobj 23710 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e75144) /S /GoTo >> /C [0 0 0] /Next 23711 0 R /Parent 25027 0 R /Prev 23709 0 R /Title (get_bd_intf_nets) >> endobj 23711 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e75539) /S /GoTo >> /C [0 0 0] /Next 23712 0 R /Parent 25027 0 R /Prev 23710 0 R /Title (get_bd_intf_pins) >> endobj 23712 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e75932) /S /GoTo >> /C [0 0 0] /Next 23713 0 R /Parent 25027 0 R /Prev 23711 0 R /Title (get_bd_intf_ports) >> endobj 23713 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e76310) /S /GoTo >> /C [0 0 0] /Next 23714 0 R /Parent 25027 0 R /Prev 23712 0 R /Title (get_bd_nets) >> endobj 23714 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e76705) /S /GoTo >> /C [0 0 0] /Next 23715 0 R /Parent 25027 0 R /Prev 23713 0 R /Title (get_bd_pins) >> endobj 23715 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e77094) /S /GoTo >> /C [0 0 0] /Next 23716 0 R /Parent 25027 0 R /Prev 23714 0 R /Title (get_bd_ports) >> endobj 23716 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e77462) /S /GoTo >> /C [0 0 0] /Next 23717 0 R /Parent 25027 0 R /Prev 23715 0 R /Title (get_bd_regs) >> endobj 23717 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e77669) /S /GoTo >> /C [0 0 0] /Next 23718 0 R /Parent 25027 0 R /Prev 23716 0 R /Title (get_bel_pins) >> endobj 23718 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e78030) /S /GoTo >> /C [0 0 0] /Next 23719 0 R /Parent 25027 0 R /Prev 23717 0 R /Title (get_bels) >> endobj 23719 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e78407) /S /GoTo >> /C [0 0 0] /Next 23720 0 R /Parent 25027 0 R /Prev 23718 0 R /Title (get_board_bus_nets) >> endobj 23720 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e78782) /S /GoTo >> /C [0 0 0] /Next 23721 0 R /Parent 25027 0 R /Prev 23719 0 R /Title (get_board_buses) >> endobj 23721 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e79152) /S /GoTo >> /C [0 0 0] /Next 23722 0 R /Parent 25027 0 R /Prev 23720 0 R /Title (get_board_component_interfaces) >> endobj 23722 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e79511) /S /GoTo >> /C [0 0 0] /Next 23723 0 R /Parent 25027 0 R /Prev 23721 0 R /Title (get_board_component_modes) >> endobj 23723 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e79866) /S /GoTo >> /C [0 0 0] /Next 23724 0 R /Parent 25027 0 R /Prev 23722 0 R /Title (get_board_component_pins) >> endobj 23724 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e80230) /S /GoTo >> /C [0 0 0] /Next 23725 0 R /Parent 25027 0 R /Prev 23723 0 R /Title (get_board_components) >> endobj 23725 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e80581) /S /GoTo >> /C [0 0 0] /Next 23726 0 R /Parent 25027 0 R /Prev 23724 0 R /Title (get_board_interface_ports) >> endobj 23726 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e80924) /S /GoTo >> /C [0 0 0] /Next 23727 0 R /Parent 25027 0 R /Prev 23725 0 R /Title (get_board_ip_preferences) >> endobj 23727 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e81261) /S /GoTo >> /C [0 0 0] /Next 23728 0 R /Parent 25027 0 R /Prev 23726 0 R /Title (get_board_jumpers) >> endobj 23728 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e81598) /S /GoTo >> /C [0 0 0] /Next 23729 0 R /Parent 25027 0 R /Prev 23727 0 R /Title (get_board_parameters) >> endobj 23729 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e81956) /S /GoTo >> /C [0 0 0] /Next 23730 0 R /Parent 25027 0 R /Prev 23728 0 R /Title (get_board_part_interfaces) >> endobj 23730 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e82340) /S /GoTo >> /C [0 0 0] /Next 23731 0 R /Parent 25027 0 R /Prev 23729 0 R /Title (get_board_part_pins) >> endobj 23731 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e82737) /S /GoTo >> /C [0 0 0] /Next 23732 0 R /Parent 25027 0 R /Prev 23730 0 R /Title (get_board_parts) >> endobj 23732 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e83124) /S /GoTo >> /C [0 0 0] /Next 23733 0 R /Parent 25027 0 R /Prev 23731 0 R /Title (get_boards) >> endobj 23733 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e83509) /S /GoTo >> /C [0 0 0] /Next 23734 0 R /Parent 25027 0 R /Prev 23732 0 R /Title (get_cdc_violations) >> endobj 23734 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e83865) /S /GoTo >> /C [0 0 0] /Next 23735 0 R /Parent 25027 0 R /Prev 23733 0 R /Title (get_cells) >> endobj 23735 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e84386) /S /GoTo >> /C [0 0 0] /Next 23736 0 R /Parent 25027 0 R /Prev 23734 0 R /Title (get_cfgmem_parts) >> endobj 23736 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e84772) /S /GoTo >> /C [0 0 0] /Next 23737 0 R /Parent 25027 0 R /Prev 23735 0 R /Title (get_clock_regions) >> endobj 23737 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e85129) /S /GoTo >> /C [0 0 0] /Next 23738 0 R /Parent 25027 0 R /Prev 23736 0 R /Title (get_clocks) >> endobj 23738 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e85555) /S /GoTo >> /C [0 0 0] /Next 23739 0 R /Parent 25027 0 R /Prev 23737 0 R /Title (get_cluster_configurations) >> endobj 23739 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e85872) /S /GoTo >> /C [0 0 0] /Next 23740 0 R /Parent 25027 0 R /Prev 23738 0 R /Title (get_constant_paths) >> endobj 23740 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e86179) /S /GoTo >> /C [0 0 0] /Next 23741 0 R /Parent 25027 0 R /Prev 23739 0 R /Title (get_dashboard_gadgets) >> endobj 23741 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e86360) /S /GoTo >> /C [0 0 0] /Next 23742 0 R /Parent 25027 0 R /Prev 23740 0 R /Title (get_debug_cores) >> endobj 23742 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e86738) /S /GoTo >> /C [0 0 0] /Next 23743 0 R /Parent 25027 0 R /Prev 23741 0 R /Title (get_debug_ports) >> endobj 23743 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e87105) /S /GoTo >> /C [0 0 0] /Next 23744 0 R /Parent 25027 0 R /Prev 23742 0 R /Title (get_designs) >> endobj 23744 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e87402) /S /GoTo >> /C [0 0 0] /Next 23745 0 R /Parent 25027 0 R /Prev 23743 0 R /Title (get_drc_checks) >> endobj 23745 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e87786) /S /GoTo >> /C [0 0 0] /Next 23746 0 R /Parent 25027 0 R /Prev 23744 0 R /Title (get_drc_ruledecks) >> endobj 23746 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e88133) /S /GoTo >> /C [0 0 0] /Next 23747 0 R /Parent 25027 0 R /Prev 23745 0 R /Title (get_drc_violations) >> endobj 23747 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e88522) /S /GoTo >> /C [0 0 0] /Next 23748 0 R /Parent 25027 0 R /Prev 23746 0 R /Title (get_example_designs) >> endobj 23748 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e88808) /S /GoTo >> /C [0 0 0] /Next 23749 0 R /Parent 25027 0 R /Prev 23747 0 R /Title (get_files) >> endobj 23749 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e89311) /S /GoTo >> /C [0 0 0] /Next 23750 0 R /Parent 25027 0 R /Prev 23748 0 R /Title (get_filesets) >> endobj 23750 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e89644) /S /GoTo >> /C [0 0 0] /Next 23751 0 R /Parent 25027 0 R /Prev 23749 0 R /Title (get_generated_clocks) >> endobj 23751 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e90006) /S /GoTo >> /C [0 0 0] /Next 23752 0 R /Parent 25027 0 R /Prev 23750 0 R /Title (get_gui_custom_command_args) >> endobj 23752 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e90285) /S /GoTo >> /C [0 0 0] /Next 23753 0 R /Parent 25027 0 R /Prev 23751 0 R /Title (get_gui_custom_commands) >> endobj 23753 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e90536) /S /GoTo >> /C [0 0 0] /Next 23754 0 R /Parent 25027 0 R /Prev 23752 0 R /Title (get_hierarchy_separator) >> endobj 23754 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e90695) /S /GoTo >> /C [0 0 0] /Next 23755 0 R /Parent 25027 0 R /Prev 23753 0 R /Title (get_highlighted_objects) >> endobj 23755 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e90965) /S /GoTo >> /C [0 0 0] /Next 23756 0 R /Parent 25027 0 R /Prev 23754 0 R /Title (get_hw_axi_txns) >> endobj 23756 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e91333) /S /GoTo >> /C [0 0 0] /Next 23757 0 R /Parent 25027 0 R /Prev 23755 0 R /Title (get_hw_axis) >> endobj 23757 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e91701) /S /GoTo >> /C [0 0 0] /Next 23758 0 R /Parent 25027 0 R /Prev 23756 0 R /Title (get_hw_cfgmems) >> endobj 23758 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e92039) /S /GoTo >> /C [0 0 0] /Next 23759 0 R /Parent 25027 0 R /Prev 23757 0 R /Title (get_hw_ddrmcs) >> endobj 23759 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e92393) /S /GoTo >> /C [0 0 0] /Next 23760 0 R /Parent 25027 0 R /Prev 23758 0 R /Title (get_hw_devices) >> endobj 23760 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e92754) /S /GoTo >> /C [0 0 0] /Next 23761 0 R /Parent 25027 0 R /Prev 23759 0 R /Title (get_hw_hbms) >> endobj 23761 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e93137) /S /GoTo >> /C [0 0 0] /Next 23762 0 R /Parent 25027 0 R /Prev 23760 0 R /Title (get_hw_ila_datas) >> endobj 23762 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e93525) /S /GoTo >> /C [0 0 0] /Next 23763 0 R /Parent 25027 0 R /Prev 23761 0 R /Title (get_hw_ilas) >> endobj 23763 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e94011) /S /GoTo >> /C [0 0 0] /Next 23764 0 R /Parent 25027 0 R /Prev 23762 0 R /Title (get_hw_migs) >> endobj 23764 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e94398) /S /GoTo >> /C [0 0 0] /Next 23765 0 R /Parent 25027 0 R /Prev 23763 0 R /Title (get_hw_pcies) >> endobj 23765 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e94731) /S /GoTo >> /C [0 0 0] /Next 23766 0 R /Parent 25027 0 R /Prev 23764 0 R /Title (get_hw_probes) >> endobj 23766 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e95129) /S /GoTo >> /C [0 0 0] /Next 23767 0 R /Parent 25027 0 R /Prev 23765 0 R /Title (get_hw_servers) >> endobj 23767 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e95442) /S /GoTo >> /C [0 0 0] /Next 23768 0 R /Parent 25027 0 R /Prev 23766 0 R /Title (get_hw_sio_commons) >> endobj 23768 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e95805) /S /GoTo >> /C [0 0 0] /Next 23769 0 R /Parent 25027 0 R /Prev 23767 0 R /Title (get_hw_sio_gtgroups) >> endobj 23769 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e96200) /S /GoTo >> /C [0 0 0] /Next 23770 0 R /Parent 25027 0 R /Prev 23768 0 R /Title (get_hw_sio_gts) >> endobj 23770 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e96598) /S /GoTo >> /C [0 0 0] /Next 23771 0 R /Parent 25027 0 R /Prev 23769 0 R /Title (get_hw_sio_iberts) >> endobj 23771 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e97009) /S /GoTo >> /C [0 0 0] /Next 23772 0 R /Parent 25027 0 R /Prev 23770 0 R /Title (get_hw_sio_linkgroups) >> endobj 23772 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e97400) /S /GoTo >> /C [0 0 0] /Next 23773 0 R /Parent 25027 0 R /Prev 23771 0 R /Title (get_hw_sio_links) >> endobj 23773 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e97794) /S /GoTo >> /C [0 0 0] /Next 23774 0 R /Parent 25027 0 R /Prev 23772 0 R /Title (get_hw_sio_plls) >> endobj 23774 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e98182) /S /GoTo >> /C [0 0 0] /Next 23775 0 R /Parent 25027 0 R /Prev 23773 0 R /Title (get_hw_sio_rxs) >> endobj 23775 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e98562) /S /GoTo >> /C [0 0 0] /Next 23776 0 R /Parent 25027 0 R /Prev 23774 0 R /Title (get_hw_sio_scans) >> endobj 23776 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e98958) /S /GoTo >> /C [0 0 0] /Next 23777 0 R /Parent 25027 0 R /Prev 23775 0 R /Title (get_hw_sio_sweeps) >> endobj 23777 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e99369) /S /GoTo >> /C [0 0 0] /Next 23778 0 R /Parent 25027 0 R /Prev 23776 0 R /Title (get_hw_sio_txs) >> endobj 23778 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e99750) /S /GoTo >> /C [0 0 0] /Next 23779 0 R /Parent 25027 0 R /Prev 23777 0 R /Title (get_hw_softmcs) >> endobj 23779 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e100152) /S /GoTo >> /C [0 0 0] /Next 23780 0 R /Parent 25027 0 R /Prev 23778 0 R /Title (get_hw_sysmon_reg) >> endobj 23780 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e100433) /S /GoTo >> /C [0 0 0] /Next 23781 0 R /Parent 25027 0 R /Prev 23779 0 R /Title (get_hw_sysmons) >> endobj 23781 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e100820) /S /GoTo >> /C [0 0 0] /Next 23782 0 R /Parent 25027 0 R /Prev 23780 0 R /Title (get_hw_targets) >> endobj 23782 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e101184) /S /GoTo >> /C [0 0 0] /Next 23783 0 R /Parent 25027 0 R /Prev 23781 0 R /Title (get_hw_vios) >> endobj 23783 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e101569) /S /GoTo >> /C [0 0 0] /Next 23784 0 R /Parent 25027 0 R /Prev 23782 0 R /Title (get_interfaces) >> endobj 23784 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e101901) /S /GoTo >> /C [0 0 0] /Next 23785 0 R /Parent 25027 0 R /Prev 23783 0 R /Title (get_io_standards) >> endobj 23785 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e102240) /S /GoTo >> /C [0 0 0] /Next 23786 0 R /Parent 25027 0 R /Prev 23784 0 R /Title (get_iobanks) >> endobj 23786 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e102614) /S /GoTo >> /C [0 0 0] /Next 23787 0 R /Parent 25027 0 R /Prev 23785 0 R /Title (get_ip_upgrade_results) >> endobj 23787 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e102854) /S /GoTo >> /C [0 0 0] /Next 23788 0 R /Parent 25027 0 R /Prev 23786 0 R /Title (get_ipdefs) >> endobj 23788 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e103269) /S /GoTo >> /C [0 0 0] /Next 23789 0 R /Parent 25027 0 R /Prev 23787 0 R /Title (get_ips) >> endobj 23789 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e103661) /S /GoTo >> /C [0 0 0] /Next 23790 0 R /Parent 25027 0 R /Prev 23788 0 R /Title (get_lib_cells) >> endobj 23790 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e104028) /S /GoTo >> /C [0 0 0] /Next 23791 0 R /Parent 25027 0 R /Prev 23789 0 R /Title (get_lib_pins) >> endobj 23791 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e104378) /S /GoTo >> /C [0 0 0] /Next 23792 0 R /Parent 25027 0 R /Prev 23790 0 R /Title (get_libs) >> endobj 23792 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e104682) /S /GoTo >> /C [0 0 0] /Next 23793 0 R /Parent 25027 0 R /Prev 23791 0 R /Title (get_macros) >> endobj 23793 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e105036) /S /GoTo >> /C [0 0 0] /Next 23794 0 R /Parent 25027 0 R /Prev 23792 0 R /Title (get_marked_objects) >> endobj 23794 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e105264) /S /GoTo >> /C [0 0 0] /Next 23795 0 R /Parent 25027 0 R /Prev 23793 0 R /Title (get_methodology_checks) >> endobj 23795 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e105587) /S /GoTo >> /C [0 0 0] /Next 23796 0 R /Parent 25027 0 R /Prev 23794 0 R /Title (get_methodology_violations) >> endobj 23796 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e105947) /S /GoTo >> /C [0 0 0] /Next 23797 0 R /Parent 25027 0 R /Prev 23795 0 R /Title (get_msg_config) >> endobj 23797 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e106293) /S /GoTo >> /C [0 0 0] /Next 23798 0 R /Parent 25027 0 R /Prev 23796 0 R /Title (get_net_delays) >> endobj 23798 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e106724) /S /GoTo >> /C [0 0 0] /Next 23799 0 R /Parent 25027 0 R /Prev 23797 0 R /Title (get_nets) >> endobj 23799 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e107291) /S /GoTo >> /C [0 0 0] /Next 23800 0 R /Parent 25027 0 R /Prev 23798 0 R /Title (get_nodes) >> endobj 23800 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e107754) /S /GoTo >> /C [0 0 0] /Next 23801 0 R /Parent 25027 0 R /Prev 23799 0 R /Title (get_objects) >> endobj 23801 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e108130) /S /GoTo >> /C [0 0 0] /Next 23802 0 R /Parent 25027 0 R /Prev 23800 0 R /Title (get_package_pins) >> endobj 23802 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e108498) /S /GoTo >> /C [0 0 0] /Next 23803 0 R /Parent 25027 0 R /Prev 23801 0 R /Title (get_param) >> endobj 23803 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e108699) /S /GoTo >> /C [0 0 0] /Next 23804 0 R /Parent 25027 0 R /Prev 23802 0 R /Title (get_partition_defs) >> endobj 23804 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e109009) /S /GoTo >> /C [0 0 0] /Next 23805 0 R /Parent 25027 0 R /Prev 23803 0 R /Title (get_parts) >> endobj 23805 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e109331) /S /GoTo >> /C [0 0 0] /Next 23806 0 R /Parent 25027 0 R /Prev 23804 0 R /Title (get_path_groups) >> endobj 23806 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e109587) /S /GoTo >> /C [0 0 0] /Next 23807 0 R /Parent 25027 0 R /Prev 23805 0 R /Title (get_pblocks) >> endobj 23807 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e109974) /S /GoTo >> /C [0 0 0] /Next 23808 0 R /Parent 25027 0 R /Prev 23806 0 R /Title (get_pins) >> endobj 23808 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e110513) /S /GoTo >> /C [0 0 0] /Next 23809 0 R /Parent 25027 0 R /Prev 23807 0 R /Title (get_pips) >> endobj 23809 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e110955) /S /GoTo >> /C [0 0 0] /Next 23810 0 R /Parent 25027 0 R /Prev 23808 0 R /Title (get_pkgpin_bytegroups) >> endobj 23810 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e111327) /S /GoTo >> /C [0 0 0] /Next 23811 0 R /Parent 25027 0 R /Prev 23809 0 R /Title (get_pkgpin_nibbles) >> endobj 23811 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e111700) /S /GoTo >> /C [0 0 0] /Next 23812 0 R /Parent 25027 0 R /Prev 23810 0 R /Title (get_ports) >> endobj 23812 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e112159) /S /GoTo >> /C [0 0 0] /Next 23813 0 R /Parent 25027 0 R /Prev 23811 0 R /Title (get_power_rails) >> endobj 23813 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e112325) /S /GoTo >> /C [0 0 0] /Next 23814 0 R /Parent 25027 0 R /Prev 23812 0 R /Title (get_pplocs) >> endobj 23814 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e112513) /S /GoTo >> /C [0 0 0] /Next 23815 0 R /Parent 25027 0 R /Prev 23813 0 R /Title (get_pr_configurations) >> endobj 23815 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e112824) /S /GoTo >> /C [0 0 0] /Next 23816 0 R /Parent 25027 0 R /Prev 23814 0 R /Title (get_primitives) >> endobj 23816 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e113219) /S /GoTo >> /C [0 0 0] /Next 23817 0 R /Parent 25027 0 R /Prev 23815 0 R /Title (get_projects) >> endobj 23817 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e113528) /S /GoTo >> /C [0 0 0] /Next 23818 0 R /Parent 25027 0 R /Prev 23816 0 R /Title (get_property) >> endobj 23818 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e113848) /S /GoTo >> /C [0 0 0] /Next 23819 0 R /Parent 25027 0 R /Prev 23817 0 R /Title (get_qor_suggestions) >> endobj 23819 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e114105) /S /GoTo >> /C [0 0 0] /Next 23820 0 R /Parent 25027 0 R /Prev 23818 0 R /Title (get_reconfig_modules) >> endobj 23820 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e114427) /S /GoTo >> /C [0 0 0] /Next 23821 0 R /Parent 25027 0 R /Prev 23819 0 R /Title (get_report_configs) >> endobj 23821 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e114755) /S /GoTo >> /C [0 0 0] /Next 23822 0 R /Parent 25027 0 R /Prev 23820 0 R /Title (get_runs) >> endobj 23822 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e115089) /S /GoTo >> /C [0 0 0] /Next 23823 0 R /Parent 25027 0 R /Prev 23821 0 R /Title (get_scopes) >> endobj 23823 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e115392) /S /GoTo >> /C [0 0 0] /Next 23824 0 R /Parent 25027 0 R /Prev 23822 0 R /Title (get_selected_objects) >> endobj 23824 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e115628) /S /GoTo >> /C [0 0 0] /Next 23825 0 R /Parent 25027 0 R /Prev 23823 0 R /Title (get_simulators) >> endobj 23825 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e115908) /S /GoTo >> /C [0 0 0] /Next 23826 0 R /Parent 25027 0 R /Prev 23824 0 R /Title (get_site_pins) >> endobj 23826 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e116280) /S /GoTo >> /C [0 0 0] /Next 23827 0 R /Parent 25027 0 R /Prev 23825 0 R /Title (get_site_pips) >> endobj 23827 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e116637) /S /GoTo >> /C [0 0 0] /Next 23828 0 R /Parent 25027 0 R /Prev 23826 0 R /Title (get_sites) >> endobj 23828 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e117038) /S /GoTo >> /C [0 0 0] /Next 23829 0 R /Parent 25027 0 R /Prev 23827 0 R /Title (get_slrs) >> endobj 23829 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e117391) /S /GoTo >> /C [0 0 0] /Next 23830 0 R /Parent 25027 0 R /Prev 23828 0 R /Title (get_speed_models) >> endobj 23830 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e117761) /S /GoTo >> /C [0 0 0] /Next 23831 0 R /Parent 25027 0 R /Prev 23829 0 R /Title (get_stacks) >> endobj 23831 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e117950) /S /GoTo >> /C [0 0 0] /Next 23832 0 R /Parent 25027 0 R /Prev 23830 0 R /Title (get_template_bd_designs) >> endobj 23832 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e118113) /S /GoTo >> /C [0 0 0] /Next 23833 0 R /Parent 25027 0 R /Prev 23831 0 R /Title (get_tiles) >> endobj 23833 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e118485) /S /GoTo >> /C [0 0 0] /Next 23834 0 R /Parent 25027 0 R /Prev 23832 0 R /Title (get_timing_arcs) >> endobj 23834 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e118802) /S /GoTo >> /C [0 0 0] /Next 23835 0 R /Parent 25027 0 R /Prev 23833 0 R /Title (get_timing_paths) >> endobj 23835 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e119584) /S /GoTo >> /C [0 0 0] /Next 23836 0 R /Parent 25027 0 R /Prev 23834 0 R /Title (get_value) >> endobj 23836 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e119850) /S /GoTo >> /C [0 0 0] /Next 23837 0 R /Parent 25027 0 R /Prev 23835 0 R /Title (get_waivers) >> endobj 23837 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e120228) /S /GoTo >> /C [0 0 0] /Next 23838 0 R /Parent 25027 0 R /Prev 23836 0 R /Title (get_wave_configs) >> endobj 23838 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e120544) /S /GoTo >> /C [0 0 0] /Next 23839 0 R /Parent 25027 0 R /Prev 23837 0 R /Title (get_waves) >> endobj 23839 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e120768) /S /GoTo >> /C [0 0 0] /Next 23840 0 R /Parent 25027 0 R /Prev 23838 0 R /Title (get_wires) >> endobj 23840 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e121174) /S /GoTo >> /C [0 0 0] /Next 23841 0 R /Parent 25027 0 R /Prev 23839 0 R /Title (group_bd_cells) >> endobj 23841 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e121415) /S /GoTo >> /C [0 0 0] /Next 23842 0 R /Parent 25027 0 R /Prev 23840 0 R /Title (group_path) >> endobj 23842 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e121760) /S /GoTo >> /C [0 0 0] /Next 23843 0 R /Parent 25027 0 R /Prev 23841 0 R /Title (help) >> endobj 23843 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e122186) /S /GoTo >> /C [0 0 0] /Next 23844 0 R /Parent 25027 0 R /Prev 23842 0 R /Title (highlight_objects) >> endobj 23844 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e122502) /S /GoTo >> /C [0 0 0] /Next 23845 0 R /Parent 25027 0 R /Prev 23843 0 R /Title (implement_debug_core) >> endobj 23845 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e122718) /S /GoTo >> /C [0 0 0] /Next 23846 0 R /Parent 25027 0 R /Prev 23844 0 R /Title (implement_mig_cores) >> endobj 23846 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e123016) /S /GoTo >> /C [0 0 0] /Next 23847 0 R /Parent 25027 0 R /Prev 23845 0 R /Title (implement_xphy_cores) >> endobj 23847 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e123296) /S /GoTo >> /C [0 0 0] /Next 23848 0 R /Parent 25027 0 R /Prev 23846 0 R /Title (import_files) >> endobj 23848 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e123673) /S /GoTo >> /C [0 0 0] /Next 23849 0 R /Parent 25027 0 R /Prev 23847 0 R /Title (import_ip) >> endobj 23849 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e123930) /S /GoTo >> /C [0 0 0] /Next 23850 0 R /Parent 25027 0 R /Prev 23848 0 R /Title (import_synplify) >> endobj 23850 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e124130) /S /GoTo >> /C [0 0 0] /Next 23851 0 R /Parent 25027 0 R /Prev 23849 0 R /Title (import_xise) >> endobj 23851 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e124339) /S /GoTo >> /C [0 0 0] /Next 23852 0 R /Parent 25027 0 R /Prev 23850 0 R /Title (import_xst) >> endobj 23852 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e124542) /S /GoTo >> /C [0 0 0] /Next 23853 0 R /Parent 25027 0 R /Prev 23851 0 R /Title (include_bd_addr_seg) >> endobj 23853 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e124766) /S /GoTo >> /C [0 0 0] /Next 23854 0 R /Parent 25027 0 R /Prev 23852 0 R /Title (infer_diff_pairs) >> endobj 23854 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e124999) /S /GoTo >> /C [0 0 0] /Next 23855 0 R /Parent 25027 0 R /Prev 23853 0 R /Title (instantiate_example_design) >> endobj 23855 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e125303) /S /GoTo >> /C [0 0 0] /Next 23856 0 R /Parent 25027 0 R /Prev 23854 0 R /Title (instantiate_template_bd_design) >> endobj 23856 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e125537) /S /GoTo >> /C [0 0 0] /Next 23857 0 R /Parent 25027 0 R /Prev 23855 0 R /Title (iphys_opt_design) >> endobj 23857 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e126212) /S /GoTo >> /C [0 0 0] /Next 23858 0 R /Parent 25027 0 R /Prev 23856 0 R /Title (launch_chipscope_analyzer) >> endobj 23858 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e126478) /S /GoTo >> /C [0 0 0] /Next 23859 0 R /Parent 25027 0 R /Prev 23857 0 R /Title (launch_impact) >> endobj 23859 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e126678) /S /GoTo >> /C [0 0 0] /Next 23860 0 R /Parent 25027 0 R /Prev 23858 0 R /Title (launch_runs) >> endobj 23860 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e127380) /S /GoTo >> /C [0 0 0] /Next 23861 0 R /Parent 25027 0 R /Prev 23859 0 R /Title (launch_simulation) >> endobj 23861 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e128005) /S /GoTo >> /C [0 0 0] /Next 23862 0 R /Parent 25027 0 R /Prev 23860 0 R /Title (limit_vcd) >> endobj 23862 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e128212) /S /GoTo >> /C [0 0 0] /Next 23863 0 R /Parent 25027 0 R /Prev 23861 0 R /Title (link_design) >> endobj 23863 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e128619) /S /GoTo >> /C [0 0 0] /Next 23864 0 R /Parent 25027 0 R /Prev 23862 0 R /Title (list_features) >> endobj 23864 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e128792) /S /GoTo >> /C [0 0 0] /Next 23865 0 R /Parent 25027 0 R /Prev 23863 0 R /Title (list_hw_samples) >> endobj 23865 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e129006) /S /GoTo >> /C [0 0 0] /Next 23866 0 R /Parent 25027 0 R /Prev 23864 0 R /Title (list_param) >> endobj 23866 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e129188) /S /GoTo >> /C [0 0 0] /Next 23867 0 R /Parent 25027 0 R /Prev 23865 0 R /Title (list_property) >> endobj 23867 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e129477) /S /GoTo >> /C [0 0 0] /Next 23868 0 R /Parent 25027 0 R /Prev 23866 0 R /Title (list_property_value) >> endobj 23868 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e129772) /S /GoTo >> /C [0 0 0] /Next 23869 0 R /Parent 25027 0 R /Prev 23867 0 R /Title (list_targets) >> endobj 23869 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e129992) /S /GoTo >> /C [0 0 0] /Next 23870 0 R /Parent 25027 0 R /Prev 23868 0 R /Title (load_features) >> endobj 23870 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e130202) /S /GoTo >> /C [0 0 0] /Next 23871 0 R /Parent 25027 0 R /Prev 23869 0 R /Title (lock_design) >> endobj 23871 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e130496) /S /GoTo >> /C [0 0 0] /Next 23872 0 R /Parent 25027 0 R /Prev 23870 0 R /Title (log_saif) >> endobj 23872 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e130699) /S /GoTo >> /C [0 0 0] /Next 23873 0 R /Parent 25027 0 R /Prev 23871 0 R /Title (log_vcd) >> endobj 23873 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e130971) /S /GoTo >> /C [0 0 0] /Next 23874 0 R /Parent 25027 0 R /Prev 23872 0 R /Title (log_wave) >> endobj 23874 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e131205) /S /GoTo >> /C [0 0 0] /Next 23875 0 R /Parent 25027 0 R /Prev 23873 0 R /Title (ltrace) >> endobj 23875 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e131400) /S /GoTo >> /C [0 0 0] /Next 23876 0 R /Parent 25027 0 R /Prev 23874 0 R /Title (make_bd_intf_pins_external) >> endobj 23876 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e131619) /S /GoTo >> /C [0 0 0] /Next 23877 0 R /Parent 25027 0 R /Prev 23875 0 R /Title (make_bd_pins_external) >> endobj 23877 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e131842) /S /GoTo >> /C [0 0 0] /Next 23878 0 R /Parent 25027 0 R /Prev 23876 0 R /Title (make_diff_pair_ports) >> endobj 23878 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e132028) /S /GoTo >> /C [0 0 0] /Next 23879 0 R /Parent 25027 0 R /Prev 23877 0 R /Title (make_wrapper) >> endobj 23879 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e132359) /S /GoTo >> /C [0 0 0] /Next 23880 0 R /Parent 25027 0 R /Prev 23878 0 R /Title (mark_objects) >> endobj 23880 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e132605) /S /GoTo >> /C [0 0 0] /Next 23881 0 R /Parent 25027 0 R /Prev 23879 0 R /Title (modify_debug_ports) >> endobj 23881 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e132818) /S /GoTo >> /C [0 0 0] /Next 23882 0 R /Parent 25027 0 R /Prev 23880 0 R /Title (move_bd_cells) >> endobj 23882 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e133061) /S /GoTo >> /C [0 0 0] /Next 23883 0 R /Parent 25027 0 R /Prev 23881 0 R /Title (move_dashboard_gadget) >> endobj 23883 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e133296) /S /GoTo >> /C [0 0 0] /Next 23884 0 R /Parent 25027 0 R /Prev 23882 0 R /Title (move_files) >> endobj 23884 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e133523) /S /GoTo >> /C [0 0 0] /Next 23885 0 R /Parent 25027 0 R /Prev 23883 0 R /Title (move_wave) >> endobj 23885 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e133690) /S /GoTo >> /C [0 0 0] /Next 23886 0 R /Parent 25027 0 R /Prev 23884 0 R /Title (open_bd_design) >> endobj 23886 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e133891) /S /GoTo >> /C [0 0 0] /Next 23887 0 R /Parent 25027 0 R /Prev 23885 0 R /Title (open_checkpoint) >> endobj 23887 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e134139) /S /GoTo >> /C [0 0 0] /Next 23888 0 R /Parent 25027 0 R /Prev 23886 0 R /Title (open_example_project) >> endobj 23888 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e134390) /S /GoTo >> /C [0 0 0] /Next 23889 0 R /Parent 25027 0 R /Prev 23887 0 R /Title (open_hw_manager) >> endobj 23889 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e134684) /S /GoTo >> /C [0 0 0] /Next 23890 0 R /Parent 25027 0 R /Prev 23888 0 R /Title (open_hw_platform) >> endobj 23890 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e134905) /S /GoTo >> /C [0 0 0] /Next 23891 0 R /Parent 25027 0 R /Prev 23889 0 R /Title (open_hw_target) >> endobj 23891 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e135221) /S /GoTo >> /C [0 0 0] /Next 23892 0 R /Parent 25027 0 R /Prev 23890 0 R /Title (open_io_design) >> endobj 23892 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e135456) /S /GoTo >> /C [0 0 0] /Next 23893 0 R /Parent 25027 0 R /Prev 23891 0 R /Title (open_project) >> endobj 23893 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e135737) /S /GoTo >> /C [0 0 0] /Next 23894 0 R /Parent 25027 0 R /Prev 23892 0 R /Title (open_report) >> endobj 23894 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e136083) /S /GoTo >> /C [0 0 0] /Next 23895 0 R /Parent 25027 0 R /Prev 23893 0 R /Title (open_run) >> endobj 23895 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e136334) /S /GoTo >> /C [0 0 0] /Next 23896 0 R /Parent 25027 0 R /Prev 23894 0 R /Title (open_saif) >> endobj 23896 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e136533) /S /GoTo >> /C [0 0 0] /Next 23897 0 R /Parent 25027 0 R /Prev 23895 0 R /Title (open_vcd) >> endobj 23897 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e136816) /S /GoTo >> /C [0 0 0] /Next 23898 0 R /Parent 25027 0 R /Prev 23896 0 R /Title (open_wave_config) >> endobj 23898 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e137047) /S /GoTo >> /C [0 0 0] /Next 23899 0 R /Parent 25027 0 R /Prev 23897 0 R /Title (open_wave_database) >> endobj 23899 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e137309) /S /GoTo >> /C [0 0 0] /Next 23900 0 R /Parent 25027 0 R /Prev 23898 0 R /Title (opt_design) >> endobj 23900 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e138155) /S /GoTo >> /C [0 0 0] /Next 23901 0 R /Parent 25027 0 R /Prev 23899 0 R /Title (pause_hw_hbm_amon) >> endobj 23901 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e138382) /S /GoTo >> /C [0 0 0] /Next 23902 0 R /Parent 25027 0 R /Prev 23900 0 R /Title (phys_opt_design) >> endobj 23902 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e139192) /S /GoTo >> /C [0 0 0] /Next 23903 0 R /Parent 25027 0 R /Prev 23901 0 R /Title (place_cell) >> endobj 23903 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e139415) /S /GoTo >> /C [0 0 0] /Next 23904 0 R /Parent 25027 0 R /Prev 23902 0 R /Title (place_design) >> endobj 23904 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e140040) /S /GoTo >> /C [0 0 0] /Next 23905 0 R /Parent 25027 0 R /Prev 23903 0 R /Title (place_ports) >> endobj 23905 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e140328) /S /GoTo >> /C [0 0 0] /Next 23906 0 R /Parent 25027 0 R /Prev 23904 0 R /Title (platform_verify) >> endobj 23906 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e140575) /S /GoTo >> /C [0 0 0] /Next 23907 0 R /Parent 25027 0 R /Prev 23905 0 R /Title (power_opt_design) >> endobj 23907 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e140793) /S /GoTo >> /C [0 0 0] /Next 23908 0 R /Parent 25027 0 R /Prev 23906 0 R /Title (pr_recombine) >> endobj 23908 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e140911) /S /GoTo >> /C [0 0 0] /Next 23909 0 R /Parent 25027 0 R /Prev 23907 0 R /Title (pr_subdivide) >> endobj 23909 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e141053) /S /GoTo >> /C [0 0 0] /Next 23910 0 R /Parent 25027 0 R /Prev 23908 0 R /Title (pr_verify) >> endobj 23910 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e141370) /S /GoTo >> /C [0 0 0] /Next 23911 0 R /Parent 25027 0 R /Prev 23909 0 R /Title (program_hw_cfgmem) >> endobj 23911 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e141739) /S /GoTo >> /C [0 0 0] /Next 23912 0 R /Parent 25027 0 R /Prev 23910 0 R /Title (program_hw_devices) >> endobj 23912 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e142401) /S /GoTo >> /C [0 0 0] /Next 23913 0 R /Parent 25027 0 R /Prev 23911 0 R /Title (ptrace) >> endobj 23913 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e142596) /S /GoTo >> /C [0 0 0] /Next 23914 0 R /Parent 25027 0 R /Prev 23912 0 R /Title (read_bd) >> endobj 23914 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e142813) /S /GoTo >> /C [0 0 0] /Next 23915 0 R /Parent 25027 0 R /Prev 23913 0 R /Title (read_checkpoint) >> endobj 23915 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e143267) /S /GoTo >> /C [0 0 0] /Next 23916 0 R /Parent 25027 0 R /Prev 23914 0 R /Title (read_csv) >> endobj 23916 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e143497) /S /GoTo >> /C [0 0 0] /Next 23917 0 R /Parent 25027 0 R /Prev 23915 0 R /Title (read_edif) >> endobj 23917 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e143688) /S /GoTo >> /C [0 0 0] /Next 23918 0 R /Parent 25027 0 R /Prev 23916 0 R /Title (read_hw_ila_data) >> endobj 23918 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e143941) /S /GoTo >> /C [0 0 0] /Next 23919 0 R /Parent 25027 0 R /Prev 23917 0 R /Title (read_hw_sio_scan) >> endobj 23919 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e144203) /S /GoTo >> /C [0 0 0] /Next 23920 0 R /Parent 25027 0 R /Prev 23918 0 R /Title (read_hw_sio_sweep) >> endobj 23920 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e144458) /S /GoTo >> /C [0 0 0] /Next 23921 0 R /Parent 25027 0 R /Prev 23919 0 R /Title (read_ip) >> endobj 23921 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e144683) /S /GoTo >> /C [0 0 0] /Next 23922 0 R /Parent 25027 0 R /Prev 23920 0 R /Title (read_iphys_opt_tcl) >> endobj 23922 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e145216) /S /GoTo >> /C [0 0 0] /Next 23923 0 R /Parent 25027 0 R /Prev 23921 0 R /Title (read_mem) >> endobj 23923 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e145409) /S /GoTo >> /C [0 0 0] /Next 23924 0 R /Parent 25027 0 R /Prev 23922 0 R /Title (read_qor_suggestions) >> endobj 23924 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e145605) /S /GoTo >> /C [0 0 0] /Next 23925 0 R /Parent 25027 0 R /Prev 23923 0 R /Title (read_saif) >> endobj 23925 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e145866) /S /GoTo >> /C [0 0 0] /Next 23926 0 R /Parent 25027 0 R /Prev 23924 0 R /Title (read_schematic) >> endobj 23926 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e146068) /S /GoTo >> /C [0 0 0] /Next 23927 0 R /Parent 25027 0 R /Prev 23925 0 R /Title (read_twx) >> endobj 23927 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e146308) /S /GoTo >> /C [0 0 0] /Next 23928 0 R /Parent 25027 0 R /Prev 23926 0 R /Title (read_verilog) >> endobj 23928 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e146574) /S /GoTo >> /C [0 0 0] /Next 23929 0 R /Parent 25027 0 R /Prev 23927 0 R /Title (read_vhdl) >> endobj 23929 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e146820) /S /GoTo >> /C [0 0 0] /Next 23930 0 R /Parent 25027 0 R /Prev 23928 0 R /Title (read_xdc) >> endobj 23930 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e147166) /S /GoTo >> /C [0 0 0] /Next 23931 0 R /Parent 25027 0 R /Prev 23929 0 R /Title (readback_hw_cfgmem) >> endobj 23931 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e147559) /S /GoTo >> /C [0 0 0] /Next 23932 0 R /Parent 25027 0 R /Prev 23930 0 R /Title (readback_hw_device) >> endobj 23932 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e147877) /S /GoTo >> /C [0 0 0] /Next 23933 0 R /Parent 25027 0 R /Prev 23931 0 R /Title (redo) >> endobj 23933 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e148097) /S /GoTo >> /C [0 0 0] /Next 23934 0 R /Parent 25027 0 R /Prev 23932 0 R /Title (refresh_design) >> endobj 23934 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e148279) /S /GoTo >> /C [0 0 0] /Next 23935 0 R /Parent 25027 0 R /Prev 23933 0 R /Title (refresh_hw_axi) >> endobj 23935 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e148480) /S /GoTo >> /C [0 0 0] /Next 23936 0 R /Parent 25027 0 R /Prev 23934 0 R /Title (refresh_hw_ddrmc) >> endobj 23936 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e148713) /S /GoTo >> /C [0 0 0] /Next 23937 0 R /Parent 25027 0 R /Prev 23935 0 R /Title (refresh_hw_device) >> endobj 23937 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e148968) /S /GoTo >> /C [0 0 0] /Next 23938 0 R /Parent 25027 0 R /Prev 23936 0 R /Title (refresh_hw_hbm) >> endobj 23938 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e149237) /S /GoTo >> /C [0 0 0] /Next 23939 0 R /Parent 25027 0 R /Prev 23937 0 R /Title (refresh_hw_mig) >> endobj 23939 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e149490) /S /GoTo >> /C [0 0 0] /Next 23940 0 R /Parent 25027 0 R /Prev 23938 0 R /Title (refresh_hw_pcie) >> endobj 23940 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e149682) /S /GoTo >> /C [0 0 0] /Next 23941 0 R /Parent 25027 0 R /Prev 23939 0 R /Title (refresh_hw_server) >> endobj 23941 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e149895) /S /GoTo >> /C [0 0 0] /Next 23942 0 R /Parent 25027 0 R /Prev 23940 0 R /Title (refresh_hw_sio) >> endobj 23942 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e150171) /S /GoTo >> /C [0 0 0] /Next 23943 0 R /Parent 25027 0 R /Prev 23941 0 R /Title (refresh_hw_softmc) >> endobj 23943 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e150420) /S /GoTo >> /C [0 0 0] /Next 23944 0 R /Parent 25027 0 R /Prev 23942 0 R /Title (refresh_hw_sysmon) >> endobj 23944 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e150667) /S /GoTo >> /C [0 0 0] /Next 23945 0 R /Parent 25027 0 R /Prev 23943 0 R /Title (refresh_hw_target) >> endobj 23945 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e150920) /S /GoTo >> /C [0 0 0] /Next 23946 0 R /Parent 25027 0 R /Prev 23944 0 R /Title (refresh_hw_vio) >> endobj 23946 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e151167) /S /GoTo >> /C [0 0 0] /Next 23947 0 R /Parent 25027 0 R /Prev 23945 0 R /Title (refresh_meminit) >> endobj 23947 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e151279) /S /GoTo >> /C [0 0 0] /Next 23948 0 R /Parent 25027 0 R /Prev 23946 0 R /Title (regenerate_bd_layout) >> endobj 23948 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e151510) /S /GoTo >> /C [0 0 0] /Next 23949 0 R /Parent 25027 0 R /Prev 23947 0 R /Title (register_proc) >> endobj 23949 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e151732) /S /GoTo >> /C [0 0 0] /Next 23950 0 R /Parent 25027 0 R /Prev 23948 0 R /Title (reimport_files) >> endobj 23950 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e151945) /S /GoTo >> /C [0 0 0] /Next 23951 0 R /Parent 25027 0 R /Prev 23949 0 R /Title (relaunch_sim) >> endobj 23951 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e152140) /S /GoTo >> /C [0 0 0] /Next 23952 0 R /Parent 25027 0 R /Prev 23950 0 R /Title (remove_bps) >> endobj 23952 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e152388) /S /GoTo >> /C [0 0 0] /Next 23953 0 R /Parent 25027 0 R /Prev 23951 0 R /Title (remove_cell) >> endobj 23953 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e152596) /S /GoTo >> /C [0 0 0] /Next 23954 0 R /Parent 25027 0 R /Prev 23952 0 R /Title (remove_cells_from_pblock) >> endobj 23954 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e152790) /S /GoTo >> /C [0 0 0] /Next 23955 0 R /Parent 25027 0 R /Prev 23953 0 R /Title (remove_cluster_configurations) >> endobj 23955 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e153010) /S /GoTo >> /C [0 0 0] /Next 23956 0 R /Parent 25027 0 R /Prev 23954 0 R /Title (remove_conditions) >> endobj 23956 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e153214) /S /GoTo >> /C [0 0 0] /Next 23957 0 R /Parent 25027 0 R /Prev 23955 0 R /Title (remove_drc_checks) >> endobj 23957 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e153643) /S /GoTo >> /C [0 0 0] /Next 23958 0 R /Parent 25027 0 R /Prev 23956 0 R /Title (remove_files) >> endobj 23958 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e153889) /S /GoTo >> /C [0 0 0] /Next 23959 0 R /Parent 25027 0 R /Prev 23957 0 R /Title (remove_forces) >> endobj 23959 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e154104) /S /GoTo >> /C [0 0 0] /Next 23960 0 R /Parent 25027 0 R /Prev 23958 0 R /Title (remove_from_power_rail) >> endobj 23960 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e154236) /S /GoTo >> /C [0 0 0] /Next 23961 0 R /Parent 25027 0 R /Prev 23959 0 R /Title (remove_gui_custom_command_args) >> endobj 23961 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e154451) /S /GoTo >> /C [0 0 0] /Next 23962 0 R /Parent 25027 0 R /Prev 23960 0 R /Title (remove_gui_custom_commands) >> endobj 23962 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e154646) /S /GoTo >> /C [0 0 0] /Next 23963 0 R /Parent 25027 0 R /Prev 23961 0 R /Title (remove_hw_hbm_pc) >> endobj 23963 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e154903) /S /GoTo >> /C [0 0 0] /Next 23964 0 R /Parent 25027 0 R /Prev 23962 0 R /Title (remove_hw_probe_enum) >> endobj 23964 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e155175) /S /GoTo >> /C [0 0 0] /Next 23965 0 R /Parent 25027 0 R /Prev 23963 0 R /Title (remove_hw_sio_link) >> endobj 23965 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e155388) /S /GoTo >> /C [0 0 0] /Next 23966 0 R /Parent 25027 0 R /Prev 23964 0 R /Title (remove_hw_sio_linkgroup) >> endobj 23966 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e155609) /S /GoTo >> /C [0 0 0] /Next 23967 0 R /Parent 25027 0 R /Prev 23965 0 R /Title (remove_hw_sio_scan) >> endobj 23967 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e155813) /S /GoTo >> /C [0 0 0] /Next 23968 0 R /Parent 25027 0 R /Prev 23966 0 R /Title (remove_hw_sio_sweep) >> endobj 23968 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e156017) /S /GoTo >> /C [0 0 0] /Next 23969 0 R /Parent 25027 0 R /Prev 23967 0 R /Title (remove_net) >> endobj 23969 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e156265) /S /GoTo >> /C [0 0 0] /Next 23970 0 R /Parent 25027 0 R /Prev 23968 0 R /Title (remove_pin) >> endobj 23970 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e156454) /S /GoTo >> /C [0 0 0] /Next 23971 0 R /Parent 25027 0 R /Prev 23969 0 R /Title (remove_port) >> endobj 23971 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e156713) /S /GoTo >> /C [0 0 0] /Next 23972 0 R /Parent 25027 0 R /Prev 23970 0 R /Title (remove_wave) >> endobj 23972 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e156843) /S /GoTo >> /C [0 0 0] /Next 23973 0 R /Parent 25027 0 R /Prev 23971 0 R /Title (rename_cell) >> endobj 23973 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e157097) /S /GoTo >> /C [0 0 0] /Next 23974 0 R /Parent 25027 0 R /Prev 23972 0 R /Title (rename_net) >> endobj 23974 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e157368) /S /GoTo >> /C [0 0 0] /Next 23975 0 R /Parent 25027 0 R /Prev 23973 0 R /Title (rename_pin) >> endobj 23975 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e157645) /S /GoTo >> /C [0 0 0] /Next 23976 0 R /Parent 25027 0 R /Prev 23974 0 R /Title (rename_port) >> endobj 23976 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e157900) /S /GoTo >> /C [0 0 0] /Next 23977 0 R /Parent 25027 0 R /Prev 23975 0 R /Title (rename_ref) >> endobj 23977 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e158139) /S /GoTo >> /C [0 0 0] /Next 23978 0 R /Parent 25027 0 R /Prev 23976 0 R /Title (reorder_files) >> endobj 23978 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e158463) /S /GoTo >> /C [0 0 0] /Next 23979 0 R /Parent 25027 0 R /Prev 23977 0 R /Title (replace_bd_cell) >> endobj 23979 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e158721) /S /GoTo >> /C [0 0 0] /Next 23980 0 R /Parent 25027 0 R /Prev 23978 0 R /Title (report_bd_diffs) >> endobj 23980 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e159213) /S /GoTo >> /C [0 0 0] /Next 23981 0 R /Parent 25027 0 R /Prev 23979 0 R /Title (report_bps) >> endobj 23981 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e159408) /S /GoTo >> /C [0 0 0] /Next 23982 0 R /Parent 25027 0 R /Prev 23980 0 R /Title (report_bus_skew) >> endobj 23982 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e159961) /S /GoTo >> /C [0 0 0] /Next 23983 0 R /Parent 25027 0 R /Prev 23981 0 R /Title (report_carry_chains) >> endobj 23983 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e160221) /S /GoTo >> /C [0 0 0] /Next 23984 0 R /Parent 25027 0 R /Prev 23982 0 R /Title (report_cdc) >> endobj 23984 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e160807) /S /GoTo >> /C [0 0 0] /Next 23985 0 R /Parent 25027 0 R /Prev 23983 0 R /Title (report_clock_interaction) >> endobj 23985 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e161241) /S /GoTo >> /C [0 0 0] /Next 23986 0 R /Parent 25027 0 R /Prev 23984 0 R /Title (report_clock_networks) >> endobj 23986 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e161634) /S /GoTo >> /C [0 0 0] /Next 23987 0 R /Parent 25027 0 R /Prev 23985 0 R /Title (report_clock_utilization) >> endobj 23987 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e161958) /S /GoTo >> /C [0 0 0] /Next 23988 0 R /Parent 25027 0 R /Prev 23986 0 R /Title (report_clocks) >> endobj 23988 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e162216) /S /GoTo >> /C [0 0 0] /Next 23989 0 R /Parent 25027 0 R /Prev 23987 0 R /Title (report_compile_order) >> endobj 23989 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e162598) /S /GoTo >> /C [0 0 0] /Next 23990 0 R /Parent 25027 0 R /Prev 23988 0 R /Title (report_conditions) >> endobj 23990 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e162786) /S /GoTo >> /C [0 0 0] /Next 23991 0 R /Parent 25027 0 R /Prev 23989 0 R /Title (report_config_implementation) >> endobj 23991 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e163044) /S /GoTo >> /C [0 0 0] /Next 23992 0 R /Parent 25027 0 R /Prev 23990 0 R /Title (report_config_timing) >> endobj 23992 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e163376) /S /GoTo >> /C [0 0 0] /Next 23993 0 R /Parent 25027 0 R /Prev 23991 0 R /Title (report_constant_paths) >> endobj 23993 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e163694) /S /GoTo >> /C [0 0 0] /Next 23994 0 R /Parent 25027 0 R /Prev 23992 0 R /Title (report_control_sets) >> endobj 23994 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e164022) /S /GoTo >> /C [0 0 0] /Next 23995 0 R /Parent 25027 0 R /Prev 23993 0 R /Title (report_datasheet) >> endobj 23995 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e164408) /S /GoTo >> /C [0 0 0] /Next 23996 0 R /Parent 25027 0 R /Prev 23994 0 R /Title (report_debug_core) >> endobj 23996 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e164653) /S /GoTo >> /C [0 0 0] /Next 23997 0 R /Parent 25027 0 R /Prev 23995 0 R /Title (report_design_analysis) >> endobj 23997 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e165842) /S /GoTo >> /C [0 0 0] /Next 23998 0 R /Parent 25027 0 R /Prev 23996 0 R /Title (report_disable_timing) >> endobj 23998 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e166162) /S /GoTo >> /C [0 0 0] /Next 23999 0 R /Parent 25027 0 R /Prev 23997 0 R /Title (report_drc) >> endobj 23999 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e166743) /S /GoTo >> /C [0 0 0] /Next 24000 0 R /Parent 25027 0 R /Prev 23998 0 R /Title (report_drivers) >> endobj 24000 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e166950) /S /GoTo >> /C [0 0 0] /Next 24001 0 R /Parent 25027 0 R /Prev 23999 0 R /Title (report_environment) >> endobj 24001 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e167190) /S /GoTo >> /C [0 0 0] /Next 24002 0 R /Parent 25027 0 R /Prev 24000 0 R /Title (report_exceptions) >> endobj 24002 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e167826) /S /GoTo >> /C [0 0 0] /Next 24003 0 R /Parent 25027 0 R /Prev 24001 0 R /Title (report_frames) >> endobj 24003 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e168018) /S /GoTo >> /C [0 0 0] /Next 24004 0 R /Parent 25027 0 R /Prev 24002 0 R /Title (report_high_fanout_nets) >> endobj 24004 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e168552) /S /GoTo >> /C [0 0 0] /Next 24005 0 R /Parent 25027 0 R /Prev 24003 0 R /Title (report_hw_axi_txn) >> endobj 24005 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e168827) /S /GoTo >> /C [0 0 0] /Next 24006 0 R /Parent 25027 0 R /Prev 24004 0 R /Title (report_hw_ddrmc) >> endobj 24006 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e169090) /S /GoTo >> /C [0 0 0] /Next 24007 0 R /Parent 25027 0 R /Prev 24005 0 R /Title (report_hw_mig) >> endobj 24007 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e169369) /S /GoTo >> /C [0 0 0] /Next 24008 0 R /Parent 25027 0 R /Prev 24006 0 R /Title (report_hw_pcie) >> endobj 24008 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e169632) /S /GoTo >> /C [0 0 0] /Next 24009 0 R /Parent 25027 0 R /Prev 24007 0 R /Title (report_hw_softmc) >> endobj 24009 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e169910) /S /GoTo >> /C [0 0 0] /Next 24010 0 R /Parent 25027 0 R /Prev 24008 0 R /Title (report_hw_targets) >> endobj 24010 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e170101) /S /GoTo >> /C [0 0 0] /Next 24011 0 R /Parent 25027 0 R /Prev 24009 0 R /Title (report_incremental_reuse) >> endobj 24011 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e170416) /S /GoTo >> /C [0 0 0] /Next 24012 0 R /Parent 25027 0 R /Prev 24010 0 R /Title (report_io) >> endobj 24012 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e170692) /S /GoTo >> /C [0 0 0] /Next 24013 0 R /Parent 25027 0 R /Prev 24011 0 R /Title (report_ip_status) >> endobj 24013 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e171062) /S /GoTo >> /C [0 0 0] /Next 24014 0 R /Parent 25027 0 R /Prev 24012 0 R /Title (report_methodology) >> endobj 24014 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e171568) /S /GoTo >> /C [0 0 0] /Next 24015 0 R /Parent 25027 0 R /Prev 24013 0 R /Title (report_objects) >> endobj 24015 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e171779) /S /GoTo >> /C [0 0 0] /Next 24016 0 R /Parent 25027 0 R /Prev 24014 0 R /Title (report_operating_conditions) >> endobj 24016 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e172319) /S /GoTo >> /C [0 0 0] /Next 24017 0 R /Parent 25027 0 R /Prev 24015 0 R /Title (report_param) >> endobj 24017 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e172609) /S /GoTo >> /C [0 0 0] /Next 24018 0 R /Parent 25027 0 R /Prev 24016 0 R /Title (report_phys_opt) >> endobj 24018 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e172838) /S /GoTo >> /C [0 0 0] /Next 24019 0 R /Parent 25027 0 R /Prev 24017 0 R /Title (report_pipeline_analysis) >> endobj 24019 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e173175) /S /GoTo >> /C [0 0 0] /Next 24020 0 R /Parent 25027 0 R /Prev 24018 0 R /Title (report_power) >> endobj 24020 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e173647) /S /GoTo >> /C [0 0 0] /Next 24021 0 R /Parent 25027 0 R /Prev 24019 0 R /Title (report_power_opt) >> endobj 24021 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e173942) /S /GoTo >> /C [0 0 0] /Next 24022 0 R /Parent 25027 0 R /Prev 24020 0 R /Title (report_pr_configuration_analysis) >> endobj 24022 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e174297) /S /GoTo >> /C [0 0 0] /Next 24023 0 R /Parent 25027 0 R /Prev 24021 0 R /Title (report_property) >> endobj 24023 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e174747) /S /GoTo >> /C [0 0 0] /Next 24024 0 R /Parent 25027 0 R /Prev 24022 0 R /Title (report_pulse_width) >> endobj 24024 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e175295) /S /GoTo >> /C [0 0 0] /Next 24025 0 R /Parent 25027 0 R /Prev 24023 0 R /Title (report_qor_assessment) >> endobj 24025 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e175669) /S /GoTo >> /C [0 0 0] /Next 24026 0 R /Parent 25027 0 R /Prev 24024 0 R /Title (report_qor_suggestions) >> endobj 24026 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e176233) /S /GoTo >> /C [0 0 0] /Next 24027 0 R /Parent 25027 0 R /Prev 24025 0 R /Title (report_ram_utilization) >> endobj 24027 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e176601) /S /GoTo >> /C [0 0 0] /Next 24028 0 R /Parent 25027 0 R /Prev 24026 0 R /Title (report_route_status) >> endobj 24028 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e177114) /S /GoTo >> /C [0 0 0] /Next 24029 0 R /Parent 25027 0 R /Prev 24027 0 R /Title (report_scopes) >> endobj 24029 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e177313) /S /GoTo >> /C [0 0 0] /Next 24030 0 R /Parent 25027 0 R /Prev 24028 0 R /Title (report_sim_device) >> endobj 24030 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e177605) /S /GoTo >> /C [0 0 0] /Next 24031 0 R /Parent 25027 0 R /Prev 24029 0 R /Title (report_sim_version) >> endobj 24031 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e177782) /S /GoTo >> /C [0 0 0] /Next 24032 0 R /Parent 25027 0 R /Prev 24030 0 R /Title (report_simlib_info) >> endobj 24032 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e177997) /S /GoTo >> /C [0 0 0] /Next 24033 0 R /Parent 25027 0 R /Prev 24031 0 R /Title (report_ssn) >> endobj 24033 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e178395) /S /GoTo >> /C [0 0 0] /Next 24034 0 R /Parent 25027 0 R /Prev 24032 0 R /Title (report_stacks) >> endobj 24034 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e178584) /S /GoTo >> /C [0 0 0] /Next 24035 0 R /Parent 25027 0 R /Prev 24033 0 R /Title (report_switching_activity) >> endobj 24035 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e179082) /S /GoTo >> /C [0 0 0] /Next 24036 0 R /Parent 25027 0 R /Prev 24034 0 R /Title (report_synchronizer_mtbf) >> endobj 24036 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e179472) /S /GoTo >> /C [0 0 0] /Next 24037 0 R /Parent 25027 0 R /Prev 24035 0 R /Title (report_timing) >> endobj 24037 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e180580) /S /GoTo >> /C [0 0 0] /Next 24038 0 R /Parent 25027 0 R /Prev 24036 0 R /Title (report_timing_summary) >> endobj 24038 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e181425) /S /GoTo >> /C [0 0 0] /Next 24039 0 R /Parent 25027 0 R /Prev 24037 0 R /Title (report_transformed_primitives) >> endobj 24039 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e181643) /S /GoTo >> /C [0 0 0] /Next 24040 0 R /Parent 25027 0 R /Prev 24038 0 R /Title (report_utilization) >> endobj 24040 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e182275) /S /GoTo >> /C [0 0 0] /Next 24041 0 R /Parent 25027 0 R /Prev 24039 0 R /Title (report_values) >> endobj 24041 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e182536) /S /GoTo >> /C [0 0 0] /Next 24042 0 R /Parent 25027 0 R /Prev 24040 0 R /Title (report_waivers) >> endobj 24042 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e182888) /S /GoTo >> /C [0 0 0] /Next 24043 0 R /Parent 25027 0 R /Prev 24041 0 R /Title (reset_drc) >> endobj 24043 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e183070) /S /GoTo >> /C [0 0 0] /Next 24044 0 R /Parent 25027 0 R /Prev 24042 0 R /Title (reset_drc_check) >> endobj 24044 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e183268) /S /GoTo >> /C [0 0 0] /Next 24045 0 R /Parent 25027 0 R /Prev 24043 0 R /Title (reset_hw_axi) >> endobj 24045 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e183489) /S /GoTo >> /C [0 0 0] /Next 24046 0 R /Parent 25027 0 R /Prev 24044 0 R /Title (reset_hw_ila) >> endobj 24046 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e183752) /S /GoTo >> /C [0 0 0] /Next 24047 0 R /Parent 25027 0 R /Prev 24045 0 R /Title (reset_hw_pcie) >> endobj 24047 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e183944) /S /GoTo >> /C [0 0 0] /Next 24048 0 R /Parent 25027 0 R /Prev 24046 0 R /Title (reset_hw_vio_activity) >> endobj 24048 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e184166) /S /GoTo >> /C [0 0 0] /Next 24049 0 R /Parent 25027 0 R /Prev 24047 0 R /Title (reset_hw_vio_outputs) >> endobj 24049 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e184393) /S /GoTo >> /C [0 0 0] /Next 24050 0 R /Parent 25027 0 R /Prev 24048 0 R /Title (reset_methodology) >> endobj 24050 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e184585) /S /GoTo >> /C [0 0 0] /Next 24051 0 R /Parent 25027 0 R /Prev 24049 0 R /Title (reset_methodology_check) >> endobj 24051 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e184778) /S /GoTo >> /C [0 0 0] /Next 24052 0 R /Parent 25027 0 R /Prev 24050 0 R /Title (reset_msg_config) >> endobj 24052 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e185142) /S /GoTo >> /C [0 0 0] /Next 24053 0 R /Parent 25027 0 R /Prev 24051 0 R /Title (reset_msg_count) >> endobj 24053 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e185332) /S /GoTo >> /C [0 0 0] /Next 24054 0 R /Parent 25027 0 R /Prev 24052 0 R /Title (reset_operating_conditions) >> endobj 24054 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e185782) /S /GoTo >> /C [0 0 0] /Next 24055 0 R /Parent 25027 0 R /Prev 24053 0 R /Title (reset_param) >> endobj 24055 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e185986) /S /GoTo >> /C [0 0 0] /Next 24056 0 R /Parent 25027 0 R /Prev 24054 0 R /Title (reset_project) >> endobj 24056 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e186215) /S /GoTo >> /C [0 0 0] /Next 24057 0 R /Parent 25027 0 R /Prev 24055 0 R /Title (reset_property) >> endobj 24057 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e186443) /S /GoTo >> /C [0 0 0] /Next 24058 0 R /Parent 25027 0 R /Prev 24056 0 R /Title (reset_runs) >> endobj 24058 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e186585) /S /GoTo >> /C [0 0 0] /Next 24059 0 R /Parent 25027 0 R /Prev 24057 0 R /Title (reset_simulation) >> endobj 24059 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e186812) /S /GoTo >> /C [0 0 0] /Next 24060 0 R /Parent 25027 0 R /Prev 24058 0 R /Title (reset_ssn) >> endobj 24060 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e186983) /S /GoTo >> /C [0 0 0] /Next 24061 0 R /Parent 25027 0 R /Prev 24059 0 R /Title (reset_switching_activity) >> endobj 24061 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e187344) /S /GoTo >> /C [0 0 0] /Next 24062 0 R /Parent 25027 0 R /Prev 24060 0 R /Title (reset_target) >> endobj 24062 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e187556) /S /GoTo >> /C [0 0 0] /Next 24063 0 R /Parent 25027 0 R /Prev 24061 0 R /Title (reset_timing) >> endobj 24063 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e187768) /S /GoTo >> /C [0 0 0] /Next 24064 0 R /Parent 25027 0 R /Prev 24062 0 R /Title (resize_net_bus) >> endobj 24064 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e188059) /S /GoTo >> /C [0 0 0] /Next 24065 0 R /Parent 25027 0 R /Prev 24063 0 R /Title (resize_pblock) >> endobj 24065 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e188416) /S /GoTo >> /C [0 0 0] /Next 24066 0 R /Parent 25027 0 R /Prev 24064 0 R /Title (resize_pin_bus) >> endobj 24066 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e188697) /S /GoTo >> /C [0 0 0] /Next 24067 0 R /Parent 25027 0 R /Prev 24065 0 R /Title (resize_port_bus) >> endobj 24067 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e188975) /S /GoTo >> /C [0 0 0] /Next 24068 0 R /Parent 25027 0 R /Prev 24066 0 R /Title (restart) >> endobj 24068 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e189150) /S /GoTo >> /C [0 0 0] /Next 24069 0 R /Parent 25027 0 R /Prev 24067 0 R /Title (resume_hw_hbm_amon) >> endobj 24069 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e189379) /S /GoTo >> /C [0 0 0] /Next 24070 0 R /Parent 25027 0 R /Prev 24068 0 R /Title (route_design) >> endobj 24070 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e190210) /S /GoTo >> /C [0 0 0] /Next 24071 0 R /Parent 25027 0 R /Prev 24069 0 R /Title (run) >> endobj 24071 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e190480) /S /GoTo >> /C [0 0 0] /Next 24072 0 R /Parent 25027 0 R /Prev 24070 0 R /Title (run_hw_axi) >> endobj 24072 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e190711) /S /GoTo >> /C [0 0 0] /Next 24073 0 R /Parent 25027 0 R /Prev 24071 0 R /Title (run_hw_hbm_amon) >> endobj 24073 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e190943) /S /GoTo >> /C [0 0 0] /Next 24074 0 R /Parent 25027 0 R /Prev 24072 0 R /Title (run_hw_ila) >> endobj 24074 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e191539) /S /GoTo >> /C [0 0 0] /Next 24075 0 R /Parent 25027 0 R /Prev 24073 0 R /Title (run_hw_sio_scan) >> endobj 24075 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e191783) /S /GoTo >> /C [0 0 0] /Next 24076 0 R /Parent 25027 0 R /Prev 24074 0 R /Title (run_hw_sio_sweep) >> endobj 24076 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e192014) /S /GoTo >> /C [0 0 0] /Next 24077 0 R /Parent 25027 0 R /Prev 24075 0 R /Title (run_state_hw_jtag) >> endobj 24077 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e192293) /S /GoTo >> /C [0 0 0] /Next 24078 0 R /Parent 25027 0 R /Prev 24076 0 R /Title (runtest_hw_jtag) >> endobj 24078 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e192608) /S /GoTo >> /C [0 0 0] /Next 24079 0 R /Parent 25027 0 R /Prev 24077 0 R /Title (save_bd_design) >> endobj 24079 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e192811) /S /GoTo >> /C [0 0 0] /Next 24080 0 R /Parent 25027 0 R /Prev 24078 0 R /Title (save_bd_design_as) >> endobj 24080 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e193113) /S /GoTo >> /C [0 0 0] /Next 24081 0 R /Parent 25027 0 R /Prev 24079 0 R /Title (save_constraints) >> endobj 24081 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e193284) /S /GoTo >> /C [0 0 0] /Next 24082 0 R /Parent 25027 0 R /Prev 24080 0 R /Title (save_constraints_as) >> endobj 24082 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e193510) /S /GoTo >> /C [0 0 0] /Next 24083 0 R /Parent 25027 0 R /Prev 24081 0 R /Title (save_project_as) >> endobj 24083 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e193840) /S /GoTo >> /C [0 0 0] /Next 24084 0 R /Parent 25027 0 R /Prev 24082 0 R /Title (save_wave_config) >> endobj 24084 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e194079) /S /GoTo >> /C [0 0 0] /Next 24085 0 R /Parent 25027 0 R /Prev 24083 0 R /Title (scan_dr_hw_jtag) >> endobj 24085 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e194521) /S /GoTo >> /C [0 0 0] /Next 24086 0 R /Parent 25027 0 R /Prev 24084 0 R /Title (scan_ir_hw_jtag) >> endobj 24086 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e194955) /S /GoTo >> /C [0 0 0] /Next 24087 0 R /Parent 25027 0 R /Prev 24085 0 R /Title (select_objects) >> endobj 24087 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e195177) /S /GoTo >> /C [0 0 0] /Next 24088 0 R /Parent 25027 0 R /Prev 24086 0 R /Title (select_wave_objects) >> endobj 24088 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e195372) /S /GoTo >> /C [0 0 0] /Next 24089 0 R /Parent 25027 0 R /Prev 24087 0 R /Title (set_bus_skew) >> endobj 24089 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e195832) /S /GoTo >> /C [0 0 0] /Next 24090 0 R /Parent 25027 0 R /Prev 24088 0 R /Title (set_case_analysis) >> endobj 24090 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e196039) /S /GoTo >> /C [0 0 0] /Next 24091 0 R /Parent 25027 0 R /Prev 24089 0 R /Title (set_clock_groups) >> endobj 24091 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e196337) /S /GoTo >> /C [0 0 0] /Next 24092 0 R /Parent 25027 0 R /Prev 24090 0 R /Title (set_clock_latency) >> endobj 24092 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e196737) /S /GoTo >> /C [0 0 0] /Next 24093 0 R /Parent 25027 0 R /Prev 24091 0 R /Title (set_clock_sense) >> endobj 24093 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e197002) /S /GoTo >> /C [0 0 0] /Next 24094 0 R /Parent 25027 0 R /Prev 24092 0 R /Title (set_clock_uncertainty) >> endobj 24094 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e197453) /S /GoTo >> /C [0 0 0] /Next 24095 0 R /Parent 25027 0 R /Prev 24093 0 R /Title (set_data_check) >> endobj 24095 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e197828) /S /GoTo >> /C [0 0 0] /Next 24096 0 R /Parent 25027 0 R /Prev 24094 0 R /Title (set_delay_model) >> endobj 24096 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e198016) /S /GoTo >> /C [0 0 0] /Next 24097 0 R /Parent 25027 0 R /Prev 24095 0 R /Title (set_disable_timing) >> endobj 24097 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e198290) /S /GoTo >> /C [0 0 0] /Next 24098 0 R /Parent 25027 0 R /Prev 24096 0 R /Title (set_external_delay) >> endobj 24098 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e198567) /S /GoTo >> /C [0 0 0] /Next 24099 0 R /Parent 25027 0 R /Prev 24097 0 R /Title (set_false_path) >> endobj 24099 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e198990) /S /GoTo >> /C [0 0 0] /Next 24100 0 R /Parent 25027 0 R /Prev 24098 0 R /Title (set_hierarchy_separator) >> endobj 24100 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e199168) /S /GoTo >> /C [0 0 0] /Next 24101 0 R /Parent 25027 0 R /Prev 24099 0 R /Title (set_hw_sysmon_reg) >> endobj 24101 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e199444) /S /GoTo >> /C [0 0 0] /Next 24102 0 R /Parent 25027 0 R /Prev 24100 0 R /Title (set_input_delay) >> endobj 24102 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e199901) /S /GoTo >> /C [0 0 0] /Next 24103 0 R /Parent 25027 0 R /Prev 24101 0 R /Title (set_input_jitter) >> endobj 24103 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e200189) /S /GoTo >> /C [0 0 0] /Next 24104 0 R /Parent 25027 0 R /Prev 24102 0 R /Title (set_load) >> endobj 24104 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e200476) /S /GoTo >> /C [0 0 0] /Next 24105 0 R /Parent 25027 0 R /Prev 24103 0 R /Title (set_logic_dc) >> endobj 24105 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e200677) /S /GoTo >> /C [0 0 0] /Next 24106 0 R /Parent 25027 0 R /Prev 24104 0 R /Title (set_logic_one) >> endobj 24106 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e200888) /S /GoTo >> /C [0 0 0] /Next 24107 0 R /Parent 25027 0 R /Prev 24105 0 R /Title (set_logic_unconnected) >> endobj 24107 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e201071) /S /GoTo >> /C [0 0 0] /Next 24108 0 R /Parent 25027 0 R /Prev 24106 0 R /Title (set_logic_zero) >> endobj 24108 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e201267) /S /GoTo >> /C [0 0 0] /Next 24109 0 R /Parent 25027 0 R /Prev 24107 0 R /Title (set_max_delay) >> endobj 24109 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e201791) /S /GoTo >> /C [0 0 0] /Next 24110 0 R /Parent 25027 0 R /Prev 24108 0 R /Title (set_max_time_borrow) >> endobj 24110 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e201996) /S /GoTo >> /C [0 0 0] /Next 24111 0 R /Parent 25027 0 R /Prev 24109 0 R /Title (set_min_delay) >> endobj 24111 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e202446) /S /GoTo >> /C [0 0 0] /Next 24112 0 R /Parent 25027 0 R /Prev 24110 0 R /Title (set_msg_config) >> endobj 24112 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e202922) /S /GoTo >> /C [0 0 0] /Next 24113 0 R /Parent 25027 0 R /Prev 24111 0 R /Title (set_multicycle_path) >> endobj 24113 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e203522) /S /GoTo >> /C [0 0 0] /Next 24114 0 R /Parent 25027 0 R /Prev 24112 0 R /Title (set_operating_conditions) >> endobj 24114 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e203996) /S /GoTo >> /C [0 0 0] /Next 24115 0 R /Parent 25027 0 R /Prev 24113 0 R /Title (set_output_delay) >> endobj 24115 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e204419) /S /GoTo >> /C [0 0 0] /Next 24116 0 R /Parent 25027 0 R /Prev 24114 0 R /Title (set_package_pin_val) >> endobj 24116 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e204665) /S /GoTo >> /C [0 0 0] /Next 24117 0 R /Parent 25027 0 R /Prev 24115 0 R /Title (set_param) >> endobj 24117 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e204941) /S /GoTo >> /C [0 0 0] /Next 24118 0 R /Parent 25027 0 R /Prev 24116 0 R /Title (set_part) >> endobj 24118 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e205177) /S /GoTo >> /C [0 0 0] /Next 24119 0 R /Parent 25027 0 R /Prev 24117 0 R /Title (set_power_opt) >> endobj 24119 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e205479) /S /GoTo >> /C [0 0 0] /Next 24120 0 R /Parent 25027 0 R /Prev 24118 0 R /Title (set_propagated_clock) >> endobj 24120 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e205664) /S /GoTo >> /C [0 0 0] /Next 24121 0 R /Parent 25027 0 R /Prev 24119 0 R /Title (set_property) >> endobj 24121 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e206093) /S /GoTo >> /C [0 0 0] /Next 24122 0 R /Parent 25027 0 R /Prev 24120 0 R /Title (set_speed_grade) >> endobj 24122 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e206347) /S /GoTo >> /C [0 0 0] /Next 24123 0 R /Parent 25027 0 R /Prev 24121 0 R /Title (set_switching_activity) >> endobj 24123 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e206876) /S /GoTo >> /C [0 0 0] /Next 24124 0 R /Parent 25027 0 R /Prev 24122 0 R /Title (set_system_jitter) >> endobj 24124 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e207154) /S /GoTo >> /C [0 0 0] /Next 24125 0 R /Parent 25027 0 R /Prev 24123 0 R /Title (set_units) >> endobj 24125 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e207468) /S /GoTo >> /C [0 0 0] /Next 24126 0 R /Parent 25027 0 R /Prev 24124 0 R /Title (set_value) >> endobj 24126 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e207792) /S /GoTo >> /C [0 0 0] /Next 24127 0 R /Parent 25027 0 R /Prev 24125 0 R /Title (setup_ip_static_library) >> endobj 24127 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e208125) /S /GoTo >> /C [0 0 0] /Next 24128 0 R /Parent 25027 0 R /Prev 24126 0 R /Title (setup_pr_configurations) >> endobj 24128 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e208381) /S /GoTo >> /C [0 0 0] /Next 24129 0 R /Parent 25027 0 R /Prev 24127 0 R /Title (show_objects) >> endobj 24129 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e208629) /S /GoTo >> /C [0 0 0] /Next 24130 0 R /Parent 25027 0 R /Prev 24128 0 R /Title (show_schematic) >> endobj 24130 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e208942) /S /GoTo >> /C [0 0 0] /Next 24131 0 R /Parent 25027 0 R /Prev 24129 0 R /Title (split_diff_pair_ports) >> endobj 24131 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e209123) /S /GoTo >> /C [0 0 0] /Next 24132 0 R /Parent 25027 0 R /Prev 24130 0 R /Title (start_gui) >> endobj 24132 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e209258) /S /GoTo >> /C [0 0 0] /Next 24133 0 R /Parent 25027 0 R /Prev 24131 0 R /Title (start_vcd) >> endobj 24133 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e209448) /S /GoTo >> /C [0 0 0] /Next 24134 0 R /Parent 25027 0 R /Prev 24132 0 R /Title (startgroup) >> endobj 24134 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e209690) /S /GoTo >> /C [0 0 0] /Next 24135 0 R /Parent 25027 0 R /Prev 24133 0 R /Title (step) >> endobj 24135 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e209851) /S /GoTo >> /C [0 0 0] /Next 24136 0 R /Parent 25027 0 R /Prev 24134 0 R /Title (stop) >> endobj 24136 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e210035) /S /GoTo >> /C [0 0 0] /Next 24137 0 R /Parent 25027 0 R /Prev 24135 0 R /Title (stop_gui) >> endobj 24137 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e210170) /S /GoTo >> /C [0 0 0] /Next 24138 0 R /Parent 25027 0 R /Prev 24136 0 R /Title (stop_hw_hbm_amon) >> endobj 24138 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e210394) /S /GoTo >> /C [0 0 0] /Next 24139 0 R /Parent 25027 0 R /Prev 24137 0 R /Title (stop_hw_sio_scan) >> endobj 24139 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e210615) /S /GoTo >> /C [0 0 0] /Next 24140 0 R /Parent 25027 0 R /Prev 24138 0 R /Title (stop_hw_sio_sweep) >> endobj 24140 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e210836) /S /GoTo >> /C [0 0 0] /Next 24141 0 R /Parent 25027 0 R /Prev 24139 0 R /Title (stop_vcd) >> endobj 24141 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e211030) /S /GoTo >> /C [0 0 0] /Next 24142 0 R /Parent 25027 0 R /Prev 24140 0 R /Title (swap_locs) >> endobj 24142 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e211233) /S /GoTo >> /C [0 0 0] /Next 24143 0 R /Parent 25027 0 R /Prev 24141 0 R /Title (synth_design) >> endobj 24143 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e212366) /S /GoTo >> /C [0 0 0] /Next 24144 0 R /Parent 25027 0 R /Prev 24142 0 R /Title (synth_ip) >> endobj 24144 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e212624) /S /GoTo >> /C [0 0 0] /Next 24145 0 R /Parent 25027 0 R /Prev 24143 0 R /Title (tandem_verify) >> endobj 24145 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e212749) /S /GoTo >> /C [0 0 0] /Next 24146 0 R /Parent 25027 0 R /Prev 24144 0 R /Title (terminate_runs) >> endobj 24146 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e213008) /S /GoTo >> /C [0 0 0] /Next 24147 0 R /Parent 25027 0 R /Prev 24145 0 R /Title (tie_unused_pins) >> endobj 24147 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e213221) /S /GoTo >> /C [0 0 0] /Next 24148 0 R /Parent 25027 0 R /Prev 24146 0 R /Title (undo) >> endobj 24148 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e213453) /S /GoTo >> /C [0 0 0] /Next 24149 0 R /Parent 25027 0 R /Prev 24147 0 R /Title (ungroup_bd_cells) >> endobj 24149 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e213684) /S /GoTo >> /C [0 0 0] /Next 24150 0 R /Parent 25027 0 R /Prev 24148 0 R /Title (unhighlight_objects) >> endobj 24150 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e213964) /S /GoTo >> /C [0 0 0] /Next 24151 0 R /Parent 25027 0 R /Prev 24149 0 R /Title (unmark_objects) >> endobj 24151 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e214185) /S /GoTo >> /C [0 0 0] /Next 24152 0 R /Parent 25027 0 R /Prev 24150 0 R /Title (unplace_cell) >> endobj 24152 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e214370) /S /GoTo >> /C [0 0 0] /Next 24153 0 R /Parent 25027 0 R /Prev 24151 0 R /Title (unregister_proc) >> endobj 24153 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e214548) /S /GoTo >> /C [0 0 0] /Next 24154 0 R /Parent 25027 0 R /Prev 24152 0 R /Title (unselect_objects) >> endobj 24154 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e214744) /S /GoTo >> /C [0 0 0] /Next 24155 0 R /Parent 25027 0 R /Prev 24153 0 R /Title (update_bd_boundaries) >> endobj 24155 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e215043) /S /GoTo >> /C [0 0 0] /Next 24156 0 R /Parent 25027 0 R /Prev 24154 0 R /Title (update_clock_routing) >> endobj 24156 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e215227) /S /GoTo >> /C [0 0 0] /Next 24157 0 R /Parent 25027 0 R /Prev 24155 0 R /Title (update_compile_order) >> endobj 24157 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e215420) /S /GoTo >> /C [0 0 0] /Next 24158 0 R /Parent 25027 0 R /Prev 24156 0 R /Title (update_design) >> endobj 24158 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e215743) /S /GoTo >> /C [0 0 0] /Next 24159 0 R /Parent 25027 0 R /Prev 24157 0 R /Title (update_files) >> endobj 24159 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e216025) /S /GoTo >> /C [0 0 0] /Next 24160 0 R /Parent 25027 0 R /Prev 24158 0 R /Title (update_hw_firmware) >> endobj 24160 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e216216) /S /GoTo >> /C [0 0 0] /Next 24161 0 R /Parent 25027 0 R /Prev 24159 0 R /Title (update_hw_gpio) >> endobj 24161 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e216367) /S /GoTo >> /C [0 0 0] /Next 24162 0 R /Parent 25027 0 R /Prev 24160 0 R /Title (update_ip_catalog) >> endobj 24162 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e216817) /S /GoTo >> /C [0 0 0] /Next 24163 0 R /Parent 25027 0 R /Prev 24161 0 R /Title (update_macro) >> endobj 24163 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e217121) /S /GoTo >> /C [0 0 0] /Next 24164 0 R /Parent 25027 0 R /Prev 24162 0 R /Title (update_module_reference) >> endobj 24164 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e217312) /S /GoTo >> /C [0 0 0] /Next 24165 0 R /Parent 25027 0 R /Prev 24163 0 R /Title (update_noc_qos) >> endobj 24165 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e217430) /S /GoTo >> /C [0 0 0] /Next 24166 0 R /Parent 25027 0 R /Prev 24164 0 R /Title (update_sw_parameters) >> endobj 24166 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e217601) /S /GoTo >> /C [0 0 0] /Next 24167 0 R /Parent 25027 0 R /Prev 24165 0 R /Title (update_timing) >> endobj 24167 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e217804) /S /GoTo >> /C [0 0 0] /Next 24168 0 R /Parent 25027 0 R /Prev 24166 0 R /Title (upgrade_bd_cells) >> endobj 24168 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e218018) /S /GoTo >> /C [0 0 0] /Next 24169 0 R /Parent 25027 0 R /Prev 24167 0 R /Title (upgrade_ip) >> endobj 24169 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e218293) /S /GoTo >> /C [0 0 0] /Next 24170 0 R /Parent 25027 0 R /Prev 24168 0 R /Title (upgrade_project) >> endobj 24170 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e218423) /S /GoTo >> /C [0 0 0] /Next 24171 0 R /Parent 25027 0 R /Prev 24169 0 R /Title (upload_hw_ila_data) >> endobj 24171 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e218687) /S /GoTo >> /C [0 0 0] /Next 24172 0 R /Parent 25027 0 R /Prev 24170 0 R /Title (validate_bd_design) >> endobj 24172 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e218925) /S /GoTo >> /C [0 0 0] /Next 24173 0 R /Parent 25027 0 R /Prev 24171 0 R /Title (validate_board_files) >> endobj 24173 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e219065) /S /GoTo >> /C [0 0 0] /Next 24174 0 R /Parent 25027 0 R /Prev 24172 0 R /Title (validate_cluster_configurations) >> endobj 24174 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e219291) /S /GoTo >> /C [0 0 0] /Next 24175 0 R /Parent 25027 0 R /Prev 24173 0 R /Title (validate_hw_platform) >> endobj 24175 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e219478) /S /GoTo >> /C [0 0 0] /Next 24176 0 R /Parent 25027 0 R /Prev 24174 0 R /Title (validate_ip) >> endobj 24176 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e219685) /S /GoTo >> /C [0 0 0] /Next 24177 0 R /Parent 25027 0 R /Prev 24175 0 R /Title (verify_hw_devices) >> endobj 24177 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220012) /S /GoTo >> /C [0 0 0] /Next 24178 0 R /Parent 25027 0 R /Prev 24176 0 R /Title (version) >> endobj 24178 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220184) /S /GoTo >> /C [0 0 0] /Next 24179 0 R /Parent 25027 0 R /Prev 24177 0 R /Title (wait_on_hw_ila) >> endobj 24179 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220433) /S /GoTo >> /C [0 0 0] /Next 24180 0 R /Parent 25027 0 R /Prev 24178 0 R /Title (wait_on_hw_sio_scan) >> endobj 24180 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220668) /S /GoTo >> /C [0 0 0] /Next 24181 0 R /Parent 25027 0 R /Prev 24179 0 R /Title (wait_on_hw_sio_sweep) >> endobj 24181 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e220903) /S /GoTo >> /C [0 0 0] /Next 24182 0 R /Parent 25027 0 R /Prev 24180 0 R /Title (wait_on_runs) >> endobj 24182 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e221132) /S /GoTo >> /C [0 0 0] /Next 24183 0 R /Parent 25027 0 R /Prev 24181 0 R /Title (write_abstract_shell) >> endobj 24183 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e221283) /S /GoTo >> /C [0 0 0] /Next 24184 0 R /Parent 25027 0 R /Prev 24182 0 R /Title (write_bd_layout) >> endobj 24184 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e221626) /S /GoTo >> /C [0 0 0] /Next 24185 0 R /Parent 25027 0 R /Prev 24183 0 R /Title (write_bd_tcl) >> endobj 24185 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e222138) /S /GoTo >> /C [0 0 0] /Next 24186 0 R /Parent 25027 0 R /Prev 24184 0 R /Title (write_bitstream) >> endobj 24186 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e222659) /S /GoTo >> /C [0 0 0] /Next 24187 0 R /Parent 25027 0 R /Prev 24185 0 R /Title (write_bmm) >> endobj 24187 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e222859) /S /GoTo >> /C [0 0 0] /Next 24188 0 R /Parent 25027 0 R /Prev 24186 0 R /Title (write_bsdl) >> endobj 24188 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e223093) /S /GoTo >> /C [0 0 0] /Next 24189 0 R /Parent 25027 0 R /Prev 24187 0 R /Title (write_cfgmem) >> endobj 24189 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e223579) /S /GoTo >> /C [0 0 0] /Next 24190 0 R /Parent 25027 0 R /Prev 24188 0 R /Title (write_checkpoint) >> endobj 24190 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e223883) /S /GoTo >> /C [0 0 0] /Next 24191 0 R /Parent 25027 0 R /Prev 24189 0 R /Title (write_csv) >> endobj 24191 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e224088) /S /GoTo >> /C [0 0 0] /Next 24192 0 R /Parent 25027 0 R /Prev 24190 0 R /Title (write_debug_probes) >> endobj 24192 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e224350) /S /GoTo >> /C [0 0 0] /Next 24193 0 R /Parent 25027 0 R /Prev 24191 0 R /Title (write_device_image) >> endobj 24193 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e224553) /S /GoTo >> /C [0 0 0] /Next 24194 0 R /Parent 25027 0 R /Prev 24192 0 R /Title (write_edif) >> endobj 24194 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e224871) /S /GoTo >> /C [0 0 0] /Next 24195 0 R /Parent 25027 0 R /Prev 24193 0 R /Title (write_hw_ila_data) >> endobj 24195 0 obj << /A << /D (_OPENTOPIC_TOC_PROCESSING_d114e225215) /S /GoTo >> /C [0 0 0] /Next 25048 0 R /Parent 25027 0 R /Prev 24194 0 R /Title (write_hw_platform) >> endobj 24196 0 obj << /Dests 24197 0 R >> endobj 24197 0 obj << /Kids [24198 0 R 24199 0 R 24200 0 R 24201 0 R 24202 0 R 24203 0 R 24204 0 R 24205 0 R 24206 0 R 24207 0 R 24208 0 R 24209 0 R 24210 0 R 24211 0 R 24212 0 R 24213 0 R 24214 0 R 24215 0 R 24216 0 R 24217 0 R 24218 0 R 24219 0 R 24220 0 R 24221 0 R 24222 0 R 24223 0 R 24224 0 R 24225 0 R 24226 0 R 24227 0 R 24228 0 R 24229 0 R 24230 0 R 24231 0 R 24232 0 R 24233 0 R 24234 0 R 24235 0 R 24236 0 R 24237 0 R 24238 0 R] >> endobj 24198 0 obj << /Limits [(HandlingListsOfObjects) (_OPENTOPIC_TOC_PROCESSING_d114e121760)] /Names [(HandlingListsOfObjects) 24240 0 R (ScriptingInTcl) 24242 0 R (_OPENTOPIC_TOC_PROCESSING_d114e100152) 22025 0 R (_OPENTOPIC_TOC_PROCESSING_d114e100433) 22028 0 R (_OPENTOPIC_TOC_PROCESSING_d114e100820) 22031 0 R (_OPENTOPIC_TOC_PROCESSING_d114e101184) 22034 0 R (_OPENTOPIC_TOC_PROCESSING_d114e101569) 22038 0 R (_OPENTOPIC_TOC_PROCESSING_d114e101901) 22041 0 R (_OPENTOPIC_TOC_PROCESSING_d114e102240) 22044 0 R (_OPENTOPIC_TOC_PROCESSING_d114e102614) 22047 0 R (_OPENTOPIC_TOC_PROCESSING_d114e102854) 22050 0 R (_OPENTOPIC_TOC_PROCESSING_d114e103269) 22053 0 R (_OPENTOPIC_TOC_PROCESSING_d114e103661) 22056 0 R (_OPENTOPIC_TOC_PROCESSING_d114e104028) 22059 0 R (_OPENTOPIC_TOC_PROCESSING_d114e104378) 22062 0 R (_OPENTOPIC_TOC_PROCESSING_d114e104682) 22065 0 R (_OPENTOPIC_TOC_PROCESSING_d114e105036) 22068 0 R (_OPENTOPIC_TOC_PROCESSING_d114e105264) 22071 0 R (_OPENTOPIC_TOC_PROCESSING_d114e105587) 22074 0 R (_OPENTOPIC_TOC_PROCESSING_d114e105947) 22078 0 R (_OPENTOPIC_TOC_PROCESSING_d114e106293) 22081 0 R (_OPENTOPIC_TOC_PROCESSING_d114e106724) 22084 0 R (_OPENTOPIC_TOC_PROCESSING_d114e107291) 22087 0 R (_OPENTOPIC_TOC_PROCESSING_d114e107754) 22090 0 R (_OPENTOPIC_TOC_PROCESSING_d114e108130) 22093 0 R (_OPENTOPIC_TOC_PROCESSING_d114e108498) 22096 0 R (_OPENTOPIC_TOC_PROCESSING_d114e108699) 22099 0 R (_OPENTOPIC_TOC_PROCESSING_d114e109009) 22102 0 R (_OPENTOPIC_TOC_PROCESSING_d114e109331) 22105 0 R (_OPENTOPIC_TOC_PROCESSING_d114e109587) 22108 0 R (_OPENTOPIC_TOC_PROCESSING_d114e109974) 22112 0 R (_OPENTOPIC_TOC_PROCESSING_d114e110513) 22115 0 R (_OPENTOPIC_TOC_PROCESSING_d114e110955) 22118 0 R (_OPENTOPIC_TOC_PROCESSING_d114e111327) 22122 0 R (_OPENTOPIC_TOC_PROCESSING_d114e111700) 22126 0 R (_OPENTOPIC_TOC_PROCESSING_d114e112159) 22130 0 R (_OPENTOPIC_TOC_PROCESSING_d114e112325) 22133 0 R (_OPENTOPIC_TOC_PROCESSING_d114e112513) 22136 0 R (_OPENTOPIC_TOC_PROCESSING_d114e112824) 22140 0 R (_OPENTOPIC_TOC_PROCESSING_d114e113219) 22143 0 R (_OPENTOPIC_TOC_PROCESSING_d114e113528) 22146 0 R (_OPENTOPIC_TOC_PROCESSING_d114e113848) 22149 0 R (_OPENTOPIC_TOC_PROCESSING_d114e114105) 22154 0 R (_OPENTOPIC_TOC_PROCESSING_d114e114427) 22158 0 R (_OPENTOPIC_TOC_PROCESSING_d114e114755) 22161 0 R (_OPENTOPIC_TOC_PROCESSING_d114e115089) 22164 0 R (_OPENTOPIC_TOC_PROCESSING_d114e115392) 22167 0 R (_OPENTOPIC_TOC_PROCESSING_d114e115628) 22170 0 R (_OPENTOPIC_TOC_PROCESSING_d114e115908) 22173 0 R (_OPENTOPIC_TOC_PROCESSING_d114e116280) 22176 0 R (_OPENTOPIC_TOC_PROCESSING_d114e116637) 22180 0 R (_OPENTOPIC_TOC_PROCESSING_d114e117038) 22183 0 R (_OPENTOPIC_TOC_PROCESSING_d114e117391) 22186 0 R (_OPENTOPIC_TOC_PROCESSING_d114e117761) 22189 0 R (_OPENTOPIC_TOC_PROCESSING_d114e117950) 22192 0 R (_OPENTOPIC_TOC_PROCESSING_d114e118113) 22195 0 R (_OPENTOPIC_TOC_PROCESSING_d114e118485) 22198 0 R (_OPENTOPIC_TOC_PROCESSING_d114e118802) 22202 0 R (_OPENTOPIC_TOC_PROCESSING_d114e119584) 22205 0 R (_OPENTOPIC_TOC_PROCESSING_d114e119850) 22208 0 R (_OPENTOPIC_TOC_PROCESSING_d114e120228) 22211 0 R (_OPENTOPIC_TOC_PROCESSING_d114e120544) 22214 0 R (_OPENTOPIC_TOC_PROCESSING_d114e120768) 22217 0 R (_OPENTOPIC_TOC_PROCESSING_d114e121174) 22220 0 R (_OPENTOPIC_TOC_PROCESSING_d114e121415) 22223 0 R (_OPENTOPIC_TOC_PROCESSING_d114e121760) 22228 0 R] >> endobj 24199 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e122186) (_OPENTOPIC_TOC_PROCESSING_d114e14029)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e122186) 22231 0 R (_OPENTOPIC_TOC_PROCESSING_d114e122502) 22234 0 R (_OPENTOPIC_TOC_PROCESSING_d114e122718) 22237 0 R (_OPENTOPIC_TOC_PROCESSING_d114e123016) 22240 0 R (_OPENTOPIC_TOC_PROCESSING_d114e123296) 22246 0 R (_OPENTOPIC_TOC_PROCESSING_d114e123673) 22249 0 R (_OPENTOPIC_TOC_PROCESSING_d114e123930) 22252 0 R (_OPENTOPIC_TOC_PROCESSING_d114e124130) 22255 0 R (_OPENTOPIC_TOC_PROCESSING_d114e124339) 22258 0 R (_OPENTOPIC_TOC_PROCESSING_d114e124542) 22261 0 R (_OPENTOPIC_TOC_PROCESSING_d114e124766) 22264 0 R (_OPENTOPIC_TOC_PROCESSING_d114e124999) 22267 0 R (_OPENTOPIC_TOC_PROCESSING_d114e125303) 22270 0 R (_OPENTOPIC_TOC_PROCESSING_d114e125537) 22273 0 R (_OPENTOPIC_TOC_PROCESSING_d114e126212) 22276 0 R (_OPENTOPIC_TOC_PROCESSING_d114e126478) 22279 0 R (_OPENTOPIC_TOC_PROCESSING_d114e126678) 22282 0 R (_OPENTOPIC_TOC_PROCESSING_d114e127380) 22285 0 R (_OPENTOPIC_TOC_PROCESSING_d114e128005) 22289 0 R (_OPENTOPIC_TOC_PROCESSING_d114e128212) 22292 0 R (_OPENTOPIC_TOC_PROCESSING_d114e128619) 22295 0 R (_OPENTOPIC_TOC_PROCESSING_d114e128792) 22298 0 R (_OPENTOPIC_TOC_PROCESSING_d114e129006) 22301 0 R (_OPENTOPIC_TOC_PROCESSING_d114e129188) 22304 0 R (_OPENTOPIC_TOC_PROCESSING_d114e129477) 22307 0 R (_OPENTOPIC_TOC_PROCESSING_d114e129772) 22310 0 R (_OPENTOPIC_TOC_PROCESSING_d114e129992) 22313 0 R (_OPENTOPIC_TOC_PROCESSING_d114e130202) 22316 0 R (_OPENTOPIC_TOC_PROCESSING_d114e130496) 22320 0 R (_OPENTOPIC_TOC_PROCESSING_d114e130699) 22323 0 R (_OPENTOPIC_TOC_PROCESSING_d114e130971) 22326 0 R (_OPENTOPIC_TOC_PROCESSING_d114e131205) 22329 0 R (_OPENTOPIC_TOC_PROCESSING_d114e131400) 22332 0 R (_OPENTOPIC_TOC_PROCESSING_d114e131619) 22335 0 R (_OPENTOPIC_TOC_PROCESSING_d114e131842) 22342 0 R (_OPENTOPIC_TOC_PROCESSING_d114e132028) 22345 0 R (_OPENTOPIC_TOC_PROCESSING_d114e132359) 22348 0 R (_OPENTOPIC_TOC_PROCESSING_d114e132605) 22351 0 R (_OPENTOPIC_TOC_PROCESSING_d114e132818) 22355 0 R (_OPENTOPIC_TOC_PROCESSING_d114e133061) 22358 0 R (_OPENTOPIC_TOC_PROCESSING_d114e133296) 22361 0 R (_OPENTOPIC_TOC_PROCESSING_d114e133523) 22364 0 R (_OPENTOPIC_TOC_PROCESSING_d114e133690) 22367 0 R (_OPENTOPIC_TOC_PROCESSING_d114e133891) 22370 0 R (_OPENTOPIC_TOC_PROCESSING_d114e134139) 22373 0 R (_OPENTOPIC_TOC_PROCESSING_d114e134390) 22376 0 R (_OPENTOPIC_TOC_PROCESSING_d114e134684) 22379 0 R (_OPENTOPIC_TOC_PROCESSING_d114e134905) 22382 0 R (_OPENTOPIC_TOC_PROCESSING_d114e135221) 22385 0 R (_OPENTOPIC_TOC_PROCESSING_d114e135456) 22388 0 R (_OPENTOPIC_TOC_PROCESSING_d114e135737) 22391 0 R (_OPENTOPIC_TOC_PROCESSING_d114e136083) 22394 0 R (_OPENTOPIC_TOC_PROCESSING_d114e136334) 22397 0 R (_OPENTOPIC_TOC_PROCESSING_d114e136533) 22400 0 R (_OPENTOPIC_TOC_PROCESSING_d114e136816) 22403 0 R (_OPENTOPIC_TOC_PROCESSING_d114e137047) 22406 0 R (_OPENTOPIC_TOC_PROCESSING_d114e137309) 22409 0 R (_OPENTOPIC_TOC_PROCESSING_d114e138155) 22415 0 R (_OPENTOPIC_TOC_PROCESSING_d114e138382) 22422 0 R (_OPENTOPIC_TOC_PROCESSING_d114e139192) 22425 0 R (_OPENTOPIC_TOC_PROCESSING_d114e139415) 22428 0 R (_OPENTOPIC_TOC_PROCESSING_d114e140040) 22431 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14018) 21061 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14029) 21063 0 R] >> endobj 24200 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e140328) (_OPENTOPIC_TOC_PROCESSING_d114e155175)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e140328) 22434 0 R (_OPENTOPIC_TOC_PROCESSING_d114e140575) 22437 0 R (_OPENTOPIC_TOC_PROCESSING_d114e140793) 22440 0 R (_OPENTOPIC_TOC_PROCESSING_d114e140911) 22443 0 R (_OPENTOPIC_TOC_PROCESSING_d114e141053) 22446 0 R (_OPENTOPIC_TOC_PROCESSING_d114e141370) 22449 0 R (_OPENTOPIC_TOC_PROCESSING_d114e141739) 22452 0 R (_OPENTOPIC_TOC_PROCESSING_d114e142401) 22455 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14256) 21066 0 R (_OPENTOPIC_TOC_PROCESSING_d114e142596) 22458 0 R (_OPENTOPIC_TOC_PROCESSING_d114e142813) 22461 0 R (_OPENTOPIC_TOC_PROCESSING_d114e143267) 22465 0 R (_OPENTOPIC_TOC_PROCESSING_d114e143497) 22468 0 R (_OPENTOPIC_TOC_PROCESSING_d114e143688) 22471 0 R (_OPENTOPIC_TOC_PROCESSING_d114e143941) 22474 0 R (_OPENTOPIC_TOC_PROCESSING_d114e144203) 22477 0 R (_OPENTOPIC_TOC_PROCESSING_d114e144458) 22480 0 R (_OPENTOPIC_TOC_PROCESSING_d114e144683) 22483 0 R (_OPENTOPIC_TOC_PROCESSING_d114e145216) 22486 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14540) 21069 0 R (_OPENTOPIC_TOC_PROCESSING_d114e145409) 22489 0 R (_OPENTOPIC_TOC_PROCESSING_d114e145605) 22494 0 R (_OPENTOPIC_TOC_PROCESSING_d114e145866) 22497 0 R (_OPENTOPIC_TOC_PROCESSING_d114e146068) 22500 0 R (_OPENTOPIC_TOC_PROCESSING_d114e146308) 22503 0 R (_OPENTOPIC_TOC_PROCESSING_d114e146574) 22506 0 R (_OPENTOPIC_TOC_PROCESSING_d114e146820) 22509 0 R (_OPENTOPIC_TOC_PROCESSING_d114e147166) 22512 0 R (_OPENTOPIC_TOC_PROCESSING_d114e147559) 22515 0 R (_OPENTOPIC_TOC_PROCESSING_d114e147877) 22518 0 R (_OPENTOPIC_TOC_PROCESSING_d114e148097) 22521 0 R (_OPENTOPIC_TOC_PROCESSING_d114e148279) 22524 0 R (_OPENTOPIC_TOC_PROCESSING_d114e148480) 22527 0 R (_OPENTOPIC_TOC_PROCESSING_d114e148713) 22534 0 R (_OPENTOPIC_TOC_PROCESSING_d114e148968) 22537 0 R (_OPENTOPIC_TOC_PROCESSING_d114e14902) 21072 0 R (_OPENTOPIC_TOC_PROCESSING_d114e149237) 22544 0 R (_OPENTOPIC_TOC_PROCESSING_d114e149490) 22547 0 R (_OPENTOPIC_TOC_PROCESSING_d114e149682) 22554 0 R (_OPENTOPIC_TOC_PROCESSING_d114e149895) 22557 0 R (_OPENTOPIC_TOC_PROCESSING_d114e150171) 22560 0 R (_OPENTOPIC_TOC_PROCESSING_d114e150420) 22565 0 R (_OPENTOPIC_TOC_PROCESSING_d114e150667) 22568 0 R (_OPENTOPIC_TOC_PROCESSING_d114e150920) 22571 0 R (_OPENTOPIC_TOC_PROCESSING_d114e151167) 22574 0 R (_OPENTOPIC_TOC_PROCESSING_d114e151279) 22577 0 R (_OPENTOPIC_TOC_PROCESSING_d114e151510) 22580 0 R (_OPENTOPIC_TOC_PROCESSING_d114e151732) 22583 0 R (_OPENTOPIC_TOC_PROCESSING_d114e151945) 22586 0 R (_OPENTOPIC_TOC_PROCESSING_d114e152140) 22589 0 R (_OPENTOPIC_TOC_PROCESSING_d114e152388) 22592 0 R (_OPENTOPIC_TOC_PROCESSING_d114e152596) 22595 0 R (_OPENTOPIC_TOC_PROCESSING_d114e152790) 22598 0 R (_OPENTOPIC_TOC_PROCESSING_d114e153010) 22601 0 R (_OPENTOPIC_TOC_PROCESSING_d114e153214) 22604 0 R (_OPENTOPIC_TOC_PROCESSING_d114e15345) 21075 0 R (_OPENTOPIC_TOC_PROCESSING_d114e153643) 22607 0 R (_OPENTOPIC_TOC_PROCESSING_d114e153889) 22610 0 R (_OPENTOPIC_TOC_PROCESSING_d114e154104) 22613 0 R (_OPENTOPIC_TOC_PROCESSING_d114e154236) 22616 0 R (_OPENTOPIC_TOC_PROCESSING_d114e154451) 22620 0 R (_OPENTOPIC_TOC_PROCESSING_d114e154646) 22624 0 R (_OPENTOPIC_TOC_PROCESSING_d114e154903) 22632 0 R (_OPENTOPIC_TOC_PROCESSING_d114e155175) 22635 0 R] >> endobj 24201 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e155388) (_OPENTOPIC_TOC_PROCESSING_d114e174297)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e155388) 22638 0 R (_OPENTOPIC_TOC_PROCESSING_d114e155609) 22641 0 R (_OPENTOPIC_TOC_PROCESSING_d114e155813) 22644 0 R (_OPENTOPIC_TOC_PROCESSING_d114e156017) 22647 0 R (_OPENTOPIC_TOC_PROCESSING_d114e156265) 22650 0 R (_OPENTOPIC_TOC_PROCESSING_d114e156454) 22653 0 R (_OPENTOPIC_TOC_PROCESSING_d114e156713) 22656 0 R (_OPENTOPIC_TOC_PROCESSING_d114e156843) 22659 0 R (_OPENTOPIC_TOC_PROCESSING_d114e157097) 22662 0 R (_OPENTOPIC_TOC_PROCESSING_d114e157368) 22665 0 R (_OPENTOPIC_TOC_PROCESSING_d114e157645) 22668 0 R (_OPENTOPIC_TOC_PROCESSING_d114e15790) 21078 0 R (_OPENTOPIC_TOC_PROCESSING_d114e157900) 22671 0 R (_OPENTOPIC_TOC_PROCESSING_d114e158139) 22674 0 R (_OPENTOPIC_TOC_PROCESSING_d114e158463) 22677 0 R (_OPENTOPIC_TOC_PROCESSING_d114e158721) 22680 0 R (_OPENTOPIC_TOC_PROCESSING_d114e159213) 22685 0 R (_OPENTOPIC_TOC_PROCESSING_d114e159408) 22688 0 R (_OPENTOPIC_TOC_PROCESSING_d114e159961) 22691 0 R (_OPENTOPIC_TOC_PROCESSING_d114e160221) 22694 0 R (_OPENTOPIC_TOC_PROCESSING_d114e160807) 22697 0 R (_OPENTOPIC_TOC_PROCESSING_d114e161241) 22700 0 R (_OPENTOPIC_TOC_PROCESSING_d114e161634) 22703 0 R (_OPENTOPIC_TOC_PROCESSING_d114e161958) 22706 0 R (_OPENTOPIC_TOC_PROCESSING_d114e162216) 22709 0 R (_OPENTOPIC_TOC_PROCESSING_d114e162598) 22712 0 R (_OPENTOPIC_TOC_PROCESSING_d114e16270) 21084 0 R (_OPENTOPIC_TOC_PROCESSING_d114e162786) 22715 0 R (_OPENTOPIC_TOC_PROCESSING_d114e163044) 22720 0 R (_OPENTOPIC_TOC_PROCESSING_d114e163376) 22723 0 R (_OPENTOPIC_TOC_PROCESSING_d114e163694) 22731 0 R (_OPENTOPIC_TOC_PROCESSING_d114e164022) 22734 0 R (_OPENTOPIC_TOC_PROCESSING_d114e164408) 22737 0 R (_OPENTOPIC_TOC_PROCESSING_d114e164653) 22740 0 R (_OPENTOPIC_TOC_PROCESSING_d114e16546) 21089 0 R (_OPENTOPIC_TOC_PROCESSING_d114e165842) 22744 0 R (_OPENTOPIC_TOC_PROCESSING_d114e166162) 22747 0 R (_OPENTOPIC_TOC_PROCESSING_d114e166743) 22750 0 R (_OPENTOPIC_TOC_PROCESSING_d114e166950) 22753 0 R (_OPENTOPIC_TOC_PROCESSING_d114e167190) 22756 0 R (_OPENTOPIC_TOC_PROCESSING_d114e167826) 22759 0 R (_OPENTOPIC_TOC_PROCESSING_d114e168018) 22762 0 R (_OPENTOPIC_TOC_PROCESSING_d114e168552) 22765 0 R (_OPENTOPIC_TOC_PROCESSING_d114e168827) 22768 0 R (_OPENTOPIC_TOC_PROCESSING_d114e16892) 21092 0 R (_OPENTOPIC_TOC_PROCESSING_d114e169090) 22772 0 R (_OPENTOPIC_TOC_PROCESSING_d114e169369) 22775 0 R (_OPENTOPIC_TOC_PROCESSING_d114e169632) 22782 0 R (_OPENTOPIC_TOC_PROCESSING_d114e169910) 22786 0 R (_OPENTOPIC_TOC_PROCESSING_d114e170101) 22789 0 R (_OPENTOPIC_TOC_PROCESSING_d114e170416) 22792 0 R (_OPENTOPIC_TOC_PROCESSING_d114e170692) 22795 0 R (_OPENTOPIC_TOC_PROCESSING_d114e171062) 22799 0 R (_OPENTOPIC_TOC_PROCESSING_d114e17153) 21095 0 R (_OPENTOPIC_TOC_PROCESSING_d114e171568) 22802 0 R (_OPENTOPIC_TOC_PROCESSING_d114e171779) 22805 0 R (_OPENTOPIC_TOC_PROCESSING_d114e172319) 22808 0 R (_OPENTOPIC_TOC_PROCESSING_d114e172609) 22811 0 R (_OPENTOPIC_TOC_PROCESSING_d114e172838) 22814 0 R (_OPENTOPIC_TOC_PROCESSING_d114e17297) 21098 0 R (_OPENTOPIC_TOC_PROCESSING_d114e173175) 22817 0 R (_OPENTOPIC_TOC_PROCESSING_d114e173647) 22820 0 R (_OPENTOPIC_TOC_PROCESSING_d114e173942) 22823 0 R (_OPENTOPIC_TOC_PROCESSING_d114e174297) 22828 0 R] >> endobj 24202 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e174747) (_OPENTOPIC_TOC_PROCESSING_d114e193113)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e174747) 22831 0 R (_OPENTOPIC_TOC_PROCESSING_d114e175295) 22834 0 R (_OPENTOPIC_TOC_PROCESSING_d114e175669) 22839 0 R (_OPENTOPIC_TOC_PROCESSING_d114e176233) 22847 0 R (_OPENTOPIC_TOC_PROCESSING_d114e176601) 22851 0 R (_OPENTOPIC_TOC_PROCESSING_d114e177114) 22855 0 R (_OPENTOPIC_TOC_PROCESSING_d114e177313) 22858 0 R (_OPENTOPIC_TOC_PROCESSING_d114e177605) 22866 0 R (_OPENTOPIC_TOC_PROCESSING_d114e17775) 21101 0 R (_OPENTOPIC_TOC_PROCESSING_d114e177782) 22869 0 R (_OPENTOPIC_TOC_PROCESSING_d114e177997) 22872 0 R (_OPENTOPIC_TOC_PROCESSING_d114e178395) 22875 0 R (_OPENTOPIC_TOC_PROCESSING_d114e178584) 22878 0 R (_OPENTOPIC_TOC_PROCESSING_d114e179082) 22881 0 R (_OPENTOPIC_TOC_PROCESSING_d114e179472) 22884 0 R (_OPENTOPIC_TOC_PROCESSING_d114e180580) 22888 0 R (_OPENTOPIC_TOC_PROCESSING_d114e18075) 21104 0 R (_OPENTOPIC_TOC_PROCESSING_d114e181425) 22892 0 R (_OPENTOPIC_TOC_PROCESSING_d114e181643) 22895 0 R (_OPENTOPIC_TOC_PROCESSING_d114e182275) 22898 0 R (_OPENTOPIC_TOC_PROCESSING_d114e182536) 22901 0 R (_OPENTOPIC_TOC_PROCESSING_d114e182888) 22904 0 R (_OPENTOPIC_TOC_PROCESSING_d114e183070) 22907 0 R (_OPENTOPIC_TOC_PROCESSING_d114e183268) 22910 0 R (_OPENTOPIC_TOC_PROCESSING_d114e183489) 22913 0 R (_OPENTOPIC_TOC_PROCESSING_d114e18367) 21107 0 R (_OPENTOPIC_TOC_PROCESSING_d114e183752) 22916 0 R (_OPENTOPIC_TOC_PROCESSING_d114e183944) 22923 0 R (_OPENTOPIC_TOC_PROCESSING_d114e184166) 22926 0 R (_OPENTOPIC_TOC_PROCESSING_d114e184393) 22929 0 R (_OPENTOPIC_TOC_PROCESSING_d114e184585) 22932 0 R (_OPENTOPIC_TOC_PROCESSING_d114e184778) 22935 0 R (_OPENTOPIC_TOC_PROCESSING_d114e185142) 22938 0 R (_OPENTOPIC_TOC_PROCESSING_d114e185332) 22941 0 R (_OPENTOPIC_TOC_PROCESSING_d114e185782) 22944 0 R (_OPENTOPIC_TOC_PROCESSING_d114e185986) 22947 0 R (_OPENTOPIC_TOC_PROCESSING_d114e186215) 22950 0 R (_OPENTOPIC_TOC_PROCESSING_d114e18639) 21110 0 R (_OPENTOPIC_TOC_PROCESSING_d114e186443) 22953 0 R (_OPENTOPIC_TOC_PROCESSING_d114e186585) 22956 0 R (_OPENTOPIC_TOC_PROCESSING_d114e186812) 22959 0 R (_OPENTOPIC_TOC_PROCESSING_d114e186983) 22962 0 R (_OPENTOPIC_TOC_PROCESSING_d114e187344) 22965 0 R (_OPENTOPIC_TOC_PROCESSING_d114e187556) 22968 0 R (_OPENTOPIC_TOC_PROCESSING_d114e187768) 22971 0 R (_OPENTOPIC_TOC_PROCESSING_d114e188059) 22974 0 R (_OPENTOPIC_TOC_PROCESSING_d114e188416) 22977 0 R (_OPENTOPIC_TOC_PROCESSING_d114e188697) 22980 0 R (_OPENTOPIC_TOC_PROCESSING_d114e188975) 22983 0 R (_OPENTOPIC_TOC_PROCESSING_d114e189150) 22986 0 R (_OPENTOPIC_TOC_PROCESSING_d114e189379) 22994 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19013) 21113 0 R (_OPENTOPIC_TOC_PROCESSING_d114e190210) 22997 0 R (_OPENTOPIC_TOC_PROCESSING_d114e190480) 23000 0 R (_OPENTOPIC_TOC_PROCESSING_d114e190711) 23003 0 R (_OPENTOPIC_TOC_PROCESSING_d114e190943) 23008 0 R (_OPENTOPIC_TOC_PROCESSING_d114e191539) 23011 0 R (_OPENTOPIC_TOC_PROCESSING_d114e191783) 23014 0 R (_OPENTOPIC_TOC_PROCESSING_d114e192014) 23017 0 R (_OPENTOPIC_TOC_PROCESSING_d114e192293) 23020 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19230) 21116 0 R (_OPENTOPIC_TOC_PROCESSING_d114e192608) 23023 0 R (_OPENTOPIC_TOC_PROCESSING_d114e192811) 23026 0 R (_OPENTOPIC_TOC_PROCESSING_d114e193113) 23029 0 R] >> endobj 24203 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e193284) (_OPENTOPIC_TOC_PROCESSING_d114e21037)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e193284) 23032 0 R (_OPENTOPIC_TOC_PROCESSING_d114e193510) 23035 0 R (_OPENTOPIC_TOC_PROCESSING_d114e193840) 23038 0 R (_OPENTOPIC_TOC_PROCESSING_d114e194079) 23041 0 R (_OPENTOPIC_TOC_PROCESSING_d114e194521) 23044 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19467) 21119 0 R (_OPENTOPIC_TOC_PROCESSING_d114e194955) 23047 0 R (_OPENTOPIC_TOC_PROCESSING_d114e195177) 23050 0 R (_OPENTOPIC_TOC_PROCESSING_d114e195372) 23053 0 R (_OPENTOPIC_TOC_PROCESSING_d114e195832) 23056 0 R (_OPENTOPIC_TOC_PROCESSING_d114e196039) 23059 0 R (_OPENTOPIC_TOC_PROCESSING_d114e196337) 23062 0 R (_OPENTOPIC_TOC_PROCESSING_d114e196737) 23065 0 R (_OPENTOPIC_TOC_PROCESSING_d114e19700) 21122 0 R (_OPENTOPIC_TOC_PROCESSING_d114e197002) 23068 0 R (_OPENTOPIC_TOC_PROCESSING_d114e197453) 23071 0 R (_OPENTOPIC_TOC_PROCESSING_d114e197828) 23074 0 R (_OPENTOPIC_TOC_PROCESSING_d114e198016) 23077 0 R (_OPENTOPIC_TOC_PROCESSING_d114e198290) 23080 0 R (_OPENTOPIC_TOC_PROCESSING_d114e198567) 23083 0 R (_OPENTOPIC_TOC_PROCESSING_d114e198990) 23086 0 R (_OPENTOPIC_TOC_PROCESSING_d114e199168) 23089 0 R (_OPENTOPIC_TOC_PROCESSING_d114e199444) 23092 0 R (_OPENTOPIC_TOC_PROCESSING_d114e199901) 23095 0 R (_OPENTOPIC_TOC_PROCESSING_d114e200189) 23098 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20024) 21125 0 R (_OPENTOPIC_TOC_PROCESSING_d114e200476) 23101 0 R (_OPENTOPIC_TOC_PROCESSING_d114e200677) 23104 0 R (_OPENTOPIC_TOC_PROCESSING_d114e200888) 23107 0 R (_OPENTOPIC_TOC_PROCESSING_d114e201071) 23110 0 R (_OPENTOPIC_TOC_PROCESSING_d114e201267) 23113 0 R (_OPENTOPIC_TOC_PROCESSING_d114e201791) 23116 0 R (_OPENTOPIC_TOC_PROCESSING_d114e201996) 23119 0 R (_OPENTOPIC_TOC_PROCESSING_d114e202446) 23122 0 R (_OPENTOPIC_TOC_PROCESSING_d114e202922) 23125 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20349) 21128 0 R (_OPENTOPIC_TOC_PROCESSING_d114e203522) 23128 0 R (_OPENTOPIC_TOC_PROCESSING_d114e203996) 23131 0 R (_OPENTOPIC_TOC_PROCESSING_d114e204419) 23136 0 R (_OPENTOPIC_TOC_PROCESSING_d114e204665) 23139 0 R (_OPENTOPIC_TOC_PROCESSING_d114e204941) 23142 0 R (_OPENTOPIC_TOC_PROCESSING_d114e205177) 23145 0 R (_OPENTOPIC_TOC_PROCESSING_d114e205479) 23148 0 R (_OPENTOPIC_TOC_PROCESSING_d114e205664) 23151 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20572) 21131 0 R (_OPENTOPIC_TOC_PROCESSING_d114e206093) 23154 0 R (_OPENTOPIC_TOC_PROCESSING_d114e206347) 23157 0 R (_OPENTOPIC_TOC_PROCESSING_d114e206876) 23160 0 R (_OPENTOPIC_TOC_PROCESSING_d114e207154) 23163 0 R (_OPENTOPIC_TOC_PROCESSING_d114e207468) 23166 0 R (_OPENTOPIC_TOC_PROCESSING_d114e207792) 23169 0 R (_OPENTOPIC_TOC_PROCESSING_d114e20805) 21134 0 R (_OPENTOPIC_TOC_PROCESSING_d114e208125) 23172 0 R (_OPENTOPIC_TOC_PROCESSING_d114e208381) 23176 0 R (_OPENTOPIC_TOC_PROCESSING_d114e208629) 23179 0 R (_OPENTOPIC_TOC_PROCESSING_d114e208942) 23182 0 R (_OPENTOPIC_TOC_PROCESSING_d114e209123) 23185 0 R (_OPENTOPIC_TOC_PROCESSING_d114e209258) 23188 0 R (_OPENTOPIC_TOC_PROCESSING_d114e209448) 23191 0 R (_OPENTOPIC_TOC_PROCESSING_d114e209690) 23194 0 R (_OPENTOPIC_TOC_PROCESSING_d114e209851) 23197 0 R (_OPENTOPIC_TOC_PROCESSING_d114e210035) 23200 0 R (_OPENTOPIC_TOC_PROCESSING_d114e210170) 23203 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21037) 21137 0 R] >> endobj 24204 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e210394) (_OPENTOPIC_TOC_PROCESSING_d114e225556)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e210394) 23211 0 R (_OPENTOPIC_TOC_PROCESSING_d114e210615) 23214 0 R (_OPENTOPIC_TOC_PROCESSING_d114e210836) 23217 0 R (_OPENTOPIC_TOC_PROCESSING_d114e211030) 23220 0 R (_OPENTOPIC_TOC_PROCESSING_d114e211233) 23223 0 R (_OPENTOPIC_TOC_PROCESSING_d114e212366) 23226 0 R (_OPENTOPIC_TOC_PROCESSING_d114e212624) 23229 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21265) 21140 0 R (_OPENTOPIC_TOC_PROCESSING_d114e212749) 23232 0 R (_OPENTOPIC_TOC_PROCESSING_d114e213008) 23241 0 R (_OPENTOPIC_TOC_PROCESSING_d114e213221) 23244 0 R (_OPENTOPIC_TOC_PROCESSING_d114e213453) 23247 0 R (_OPENTOPIC_TOC_PROCESSING_d114e213684) 23250 0 R (_OPENTOPIC_TOC_PROCESSING_d114e213964) 23253 0 R (_OPENTOPIC_TOC_PROCESSING_d114e214185) 23256 0 R (_OPENTOPIC_TOC_PROCESSING_d114e214370) 23259 0 R (_OPENTOPIC_TOC_PROCESSING_d114e214548) 23262 0 R (_OPENTOPIC_TOC_PROCESSING_d114e214744) 23265 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21476) 21143 0 R (_OPENTOPIC_TOC_PROCESSING_d114e215043) 23273 0 R (_OPENTOPIC_TOC_PROCESSING_d114e215227) 23276 0 R (_OPENTOPIC_TOC_PROCESSING_d114e215420) 23279 0 R (_OPENTOPIC_TOC_PROCESSING_d114e215743) 23282 0 R (_OPENTOPIC_TOC_PROCESSING_d114e216025) 23285 0 R (_OPENTOPIC_TOC_PROCESSING_d114e216216) 23288 0 R (_OPENTOPIC_TOC_PROCESSING_d114e216367) 23291 0 R (_OPENTOPIC_TOC_PROCESSING_d114e216817) 23294 0 R (_OPENTOPIC_TOC_PROCESSING_d114e21699) 21146 0 R (_OPENTOPIC_TOC_PROCESSING_d114e217121) 23297 0 R (_OPENTOPIC_TOC_PROCESSING_d114e217312) 23300 0 R (_OPENTOPIC_TOC_PROCESSING_d114e217430) 23303 0 R (_OPENTOPIC_TOC_PROCESSING_d114e217601) 23306 0 R (_OPENTOPIC_TOC_PROCESSING_d114e217804) 23309 0 R (_OPENTOPIC_TOC_PROCESSING_d114e218018) 23312 0 R (_OPENTOPIC_TOC_PROCESSING_d114e218293) 23315 0 R (_OPENTOPIC_TOC_PROCESSING_d114e218423) 23318 0 R (_OPENTOPIC_TOC_PROCESSING_d114e218687) 23321 0 R (_OPENTOPIC_TOC_PROCESSING_d114e218925) 23324 0 R (_OPENTOPIC_TOC_PROCESSING_d114e219065) 23327 0 R (_OPENTOPIC_TOC_PROCESSING_d114e219291) 23330 0 R (_OPENTOPIC_TOC_PROCESSING_d114e219478) 23333 0 R (_OPENTOPIC_TOC_PROCESSING_d114e219685) 23336 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220012) 23340 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220184) 23343 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220433) 23346 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220668) 23349 0 R (_OPENTOPIC_TOC_PROCESSING_d114e220903) 23352 0 R (_OPENTOPIC_TOC_PROCESSING_d114e221132) 23363 0 R (_OPENTOPIC_TOC_PROCESSING_d114e221283) 23366 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22151) 21149 0 R (_OPENTOPIC_TOC_PROCESSING_d114e221626) 23369 0 R (_OPENTOPIC_TOC_PROCESSING_d114e222138) 23372 0 R (_OPENTOPIC_TOC_PROCESSING_d114e222659) 23375 0 R (_OPENTOPIC_TOC_PROCESSING_d114e222859) 23378 0 R (_OPENTOPIC_TOC_PROCESSING_d114e223093) 23381 0 R (_OPENTOPIC_TOC_PROCESSING_d114e223579) 23384 0 R (_OPENTOPIC_TOC_PROCESSING_d114e223883) 23387 0 R (_OPENTOPIC_TOC_PROCESSING_d114e224088) 23390 0 R (_OPENTOPIC_TOC_PROCESSING_d114e224350) 23393 0 R (_OPENTOPIC_TOC_PROCESSING_d114e224553) 23396 0 R (_OPENTOPIC_TOC_PROCESSING_d114e224871) 23399 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22521) 21152 0 R (_OPENTOPIC_TOC_PROCESSING_d114e225215) 23402 0 R (_OPENTOPIC_TOC_PROCESSING_d114e225556) 23409 0 R] >> endobj 24205 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e225759) (_OPENTOPIC_TOC_PROCESSING_d114e33565)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e225759) 23415 0 R (_OPENTOPIC_TOC_PROCESSING_d114e226032) 23418 0 R (_OPENTOPIC_TOC_PROCESSING_d114e226304) 23421 0 R (_OPENTOPIC_TOC_PROCESSING_d114e226607) 23424 0 R (_OPENTOPIC_TOC_PROCESSING_d114e226922) 23427 0 R (_OPENTOPIC_TOC_PROCESSING_d114e227455) 23430 0 R (_OPENTOPIC_TOC_PROCESSING_d114e227661) 23433 0 R (_OPENTOPIC_TOC_PROCESSING_d114e227929) 23436 0 R (_OPENTOPIC_TOC_PROCESSING_d114e228198) 23439 0 R (_OPENTOPIC_TOC_PROCESSING_d114e22834) 21155 0 R (_OPENTOPIC_TOC_PROCESSING_d114e228392) 23442 0 R (_OPENTOPIC_TOC_PROCESSING_d114e228949) 23445 0 R (_OPENTOPIC_TOC_PROCESSING_d114e229301) 23450 0 R (_OPENTOPIC_TOC_PROCESSING_d114e229609) 23453 0 R (_OPENTOPIC_TOC_PROCESSING_d114e229917) 23456 0 R (_OPENTOPIC_TOC_PROCESSING_d114e230407) 23459 0 R (_OPENTOPIC_TOC_PROCESSING_d114e230813) 23462 0 R (_OPENTOPIC_TOC_PROCESSING_d114e231119) 23466 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23119) 21158 0 R (_OPENTOPIC_TOC_PROCESSING_d114e231564) 23469 0 R (_OPENTOPIC_TOC_PROCESSING_d114e231853) 23480 0 R (_OPENTOPIC_TOC_PROCESSING_d114e232589) 23483 0 R (_OPENTOPIC_TOC_PROCESSING_d114e232600) 23485 0 R (_OPENTOPIC_TOC_PROCESSING_d114e232621) 23488 0 R (_OPENTOPIC_TOC_PROCESSING_d114e232722) 23494 0 R (_OPENTOPIC_TOC_PROCESSING_d114e232844) 23501 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23472) 21161 0 R (_OPENTOPIC_TOC_PROCESSING_d114e23928) 21164 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24100) 21167 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24371) 21170 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24706) 21173 0 R (_OPENTOPIC_TOC_PROCESSING_d114e24889) 21177 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25083) 21180 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25656) 21183 0 R (_OPENTOPIC_TOC_PROCESSING_d114e25859) 21186 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26069) 21189 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26257) 21192 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26425) 21195 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26628) 21198 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26828) 21201 0 R (_OPENTOPIC_TOC_PROCESSING_d114e26992) 21204 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27166) 21207 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27331) 21210 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27577) 21213 0 R (_OPENTOPIC_TOC_PROCESSING_d114e27812) 21219 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28036) 21222 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28290) 21225 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28510) 21228 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28749) 21231 0 R (_OPENTOPIC_TOC_PROCESSING_d114e28960) 21234 0 R (_OPENTOPIC_TOC_PROCESSING_d114e29591) 21239 0 R (_OPENTOPIC_TOC_PROCESSING_d114e29912) 21242 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30095) 21245 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30225) 21248 0 R (_OPENTOPIC_TOC_PROCESSING_d114e30401) 21253 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31209) 21258 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31497) 21261 0 R (_OPENTOPIC_TOC_PROCESSING_d114e31787) 21264 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32070) 21267 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32357) 21270 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32601) 21274 0 R (_OPENTOPIC_TOC_PROCESSING_d114e32871) 21277 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33149) 21280 0 R (_OPENTOPIC_TOC_PROCESSING_d114e33565) 21283 0 R] >> endobj 24206 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e33858) (_OPENTOPIC_TOC_PROCESSING_d114e53052)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e33858) 21286 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34163) 21289 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34451) 21292 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34591) 21295 0 R (_OPENTOPIC_TOC_PROCESSING_d114e34833) 21298 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35076) 21301 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35348) 21304 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35720) 21307 0 R (_OPENTOPIC_TOC_PROCESSING_d114e35972) 21310 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36156) 21313 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36417) 21316 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36675) 21319 0 R (_OPENTOPIC_TOC_PROCESSING_d114e36826) 21322 0 R (_OPENTOPIC_TOC_PROCESSING_d114e37051) 21325 0 R (_OPENTOPIC_TOC_PROCESSING_d114e37323) 21328 0 R (_OPENTOPIC_TOC_PROCESSING_d114e37611) 21331 0 R (_OPENTOPIC_TOC_PROCESSING_d114e37738) 21334 0 R (_OPENTOPIC_TOC_PROCESSING_d114e38032) 21337 0 R (_OPENTOPIC_TOC_PROCESSING_d114e38438) 21340 0 R (_OPENTOPIC_TOC_PROCESSING_d114e38808) 21345 0 R (_OPENTOPIC_TOC_PROCESSING_d114e39050) 21349 0 R (_OPENTOPIC_TOC_PROCESSING_d114e39328) 21352 0 R (_OPENTOPIC_TOC_PROCESSING_d114e39647) 21355 0 R (_OPENTOPIC_TOC_PROCESSING_d114e40137) 21358 0 R (_OPENTOPIC_TOC_PROCESSING_d114e40369) 21361 0 R (_OPENTOPIC_TOC_PROCESSING_d114e40874) 21364 0 R (_OPENTOPIC_TOC_PROCESSING_d114e41239) 21367 0 R (_OPENTOPIC_TOC_PROCESSING_d114e41776) 21370 0 R (_OPENTOPIC_TOC_PROCESSING_d114e42118) 21373 0 R (_OPENTOPIC_TOC_PROCESSING_d114e42394) 21377 0 R (_OPENTOPIC_TOC_PROCESSING_d114e42811) 21380 0 R (_OPENTOPIC_TOC_PROCESSING_d114e43138) 21383 0 R (_OPENTOPIC_TOC_PROCESSING_d114e43435) 21386 0 R (_OPENTOPIC_TOC_PROCESSING_d114e43795) 21389 0 R (_OPENTOPIC_TOC_PROCESSING_d114e44081) 21392 0 R (_OPENTOPIC_TOC_PROCESSING_d114e44349) 21395 0 R (_OPENTOPIC_TOC_PROCESSING_d114e44590) 21398 0 R (_OPENTOPIC_TOC_PROCESSING_d114e44899) 21401 0 R (_OPENTOPIC_TOC_PROCESSING_d114e45220) 21404 0 R (_OPENTOPIC_TOC_PROCESSING_d114e45542) 21407 0 R (_OPENTOPIC_TOC_PROCESSING_d114e45777) 21410 0 R (_OPENTOPIC_TOC_PROCESSING_d114e46224) 21413 0 R (_OPENTOPIC_TOC_PROCESSING_d114e46465) 21416 0 R (_OPENTOPIC_TOC_PROCESSING_d114e46685) 21419 0 R (_OPENTOPIC_TOC_PROCESSING_d114e46987) 21422 0 R (_OPENTOPIC_TOC_PROCESSING_d114e47238) 21425 0 R (_OPENTOPIC_TOC_PROCESSING_d114e47461) 21428 0 R (_OPENTOPIC_TOC_PROCESSING_d114e47737) 21431 0 R (_OPENTOPIC_TOC_PROCESSING_d114e48051) 21434 0 R (_OPENTOPIC_TOC_PROCESSING_d114e48438) 21437 0 R (_OPENTOPIC_TOC_PROCESSING_d114e48605) 21440 0 R (_OPENTOPIC_TOC_PROCESSING_d114e48783) 21443 0 R (_OPENTOPIC_TOC_PROCESSING_d114e49069) 21447 0 R (_OPENTOPIC_TOC_PROCESSING_d114e49550) 21450 0 R (_OPENTOPIC_TOC_PROCESSING_d114e49967) 21453 0 R (_OPENTOPIC_TOC_PROCESSING_d114e50282) 21456 0 R (_OPENTOPIC_TOC_PROCESSING_d114e50647) 21459 0 R (_OPENTOPIC_TOC_PROCESSING_d114e51009) 21462 0 R (_OPENTOPIC_TOC_PROCESSING_d114e51229) 21469 0 R (_OPENTOPIC_TOC_PROCESSING_d114e51705) 21472 0 R (_OPENTOPIC_TOC_PROCESSING_d114e51924) 21475 0 R (_OPENTOPIC_TOC_PROCESSING_d114e52232) 21483 0 R (_OPENTOPIC_TOC_PROCESSING_d114e52824) 21486 0 R (_OPENTOPIC_TOC_PROCESSING_d114e53052) 21489 0 R] >> endobj 24207 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e53268) (_OPENTOPIC_TOC_PROCESSING_d114e6614)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e53268) 21492 0 R (_OPENTOPIC_TOC_PROCESSING_d114e53484) 21495 0 R (_OPENTOPIC_TOC_PROCESSING_d114e53691) 21498 0 R (_OPENTOPIC_TOC_PROCESSING_d114e53961) 21501 0 R (_OPENTOPIC_TOC_PROCESSING_d114e54234) 21504 0 R (_OPENTOPIC_TOC_PROCESSING_d114e54440) 21507 0 R (_OPENTOPIC_TOC_PROCESSING_d114e54681) 21510 0 R (_OPENTOPIC_TOC_PROCESSING_d114e54934) 21513 0 R (_OPENTOPIC_TOC_PROCESSING_d114e55198) 21516 0 R (_OPENTOPIC_TOC_PROCESSING_d114e55479) 21519 0 R (_OPENTOPIC_TOC_PROCESSING_d114e55708) 21522 0 R (_OPENTOPIC_TOC_PROCESSING_d114e55953) 21525 0 R (_OPENTOPIC_TOC_PROCESSING_d114e56216) 21528 0 R (_OPENTOPIC_TOC_PROCESSING_d114e56484) 21531 0 R (_OPENTOPIC_TOC_PROCESSING_d114e56749) 21534 0 R (_OPENTOPIC_TOC_PROCESSING_d114e56970) 21537 0 R (_OPENTOPIC_TOC_PROCESSING_d114e57176) 21540 0 R (_OPENTOPIC_TOC_PROCESSING_d114e57432) 21543 0 R (_OPENTOPIC_TOC_PROCESSING_d114e57646) 21546 0 R (_OPENTOPIC_TOC_PROCESSING_d114e57828) 21549 0 R (_OPENTOPIC_TOC_PROCESSING_d114e58014) 21552 0 R (_OPENTOPIC_TOC_PROCESSING_d114e58187) 21555 0 R (_OPENTOPIC_TOC_PROCESSING_d114e58293) 21558 0 R (_OPENTOPIC_TOC_PROCESSING_d114e58500) 21561 0 R (_OPENTOPIC_TOC_PROCESSING_d114e58765) 21564 0 R (_OPENTOPIC_TOC_PROCESSING_d114e59001) 21567 0 R (_OPENTOPIC_TOC_PROCESSING_d114e59175) 21570 0 R (_OPENTOPIC_TOC_PROCESSING_d114e59359) 21573 0 R (_OPENTOPIC_TOC_PROCESSING_d114e59547) 21576 0 R (_OPENTOPIC_TOC_PROCESSING_d114e59735) 21579 0 R (_OPENTOPIC_TOC_PROCESSING_d114e59922) 21582 0 R (_OPENTOPIC_TOC_PROCESSING_d114e60230) 21585 0 R (_OPENTOPIC_TOC_PROCESSING_d114e60427) 21588 0 R (_OPENTOPIC_TOC_PROCESSING_d114e60628) 21591 0 R (_OPENTOPIC_TOC_PROCESSING_d114e60845) 21594 0 R (_OPENTOPIC_TOC_PROCESSING_d114e61046) 21597 0 R (_OPENTOPIC_TOC_PROCESSING_d114e61239) 21600 0 R (_OPENTOPIC_TOC_PROCESSING_d114e61420) 21603 0 R (_OPENTOPIC_TOC_PROCESSING_d114e61612) 21606 0 R (_OPENTOPIC_TOC_PROCESSING_d114e61852) 21609 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62025) 21612 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6209) 20887 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62227) 21615 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62421) 21618 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62541) 21621 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62732) 21624 0 R (_OPENTOPIC_TOC_PROCESSING_d114e62917) 21628 0 R (_OPENTOPIC_TOC_PROCESSING_d114e63127) 21631 0 R (_OPENTOPIC_TOC_PROCESSING_d114e63329) 21635 0 R (_OPENTOPIC_TOC_PROCESSING_d114e63514) 21638 0 R (_OPENTOPIC_TOC_PROCESSING_d114e63679) 21641 0 R (_OPENTOPIC_TOC_PROCESSING_d114e63878) 21644 0 R (_OPENTOPIC_TOC_PROCESSING_d114e64176) 21647 0 R (_OPENTOPIC_TOC_PROCESSING_d114e64347) 21650 0 R (_OPENTOPIC_TOC_PROCESSING_d114e64587) 21653 0 R (_OPENTOPIC_TOC_PROCESSING_d114e64790) 21656 0 R (_OPENTOPIC_TOC_PROCESSING_d114e65030) 21659 0 R (_OPENTOPIC_TOC_PROCESSING_d114e65275) 21662 0 R (_OPENTOPIC_TOC_PROCESSING_d114e65522) 21665 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6554) 20891 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6557) 20893 0 R (_OPENTOPIC_TOC_PROCESSING_d114e65756) 21668 0 R (_OPENTOPIC_TOC_PROCESSING_d114e65958) 21671 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6614) 20895 0 R] >> endobj 24208 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e66292) (_OPENTOPIC_TOC_PROCESSING_d114e80230)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e66292) 21674 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6643) 20897 0 R (_OPENTOPIC_TOC_PROCESSING_d114e66576) 21677 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6661) 20899 0 R (_OPENTOPIC_TOC_PROCESSING_d114e66795) 21680 0 R (_OPENTOPIC_TOC_PROCESSING_d114e67049) 21683 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6725) 20902 0 R (_OPENTOPIC_TOC_PROCESSING_d114e67259) 21686 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6751) 20904 0 R (_OPENTOPIC_TOC_PROCESSING_d114e67514) 21689 0 R (_OPENTOPIC_TOC_PROCESSING_d114e67744) 21692 0 R (_OPENTOPIC_TOC_PROCESSING_d114e67899) 21695 0 R (_OPENTOPIC_TOC_PROCESSING_d114e68128) 21698 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6829) 20906 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6851) 20908 0 R (_OPENTOPIC_TOC_PROCESSING_d114e68556) 21702 0 R (_OPENTOPIC_TOC_PROCESSING_d114e69348) 21708 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6966) 20910 0 R (_OPENTOPIC_TOC_PROCESSING_d114e6969) 20912 0 R (_OPENTOPIC_TOC_PROCESSING_d114e69704) 21718 0 R (_OPENTOPIC_TOC_PROCESSING_d114e69990) 21721 0 R (_OPENTOPIC_TOC_PROCESSING_d114e70291) 21724 0 R (_OPENTOPIC_TOC_PROCESSING_d114e70646) 21727 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7091) 20919 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71012) 21730 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71248) 21733 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7131) 20921 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71422) 21736 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71577) 21739 0 R (_OPENTOPIC_TOC_PROCESSING_d114e71826) 21742 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7185) 20925 0 R (_OPENTOPIC_TOC_PROCESSING_d114e72035) 21745 0 R (_OPENTOPIC_TOC_PROCESSING_d114e72190) 21748 0 R (_OPENTOPIC_TOC_PROCESSING_d114e72505) 21751 0 R (_OPENTOPIC_TOC_PROCESSING_d114e72718) 21754 0 R (_OPENTOPIC_TOC_PROCESSING_d114e72873) 21757 0 R (_OPENTOPIC_TOC_PROCESSING_d114e73028) 21760 0 R (_OPENTOPIC_TOC_PROCESSING_d114e73390) 21763 0 R (_OPENTOPIC_TOC_PROCESSING_d114e73606) 21769 0 R (_OPENTOPIC_TOC_PROCESSING_d114e74090) 21772 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7417) 20936 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7428) 20938 0 R (_OPENTOPIC_TOC_PROCESSING_d114e74463) 21775 0 R (_OPENTOPIC_TOC_PROCESSING_d114e74843) 21778 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7486) 20940 0 R (_OPENTOPIC_TOC_PROCESSING_d114e75144) 21781 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7538) 20946 0 R (_OPENTOPIC_TOC_PROCESSING_d114e75539) 21784 0 R (_OPENTOPIC_TOC_PROCESSING_d114e75932) 21787 0 R (_OPENTOPIC_TOC_PROCESSING_d114e76310) 21790 0 R (_OPENTOPIC_TOC_PROCESSING_d114e76705) 21793 0 R (_OPENTOPIC_TOC_PROCESSING_d114e77094) 21796 0 R (_OPENTOPIC_TOC_PROCESSING_d114e77462) 21799 0 R (_OPENTOPIC_TOC_PROCESSING_d114e77669) 21802 0 R (_OPENTOPIC_TOC_PROCESSING_d114e78030) 21805 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7828) 20953 0 R (_OPENTOPIC_TOC_PROCESSING_d114e78407) 21808 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7868) 20958 0 R (_OPENTOPIC_TOC_PROCESSING_d114e78782) 21811 0 R (_OPENTOPIC_TOC_PROCESSING_d114e79152) 21814 0 R (_OPENTOPIC_TOC_PROCESSING_d114e79511) 21817 0 R (_OPENTOPIC_TOC_PROCESSING_d114e79866) 21820 0 R (_OPENTOPIC_TOC_PROCESSING_d114e7991) 20960 0 R (_OPENTOPIC_TOC_PROCESSING_d114e80230) 21823 0 R] >> endobj 24209 0 obj << /Limits [(_OPENTOPIC_TOC_PROCESSING_d114e8031) (d114e101234)] /Names [(_OPENTOPIC_TOC_PROCESSING_d114e8031) 20964 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8054) 20966 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8057) 20968 0 R (_OPENTOPIC_TOC_PROCESSING_d114e80581) 21826 0 R (_OPENTOPIC_TOC_PROCESSING_d114e80924) 21829 0 R (_OPENTOPIC_TOC_PROCESSING_d114e81261) 21832 0 R (_OPENTOPIC_TOC_PROCESSING_d114e81598) 21835 0 R (_OPENTOPIC_TOC_PROCESSING_d114e81956) 21838 0 R (_OPENTOPIC_TOC_PROCESSING_d114e82340) 21841 0 R (_OPENTOPIC_TOC_PROCESSING_d114e82737) 21844 0 R (_OPENTOPIC_TOC_PROCESSING_d114e8283) 20971 0 R (_OPENTOPIC_TOC_PROCESSING_d114e83124) 21847 0 R (_OPENTOPIC_TOC_PROCESSING_d114e83509) 21850 0 R (_OPENTOPIC_TOC_PROCESSING_d114e83865) 21854 0 R (_OPENTOPIC_TOC_PROCESSING_d114e84386) 21857 0 R (_OPENTOPIC_TOC_PROCESSING_d114e84772) 21860 0 R (_OPENTOPIC_TOC_PROCESSING_d114e85129) 21863 0 R (_OPENTOPIC_TOC_PROCESSING_d114e85555) 21866 0 R (_OPENTOPIC_TOC_PROCESSING_d114e85872) 21870 0 R (_OPENTOPIC_TOC_PROCESSING_d114e86179) 21878 0 R (_OPENTOPIC_TOC_PROCESSING_d114e86360) 21882 0 R (_OPENTOPIC_TOC_PROCESSING_d114e86738) 21886 0 R (_OPENTOPIC_TOC_PROCESSING_d114e87105) 21890 0 R (_OPENTOPIC_TOC_PROCESSING_d114e87402) 21893 0 R (_OPENTOPIC_TOC_PROCESSING_d114e87786) 21896 0 R (_OPENTOPIC_TOC_PROCESSING_d114e88133) 21899 0 R (_OPENTOPIC_TOC_PROCESSING_d114e88522) 21902 0 R (_OPENTOPIC_TOC_PROCESSING_d114e88808) 21905 0 R (_OPENTOPIC_TOC_PROCESSING_d114e89311) 21908 0 R (_OPENTOPIC_TOC_PROCESSING_d114e89644) 21911 0 R (_OPENTOPIC_TOC_PROCESSING_d114e90006) 21915 0 R (_OPENTOPIC_TOC_PROCESSING_d114e90285) 21919 0 R (_OPENTOPIC_TOC_PROCESSING_d114e90536) 21923 0 R (_OPENTOPIC_TOC_PROCESSING_d114e90695) 21926 0 R (_OPENTOPIC_TOC_PROCESSING_d114e90965) 21929 0 R (_OPENTOPIC_TOC_PROCESSING_d114e91333) 21933 0 R (_OPENTOPIC_TOC_PROCESSING_d114e91701) 21937 0 R (_OPENTOPIC_TOC_PROCESSING_d114e92039) 21940 0 R (_OPENTOPIC_TOC_PROCESSING_d114e92393) 21946 0 R (_OPENTOPIC_TOC_PROCESSING_d114e92754) 21949 0 R (_OPENTOPIC_TOC_PROCESSING_d114e93137) 21955 0 R (_OPENTOPIC_TOC_PROCESSING_d114e93525) 21959 0 R (_OPENTOPIC_TOC_PROCESSING_d114e94011) 21963 0 R (_OPENTOPIC_TOC_PROCESSING_d114e94398) 21966 0 R (_OPENTOPIC_TOC_PROCESSING_d114e94731) 21973 0 R (_OPENTOPIC_TOC_PROCESSING_d114e95129) 21977 0 R (_OPENTOPIC_TOC_PROCESSING_d114e95442) 21980 0 R (_OPENTOPIC_TOC_PROCESSING_d114e95805) 21984 0 R (_OPENTOPIC_TOC_PROCESSING_d114e96200) 21988 0 R (_OPENTOPIC_TOC_PROCESSING_d114e96598) 21992 0 R (_OPENTOPIC_TOC_PROCESSING_d114e97009) 21996 0 R (_OPENTOPIC_TOC_PROCESSING_d114e97400) 21999 0 R (_OPENTOPIC_TOC_PROCESSING_d114e97794) 22002 0 R (_OPENTOPIC_TOC_PROCESSING_d114e98182) 22005 0 R (_OPENTOPIC_TOC_PROCESSING_d114e98562) 22008 0 R (_OPENTOPIC_TOC_PROCESSING_d114e98958) 22011 0 R (_OPENTOPIC_TOC_PROCESSING_d114e99369) 22014 0 R (_OPENTOPIC_TOC_PROCESSING_d114e99750) 22017 0 R (_OPENTOPIC_TOC_PROCESSING_titlepg01) 20885 0 R (d114e100202) 22026 0 R (d114e100483) 22029 0 R (d114e10058) 21003 0 R (d114e100870) 22032 0 R (d114e101234) 22035 0 R] >> endobj 24210 0 obj << /Limits [(d114e101619) (d114e11887)] /Names [(d114e101619) 22039 0 R (d114e101951) 22042 0 R (d114e102290) 22045 0 R (d114e102664) 22048 0 R (d114e102904) 22051 0 R (d114e103319) 22054 0 R (d114e10354) 21005 0 R (d114e103711) 22057 0 R (d114e10376) 21007 0 R (d114e10403) 21009 0 R (d114e104078) 22060 0 R (d114e10424) 21011 0 R (d114e104428) 22063 0 R (d114e10465) 21013 0 R (d114e104732) 22066 0 R (d114e105086) 22069 0 R (d114e105314) 22072 0 R (d114e10560) 21015 0 R (d114e105637) 22075 0 R (d114e105988) 22079 0 R (d114e106343) 22082 0 R (d114e106774) 22085 0 R (d114e107341) 22088 0 R (d114e107804) 22091 0 R (d114e108180) 22094 0 R (d114e108548) 22097 0 R (d114e108749) 22100 0 R (d114e109059) 22103 0 R (d114e109381) 22106 0 R (d114e109637) 22109 0 R (d114e110024) 22113 0 R (d114e110563) 22116 0 R (d114e111005) 22119 0 R (d114e111377) 22123 0 R (d114e111750) 22127 0 R (d114e112209) 22131 0 R (d114e112375) 22134 0 R (d114e112563) 22137 0 R (d114e11264) 21017 0 R (d114e112874) 22141 0 R (d114e113269) 22144 0 R (d114e11331) 21019 0 R (d114e113578) 22147 0 R (d114e11386) 21021 0 R (d114e113898) 22150 0 R (d114e114155) 22155 0 R (d114e11421) 21023 0 R (d114e114477) 22159 0 R (d114e114805) 22162 0 R (d114e11484) 21025 0 R (d114e115139) 22165 0 R (d114e115442) 22168 0 R (d114e115669) 22171 0 R (d114e115958) 22174 0 R (d114e116330) 22177 0 R (d114e116687) 22181 0 R (d114e117088) 22184 0 R (d114e117441) 22187 0 R (d114e117811) 22190 0 R (d114e118000) 22193 0 R (d114e118163) 22196 0 R (d114e118535) 22199 0 R (d114e118843) 22203 0 R (d114e11887) 21027 0 R] >> endobj 24211 0 obj << /Limits [(d114e11922) (d114e133740)] /Names [(d114e11922) 21029 0 R (d114e119634) 22206 0 R (d114e119900) 22209 0 R (d114e12003) 21031 0 R (d114e120278) 22212 0 R (d114e120594) 22215 0 R (d114e120818) 22218 0 R (d114e121224) 22221 0 R (d114e121456) 22224 0 R (d114e121801) 22229 0 R (d114e122227) 22232 0 R (d114e122543) 22235 0 R (d114e122759) 22238 0 R (d114e123057) 22241 0 R (d114e123346) 22247 0 R (d114e12338) 21033 0 R (d114e123723) 22250 0 R (d114e123980) 22253 0 R (d114e124180) 22256 0 R (d114e124389) 22259 0 R (d114e124592) 22262 0 R (d114e124807) 22265 0 R (d114e125049) 22268 0 R (d114e12529) 21035 0 R (d114e125353) 22271 0 R (d114e125578) 22274 0 R (d114e126253) 22277 0 R (d114e126519) 22280 0 R (d114e126719) 22283 0 R (d114e127421) 22286 0 R (d114e128046) 22290 0 R (d114e128262) 22293 0 R (d114e128660) 22296 0 R (d114e12882) 21037 0 R (d114e128842) 22299 0 R (d114e12903) 21039 0 R (d114e129056) 22302 0 R (d114e129238) 22305 0 R (d114e12927) 21041 0 R (d114e129527) 22308 0 R (d114e129822) 22311 0 R (d114e130033) 22314 0 R (d114e130243) 22317 0 R (d114e130546) 22321 0 R (d114e130749) 22324 0 R (d114e131012) 22327 0 R (d114e131246) 22330 0 R (d114e131450) 22333 0 R (d114e13147) 21043 0 R (d114e131669) 22336 0 R (d114e13188) 21045 0 R (d114e131883) 22343 0 R (d114e132069) 22346 0 R (d114e132400) 22349 0 R (d114e132646) 22352 0 R (d114e13280) 21047 0 R (d114e132868) 22356 0 R (d114e133102) 22359 0 R (d114e13315) 21049 0 R (d114e133346) 22362 0 R (d114e13336) 21051 0 R (d114e133564) 22365 0 R (d114e13374) 21053 0 R (d114e133740) 22368 0 R] >> endobj 24212 0 obj << /Limits [(d114e133932) (d114e150208)] /Names [(d114e133932) 22371 0 R (d114e134189) 22374 0 R (d114e134431) 22377 0 R (d114e13458) 21055 0 R (d114e134734) 22380 0 R (d114e134946) 22383 0 R (d114e135271) 22386 0 R (d114e135506) 22389 0 R (d114e135778) 22392 0 R (d114e136133) 22395 0 R (d114e136384) 22398 0 R (d114e136583) 22401 0 R (d114e136866) 22404 0 R (d114e137088) 22407 0 R (d114e137350) 22410 0 R (d114e138196) 22416 0 R (d114e138423) 22423 0 R (d114e139233) 22426 0 R (d114e139456) 22429 0 R (d114e13946) 21057 0 R (d114e13998) 21059 0 R (d114e140081) 22432 0 R (d114e140369) 22435 0 R (d114e140616) 22438 0 R (d114e14079) 21064 0 R (d114e140834) 22441 0 R (d114e140952) 22444 0 R (d114e141094) 22447 0 R (d114e141411) 22450 0 R (d114e141789) 22453 0 R (d114e142442) 22456 0 R (d114e142646) 22459 0 R (d114e142854) 22462 0 R (d114e14297) 21067 0 R (d114e143308) 22466 0 R (d114e143547) 22469 0 R (d114e143738) 22472 0 R (d114e143991) 22475 0 R (d114e144253) 22478 0 R (d114e144508) 22481 0 R (d114e144724) 22484 0 R (d114e145266) 22487 0 R (d114e145450) 22490 0 R (d114e145646) 22495 0 R (d114e14590) 21070 0 R (d114e145916) 22498 0 R (d114e146109) 22501 0 R (d114e146358) 22504 0 R (d114e146624) 22507 0 R (d114e146870) 22510 0 R (d114e147207) 22513 0 R (d114e147609) 22516 0 R (d114e147927) 22519 0 R (d114e148138) 22522 0 R (d114e148320) 22525 0 R (d114e148521) 22528 0 R (d114e148754) 22535 0 R (d114e149009) 22538 0 R (d114e149278) 22545 0 R (d114e14952) 21073 0 R (d114e149531) 22548 0 R (d114e149723) 22555 0 R (d114e149936) 22558 0 R (d114e150208) 22561 0 R] >> endobj 24213 0 obj << /Limits [(d114e150461) (d114e167876)] /Names [(d114e150461) 22566 0 R (d114e150708) 22569 0 R (d114e150961) 22572 0 R (d114e151208) 22575 0 R (d114e151320) 22578 0 R (d114e151560) 22581 0 R (d114e151782) 22584 0 R (d114e151995) 22587 0 R (d114e152181) 22590 0 R (d114e152429) 22593 0 R (d114e152637) 22596 0 R (d114e152831) 22599 0 R (d114e153051) 22602 0 R (d114e153264) 22605 0 R (d114e153693) 22608 0 R (d114e153930) 22611 0 R (d114e15395) 21076 0 R (d114e154145) 22614 0 R (d114e154277) 22617 0 R (d114e154492) 22621 0 R (d114e154687) 22625 0 R (d114e154944) 22633 0 R (d114e155216) 22636 0 R (d114e155429) 22639 0 R (d114e155650) 22642 0 R (d114e155854) 22645 0 R (d114e156058) 22648 0 R (d114e156306) 22651 0 R (d114e156495) 22654 0 R (d114e156754) 22657 0 R (d114e156884) 22660 0 R (d114e157138) 22663 0 R (d114e157409) 22666 0 R (d114e157686) 22669 0 R (d114e157941) 22672 0 R (d114e158180) 22675 0 R (d114e15840) 21079 0 R (d114e158513) 22678 0 R (d114e158771) 22681 0 R (d114e159263) 22686 0 R (d114e159449) 22689 0 R (d114e160011) 22692 0 R (d114e160262) 22695 0 R (d114e160848) 22698 0 R (d114e161282) 22701 0 R (d114e161684) 22704 0 R (d114e161999) 22707 0 R (d114e162257) 22710 0 R (d114e162648) 22713 0 R (d114e162836) 22716 0 R (d114e163085) 22721 0 R (d114e16311) 21085 0 R (d114e163417) 22724 0 R (d114e163744) 22732 0 R (d114e164063) 22735 0 R (d114e164449) 22738 0 R (d114e164694) 22741 0 R (d114e16587) 21090 0 R (d114e165883) 22745 0 R (d114e166203) 22748 0 R (d114e166784) 22751 0 R (d114e166991) 22754 0 R (d114e167231) 22757 0 R (d114e167876) 22760 0 R] >> endobj 24214 0 obj << /Limits [(d114e168068) (d114e18689)] /Names [(d114e168068) 22763 0 R (d114e168593) 22766 0 R (d114e168868) 22769 0 R (d114e169131) 22773 0 R (d114e16933) 21093 0 R (d114e169410) 22776 0 R (d114e169669) 22783 0 R (d114e169960) 22787 0 R (d114e170142) 22790 0 R (d114e170466) 22793 0 R (d114e170742) 22796 0 R (d114e171103) 22800 0 R (d114e171618) 22803 0 R (d114e171820) 22806 0 R (d114e17194) 21096 0 R (d114e172369) 22809 0 R (d114e172650) 22812 0 R (d114e172879) 22815 0 R (d114e173216) 22818 0 R (d114e17347) 21099 0 R (d114e173688) 22821 0 R (d114e173983) 22824 0 R (d114e174347) 22829 0 R (d114e174788) 22832 0 R (d114e175336) 22835 0 R (d114e175710) 22840 0 R (d114e176283) 22848 0 R (d114e176642) 22852 0 R (d114e177164) 22856 0 R (d114e177363) 22859 0 R (d114e177655) 22867 0 R (d114e177823) 22870 0 R (d114e178047) 22873 0 R (d114e17825) 21102 0 R (d114e178445) 22876 0 R (d114e178625) 22879 0 R (d114e179132) 22882 0 R (d114e179513) 22885 0 R (d114e180621) 22889 0 R (d114e18125) 21105 0 R (d114e181466) 22893 0 R (d114e181693) 22896 0 R (d114e182325) 22899 0 R (d114e182577) 22902 0 R (d114e182929) 22905 0 R (d114e183111) 22908 0 R (d114e183309) 22911 0 R (d114e183530) 22914 0 R (d114e183793) 22917 0 R (d114e183985) 22924 0 R (d114e18417) 21108 0 R (d114e184207) 22927 0 R (d114e184434) 22930 0 R (d114e184626) 22933 0 R (d114e184819) 22936 0 R (d114e185192) 22939 0 R (d114e185373) 22942 0 R (d114e185832) 22945 0 R (d114e186027) 22948 0 R (d114e186265) 22951 0 R (d114e186484) 22954 0 R (d114e186626) 22957 0 R (d114e186853) 22960 0 R (d114e18689) 21111 0 R] >> endobj 24215 0 obj << /Limits [(d114e187024) (d114e204982)] /Names [(d114e187024) 22963 0 R (d114e187385) 22966 0 R (d114e187597) 22969 0 R (d114e187809) 22972 0 R (d114e188100) 22975 0 R (d114e188457) 22978 0 R (d114e188738) 22981 0 R (d114e189025) 22984 0 R (d114e189191) 22987 0 R (d114e189420) 22995 0 R (d114e190251) 22998 0 R (d114e190521) 23001 0 R (d114e19063) 21114 0 R (d114e190752) 23004 0 R (d114e190984) 23009 0 R (d114e191580) 23012 0 R (d114e191824) 23015 0 R (d114e192064) 23018 0 R (d114e192334) 23021 0 R (d114e192658) 23024 0 R (d114e19280) 21117 0 R (d114e192861) 23027 0 R (d114e193154) 23030 0 R (d114e193325) 23033 0 R (d114e193560) 23036 0 R (d114e193890) 23039 0 R (d114e194129) 23042 0 R (d114e194571) 23045 0 R (d114e194996) 23048 0 R (d114e19517) 21120 0 R (d114e195218) 23051 0 R (d114e195413) 23054 0 R (d114e195873) 23057 0 R (d114e196080) 23060 0 R (d114e196378) 23063 0 R (d114e196778) 23066 0 R (d114e197043) 23069 0 R (d114e197494) 23072 0 R (d114e19750) 21123 0 R (d114e197869) 23075 0 R (d114e198057) 23078 0 R (d114e198331) 23081 0 R (d114e198608) 23084 0 R (d114e199031) 23087 0 R (d114e199209) 23090 0 R (d114e199485) 23093 0 R (d114e199951) 23096 0 R (d114e200230) 23099 0 R (d114e200517) 23102 0 R (d114e200718) 23105 0 R (d114e20074) 21126 0 R (d114e200929) 23108 0 R (d114e201112) 23111 0 R (d114e201308) 23114 0 R (d114e201832) 23117 0 R (d114e202037) 23120 0 R (d114e202487) 23123 0 R (d114e202963) 23126 0 R (d114e203563) 23129 0 R (d114e20399) 21129 0 R (d114e204037) 23132 0 R (d114e204460) 23137 0 R (d114e204715) 23140 0 R (d114e204982) 23143 0 R] >> endobj 24216 0 obj << /Limits [(d114e205218) (d114e219519)] /Names [(d114e205218) 23146 0 R (d114e205520) 23149 0 R (d114e205705) 23152 0 R (d114e206143) 23155 0 R (d114e20622) 21132 0 R (d114e206388) 23158 0 R (d114e206926) 23161 0 R (d114e207195) 23164 0 R (d114e207509) 23167 0 R (d114e207842) 23170 0 R (d114e208166) 23173 0 R (d114e208422) 23177 0 R (d114e20855) 21135 0 R (d114e208670) 23180 0 R (d114e208983) 23183 0 R (d114e209164) 23186 0 R (d114e209299) 23189 0 R (d114e209498) 23192 0 R (d114e209731) 23195 0 R (d114e209901) 23198 0 R (d114e210076) 23201 0 R (d114e210211) 23204 0 R (d114e210435) 23212 0 R (d114e210656) 23215 0 R (d114e21087) 21138 0 R (d114e210877) 23218 0 R (d114e211071) 23221 0 R (d114e211283) 23224 0 R (d114e212407) 23227 0 R (d114e212665) 23230 0 R (d114e212790) 23233 0 R (d114e213049) 23242 0 R (d114e21315) 21141 0 R (d114e213271) 23245 0 R (d114e213503) 23248 0 R (d114e213725) 23251 0 R (d114e214005) 23254 0 R (d114e214226) 23257 0 R (d114e214420) 23260 0 R (d114e214589) 23263 0 R (d114e214794) 23266 0 R (d114e215084) 23274 0 R (d114e21526) 21144 0 R (d114e215268) 23277 0 R (d114e215461) 23280 0 R (d114e215793) 23283 0 R (d114e216066) 23286 0 R (d114e216266) 23289 0 R (d114e216417) 23292 0 R (d114e216858) 23295 0 R (d114e217171) 23298 0 R (d114e217353) 23301 0 R (d114e217471) 23304 0 R (d114e21749) 21147 0 R (d114e217642) 23307 0 R (d114e217854) 23310 0 R (d114e218068) 23313 0 R (d114e218334) 23316 0 R (d114e218473) 23319 0 R (d114e218737) 23322 0 R (d114e218978) 23325 0 R (d114e219106) 23328 0 R (d114e219341) 23331 0 R (d114e219519) 23334 0 R] >> endobj 24217 0 obj << /Limits [(d114e219735) (d114e28077)] /Names [(d114e219735) 23337 0 R (d114e220062) 23341 0 R (d114e220225) 23344 0 R (d114e220474) 23347 0 R (d114e220709) 23350 0 R (d114e220944) 23353 0 R (d114e221182) 23364 0 R (d114e221333) 23367 0 R (d114e221676) 23370 0 R (d114e22201) 21150 0 R (d114e222179) 23373 0 R (d114e222709) 23376 0 R (d114e222909) 23379 0 R (d114e223134) 23382 0 R (d114e223629) 23385 0 R (d114e223933) 23388 0 R (d114e224138) 23391 0 R (d114e224391) 23394 0 R (d114e224603) 23397 0 R (d114e224921) 23400 0 R (d114e225265) 23403 0 R (d114e225606) 23410 0 R (d114e22571) 21153 0 R (d114e225809) 23416 0 R (d114e226082) 23419 0 R (d114e226345) 23422 0 R (d114e226657) 23425 0 R (d114e226963) 23428 0 R (d114e227505) 23431 0 R (d114e227702) 23434 0 R (d114e227979) 23437 0 R (d114e228239) 23440 0 R (d114e228442) 23443 0 R (d114e22875) 21156 0 R (d114e228990) 23446 0 R (d114e229351) 23451 0 R (d114e229650) 23454 0 R (d114e229967) 23457 0 R (d114e230457) 23460 0 R (d114e230854) 23463 0 R (d114e231160) 23467 0 R (d114e231605) 23470 0 R (d114e23169) 21159 0 R (d114e231903) 23481 0 R (d114e23522) 21162 0 R (d114e23969) 21165 0 R (d114e24141) 21168 0 R (d114e24421) 21171 0 R (d114e24747) 21174 0 R (d114e24930) 21178 0 R (d114e25124) 21181 0 R (d114e25697) 21184 0 R (d114e25909) 21187 0 R (d114e26110) 21190 0 R (d114e26298) 21193 0 R (d114e26466) 21196 0 R (d114e26669) 21199 0 R (d114e26869) 21202 0 R (d114e27033) 21205 0 R (d114e27207) 21208 0 R (d114e27372) 21211 0 R (d114e27618) 21214 0 R (d114e27853) 21220 0 R (d114e28077) 21223 0 R] >> endobj 24218 0 obj << /Limits [(d114e28331) (d114e47288)] /Names [(d114e28331) 21226 0 R (d114e28551) 21229 0 R (d114e28790) 21232 0 R (d114e29001) 21235 0 R (d114e29632) 21240 0 R (d114e29953) 21243 0 R (d114e30136) 21246 0 R (d114e30266) 21249 0 R (d114e30442) 21254 0 R (d114e31250) 21259 0 R (d114e31538) 21262 0 R (d114e31837) 21265 0 R (d114e32120) 21268 0 R (d114e32407) 21271 0 R (d114e32642) 21275 0 R (d114e32921) 21278 0 R (d114e33190) 21281 0 R (d114e33606) 21284 0 R (d114e33908) 21287 0 R (d114e34213) 21290 0 R (d114e34501) 21293 0 R (d114e34641) 21296 0 R (d114e34883) 21299 0 R (d114e35126) 21302 0 R (d114e35398) 21305 0 R (d114e35770) 21308 0 R (d114e36022) 21311 0 R (d114e36206) 21314 0 R (d114e36467) 21317 0 R (d114e36725) 21320 0 R (d114e36876) 21323 0 R (d114e37101) 21326 0 R (d114e37373) 21329 0 R (d114e37661) 21332 0 R (d114e37779) 21335 0 R (d114e38082) 21338 0 R (d114e38479) 21341 0 R (d114e38849) 21346 0 R (d114e39100) 21350 0 R (d114e39378) 21353 0 R (d114e39688) 21356 0 R (d114e40187) 21359 0 R (d114e40410) 21362 0 R (d114e40924) 21365 0 R (d114e41289) 21368 0 R (d114e41817) 21371 0 R (d114e42159) 21374 0 R (d114e42444) 21378 0 R (d114e42852) 21381 0 R (d114e43179) 21384 0 R (d114e43476) 21387 0 R (d114e43845) 21390 0 R (d114e44131) 21393 0 R (d114e44399) 21396 0 R (d114e44640) 21399 0 R (d114e44949) 21402 0 R (d114e45270) 21405 0 R (d114e45592) 21408 0 R (d114e45827) 21411 0 R (d114e46265) 21414 0 R (d114e46506) 21417 0 R (d114e46726) 21420 0 R (d114e47028) 21423 0 R (d114e47288) 21426 0 R] >> endobj 24219 0 obj << /Limits [(d114e47502) (d114e62958)] /Names [(d114e47502) 21429 0 R (d114e47778) 21432 0 R (d114e48101) 21435 0 R (d114e48479) 21438 0 R (d114e48655) 21441 0 R (d114e48824) 21444 0 R (d114e49119) 21448 0 R (d114e49600) 21451 0 R (d114e50008) 21454 0 R (d114e50332) 21457 0 R (d114e50697) 21460 0 R (d114e51059) 21463 0 R (d114e51270) 21470 0 R (d114e51755) 21473 0 R (d114e51965) 21476 0 R (d114e52282) 21484 0 R (d114e52874) 21487 0 R (d114e53102) 21490 0 R (d114e53318) 21493 0 R (d114e53534) 21496 0 R (d114e53741) 21499 0 R (d114e54011) 21502 0 R (d114e54284) 21505 0 R (d114e54490) 21508 0 R (d114e54731) 21511 0 R (d114e54984) 21514 0 R (d114e55248) 21517 0 R (d114e55529) 21520 0 R (d114e55758) 21523 0 R (d114e56003) 21526 0 R (d114e56266) 21529 0 R (d114e56534) 21532 0 R (d114e56799) 21535 0 R (d114e57020) 21538 0 R (d114e57226) 21541 0 R (d114e57482) 21544 0 R (d114e57696) 21547 0 R (d114e57878) 21550 0 R (d114e58055) 21553 0 R (d114e58228) 21556 0 R (d114e58343) 21559 0 R (d114e58541) 21562 0 R (d114e58815) 21565 0 R (d114e59042) 21568 0 R (d114e59216) 21571 0 R (d114e59400) 21574 0 R (d114e59588) 21577 0 R (d114e59776) 21580 0 R (d114e59972) 21583 0 R (d114e60271) 21586 0 R (d114e60468) 21589 0 R (d114e60678) 21592 0 R (d114e60886) 21595 0 R (d114e61087) 21598 0 R (d114e61280) 21601 0 R (d114e61461) 21604 0 R (d114e61653) 21607 0 R (d114e61893) 21610 0 R (d114e62066) 21613 0 R (d114e62268) 21616 0 R (d114e62462) 21619 0 R (d114e62582) 21622 0 R (d114e62773) 21625 0 R (d114e62958) 21629 0 R] >> endobj 24220 0 obj << /Limits [(d114e63168) (d114e81311)] /Names [(d114e63168) 21632 0 R (d114e63370) 21636 0 R (d114e63555) 21639 0 R (d114e63720) 21642 0 R (d114e63919) 21645 0 R (d114e64217) 21648 0 R (d114e64388) 21651 0 R (d114e64637) 21654 0 R (d114e64840) 21657 0 R (d114e65080) 21660 0 R (d114e65325) 21663 0 R (d114e65563) 21666 0 R (d114e65797) 21669 0 R (d114e65999) 21672 0 R (d114e66333) 21675 0 R (d114e66617) 21678 0 R (d114e66836) 21681 0 R (d114e67090) 21684 0 R (d114e67309) 21687 0 R (d114e67555) 21690 0 R (d114e67785) 21693 0 R (d114e67949) 21696 0 R (d114e68178) 21699 0 R (d114e68606) 21703 0 R (d114e69389) 21709 0 R (d114e69754) 21719 0 R (d114e70040) 21722 0 R (d114e70341) 21725 0 R (d114e70687) 21728 0 R (d114e71053) 21731 0 R (d114e71289) 21734 0 R (d114e71463) 21737 0 R (d114e71627) 21740 0 R (d114e71876) 21743 0 R (d114e72076) 21746 0 R (d114e72231) 21749 0 R (d114e72546) 21752 0 R (d114e72759) 21755 0 R (d114e72914) 21758 0 R (d114e73069) 21761 0 R (d114e73431) 21764 0 R (d114e73656) 21770 0 R (d114e74140) 21773 0 R (d114e74513) 21776 0 R (d114e74893) 21779 0 R (d114e75194) 21782 0 R (d114e75589) 21785 0 R (d114e75982) 21788 0 R (d114e76360) 21791 0 R (d114e76755) 21794 0 R (d114e77144) 21797 0 R (d114e77512) 21800 0 R (d114e77719) 21803 0 R (d114e78080) 21806 0 R (d114e78457) 21809 0 R (d114e78832) 21812 0 R (d114e79202) 21815 0 R (d114e79561) 21818 0 R (d114e79916) 21821 0 R (d114e80280) 21824 0 R (d114e80631) 21827 0 R (d114e8065) 20969 0 R (d114e80974) 21830 0 R (d114e81311) 21833 0 R] >> endobj 24221 0 obj << /Limits [(d114e81648) (d114e9918)] /Names [(d114e81648) 21836 0 R (d114e82006) 21839 0 R (d114e82390) 21842 0 R (d114e82787) 21845 0 R (d114e8294) 20973 0 R (d114e8315) 20975 0 R (d114e83174) 21848 0 R (d114e83559) 21851 0 R (d114e83915) 21855 0 R (d114e8413) 20977 0 R (d114e8437) 20979 0 R (d114e84436) 21858 0 R (d114e8472) 20981 0 R (d114e84822) 21861 0 R (d114e8494) 20983 0 R (d114e85179) 21864 0 R (d114e8529) 20985 0 R (d114e85596) 21867 0 R (d114e85913) 21871 0 R (d114e8609) 20987 0 R (d114e86220) 21879 0 R (d114e86410) 21883 0 R (d114e86788) 21887 0 R (d114e8704) 20989 0 R (d114e87155) 21891 0 R (d114e87452) 21894 0 R (d114e87836) 21897 0 R (d114e8784) 20991 0 R (d114e88183) 21900 0 R (d114e8825) 20993 0 R (d114e88572) 21903 0 R (d114e88858) 21906 0 R (d114e89361) 21909 0 R (d114e89694) 21912 0 R (d114e90056) 21916 0 R (d114e90335) 21920 0 R (d114e90577) 21924 0 R (d114e90745) 21927 0 R (d114e9076) 20995 0 R (d114e91015) 21930 0 R (d114e91383) 21934 0 R (d114e9159) 20997 0 R (d114e91751) 21938 0 R (d114e92089) 21941 0 R (d114e92443) 21947 0 R (d114e92804) 21950 0 R (d114e9282) 20999 0 R (d114e93187) 21956 0 R (d114e93575) 21960 0 R (d114e94061) 21964 0 R (d114e94448) 21967 0 R (d114e94781) 21974 0 R (d114e95179) 21978 0 R (d114e95492) 21981 0 R (d114e95855) 21985 0 R (d114e96250) 21989 0 R (d114e96648) 21993 0 R (d114e97059) 21997 0 R (d114e97450) 22000 0 R (d114e97844) 22003 0 R (d114e98232) 22006 0 R (d114e98612) 22009 0 R (d114e99008) 22012 0 R (d114e9918) 21001 0 R] >> endobj 24222 0 obj << /Limits [(d114e99419) (unique_142)] /Names [(d114e99419) 22015 0 R (d114e99800) 22018 0 R (d8e142) 20909 0 R (d8e240) 20965 0 R (d8e77) 20890 0 R (unique_1) 20886 0 R (unique_10) 21206 0 R (unique_100) 23326 0 R (unique_101) 21247 0 R (unique_101_Connect_42_section_cwr_tzy_4hb) 21250 0 R (unique_101_Connect_42_ul_dwr_tzy_4hb) 21251 0 R (unique_102) 21091 0 R (unique_103) 21427 0 R (unique_104) 21747 0 R (unique_105) 23438 0 R (unique_106) 21154 0 R (unique_107) 21269 0 R (unique_107_Connect_42_section_h1z_2jm_wbb) 21272 0 R (unique_108) 21273 0 R (unique_109) 21348 0 R (unique_11) 21439 0 R (unique_110) 21351 0 R (unique_111) 21572 0 R (unique_112) 21575 0 R (unique_113) 21664 0 R (unique_114) 21881 0 R (unique_114_Connect_42_note_ofobjects) 21884 0 R (unique_115) 21885 0 R (unique_115_Connect_42_note_ofobjects) 21888 0 R (unique_116) 22233 0 R (unique_117) 22350 0 R (unique_117_Connect_42_ol_s2q_grw_z1b) 22353 0 R (unique_118) 22736 0 R (unique_119) 23389 0 R (unique_12) 21732 0 R (unique_120) 21801 0 R (unique_121) 21859 0 R (unique_122) 22040 0 R (unique_123) 22043 0 R (unique_124) 22086 0 R (unique_125) 22114 0 R (unique_126) 22117 0 R (unique_126_Connect_42_note_ofobjects) 22120 0 R (unique_127) 22121 0 R (unique_127_Connect_42_note_ofobjects) 22124 0 R (unique_128) 22172 0 R (unique_129) 22175 0 R (unique_129_Connect_42_note_ofobjects) 22178 0 R (unique_13) 22284 0 R (unique_130) 22179 0 R (unique_131) 22182 0 R (unique_132) 22185 0 R (unique_133) 22194 0 R (unique_134) 22216 0 R (unique_135) 21071 0 R (unique_136) 21354 0 R (unique_137) 21357 0 R (unique_138) 21360 0 R (unique_139) 21578 0 R (unique_13_Connect_42_ul_drc_flc_xmb) 22287 0 R (unique_14) 22288 0 R (unique_140) 21581 0 R (unique_141) 21892 0 R (unique_142) 21895 0 R] >> endobj 24223 0 obj << /Limits [(unique_143) (unique_190)] /Names [(unique_143) 21898 0 R (unique_144) 22603 0 R (unique_145) 22746 0 R (unique_146) 22903 0 R (unique_147) 22906 0 R (unique_148) 21627 0 R (unique_149) 22148 0 R (unique_149_Connect_42_section_jf1_w1r_4hb) 22151 0 R (unique_149_Connect_42_ul_kf1_w1r_4hb) 22152 0 R (unique_15) 22322 0 R (unique_150) 22488 0 R (unique_150_Connect_42_section_q14_v1r_4hb) 22491 0 R (unique_150_Connect_42_ul_r14_v1r_4hb) 22492 0 R (unique_151) 21163 0 R (unique_152) 21436 0 R (unique_153) 21560 0 R (unique_154) 21679 0 R (unique_155) 21735 0 R (unique_156) 21741 0 R (unique_157) 21744 0 R (unique_158) 21753 0 R (unique_159) 21756 0 R (unique_16) 22399 0 R (unique_160) 22263 0 R (unique_161) 22378 0 R (unique_162) 22439 0 R (unique_163) 22442 0 R (unique_164) 22445 0 R (unique_165) 22457 0 R (unique_166) 22464 0 R (unique_167) 22467 0 R (unique_168) 22479 0 R (unique_169) 22485 0 R (unique_17) 22427 0 R (unique_170) 22493 0 R (unique_171) 22496 0 R (unique_172) 22499 0 R (unique_173) 22502 0 R (unique_174) 22505 0 R (unique_175) 22508 0 R (unique_176) 22573 0 R (unique_177) 23362 0 R (unique_178) 23365 0 R (unique_179) 23371 0 R (unique_18) 22451 0 R (unique_180) 23374 0 R (unique_181) 23377 0 R (unique_182) 23380 0 R (unique_183) 23386 0 R (unique_184) 23392 0 R (unique_185) 23395 0 R (unique_186) 23401 0 R (unique_186_Connect_42_codeblock_gpb_x1v_frb) 23405 0 R (unique_186_Connect_42_section_w32_vtc_ccb) 23404 0 R (unique_186_Connect_42_section_zch_ll1_nfb) 23406 0 R (unique_186_Connect_42_ul_adh_ll1_nfb) 23407 0 R (unique_187) 23408 0 R (unique_187_Connect_42_section_w32_vtc_ccb) 23411 0 R (unique_187_Connect_42_section_zch_ll1_nfb) 23412 0 R (unique_187_Connect_42_ul_adh_ll1_nfb) 23413 0 R (unique_188) 23423 0 R (unique_189) 23426 0 R (unique_19) 22460 0 R (unique_190) 23435 0 R] >> endobj 24224 0 obj << /Limits [(unique_191) (unique_231_Connect_42_section_tkf_gdq_lhb)] /Names [(unique_191) 23449 0 R (unique_192) 23452 0 R (unique_193) 23455 0 R (unique_194) 23458 0 R (unique_195) 21065 0 R (unique_196) 21424 0 R (unique_197) 21614 0 R (unique_198) 21617 0 R (unique_199) 21637 0 R (unique_19_Connect_42_ul_il1_kh2_y3b) 22463 0 R (unique_1_Connect_42_section_q4b_2zh_jbb) 20888 0 R (unique_1_Connect_42_table_sld_cf5_wcb) 20889 0 R (unique_2) 21474 0 R (unique_20) 22739 0 R (unique_200) 22107 0 R (unique_200_Connect_42_ul_kgh_chz_kfb) 22110 0 R (unique_201) 22129 0 R (unique_202) 22424 0 R (unique_203) 22594 0 R (unique_204) 22612 0 R (unique_205) 22973 0 R (unique_206) 23219 0 R (unique_207) 23255 0 R (unique_208) 21369 0 R (unique_209) 21372 0 R (unique_209_Connect_42_ul_a2r_cyd_y3b) 21375 0 R (unique_20_Connect_42_ul_qt4_wqw_xy) 22742 0 R (unique_21) 22833 0 R (unique_210) 21682 0 R (unique_211) 21914 0 R (unique_211_Connect_42_ul_bzh_cyd_y3b) 21917 0 R (unique_212) 21918 0 R (unique_212_Connect_42_ul_dpx_byd_y3b) 21921 0 R (unique_213) 21925 0 R (unique_214) 22067 0 R (unique_215) 22166 0 R (unique_216) 22230 0 R (unique_217) 22347 0 R (unique_218) 22517 0 R (unique_219) 22615 0 R (unique_219_Connect_42_ul_vql_byd_y3b) 22618 0 R (unique_21_Connect_42_ul_fmk_cfx_cdb) 22836 0 R (unique_21_Connect_42_ul_w1d_rm3_wqb) 22837 0 R (unique_22) 22838 0 R (unique_220) 22619 0 R (unique_220_Connect_42_ul_qbz_cyd_y3b) 22622 0 R (unique_221) 23046 0 R (unique_222) 23175 0 R (unique_223) 23178 0 R (unique_224) 23184 0 R (unique_225) 23190 0 R (unique_226) 23199 0 R (unique_227) 23243 0 R (unique_228) 23249 0 R (unique_229) 23252 0 R (unique_22_Connect_42_ol_kcq_fmh_gnb) 22843 0 R (unique_22_Connect_42_ol_l3j_rxh_phb) 22841 0 R (unique_22_Connect_42_ul_ldg_5j3_gnb) 22842 0 R (unique_22_Connect_42_ul_qb5_gkh_gnb) 22845 0 R (unique_22_Connect_42_ul_w1d_rm3_wqb) 22844 0 R (unique_23) 23187 0 R (unique_230) 23261 0 R (unique_231) 21083 0 R (unique_231_Connect_42_section_tkf_gdq_lhb) 21086 0 R] >> endobj 24225 0 obj << /Limits [(unique_231_Connect_42_ul_ukf_gdq_lhb) (unique_277)] /Names [(unique_231_Connect_42_ul_ukf_gdq_lhb) 21087 0 R (unique_232) 21088 0 R (unique_233) 21166 0 R (unique_234) 21191 0 R (unique_235) 21194 0 R (unique_236) 21212 0 R (unique_236_Connect_42_section_dkp_2gr_lhb) 21215 0 R (unique_236_Connect_42_section_tkf_gdq_lhb) 21216 0 R (unique_236_Connect_42_ul_ukf_gdq_lhb) 21217 0 R (unique_237) 21218 0 R (unique_238) 21221 0 R (unique_239) 21224 0 R (unique_24) 23216 0 R (unique_240) 21227 0 R (unique_241) 21244 0 R (unique_242) 21276 0 R (unique_243) 21376 0 R (unique_244) 21379 0 R (unique_245) 21382 0 R (unique_246) 21388 0 R (unique_247) 21391 0 R (unique_248) 21394 0 R (unique_249) 21397 0 R (unique_25) 23222 0 R (unique_250) 21400 0 R (unique_251) 21403 0 R (unique_252) 21512 0 R (unique_253) 21515 0 R (unique_254) 21518 0 R (unique_255) 21521 0 R (unique_256) 21524 0 R (unique_257) 21527 0 R (unique_258) 21587 0 R (unique_259) 21590 0 R (unique_26) 23368 0 R (unique_260) 21593 0 R (unique_261) 21596 0 R (unique_262) 21599 0 R (unique_263) 21655 0 R (unique_264) 21667 0 R (unique_265) 21673 0 R (unique_266) 21676 0 R (unique_267) 21688 0 R (unique_268) 21856 0 R (unique_269) 21928 0 R (unique_269_Connect_42_note_ofobjects) 21931 0 R (unique_27) 23383 0 R (unique_270) 21932 0 R (unique_270_Connect_42_note_ofobjects) 21935 0 R (unique_271) 21936 0 R (unique_272) 21939 0 R (unique_272_Connect_42_section_i3w_ybq_lhb) 21942 0 R (unique_272_Connect_42_section_tkf_gdq_lhb) 21943 0 R (unique_272_Connect_42_ul_ukf_gdq_lhb) 21944 0 R (unique_273) 21945 0 R (unique_274) 21948 0 R (unique_274_Connect_42_section_i3w_ybq_lhb) 21951 0 R (unique_274_Connect_42_section_tkf_gdq_lhb) 21952 0 R (unique_274_Connect_42_ul_ukf_gdq_lhb) 21953 0 R (unique_275) 21954 0 R (unique_275_Connect_42_note_ofobjects) 21957 0 R (unique_276) 21958 0 R (unique_276_Connect_42_note_ofobjects) 21961 0 R (unique_277) 21962 0 R] >> endobj 24226 0 obj << /Limits [(unique_278) (unique_308_Connect_42_section_tkf_gdq_lhb)] /Names [(unique_278) 21965 0 R (unique_278_Connect_42_arguments) 21969 0 R (unique_278_Connect_42_description) 21968 0 R (unique_278_Connect_42_examples) 21970 0 R (unique_278_Connect_42_see-also) 21971 0 R (unique_279) 21972 0 R (unique_279_Connect_42_note_ofobjects) 21975 0 R (unique_28) 21291 0 R (unique_280) 21976 0 R (unique_281) 21979 0 R (unique_281_Connect_42_note_ofobjects_1) 21982 0 R (unique_282) 21983 0 R (unique_282_Connect_42_note_ofobjects_1) 21986 0 R (unique_283) 21987 0 R (unique_283_Connect_42_note_ofobjects) 21990 0 R (unique_284) 21991 0 R (unique_284_Connect_42_note_ofobjects) 21994 0 R (unique_285) 21995 0 R (unique_286) 21998 0 R (unique_287) 22001 0 R (unique_288) 22004 0 R (unique_289) 22007 0 R (unique_29) 21461 0 R (unique_290) 22010 0 R (unique_291) 22013 0 R (unique_292) 22016 0 R (unique_292_Connect_42_section_eqr_cjt_v4b) 22021 0 R (unique_292_Connect_42_section_h33_rft_v4b) 22019 0 R (unique_292_Connect_42_section_mxx_yft_v4b) 22020 0 R (unique_292_Connect_42_section_ry3_wmw_w4b) 22022 0 R (unique_292_Connect_42_ul_hgr_wmw_w4b) 22023 0 R (unique_293) 22024 0 R (unique_294) 22027 0 R (unique_295) 22030 0 R (unique_296) 22033 0 R (unique_296_Connect_42_note_ofobjects) 22036 0 R (unique_297) 22297 0 R (unique_298) 22375 0 R (unique_299) 22381 0 R (unique_29_Connect_42_section_gpq_sfg_tpb) 21467 0 R (unique_29_Connect_42_section_tdk_cfg_tpb) 21465 0 R (unique_29_Connect_42_section_x1n_4fg_tpb) 21466 0 R (unique_29_Connect_42_section_xpy_y2g_tpb) 21464 0 R (unique_2_Connect_42_section_aqv_q21_pqb) 21478 0 R (unique_2_Connect_42_section_nqj_rf1_pqb) 21480 0 R (unique_2_Connect_42_section_q31_kf1_pqb) 21479 0 R (unique_2_Connect_42_section_v3b_k21_pqb) 21477 0 R (unique_2_Connect_42_ul_xc3_sf1_pqb) 21481 0 R (unique_3) 21707 0 R (unique_30) 21869 0 R (unique_300) 22414 0 R (unique_300_Connect_42_section_c3y_gbr_lhb) 22417 0 R (unique_300_Connect_42_section_d3y_gbr_lhb) 22418 0 R (unique_300_Connect_42_section_tkf_gdq_lhb) 22419 0 R (unique_300_Connect_42_ul_ukf_gdq_lhb) 22420 0 R (unique_301) 22448 0 R (unique_302) 22470 0 R (unique_303) 22473 0 R (unique_304) 22476 0 R (unique_305) 22511 0 R (unique_306) 22514 0 R (unique_307) 22523 0 R (unique_308) 22526 0 R (unique_308_Connect_42_section_tkf_gdq_lhb) 22531 0 R] >> endobj 24227 0 obj << /Limits [(unique_308_Connect_42_section_ttg_xgr_lhb) (unique_333_Connect_42_description)] /Names [(unique_308_Connect_42_section_ttg_xgr_lhb) 22530 0 R (unique_308_Connect_42_section_z5g_xgr_lhb) 22529 0 R (unique_308_Connect_42_ul_ukf_gdq_lhb) 22532 0 R (unique_309) 22533 0 R (unique_30_Connect_42_section_ekd_ntr_lpb) 21875 0 R (unique_30_Connect_42_section_idk_xsr_lpb) 21873 0 R (unique_30_Connect_42_section_qdv_b1t_mpb) 21872 0 R (unique_30_Connect_42_section_xtx_jtr_lpb) 21874 0 R (unique_30_Connect_42_ul_sg4_ntr_lpb) 21876 0 R (unique_31) 22722 0 R (unique_310) 22536 0 R (unique_310_Connect_42_section_tkf_gdq_lhb) 22541 0 R (unique_310_Connect_42_section_ttg_xgr_lhb) 22540 0 R (unique_310_Connect_42_section_z5g_xgr_lhb) 22539 0 R (unique_310_Connect_42_ul_ukf_gdq_lhb) 22542 0 R (unique_311) 22543 0 R (unique_312) 22546 0 R (unique_312_Connect_42_arguments) 22550 0 R (unique_312_Connect_42_description) 22549 0 R (unique_312_Connect_42_examples) 22551 0 R (unique_312_Connect_42_see-also) 22552 0 R (unique_313) 22553 0 R (unique_314) 22556 0 R (unique_315) 22559 0 R (unique_315_Connect_42_section_ry3_wmw_w4b) 22562 0 R (unique_315_Connect_42_ul_hgr_wmw_w4b) 22563 0 R (unique_316) 22564 0 R (unique_317) 22567 0 R (unique_318) 22570 0 R (unique_319) 22623 0 R (unique_319_Connect_42_section_om3_gdr_lhb) 22626 0 R (unique_319_Connect_42_section_pm3_gdr_lhb) 22627 0 R (unique_319_Connect_42_section_qm3_gdr_lhb) 22628 0 R (unique_319_Connect_42_section_tkf_gdq_lhb) 22629 0 R (unique_319_Connect_42_ul_ukf_gdq_lhb) 22630 0 R (unique_31_Connect_42_section_ayv_sfx_3pb) 22727 0 R (unique_31_Connect_42_section_eks_tfx_3pb) 22728 0 R (unique_31_Connect_42_section_m4y_pfx_3pb) 22725 0 R (unique_31_Connect_42_section_szm_w4w_3pb) 22726 0 R (unique_31_Connect_42_ul_hlz_tfx_3pb) 22729 0 R (unique_32) 22865 0 R (unique_320) 22631 0 R (unique_321) 22634 0 R (unique_322) 22637 0 R (unique_323) 22640 0 R (unique_324) 22643 0 R (unique_325) 22764 0 R (unique_326) 22767 0 R (unique_326_Connect_42_ul_ukf_gdq_lhb) 22770 0 R (unique_327) 22771 0 R (unique_328) 22774 0 R (unique_328_Connect_42_arguments) 22778 0 R (unique_328_Connect_42_description) 22777 0 R (unique_328_Connect_42_examples) 22779 0 R (unique_328_Connect_42_see-also) 22780 0 R (unique_329) 22781 0 R (unique_329_Connect_42_ul_hgr_wmw_w4b) 22784 0 R (unique_33) 23314 0 R (unique_330) 22785 0 R (unique_331) 22909 0 R (unique_332) 22912 0 R (unique_333) 22915 0 R (unique_333_Connect_42_arguments) 22919 0 R (unique_333_Connect_42_description) 22918 0 R] >> endobj 24228 0 obj << /Limits [(unique_333_Connect_42_examples) (unique_369)] /Names [(unique_333_Connect_42_examples) 22920 0 R (unique_333_Connect_42_see-also) 22921 0 R (unique_334) 22922 0 R (unique_335) 22925 0 R (unique_336) 22985 0 R (unique_336_Connect_42_section_c3y_gbr_lhb) 22989 0 R (unique_336_Connect_42_section_d3y_gbr_lhb) 22990 0 R (unique_336_Connect_42_section_hxd_hcr_lhb) 22988 0 R (unique_336_Connect_42_section_tkf_gdq_lhb) 22991 0 R (unique_336_Connect_42_ul_ukf_gdq_lhb) 22992 0 R (unique_337) 22999 0 R (unique_338) 23002 0 R (unique_338_Connect_42_section_tkf_gdq_lhb) 23005 0 R (unique_338_Connect_42_ul_ukf_gdq_lhb) 23006 0 R (unique_339) 23007 0 R (unique_34) 23468 0 R (unique_340) 23010 0 R (unique_341) 23013 0 R (unique_342) 23016 0 R (unique_343) 23019 0 R (unique_344) 23040 0 R (unique_345) 23043 0 R (unique_346) 23088 0 R (unique_347) 23202 0 R (unique_347_Connect_42_section_c3y_gbr_lhb) 23206 0 R (unique_347_Connect_42_section_cff_dfr_lhb) 23205 0 R (unique_347_Connect_42_section_d3y_gbr_lhb) 23207 0 R (unique_347_Connect_42_section_tkf_gdq_lhb) 23208 0 R (unique_347_Connect_42_ul_ukf_gdq_lhb) 23209 0 R (unique_348) 23210 0 R (unique_349) 23213 0 R (unique_34_Connect_42_section_hg2_qw5_drb) 23476 0 R (unique_34_Connect_42_section_l5z_gv5_drb) 23471 0 R (unique_34_Connect_42_section_ojt_jm5_drb) 23475 0 R (unique_34_Connect_42_section_qlp_qm5_drb) 23477 0 R (unique_34_Connect_42_section_zby_lw5_drb) 23474 0 R (unique_34_Connect_42_ul_bnq_jp5_drb) 23473 0 R (unique_34_Connect_42_ul_rlp_qm5_drb) 23478 0 R (unique_34_Connect_42_ul_yrz_zn5_drb) 23472 0 R (unique_35) 21233 0 R (unique_350) 23284 0 R (unique_351) 23287 0 R (unique_352) 23317 0 R (unique_353) 23335 0 R (unique_353_Connect_42_ul_ynf_b2s_1x) 23338 0 R (unique_354) 23342 0 R (unique_355) 23345 0 R (unique_356) 23348 0 R (unique_357) 23398 0 R (unique_358) 23414 0 R (unique_359) 23417 0 R (unique_35_Connect_42_codeblock_a4h_pb4_frb) 21236 0 R (unique_35_Connect_42_ul_wwb_lb4_frb) 21237 0 R (unique_36) 21252 0 R (unique_360) 23420 0 R (unique_361) 21230 0 R (unique_362) 21282 0 R (unique_363) 21294 0 R (unique_364) 21409 0 R (unique_365) 21412 0 R (unique_366) 21605 0 R (unique_367) 21717 0 R (unique_368) 21759 0 R (unique_369) 22046 0 R] >> endobj 24229 0 obj << /Limits [(unique_36_Connect_42_ul_n1w_4sz_y1b) (unique_415)] /Names [(unique_36_Connect_42_ul_n1w_4sz_y1b) 21256 0 R (unique_36_Connect_42_ul_nzn_jsc_g4b) 21255 0 R (unique_37) 21339 0 R (unique_370) 22049 0 R (unique_371) 22052 0 R (unique_372) 22248 0 R (unique_373) 22372 0 R (unique_374) 22794 0 R (unique_374_Connect_42_ul_yvr_v3v_f4b) 22797 0 R (unique_375) 22964 0 R (unique_376) 23225 0 R (unique_377) 23290 0 R (unique_378) 23296 0 R (unique_379) 23311 0 R (unique_37_Connect_42_ul_hdj_51m_hlb) 21342 0 R (unique_37_Connect_42_ul_mfm_tnl_hlb) 21343 0 R (unique_38) 21385 0 R (unique_380) 23332 0 R (unique_381) 23429 0 R (unique_382) 21148 0 R (unique_383) 21160 0 R (unique_384) 21185 0 R (unique_385) 21263 0 R (unique_386) 21266 0 R (unique_387) 21288 0 R (unique_388) 21300 0 R (unique_389) 21303 0 R (unique_39) 21468 0 R (unique_390) 21306 0 R (unique_391) 21309 0 R (unique_392) 21312 0 R (unique_393) 21315 0 R (unique_394) 21318 0 R (unique_395) 21321 0 R (unique_396) 21324 0 R (unique_397) 21327 0 R (unique_398) 21330 0 R (unique_399) 21491 0 R (unique_3_Connect_42_section_m3z_3m5_drb) 21710 0 R (unique_3_Connect_42_section_ojt_jm5_drb) 21713 0 R (unique_3_Connect_42_section_qlp_qm5_drb) 21715 0 R (unique_3_Connect_42_section_srz_km5_drb) 21714 0 R (unique_3_Connect_42_ul_bnq_jp5_drb) 21712 0 R (unique_3_Connect_42_ul_rlp_qm5_drb) 21716 0 R (unique_3_Connect_42_ul_yrz_zn5_drb) 21711 0 R (unique_4) 21762 0 R (unique_40) 21482 0 R (unique_400) 21494 0 R (unique_401) 21563 0 R (unique_402) 21658 0 R (unique_403) 21661 0 R (unique_404) 21685 0 R (unique_405) 21691 0 R (unique_406) 21723 0 R (unique_407) 21768 0 R (unique_408) 21771 0 R (unique_409) 21774 0 R (unique_41) 21701 0 R (unique_410) 21777 0 R (unique_411) 21780 0 R (unique_412) 21783 0 R (unique_413) 21786 0 R (unique_414) 21789 0 R (unique_415) 21792 0 R] >> endobj 24230 0 obj << /Limits [(unique_416) (unique_458_Connect_42_ul_ojx_sk1_hx)] /Names [(unique_416) 21795 0 R (unique_417) 21798 0 R (unique_418) 21901 0 R (unique_419) 22191 0 R (unique_41_Connect_42_ol_lsv_cqb_pqb) 21706 0 R (unique_41_Connect_42_ul_m3w_3dm_jpb) 21704 0 R (unique_41_Connect_42_ul_zzq_tlb_pqb) 21705 0 R (unique_42) 21726 0 R (unique_420) 22219 0 R (unique_421) 22260 0 R (unique_422) 22266 0 R (unique_423) 22269 0 R (unique_424) 22331 0 R (unique_425) 22334 0 R (unique_425_Connect_42_section_bdz_21y_x3b) 22337 0 R (unique_425_Connect_42_section_cdz_21y_x3b) 22338 0 R (unique_425_Connect_42_section_ddz_21y_x3b) 22339 0 R (unique_425_Connect_42_ul_edz_21y_x3b) 22340 0 R (unique_426) 22354 0 R (unique_427) 22366 0 R (unique_428) 22576 0 R (unique_429) 22676 0 R (unique_43) 21804 0 R (unique_430) 22679 0 R (unique_430_Connect_42_section_msy_clq_jfb) 22682 0 R (unique_430_Connect_42_section_nsy_clq_jfb) 22683 0 R (unique_431) 23022 0 R (unique_432) 23246 0 R (unique_433) 23264 0 R (unique_433_Connect_42_section_bf2_zv2_fqb) 23269 0 R (unique_433_Connect_42_section_lw5_sw2_fqb) 23270 0 R (unique_433_Connect_42_section_rml_xnd_fqb) 23268 0 R (unique_433_Connect_42_ul_cyg_jgb_pqb) 23267 0 R (unique_433_Connect_42_ul_mw5_sw2_fqb) 23271 0 R (unique_434) 23308 0 R (unique_435) 22070 0 R (unique_436) 22073 0 R (unique_436_Connect_42_ul_kdt_rb4_zw) 22076 0 R (unique_437) 22928 0 R (unique_438) 22931 0 R (unique_439) 21279 0 R (unique_44) 22236 0 R (unique_440) 21333 0 R (unique_441) 21418 0 R (unique_442) 21430 0 R (unique_443) 21670 0 R (unique_444) 22080 0 R (unique_445) 22591 0 R (unique_446) 22646 0 R (unique_447) 22649 0 R (unique_448) 22658 0 R (unique_449) 22661 0 R (unique_45) 22239 0 R (unique_450) 22664 0 R (unique_451) 22667 0 R (unique_452) 22670 0 R (unique_453) 22970 0 R (unique_454) 22976 0 R (unique_455) 23240 0 R (unique_456) 21172 0 R (unique_456_Connect_42_section_h1z_2jm_wbb) 21175 0 R (unique_457) 21421 0 R (unique_458) 21442 0 R (unique_458_Connect_42_ul_ojx_sk1_hx) 21445 0 R] >> endobj 24231 0 obj << /Limits [(unique_459) (unique_503)] /Names [(unique_459) 21452 0 R (unique_45_Connect_42_section_hcq_t2t_v4b) 22244 0 R (unique_45_Connect_42_section_kpg_lsc_x4b) 22242 0 R (unique_45_Connect_42_section_sx3_fct_v4b) 22243 0 R (unique_46) 22272 0 R (unique_460) 21455 0 R (unique_461) 21533 0 R (unique_462) 21634 0 R (unique_463) 21649 0 R (unique_464) 21720 0 R (unique_465) 21750 0 R (unique_466) 21853 0 R (unique_467) 21862 0 R (unique_468) 21877 0 R (unique_468_Connect_42_ul_xhn_5xj_mfb) 21880 0 R (unique_469) 21889 0 R (unique_47) 22482 0 R (unique_470) 21904 0 R (unique_471) 21907 0 R (unique_472) 21910 0 R (unique_472_Connect_42_note_ofobjects) 21913 0 R (unique_473) 22037 0 R (unique_474) 22055 0 R (unique_475) 22058 0 R (unique_476) 22061 0 R (unique_477) 22064 0 R (unique_478) 22083 0 R (unique_479) 22092 0 R (unique_48) 22696 0 R (unique_480) 22098 0 R (unique_481) 22101 0 R (unique_482) 22104 0 R (unique_483) 22111 0 R (unique_484) 22125 0 R (unique_484_Connect_42_note_ofobjects) 22128 0 R (unique_485) 22135 0 R (unique_485_Connect_42_ul_bbx_yl1_hx) 22138 0 R (unique_486) 22139 0 R (unique_487) 22142 0 R (unique_488) 22145 0 R (unique_489) 22153 0 R (unique_489_Connect_42_ul_my2_hmb_hx) 22156 0 R (unique_49) 22755 0 R (unique_490) 22157 0 R (unique_491) 22160 0 R (unique_492) 22197 0 R (unique_492_Connect_42_note_ofobjects) 22200 0 R (unique_493) 22201 0 R (unique_494) 22207 0 R (unique_495) 22303 0 R (unique_496) 22306 0 R (unique_497) 22827 0 R (unique_498) 22900 0 R (unique_499) 22949 0 R (unique_4_Connect_42_section_ntg_rzz_4qb) 21765 0 R (unique_4_Connect_42_section_ul5_yzz_4qb) 21766 0 R (unique_4_Connect_42_ul_ulk_c11_pqb) 21767 0 R (unique_5) 23228 0 R (unique_50) 22798 0 R (unique_500) 23150 0 R (unique_501) 23461 0 R (unique_501_Connect_42_section_dzk_hgz_x1b) 23464 0 R (unique_502) 21611 0 R (unique_503) 21623 0 R] >> endobj 24232 0 obj << /Limits [(unique_503_Connect_42_ul_pfc_sl1_hx) (unique_555)] /Names [(unique_503_Connect_42_ul_pfc_sl1_hx) 21626 0 R (unique_504) 21630 0 R (unique_504_Connect_42_ul_whs_bmb_hx) 21633 0 R (unique_505) 23171 0 R (unique_505_Connect_42_ul_ojx_sk1_hx) 23174 0 R (unique_506) 21406 0 R (unique_507) 21433 0 R (unique_508) 21602 0 R (unique_509) 22341 0 R (unique_51) 23025 0 R (unique_510) 22430 0 R (unique_511) 22652 0 R (unique_512) 22979 0 R (unique_513) 23135 0 R (unique_514) 23181 0 R (unique_515) 23329 0 R (unique_516) 21620 0 R (unique_517) 22436 0 R (unique_518) 22816 0 R (unique_519) 22819 0 R (unique_52) 23320 0 R (unique_520) 22940 0 R (unique_521) 22961 0 R (unique_522) 23127 0 R (unique_523) 23144 0 R (unique_524) 23156 0 R (unique_525) 21074 0 R (unique_526) 21157 0 R (unique_527) 21176 0 R (unique_528) 21188 0 R (unique_529) 21197 0 R (unique_53) 23444 0 R (unique_530) 21344 0 R (unique_530_Connect_42_ul_xg4_hnj_mfb) 21347 0 R (unique_531) 21363 0 R (unique_532) 21446 0 R (unique_533) 21458 0 R (unique_534) 21488 0 R (unique_535) 21506 0 R (unique_536) 21536 0 R (unique_537) 21539 0 R (unique_538) 21569 0 R (unique_539) 21584 0 R (unique_53_Connect_42_section_gtc_v1r_4hb) 23447 0 R (unique_53_Connect_42_ul_htc_v1r_4hb) 23448 0 R (unique_54) 23465 0 R (unique_540) 21640 0 R (unique_541) 21729 0 R (unique_542) 22227 0 R (unique_543) 22245 0 R (unique_544) 22251 0 R (unique_545) 22254 0 R (unique_546) 22257 0 R (unique_547) 22281 0 R (unique_548) 22309 0 R (unique_549) 22315 0 R (unique_549_Connect_42_section_d54_vnb_lhb) 22318 0 R (unique_55) 23479 0 R (unique_550) 22344 0 R (unique_551) 22357 0 R (unique_552) 22360 0 R (unique_553) 22369 0 R (unique_554) 22384 0 R (unique_555) 22387 0 R] >> endobj 24233 0 obj << /Limits [(unique_556) (unique_608)] /Names [(unique_556) 22393 0 R (unique_557) 22520 0 R (unique_558) 22582 0 R (unique_559) 22606 0 R (unique_56) 20892 0 R (unique_560) 22673 0 R (unique_561) 22708 0 R (unique_562) 22946 0 R (unique_563) 22952 0 R (unique_564) 23028 0 R (unique_565) 23031 0 R (unique_566) 23034 0 R (unique_567) 23141 0 R (unique_568) 23153 0 R (unique_569) 23275 0 R (unique_57) 20894 0 R (unique_570) 23278 0 R (unique_571) 23281 0 R (unique_572) 23302 0 R (unique_573) 21285 0 R (unique_574) 21297 0 R (unique_575) 21694 0 R (unique_576) 23441 0 R (unique_577) 21449 0 R (unique_578) 22095 0 R (unique_579) 22300 0 R (unique_58) 20896 0 R (unique_580) 22807 0 R (unique_581) 22943 0 R (unique_582) 23138 0 R (unique_583) 21179 0 R (unique_584) 21566 0 R (unique_585) 21643 0 R (unique_586) 21646 0 R (unique_587) 22077 0 R (unique_588) 22132 0 R (unique_589) 22390 0 R (unique_59) 20898 0 R (unique_590) 22687 0 R (unique_591) 22690 0 R (unique_592) 22693 0 R (unique_593) 22699 0 R (unique_594) 22702 0 R (unique_595) 22705 0 R (unique_596) 22714 0 R (unique_596_Connect_42_section_cwr_tzy_4hb) 22717 0 R (unique_596_Connect_42_ul_dwr_tzy_4hb) 22718 0 R (unique_597) 22719 0 R (unique_598) 22730 0 R (unique_599) 22733 0 R (unique_59_Connect_42_ul_odb_jsb_fdb) 20900 0 R (unique_6) 23231 0 R (unique_60) 20901 0 R (unique_600) 22743 0 R (unique_601) 22752 0 R (unique_602) 22761 0 R (unique_603) 22788 0 R (unique_604) 22791 0 R (unique_605) 22804 0 R (unique_606) 22810 0 R (unique_607) 22822 0 R (unique_607_Connect_42_section_e4n_1js_cdb) 22825 0 R (unique_607_Connect_42_ul_f4n_1js_cdb) 22826 0 R (unique_608) 22830 0 R] >> endobj 24234 0 obj << /Limits [(unique_609) (unique_651)] /Names [(unique_609) 22846 0 R (unique_609_Connect_42_ul_vhq_pyw_x3b) 22849 0 R (unique_61) 20903 0 R (unique_610) 22850 0 R (unique_610_Connect_42_ul_cmg_dhs_kfb) 22853 0 R (unique_611) 22857 0 R (unique_611_Connect_42_section_a5l_23b_bpb) 22861 0 R (unique_611_Connect_42_section_ebm_33b_bpb) 22862 0 R (unique_611_Connect_42_section_hfm_c3b_bpb) 22860 0 R (unique_611_Connect_42_section_zvn_nzr_cpb) 22863 0 R (unique_611_Connect_42_ul_hgr_wmw_w4b) 22864 0 R (unique_612) 22871 0 R (unique_613) 22877 0 R (unique_614) 22880 0 R (unique_615) 22883 0 R (unique_615_Connect_42_ul_nrr_lrc_2bb) 22886 0 R (unique_616) 22887 0 R (unique_616_Connect_42_ul_nrr_lrc_2bb) 22890 0 R (unique_617) 22891 0 R (unique_618) 22894 0 R (unique_619) 22934 0 R (unique_62) 20905 0 R (unique_620) 22937 0 R (unique_621) 22958 0 R (unique_622) 22967 0 R (unique_623) 23121 0 R (unique_624) 23339 0 R (unique_625) 21112 0 R (unique_626) 21133 0 R (unique_627) 21139 0 R (unique_628) 21145 0 R (unique_629) 21336 0 R (unique_63) 20907 0 R (unique_630) 21366 0 R (unique_631) 21503 0 R (unique_632) 21530 0 R (unique_633) 21922 0 R (unique_634) 22222 0 R (unique_634_Connect_42_section_u24_gdt_cdb) 22226 0 R (unique_634_Connect_42_ul_c3l_gdt_cdb) 22225 0 R (unique_635) 23055 0 R (unique_636) 23058 0 R (unique_637) 23061 0 R (unique_638) 23064 0 R (unique_639) 23067 0 R (unique_64) 20911 0 R (unique_640) 23070 0 R (unique_641) 23076 0 R (unique_642) 23082 0 R (unique_643) 23085 0 R (unique_644) 23091 0 R (unique_645) 23097 0 R (unique_646) 23100 0 R (unique_647) 23103 0 R (unique_648) 23109 0 R (unique_649) 23112 0 R (unique_64_Connect_42_ol_h4l_rvb_fdb) 20913 0 R (unique_64_Connect_42_ul_i4l_rvb_fdb) 20915 0 R (unique_64_Connect_42_ul_j4l_rvb_fdb) 20916 0 R (unique_64_Connect_42_ul_jhw_x1q_hz) 20914 0 R (unique_64_Connect_42_ul_k4l_rvb_fdb) 20917 0 R (unique_65) 20918 0 R (unique_650) 23115 0 R (unique_651) 23118 0 R] >> endobj 24235 0 obj << /Limits [(unique_652) (unique_694)] /Names [(unique_652) 23124 0 R (unique_653) 23130 0 R (unique_653_Connect_42_section_b4w_4mb_z1b) 23133 0 R (unique_653_Connect_42_ul_c4w_4mb_z1b) 23134 0 R (unique_654) 23147 0 R (unique_655) 23162 0 R (unique_656) 21062 0 R (unique_657) 21068 0 R (unique_658) 21077 0 R (unique_658_Connect_42_ul_afn_pml_l4b) 21082 0 R (unique_658_Connect_42_ul_y2n_pml_l4b) 21080 0 R (unique_658_Connect_42_ul_z2n_pml_l4b) 21081 0 R (unique_659) 21200 0 R (unique_66) 20920 0 R (unique_660) 21203 0 R (unique_661) 21238 0 R (unique_662) 21509 0 R (unique_663) 21542 0 R (unique_664) 21545 0 R (unique_665) 21548 0 R (unique_666) 21551 0 R (unique_667) 21652 0 R (unique_668) 21697 0 R (unique_668_Connect_42_ul_d11_vc1_pqb) 21700 0 R (unique_669) 21738 0 R (unique_66_Connect_42_note_N10043_N10021_N1000F_N10001) 20923 0 R (unique_66_Connect_42_ul_mtq_cwb_fdb) 20922 0 R (unique_67) 20924 0 R (unique_670) 22089 0 R (unique_671) 22163 0 R (unique_672) 22169 0 R (unique_673) 22188 0 R (unique_674) 22204 0 R (unique_675) 22319 0 R (unique_676) 22325 0 R (unique_677) 22328 0 R (unique_678) 22396 0 R (unique_679) 22405 0 R (unique_67_Connect_42_note_N100CB_N100C3_N1009A_N1000F_N10001) 20928 0 R (unique_67_Connect_42_note_N100E4_N100D5_N1009A_N1000F_N10001) 20929 0 R (unique_67_Connect_42_note_N1010B_N100FD_N1009A_N1000F_N10001) 20930 0 R (unique_67_Connect_42_section_N1001C_N1000F_N10001) 20926 0 R (unique_67_Connect_42_section_N1009A_N1000F_N10001) 20927 0 R (unique_67_Connect_42_section_N1010E_N1000F_N10001) 20931 0 R (unique_67_Connect_42_section_N1015E_N1000F_N10001) 20932 0 R (unique_67_Connect_42_section_N10176_N1000F_N10001) 20933 0 R (unique_67_Connect_42_section_N1018C_N1000F_N10001) 20934 0 R (unique_68) 20935 0 R (unique_680) 22454 0 R (unique_681) 22585 0 R (unique_682) 22588 0 R (unique_683) 22600 0 R (unique_684) 22609 0 R (unique_685) 22684 0 R (unique_686) 22711 0 R (unique_687) 22749 0 R (unique_688) 22758 0 R (unique_689) 22801 0 R (unique_69) 20937 0 R (unique_690) 22854 0 R (unique_691) 22868 0 R (unique_692) 22874 0 R (unique_693) 22897 0 R (unique_694) 22955 0 R] >> endobj 24236 0 obj << /Limits [(unique_695) (unique_732)] /Names [(unique_695) 22982 0 R (unique_696) 22996 0 R (unique_697) 23165 0 R (unique_698) 23168 0 R (unique_699) 23193 0 R (unique_6_Connect_42_section_lgr_4xz_sqb) 23237 0 R (unique_6_Connect_42_section_lxl_wxz_sqb) 23238 0 R (unique_6_Connect_42_section_ndz_pxz_sqb) 23236 0 R (unique_6_Connect_42_section_vf2_sxz_sqb) 23234 0 R (unique_6_Connect_42_ul_imr_5xz_sqb) 23235 0 R (unique_6_Connect_42_ul_rkq_nxz_sqb) 23239 0 R (unique_7) 23351 0 R (unique_70) 20939 0 R (unique_700) 23196 0 R (unique_701) 21471 0 R (unique_702) 21241 0 R (unique_703) 21257 0 R (unique_704) 21260 0 R (unique_705) 23073 0 R (unique_706) 23079 0 R (unique_707) 23305 0 R (unique_708) 22275 0 R (unique_709) 22278 0 R (unique_70_Connect_42_ul_mnf_jzb_fdb) 20941 0 R (unique_70_Connect_42_ul_nnf_jzb_fdb) 20942 0 R (unique_70_Connect_42_ul_onf_jzb_fdb) 20943 0 R (unique_70_Connect_42_ul_pnf_jzb_fdb) 20944 0 R (unique_71) 20945 0 R (unique_710) 22291 0 R (unique_711) 22294 0 R (unique_712) 22312 0 R (unique_713) 22408 0 R (unique_713_Connect_42_ul_dsx_4wz_jhb) 22412 0 R (unique_713_Connect_42_ul_rn2_kvz_jhb) 22411 0 R (unique_713_Connect_42_ul_wmx_qwz_jhb) 22413 0 R (unique_714) 22421 0 R (unique_715) 22579 0 R (unique_716) 22813 0 R (unique_717) 22993 0 R (unique_718) 23258 0 R (unique_719) 23272 0 R (unique_71_Connect_42_table_unx_pzb_fdb) 20950 0 R (unique_71_Connect_42_ul_rnx_pzb_fdb) 20947 0 R (unique_71_Connect_42_ul_snx_pzb_fdb) 20948 0 R (unique_71_Connect_42_ul_tnx_pzb_fdb) 20949 0 R (unique_71_Connect_42_ul_vnx_pzb_fdb) 20951 0 R (unique_72) 20952 0 R (unique_720) 23299 0 R (unique_721) 23432 0 R (unique_722) 21554 0 R (unique_723) 21097 0 R (unique_724) 21100 0 R (unique_725) 21103 0 R (unique_726) 21106 0 R (unique_727) 21109 0 R (unique_728) 21209 0 R (unique_729) 21485 0 R (unique_72_Connect_42_ul_ef2_d1c_fdb) 20954 0 R (unique_72_Connect_42_ul_ff2_d1c_fdb) 20955 0 R (unique_72_Connect_42_ul_gf2_d1c_fdb) 20956 0 R (unique_73) 20957 0 R (unique_730) 21557 0 R (unique_731) 22210 0 R (unique_732) 22213 0 R] >> endobj 24237 0 obj << /Limits [(unique_733) (unique_77_Connect_42_memory_commands)] /Names [(unique_733) 22363 0 R (unique_734) 22402 0 R (unique_735) 22655 0 R (unique_736) 23037 0 R (unique_737) 23049 0 R (unique_738) 21115 0 R (unique_739) 21118 0 R (unique_74) 20959 0 R (unique_740) 21121 0 R (unique_741) 21124 0 R (unique_742) 21127 0 R (unique_743) 21130 0 R (unique_744) 21136 0 R (unique_745) 21142 0 R (unique_746) 21415 0 R (unique_747) 21608 0 R (unique_748) 23052 0 R (unique_749) 23094 0 R (unique_74_Connect_42_image_qvt_q1c_fdb) 20962 0 R (unique_74_Connect_42_ul_pvt_q1c_fdb) 20961 0 R (unique_75) 20963 0 R (unique_750) 23106 0 R (unique_751) 23159 0 R (unique_752) 23293 0 R (unique_753) 21060 0 R (unique_754) 22433 0 R (unique_755) 23482 0 R (unique_756) 23484 0 R (unique_756_Connect_42_section_N10011_N1000E_N10001) 23486 0 R (unique_757) 23487 0 R (unique_757_Connect_42_note_N1006F_N10011_N1000E_N10001) 23492 0 R (unique_757_Connect_42_section_N10011_N1000E_N10001) 23489 0 R (unique_757_Connect_42_ul_uv3_qxb_wy) 23490 0 R (unique_757_Connect_42_ul_v3b_5xb_wy) 23491 0 R (unique_758) 23493 0 R (unique_758_Connect_42_section_N10011_N1000E_N10001) 23495 0 R (unique_758_Connect_42_section_N1004E_N1000E_N10001) 23496 0 R (unique_758_Connect_42_section_N100A0_N1000E_N10001) 23498 0 R (unique_758_Connect_42_section_N100BD_N1000E_N10001) 23499 0 R (unique_758_Connect_42_ul_gkt_vvt_z1b) 23497 0 R (unique_759) 23500 0 R (unique_759_Connect_42_section_N10011_N1000E_N10001) 23502 0 R (unique_759_Connect_42_section_nd1_sk2_sy) 23503 0 R (unique_759_Connect_42_section_x4j_fpk_3y) 23504 0 R (unique_76) 20967 0 R (unique_77) 20970 0 R (unique_77_Connect_42_bitgen_commands) 20972 0 R (unique_77_Connect_42_board_commands) 20974 0 R (unique_77_Connect_42_cdc_commands) 20976 0 R (unique_77_Connect_42_cluster_configuration_commands) 20978 0 R (unique_77_Connect_42_configuration_commands) 20980 0 R (unique_77_Connect_42_createperipheral_commands) 20982 0 R (unique_77_Connect_42_debug_commands) 20984 0 R (unique_77_Connect_42_device_commands) 20986 0 R (unique_77_Connect_42_drc_commands) 20988 0 R (unique_77_Connect_42_feasibility_commands) 20990 0 R (unique_77_Connect_42_fileio_commands) 20992 0 R (unique_77_Connect_42_floorplan_commands) 20994 0 R (unique_77_Connect_42_guicontrol_commands) 20996 0 R (unique_77_Connect_42_hardware_commands) 20998 0 R (unique_77_Connect_42_ipflow_commands) 21000 0 R (unique_77_Connect_42_ipintegrator_commands) 21002 0 R (unique_77_Connect_42_lint_commands) 21004 0 R (unique_77_Connect_42_memory_commands) 21006 0 R] >> endobj 24238 0 obj << /Limits [(unique_77_Connect_42_memory_initialization_commands) (xxsim)] /Names [(unique_77_Connect_42_memory_initialization_commands) 21008 0 R (unique_77_Connect_42_methodology_commands) 21010 0 R (unique_77_Connect_42_netlist_commands) 21012 0 R (unique_77_Connect_42_object_commands) 21014 0 R (unique_77_Connect_42_partition_commands) 21016 0 R (unique_77_Connect_42_pinplanning_commands) 21018 0 R (unique_77_Connect_42_platform_commands) 21020 0 R (unique_77_Connect_42_power_commands) 21022 0 R (unique_77_Connect_42_project_commands) 21024 0 R (unique_77_Connect_42_projutils_commands) 21026 0 R (unique_77_Connect_42_propertyandparameter_commands) 21028 0 R (unique_77_Connect_42_report_commands) 21030 0 R (unique_77_Connect_42_sdc_commands) 21032 0 R (unique_77_Connect_42_simulation_commands) 21034 0 R (unique_77_Connect_42_synthesis_commands) 21036 0 R (unique_77_Connect_42_sysgen_commands) 21038 0 R (unique_77_Connect_42_timing_commands) 21040 0 R (unique_77_Connect_42_toollaunch_commands) 21042 0 R (unique_77_Connect_42_tools_commands) 21044 0 R (unique_77_Connect_42_vitis_commands) 21046 0 R (unique_77_Connect_42_vivado_preferences_commands) 21048 0 R (unique_77_Connect_42_waiver_commands) 21050 0 R (unique_77_Connect_42_waveform_commands) 21052 0 R (unique_77_Connect_42_xdc_commands) 21054 0 R (unique_77_Connect_42_xilinxtclstore_commands) 21056 0 R (unique_77_Connect_42_xps_commands) 21058 0 R (unique_78) 21169 0 R (unique_79) 21151 0 R (unique_7_Connect_42_codeblock_q5c_nk5_drb) 23355 0 R (unique_7_Connect_42_codeblock_u5c_nk5_drb) 23359 0 R (unique_7_Connect_42_note_r5c_nk5_drb) 23356 0 R (unique_7_Connect_42_section_p5c_nk5_drb) 23354 0 R (unique_7_Connect_42_section_s5c_nk5_drb) 23357 0 R (unique_7_Connect_42_section_t5c_nk5_drb) 23358 0 R (unique_7_Connect_42_section_v5c_nk5_drb) 23360 0 R (unique_7_Connect_42_ul_w5c_nk5_drb) 23361 0 R (unique_8) 21094 0 R (unique_80) 21497 0 R (unique_81) 21500 0 R (unique_82) 21807 0 R (unique_83) 21810 0 R (unique_84) 21813 0 R (unique_85) 21816 0 R (unique_86) 21819 0 R (unique_87) 21822 0 R (unique_88) 21825 0 R (unique_89) 21828 0 R (unique_9) 21182 0 R (unique_90) 21831 0 R (unique_91) 21834 0 R (unique_92) 21837 0 R (unique_93) 21840 0 R (unique_94) 21843 0 R (unique_95) 21846 0 R (unique_96) 23323 0 R (unique_97) 21849 0 R (unique_97_Connect_42_ul_kdt_rb4_zw) 21852 0 R (unique_98) 21865 0 R (unique_98_Connect_42_ul_epd_pql_hlb) 21868 0 R (unique_99) 22597 0 R (xHandlingListsOfObjects) 24241 0 R (xScriptingInTcl) 24243 0 R (xTclCommandCategories) 24246 0 R (xTclCommandsListedbyCategory) 24247 0 R (xTclInitializationScripts) 24245 0 R (xTclJournalFiles) 24244 0 R (xadd_bp) 24248 0 R (xadd_cells_to_pblock) 24249 0 R (xadd_condition) 24250 0 R (xadd_drc_checks) 24251 0 R (xadd_files) 24252 0 R (xadd_force) 24253 0 R (xadd_hw_hbm_pc) 24254 0 R (xadd_hw_probe_enum) 24255 0 R (xadd_peripheral_interface) 24256 0 R (xadd_to_power_rail) 24257 0 R (xadd_wave) 24258 0 R (xadd_wave_divider) 24259 0 R (xadd_wave_group) 24260 0 R (xadd_wave_marker) 24261 0 R (xadd_wave_virtual_bus) 24262 0 R (xall_clocks) 24263 0 R (xall_cpus) 24264 0 R (xall_dsps) 24265 0 R (xall_fanin) 24266 0 R (xall_fanout) 24267 0 R (xall_ffs) 24268 0 R (xall_hsios) 24269 0 R (xall_inputs) 24270 0 R (xall_latches) 24271 0 R (xall_outputs) 24272 0 R (xall_rams) 24273 0 R (xall_registers) 24274 0 R (xapply_bd_automation) 24275 0 R (xapply_board_connection) 24276 0 R (xapply_hw_ila_trigger) 24277 0 R (xarchive_project) 24278 0 R (xassign_bd_address) 24279 0 R (xauto_detect_xpm) 24280 0 R (xboot_hw_device) 24281 0 R (xcalc_config_time) 24282 0 R (xcan_resolve_reference) 24283 0 R (xcheck_syntax) 24284 0 R (xcheck_timing) 24285 0 R (xcheckpoint_vcd) 24286 0 R (xclose_bd_design) 24287 0 R (xclose_design) 24288 0 R (xclose_hw_manager) 24289 0 R (xclose_hw_target) 24290 0 R (xclose_project) 24291 0 R (xclose_saif) 24292 0 R (xclose_sim) 24293 0 R (xclose_vcd) 24294 0 R (xclose_wave_config) 24295 0 R (xcommit_hw_hbm) 24296 0 R (xcommit_hw_mig) 24297 0 R (xcommit_hw_sio) 24298 0 R (xcommit_hw_sysmon) 24299 0 R (xcommit_hw_vio) 24300 0 R (xcompile_c) 24301 0 R (xcompile_simlib) 24302 0 R (xconfig_compile_simlib) 24303 0 R (xconfig_design_analysis) 24304 0 R (xconfig_hw_sio_gts) 24305 0 R (xconfig_implementation) 24306 0 R (xconfig_ip_cache) 24307 0 R (xconfig_timing_analysis) 24308 0 R (xconfig_timing_corners) 24309 0 R (xconnect_bd_intf_net) 24310 0 R (xconnect_bd_net) 24311 0 R (xconnect_debug_cores) 24312 0 R (xconnect_debug_port) 24313 0 R (xconnect_hw_server) 24314 0 R (xconnect_net) 24315 0 R (xconvert_ips) 24316 0 R (xconvert_ngc) 24317 0 R (xcopy_bd_objs) 24318 0 R (xcopy_constraints) 24319 0 R (xcopy_ip) 24320 0 R (xcopy_run) 24321 0 R (xcreate_bd_addr_seg) 24322 0 R (xcreate_bd_cell) 24323 0 R (xcreate_bd_design) 24324 0 R (xcreate_bd_intf_net) 24325 0 R (xcreate_bd_intf_pin) 24326 0 R (xcreate_bd_intf_port) 24327 0 R (xcreate_bd_intf_tlm_port) 24328 0 R (xcreate_bd_net) 24329 0 R (xcreate_bd_pin) 24330 0 R (xcreate_bd_port) 24331 0 R (xcreate_bd_tlm_port) 24332 0 R (xcreate_cell) 24333 0 R (xcreate_clock) 24334 0 R (xcreate_cluster_configuration) 24335 0 R (xcreate_dashboard_gadget) 24336 0 R (xcreate_debug_core) 24337 0 R (xcreate_debug_port) 24338 0 R (xcreate_drc_check) 24339 0 R (xcreate_drc_ruledeck) 24340 0 R (xcreate_drc_violation) 24341 0 R (xcreate_fileset) 24342 0 R (xcreate_generated_clock) 24343 0 R (xcreate_gui_custom_command) 24344 0 R (xcreate_gui_custom_command_arg) 24345 0 R (xcreate_hw_axi_txn) 24346 0 R (xcreate_hw_bitstream) 24347 0 R (xcreate_hw_cfgmem) 24348 0 R (xcreate_hw_device) 24349 0 R (xcreate_hw_probe) 24350 0 R (xcreate_hw_sio_link) 24351 0 R (xcreate_hw_sio_linkgroup) 24352 0 R (xcreate_hw_sio_scan) 24353 0 R (xcreate_hw_sio_sweep) 24354 0 R (xcreate_hw_target) 24355 0 R (xcreate_interface) 24356 0 R (xcreate_ip) 24357 0 R (xcreate_ip_run) 24358 0 R (xcreate_macro) 24359 0 R (xcreate_net) 24360 0 R (xcreate_partition_def) 24361 0 R (xcreate_pblock) 24362 0 R (xcreate_peripheral) 24363 0 R (xcreate_pin) 24364 0 R (xcreate_port) 24365 0 R (xcreate_port_on_reconfigurable_module) 24366 0 R (xcreate_power_rail) 24367 0 R (xcreate_pr_configuration) 24368 0 R (xcreate_project) 24369 0 R (xcreate_property) 24370 0 R (xcreate_reconfig_module) 24371 0 R (xcreate_report_config) 24372 0 R (xcreate_run) 24373 0 R (xcreate_single_pass_run) 24374 0 R (xcreate_slack_histogram) 24375 0 R (xcreate_sysgen) 24376 0 R (xcreate_testbench) 24377 0 R (xcreate_waiver) 24378 0 R (xcreate_wave_config) 24379 0 R (xcreate_xps) 24380 0 R (xcurrent_bd_design) 24381 0 R (xcurrent_bd_instance) 24382 0 R (xcurrent_board) 24383 0 R (xcurrent_board_part) 24384 0 R (xcurrent_design) 24385 0 R (xcurrent_fileset) 24386 0 R (xcurrent_frame) 24387 0 R (xcurrent_hw_cfgmem) 24388 0 R (xcurrent_hw_device) 24389 0 R (xcurrent_hw_ila) 24390 0 R (xcurrent_hw_ila_data) 24391 0 R (xcurrent_hw_server) 24392 0 R (xcurrent_hw_target) 24393 0 R (xcurrent_instance) 24394 0 R (xcurrent_pr_configuration) 24395 0 R (xcurrent_project) 24396 0 R (xcurrent_run) 24397 0 R (xcurrent_scope) 24398 0 R (xcurrent_sim) 24399 0 R (xcurrent_time) 24400 0 R (xcurrent_vcd) 24401 0 R (xcurrent_vivado_preferences) 24402 0 R (xcurrent_wave_config) 24403 0 R (xdecrypt_bitstream) 24404 0 R (xdelete_bd_objs) 24405 0 R (xdelete_clock_networks_results) 24406 0 R (xdelete_dashboard_gadgets) 24407 0 R (xdelete_debug_core) 24408 0 R (xdelete_debug_port) 24409 0 R (xdelete_drc_check) 24410 0 R (xdelete_drc_ruledeck) 24411 0 R (xdelete_fileset) 24412 0 R (xdelete_hw_axi_txn) 24413 0 R (xdelete_hw_bitstream) 24414 0 R (xdelete_hw_cfgmem) 24415 0 R (xdelete_hw_probe) 24416 0 R (xdelete_hw_target) 24417 0 R (xdelete_interface) 24418 0 R (xdelete_ip_run) 24419 0 R (xdelete_macros) 24420 0 R (xdelete_partition_defs) 24421 0 R (xdelete_pblocks) 24422 0 R (xdelete_power_rails) 24423 0 R (xdelete_power_results) 24424 0 R (xdelete_pr_configurations) 24425 0 R (xdelete_qor_suggestions) 24426 0 R (xdelete_reconfig_modules) 24427 0 R (xdelete_report_configs) 24428 0 R (xdelete_rpm) 24429 0 R (xdelete_runs) 24430 0 R (xdelete_timing_results) 24431 0 R (xdelete_utilization_results) 24432 0 R (xdelete_waivers) 24433 0 R (xdescribe) 24434 0 R (xdetect_hw_sio_links) 24435 0 R (xdisconnect_bd_intf_net) 24436 0 R (xdisconnect_bd_net) 24437 0 R (xdisconnect_debug_port) 24438 0 R (xdisconnect_hw_server) 24439 0 R (xdisconnect_net) 24440 0 R (xdisplay_hw_ila_data) 24441 0 R (xdisplay_hw_sio_scan) 24442 0 R (xencrypt) 24443 0 R (xendgroup) 24444 0 R (xexclude_bd_addr_seg) 24445 0 R (xexecute_hw_svf) 24446 0 R (xexport_as_example_design) 24447 0 R (xexport_bd_synth) 24448 0 R (xexport_ip_user_files) 24449 0 R (xexport_simulation) 24450 0 R (xexport_xsim_coverage) 24451 0 R (xextract_files) 24452 0 R (xfilter) 24453 0 R (xfind_bd_objs) 24454 0 R (xfind_routing_path) 24455 0 R (xfind_top) 24456 0 R (xflush_vcd) 24457 0 R (xgenerate_base_platform) 24458 0 R (xgenerate_hier_access) 24459 0 R (xgenerate_mem_files) 24460 0 R (xgenerate_pblock) 24461 0 R (xgenerate_peripheral) 24462 0 R (xgenerate_reports) 24463 0 R (xgenerate_rl_platform) 24464 0 R (xgenerate_shx_platform) 24465 0 R (xgenerate_target) 24466 0 R (xgenerate_vcd_ports) 24467 0 R (xget_bd_addr_segs) 24468 0 R (xget_bd_addr_spaces) 24469 0 R (xget_bd_cells) 24470 0 R (xget_bd_designs) 24471 0 R (xget_bd_intf_nets) 24472 0 R (xget_bd_intf_pins) 24473 0 R (xget_bd_intf_ports) 24474 0 R (xget_bd_nets) 24475 0 R (xget_bd_pins) 24476 0 R (xget_bd_ports) 24477 0 R (xget_bd_regs) 24478 0 R (xget_bel_pins) 24479 0 R (xget_bels) 24480 0 R (xget_board_bus_nets) 24481 0 R (xget_board_buses) 24482 0 R (xget_board_component_interfaces) 24483 0 R (xget_board_component_modes) 24484 0 R (xget_board_component_pins) 24485 0 R (xget_board_components) 24486 0 R (xget_board_interface_ports) 24487 0 R (xget_board_ip_preferences) 24488 0 R (xget_board_jumpers) 24489 0 R (xget_board_parameters) 24490 0 R (xget_board_part_interfaces) 24491 0 R (xget_board_part_pins) 24492 0 R (xget_board_parts) 24493 0 R (xget_boards) 24494 0 R (xget_cdc_violations) 24495 0 R (xget_cells) 24496 0 R (xget_cfgmem_parts) 24497 0 R (xget_clock_regions) 24498 0 R (xget_clocks) 24499 0 R (xget_cluster_configurations) 24500 0 R (xget_constant_paths) 24501 0 R (xget_dashboard_gadgets) 24502 0 R (xget_debug_cores) 24503 0 R (xget_debug_ports) 24504 0 R (xget_designs) 24505 0 R (xget_drc_checks) 24506 0 R (xget_drc_ruledecks) 24507 0 R (xget_drc_violations) 24508 0 R (xget_example_designs) 24509 0 R (xget_files) 24510 0 R (xget_filesets) 24511 0 R (xget_generated_clocks) 24512 0 R (xget_gui_custom_command_args) 24513 0 R (xget_gui_custom_commands) 24514 0 R (xget_hierarchy_separator) 24515 0 R (xget_highlighted_objects) 24516 0 R (xget_hw_axi_txns) 24517 0 R (xget_hw_axis) 24518 0 R (xget_hw_cfgmems) 24519 0 R (xget_hw_ddrmcs) 24520 0 R (xget_hw_devices) 24521 0 R (xget_hw_hbms) 24522 0 R (xget_hw_ila_datas) 24523 0 R (xget_hw_ilas) 24524 0 R (xget_hw_migs) 24525 0 R (xget_hw_pcies) 24526 0 R (xget_hw_probes) 24527 0 R (xget_hw_servers) 24528 0 R (xget_hw_sio_commons) 24529 0 R (xget_hw_sio_gtgroups) 24530 0 R (xget_hw_sio_gts) 24531 0 R (xget_hw_sio_iberts) 24532 0 R (xget_hw_sio_linkgroups) 24533 0 R (xget_hw_sio_links) 24534 0 R (xget_hw_sio_plls) 24535 0 R (xget_hw_sio_rxs) 24536 0 R (xget_hw_sio_scans) 24537 0 R (xget_hw_sio_sweeps) 24538 0 R (xget_hw_sio_txs) 24539 0 R (xget_hw_softmcs) 24540 0 R (xget_hw_sysmon_reg) 24541 0 R (xget_hw_sysmons) 24542 0 R (xget_hw_targets) 24543 0 R (xget_hw_vios) 24544 0 R (xget_interfaces) 24545 0 R (xget_io_standards) 24546 0 R (xget_iobanks) 24547 0 R (xget_ip_upgrade_results) 24548 0 R (xget_ipdefs) 24549 0 R (xget_ips) 24550 0 R (xget_lib_cells) 24551 0 R (xget_lib_pins) 24552 0 R (xget_libs) 24553 0 R (xget_macros) 24554 0 R (xget_marked_objects) 24555 0 R (xget_methodology_checks) 24556 0 R (xget_methodology_violations) 24557 0 R (xget_msg_config) 24558 0 R (xget_net_delays) 24559 0 R (xget_nets) 24560 0 R (xget_nodes) 24561 0 R (xget_objects) 24562 0 R (xget_package_pins) 24563 0 R (xget_param) 24564 0 R (xget_partition_defs) 24565 0 R (xget_parts) 24566 0 R (xget_path_groups) 24567 0 R (xget_pblocks) 24568 0 R (xget_pins) 24569 0 R (xget_pips) 24570 0 R (xget_pkgpin_bytegroups) 24571 0 R (xget_pkgpin_nibbles) 24572 0 R (xget_ports) 24573 0 R (xget_power_rails) 24574 0 R (xget_pplocs) 24575 0 R (xget_pr_configurations) 24576 0 R (xget_primitives) 24577 0 R (xget_projects) 24578 0 R (xget_property) 24579 0 R (xget_qor_suggestions) 24580 0 R (xget_reconfig_modules) 24581 0 R (xget_report_configs) 24582 0 R (xget_runs) 24583 0 R (xget_scopes) 24584 0 R (xget_selected_objects) 24585 0 R (xget_simulators) 24586 0 R (xget_site_pins) 24587 0 R (xget_site_pips) 24588 0 R (xget_sites) 24589 0 R (xget_slrs) 24590 0 R (xget_speed_models) 24591 0 R (xget_stacks) 24592 0 R (xget_template_bd_designs) 24593 0 R (xget_tiles) 24594 0 R (xget_timing_arcs) 24595 0 R (xget_timing_paths) 24596 0 R (xget_value) 24597 0 R (xget_waivers) 24598 0 R (xget_wave_configs) 24599 0 R (xget_waves) 24600 0 R (xget_wires) 24601 0 R (xgroup_bd_cells) 24602 0 R (xgroup_path) 24603 0 R (xhelp) 24604 0 R (xhighlight_objects) 24605 0 R (ximplement_debug_core) 24606 0 R (ximplement_mig_cores) 24607 0 R (ximplement_xphy_cores) 24608 0 R (ximport_files) 24609 0 R (ximport_ip) 24610 0 R (ximport_synplify) 24611 0 R (ximport_xise) 24612 0 R (ximport_xst) 24613 0 R (xinclude_bd_addr_seg) 24614 0 R (xinfer_diff_pairs) 24615 0 R (xinstantiate_example_design) 24616 0 R (xinstantiate_template_bd_design) 24617 0 R (xiphys_opt_design) 24618 0 R (xlaunch_chipscope_analyzer) 24619 0 R (xlaunch_impact) 24620 0 R (xlaunch_runs) 24621 0 R (xlaunch_simulation) 24622 0 R (xlimit_vcd) 24623 0 R (xlink_design) 24624 0 R (xlist_features) 24625 0 R (xlist_hw_samples) 24626 0 R (xlist_param) 24627 0 R (xlist_property) 24628 0 R (xlist_property_value) 24629 0 R (xlist_targets) 24630 0 R (xload_features) 24631 0 R (xlock_design) 24632 0 R (xlog_saif) 24633 0 R (xlog_vcd) 24634 0 R (xlog_wave) 24635 0 R (xltrace) 24636 0 R (xmake_bd_intf_pins_external) 24637 0 R (xmake_bd_pins_external) 24638 0 R (xmake_diff_pair_ports) 24639 0 R (xmake_wrapper) 24640 0 R (xmark_objects) 24641 0 R (xmodify_debug_ports) 24642 0 R (xmove_bd_cells) 24643 0 R (xmove_dashboard_gadget) 24644 0 R (xmove_files) 24645 0 R (xmove_wave) 24646 0 R (xopen_bd_design) 24647 0 R (xopen_checkpoint) 24648 0 R (xopen_example_project) 24649 0 R (xopen_hw_manager) 24650 0 R (xopen_hw_platform) 24651 0 R (xopen_hw_target) 24652 0 R (xopen_io_design) 24653 0 R (xopen_project) 24654 0 R (xopen_report) 24655 0 R (xopen_run) 24656 0 R (xopen_saif) 24657 0 R (xopen_vcd) 24658 0 R (xopen_wave_config) 24659 0 R (xopen_wave_database) 24660 0 R (xopt_design) 24661 0 R (xpause_hw_hbm_amon) 24662 0 R (xphys_opt_design) 24663 0 R (xplace_cell) 24664 0 R (xplace_design) 24665 0 R (xplace_ports) 24666 0 R (xplatform_verify) 24667 0 R (xpower_opt_design) 24668 0 R (xpr_recombine) 24669 0 R (xpr_subdivide) 24670 0 R (xpr_verify) 24671 0 R (xprogram_hw_cfgmem) 24672 0 R (xprogram_hw_devices) 24673 0 R (xptrace) 24674 0 R (xread_bd) 24675 0 R (xread_checkpoint) 24676 0 R (xread_csv) 24677 0 R (xread_edif) 24678 0 R (xread_hw_ila_data) 24679 0 R (xread_hw_sio_scan) 24680 0 R (xread_hw_sio_sweep) 24681 0 R (xread_ip) 24682 0 R (xread_iphys_opt_tcl) 24683 0 R (xread_mem) 24684 0 R (xread_qor_suggestions) 24685 0 R (xread_saif) 24686 0 R (xread_schematic) 24687 0 R (xread_twx) 24688 0 R (xread_verilog) 24689 0 R (xread_vhdl) 24690 0 R (xread_xdc) 24691 0 R (xreadback_hw_cfgmem) 24692 0 R (xreadback_hw_device) 24693 0 R (xredo) 24694 0 R (xrefresh_design) 24695 0 R (xrefresh_hw_axi) 24696 0 R (xrefresh_hw_ddrmc) 24697 0 R (xrefresh_hw_device) 24698 0 R (xrefresh_hw_hbm) 24699 0 R (xrefresh_hw_mig) 24700 0 R (xrefresh_hw_pcie) 24701 0 R (xrefresh_hw_server) 24702 0 R (xrefresh_hw_sio) 24703 0 R (xrefresh_hw_softmc) 24704 0 R (xrefresh_hw_sysmon) 24705 0 R (xrefresh_hw_target) 24706 0 R (xrefresh_hw_vio) 24707 0 R (xrefresh_meminit) 24708 0 R (xregenerate_bd_layout) 24709 0 R (xregister_proc) 24710 0 R (xreimport_files) 24711 0 R (xrelaunch_sim) 24712 0 R (xremove_bps) 24713 0 R (xremove_cell) 24714 0 R (xremove_cells_from_pblock) 24715 0 R (xremove_cluster_configurations) 24716 0 R (xremove_conditions) 24717 0 R (xremove_drc_checks) 24718 0 R (xremove_files) 24719 0 R (xremove_forces) 24720 0 R (xremove_from_power_rail) 24721 0 R (xremove_gui_custom_command_args) 24722 0 R (xremove_gui_custom_commands) 24723 0 R (xremove_hw_hbm_pc) 24724 0 R (xremove_hw_probe_enum) 24725 0 R (xremove_hw_sio_link) 24726 0 R (xremove_hw_sio_linkgroup) 24727 0 R (xremove_hw_sio_scan) 24728 0 R (xremove_hw_sio_sweep) 24729 0 R (xremove_net) 24730 0 R (xremove_pin) 24731 0 R (xremove_port) 24732 0 R (xremove_wave) 24733 0 R (xrename_cell) 24734 0 R (xrename_net) 24735 0 R (xrename_pin) 24736 0 R (xrename_port) 24737 0 R (xrename_ref) 24738 0 R (xreorder_files) 24739 0 R (xreplace_bd_cell) 24740 0 R (xreport_bd_diffs) 24741 0 R (xreport_bps) 24742 0 R (xreport_bus_skew) 24743 0 R (xreport_carry_chains) 24744 0 R (xreport_cdc) 24745 0 R (xreport_clock_interaction) 24746 0 R (xreport_clock_networks) 24747 0 R (xreport_clock_utilization) 24748 0 R (xreport_clocks) 24749 0 R (xreport_compile_order) 24750 0 R (xreport_conditions) 24751 0 R (xreport_config_implementation) 24752 0 R (xreport_config_timing) 24753 0 R (xreport_constant_paths) 24754 0 R (xreport_control_sets) 24755 0 R (xreport_datasheet) 24756 0 R (xreport_debug_core) 24757 0 R (xreport_design_analysis) 24758 0 R (xreport_disable_timing) 24759 0 R (xreport_drc) 24760 0 R (xreport_drivers) 24761 0 R (xreport_environment) 24762 0 R (xreport_exceptions) 24763 0 R (xreport_frames) 24764 0 R (xreport_high_fanout_nets) 24765 0 R (xreport_hw_axi_txn) 24766 0 R (xreport_hw_ddrmc) 24767 0 R (xreport_hw_mig) 24768 0 R (xreport_hw_pcie) 24769 0 R (xreport_hw_softmc) 24770 0 R (xreport_hw_targets) 24771 0 R (xreport_incremental_reuse) 24772 0 R (xreport_io) 24773 0 R (xreport_ip_status) 24774 0 R (xreport_methodology) 24775 0 R (xreport_objects) 24776 0 R (xreport_operating_conditions) 24777 0 R (xreport_param) 24778 0 R (xreport_phys_opt) 24779 0 R (xreport_pipeline_analysis) 24780 0 R (xreport_power) 24781 0 R (xreport_power_opt) 24782 0 R (xreport_pr_configuration_analysis) 24783 0 R (xreport_property) 24784 0 R (xreport_pulse_width) 24785 0 R (xreport_qor_assessment) 24786 0 R (xreport_qor_suggestions) 24787 0 R (xreport_ram_utilization) 24788 0 R (xreport_route_status) 24789 0 R (xreport_scopes) 24790 0 R (xreport_sim_device) 24791 0 R (xreport_sim_version) 24792 0 R (xreport_simlib_info) 24793 0 R (xreport_ssn) 24794 0 R (xreport_stacks) 24795 0 R (xreport_switching_activity) 24796 0 R (xreport_synchronizer_mtbf) 24797 0 R (xreport_timing) 24798 0 R (xreport_timing_summary) 24799 0 R (xreport_transformed_primitives) 24800 0 R (xreport_utilization) 24801 0 R (xreport_values) 24802 0 R (xreport_waivers) 24803 0 R (xreset_drc) 24804 0 R (xreset_drc_check) 24805 0 R (xreset_hw_axi) 24806 0 R (xreset_hw_ila) 24807 0 R (xreset_hw_pcie) 24808 0 R (xreset_hw_vio_activity) 24809 0 R (xreset_hw_vio_outputs) 24810 0 R (xreset_methodology) 24811 0 R (xreset_methodology_check) 24812 0 R (xreset_msg_config) 24813 0 R (xreset_msg_count) 24814 0 R (xreset_operating_conditions) 24815 0 R (xreset_param) 24816 0 R (xreset_project) 24817 0 R (xreset_property) 24818 0 R (xreset_runs) 24819 0 R (xreset_simulation) 24820 0 R (xreset_ssn) 24821 0 R (xreset_switching_activity) 24822 0 R (xreset_target) 24823 0 R (xreset_timing) 24824 0 R (xresize_net_bus) 24825 0 R (xresize_pblock) 24826 0 R (xresize_pin_bus) 24827 0 R (xresize_port_bus) 24828 0 R (xrestart) 24829 0 R (xresume_hw_hbm_amon) 24830 0 R (xroute_design) 24831 0 R (xrun) 24832 0 R (xrun_hw_axi) 24833 0 R (xrun_hw_hbm_amon) 24834 0 R (xrun_hw_ila) 24835 0 R (xrun_hw_sio_scan) 24836 0 R (xrun_hw_sio_sweep) 24837 0 R (xrun_state_hw_jtag) 24838 0 R (xruntest_hw_jtag) 24839 0 R (xsave_bd_design) 24840 0 R (xsave_bd_design_as) 24841 0 R (xsave_constraints) 24842 0 R (xsave_constraints_as) 24843 0 R (xsave_project_as) 24844 0 R (xsave_wave_config) 24845 0 R (xscan_dr_hw_jtag) 24846 0 R (xscan_ir_hw_jtag) 24847 0 R (xselect_objects) 24848 0 R (xselect_wave_objects) 24849 0 R (xset_bus_skew) 24850 0 R (xset_case_analysis) 24851 0 R (xset_clock_groups) 24852 0 R (xset_clock_latency) 24853 0 R (xset_clock_sense) 24854 0 R (xset_clock_uncertainty) 24855 0 R (xset_data_check) 24856 0 R (xset_delay_model) 24857 0 R (xset_disable_timing) 24858 0 R (xset_external_delay) 24859 0 R (xset_false_path) 24860 0 R (xset_hierarchy_separator) 24861 0 R (xset_hw_sysmon_reg) 24862 0 R (xset_input_delay) 24863 0 R (xset_input_jitter) 24864 0 R (xset_load) 24865 0 R (xset_logic_dc) 24866 0 R (xset_logic_one) 24867 0 R (xset_logic_unconnected) 24868 0 R (xset_logic_zero) 24869 0 R (xset_max_delay) 24870 0 R (xset_max_time_borrow) 24871 0 R (xset_min_delay) 24872 0 R (xset_msg_config) 24873 0 R (xset_multicycle_path) 24874 0 R (xset_operating_conditions) 24875 0 R (xset_output_delay) 24876 0 R (xset_package_pin_val) 24877 0 R (xset_param) 24878 0 R (xset_part) 24879 0 R (xset_power_opt) 24880 0 R (xset_propagated_clock) 24881 0 R (xset_property) 24882 0 R (xset_speed_grade) 24883 0 R (xset_switching_activity) 24884 0 R (xset_system_jitter) 24885 0 R (xset_units) 24886 0 R (xset_value) 24887 0 R (xsetup_ip_static_library) 24888 0 R (xsetup_pr_configurations) 24889 0 R (xshow_objects) 24890 0 R (xshow_schematic) 24891 0 R (xsplit_diff_pair_ports) 24892 0 R (xstart_gui) 24893 0 R (xstart_vcd) 24894 0 R (xstartgroup) 24895 0 R (xstep) 24896 0 R (xstop) 24897 0 R (xstop_gui) 24898 0 R (xstop_hw_hbm_amon) 24899 0 R (xstop_hw_sio_scan) 24900 0 R (xstop_hw_sio_sweep) 24901 0 R (xstop_vcd) 24902 0 R (xswap_locs) 24903 0 R (xsynth_design) 24904 0 R (xsynth_ip) 24905 0 R (xtandem_verify) 24906 0 R (xterminate_runs) 24907 0 R (xtie_unused_pins) 24908 0 R (xundo) 24909 0 R (xungroup_bd_cells) 24910 0 R (xunhighlight_objects) 24911 0 R (xunmark_objects) 24912 0 R (xunplace_cell) 24913 0 R (xunregister_proc) 24914 0 R (xunselect_objects) 24915 0 R (xupdate_bd_boundaries) 24916 0 R (xupdate_clock_routing) 24917 0 R (xupdate_compile_order) 24918 0 R (xupdate_design) 24919 0 R (xupdate_files) 24920 0 R (xupdate_hw_firmware) 24921 0 R (xupdate_hw_gpio) 24922 0 R (xupdate_ip_catalog) 24923 0 R (xupdate_macro) 24924 0 R (xupdate_module_reference) 24925 0 R (xupdate_noc_qos) 24926 0 R (xupdate_sw_parameters) 24927 0 R (xupdate_timing) 24928 0 R (xupgrade_bd_cells) 24929 0 R (xupgrade_ip) 24930 0 R (xupgrade_project) 24931 0 R (xupload_hw_ila_data) 24932 0 R (xvalidate_bd_design) 24933 0 R (xvalidate_board_files) 24934 0 R (xvalidate_cluster_configurations) 24935 0 R (xvalidate_hw_platform) 24936 0 R (xvalidate_ip) 24937 0 R (xverify_hw_devices) 24938 0 R (xversion) 24939 0 R (xwait_on_hw_ila) 24940 0 R (xwait_on_hw_sio_scan) 24941 0 R (xwait_on_hw_sio_sweep) 24942 0 R (xwait_on_runs) 24943 0 R (xwrite_abstract_shell) 24944 0 R (xwrite_bd_layout) 24945 0 R (xwrite_bd_tcl) 24946 0 R (xwrite_bitstream) 24947 0 R (xwrite_bmm) 24948 0 R (xwrite_bsdl) 24949 0 R (xwrite_cfgmem) 24950 0 R (xwrite_checkpoint) 24951 0 R (xwrite_csv) 24952 0 R (xwrite_debug_probes) 24953 0 R (xwrite_device_image) 24954 0 R (xwrite_edif) 24955 0 R (xwrite_hw_ila_data) 24956 0 R (xwrite_hw_platform) 24957 0 R (xwrite_hw_platform_metadata) 24958 0 R (xwrite_hw_sio_scan) 24959 0 R (xwrite_hw_sio_sweep) 24960 0 R (xwrite_hw_svf) 24961 0 R (xwrite_ibis) 24962 0 R (xwrite_inferred_xdc) 24963 0 R (xwrite_ip_tcl) 24964 0 R (xwrite_iphys_opt_tcl) 24965 0 R (xwrite_mem_info) 24966 0 R (xwrite_peripheral) 24967 0 R (xwrite_project_tcl) 24968 0 R (xwrite_qor_suggestions) 24969 0 R (xwrite_schematic) 24970 0 R (xwrite_sdf) 24971 0 R (xwrite_verilog) 24972 0 R (xwrite_vhdl) 24973 0 R (xwrite_waivers) 24974 0 R (xwrite_xdc) 24975 0 R (xwrite_xsim_coverage) 24976 0 R (xxsim) 24977 0 R] >> endobj 24239 0 obj << /Author (Xilinx, Inc.) /CreationDate (D:20211013062921-08'00') /Creator (AH XSL Formatter V6.6 MR2 for Linux64 : 6.6.4.36256 \(2018/11/29 19:37JST\)) /Keywords (tcl,Vivado,SDC,XDC,constraints) /ModDate (D:20211013073349+06'00') /PostProcess (v2021.2.0.5) /Producer (Xilinx, Inc. via ABCpdf) /Subject (Describes the Vivado tools Tcl command interface used to define physical and timing constraints in d\ esigns. The Vivado tools Tcl shell provides the power and flexibility of the Tcl language to control \ the tools. Using Tcl to implement designs, you can edit the design and modify object properties.) /Title (Vivado Design Suite Tcl Command Reference Guide) /Trapped /False /XilinxDocID (UG835) >> endobj 24240 0 obj [184 0 R /XYZ 0 711 0] endobj 24241 0 obj [184 0 R /XYZ 0 711 0] endobj 24242 0 obj [113 0 R /XYZ 0 492.4115 0] endobj 24243 0 obj [113 0 R /XYZ 0 492.4115 0] endobj 24244 0 obj [106 0 R /XYZ 0 525.6077 0] endobj 24245 0 obj [113 0 R /XYZ 0 450.2115 0] endobj 24246 0 obj [213 0 R /XYZ 0 572.6 0] endobj 24247 0 obj [213 0 R /XYZ 0 282.4 0] endobj 24248 0 obj [1443 0 R /XYZ 0 542.3 0] endobj 24249 0 obj [1466 0 R /XYZ 0 697 0] endobj 24250 0 obj [1487 0 R /XYZ 0 697 0] endobj 24251 0 obj [1513 0 R /XYZ 0 697 0] endobj 24252 0 obj [1557 0 R /XYZ 0 697 0] endobj 24253 0 obj [1595 0 R /XYZ 0 697 0] endobj 24254 0 obj [1627 0 R /XYZ 0 697 0] endobj 24255 0 obj [1662 0 R /XYZ 0 697 0] endobj 24256 0 obj [1694 0 R /XYZ 0 697 0] endobj 24257 0 obj [1716 0 R /XYZ 0 697 0] endobj 24258 0 obj [1726 0 R /XYZ 0 697 0] endobj 24259 0 obj [1756 0 R /XYZ 0 697 0] endobj 24260 0 obj [1777 0 R /XYZ 0 697 0] endobj 24261 0 obj [1798 0 R /XYZ 0 697 0] endobj 24262 0 obj [1819 0 R /XYZ 0 697 0] endobj 24263 0 obj [1847 0 R /XYZ 0 697 0] endobj 24264 0 obj [1870 0 R /XYZ 0 697 0] endobj 24265 0 obj [1896 0 R /XYZ 0 697 0] endobj 24266 0 obj [1922 0 R /XYZ 0 697 0] endobj 24267 0 obj [1951 0 R /XYZ 0 697 0] endobj 24268 0 obj [1981 0 R /XYZ 0 697 0] endobj 24269 0 obj [2003 0 R /XYZ 0 697 0] endobj 24270 0 obj [2029 0 R /XYZ 0 697 0] endobj 24271 0 obj [2055 0 R /XYZ 0 697 0] endobj 24272 0 obj [2080 0 R /XYZ 0 697 0] endobj 24273 0 obj [2103 0 R /XYZ 0 697 0] endobj 24274 0 obj [2126 0 R /XYZ 0 697 0] endobj 24275 0 obj [2158 0 R /XYZ 0 697 0] endobj 24276 0 obj [2198 0 R /XYZ 0 697 0] endobj 24277 0 obj [2231 0 R /XYZ 0 697 0] endobj 24278 0 obj [2262 0 R /XYZ 0 697 0] endobj 24279 0 obj [2288 0 R /XYZ 0 697 0] endobj 24280 0 obj [2324 0 R /XYZ 0 697 0] endobj 24281 0 obj [2344 0 R /XYZ 0 697 0] endobj 24282 0 obj [2376 0 R /XYZ 0 697 0] endobj 24283 0 obj [2404 0 R /XYZ 0 697 0] endobj 24284 0 obj [2424 0 R /XYZ 0 697 0] endobj 24285 0 obj [2442 0 R /XYZ 0 697 0] endobj 24286 0 obj [2490 0 R /XYZ 0 697 0] endobj 24287 0 obj [2508 0 R /XYZ 0 697 0] endobj 24288 0 obj [2530 0 R /XYZ 0 697 0] endobj 24289 0 obj [2548 0 R /XYZ 0 697 0] endobj 24290 0 obj [2567 0 R /XYZ 0 697 0] endobj 24291 0 obj [2587 0 R /XYZ 0 697 0] endobj 24292 0 obj [2605 0 R /XYZ 0 697 0] endobj 24293 0 obj [2622 0 R /XYZ 0 697 0] endobj 24294 0 obj [2639 0 R /XYZ 0 697 0] endobj 24295 0 obj [2655 0 R /XYZ 0 697 0] endobj 24296 0 obj [2678 0 R /XYZ 0 697 0] endobj 24297 0 obj [2705 0 R /XYZ 0 697 0] endobj 24298 0 obj [2729 0 R /XYZ 0 697 0] endobj 24299 0 obj [2759 0 R /XYZ 0 697 0] endobj 24300 0 obj [2783 0 R /XYZ 0 697 0] endobj 24301 0 obj [2809 0 R /XYZ 0 697 0] endobj 24302 0 obj [2829 0 R /XYZ 0 697 0] endobj 24303 0 obj [2869 0 R /XYZ 0 697 0] endobj 24304 0 obj [2892 0 R /XYZ 0 697 0] endobj 24305 0 obj [2910 0 R /XYZ 0 697 0] endobj 24306 0 obj [2919 0 R /XYZ 0 697 0] endobj 24307 0 obj [2937 0 R /XYZ 0 697 0] endobj 24308 0 obj [2994 0 R /XYZ 0 697 0] endobj 24309 0 obj [3021 0 R /XYZ 0 697 0] endobj 24310 0 obj [3047 0 R /XYZ 0 697 0] endobj 24311 0 obj [3069 0 R /XYZ 0 697 0] endobj 24312 0 obj [3091 0 R /XYZ 0 697 0] endobj 24313 0 obj [3123 0 R /XYZ 0 697 0] endobj 24314 0 obj [3148 0 R /XYZ 0 697 0] endobj 24315 0 obj [3178 0 R /XYZ 0 697 0] endobj 24316 0 obj [3219 0 R /XYZ 0 697 0] endobj 24317 0 obj [3247 0 R /XYZ 0 697 0] endobj 24318 0 obj [3269 0 R /XYZ 0 697 0] endobj 24319 0 obj [3297 0 R /XYZ 0 697 0] endobj 24320 0 obj [3306 0 R /XYZ 0 697 0] endobj 24321 0 obj [3328 0 R /XYZ 0 697 0] endobj 24322 0 obj [3349 0 R /XYZ 0 697 0] endobj 24323 0 obj [3371 0 R /XYZ 0 697 0] endobj 24324 0 obj [3399 0 R /XYZ 0 697 0] endobj 24325 0 obj [3420 0 R /XYZ 0 697 0] endobj 24326 0 obj [3438 0 R /XYZ 0 697 0] endobj 24327 0 obj [3459 0 R /XYZ 0 697 0] endobj 24328 0 obj [3481 0 R /XYZ 0 697 0] endobj 24329 0 obj [3490 0 R /XYZ 0 697 0] endobj 24330 0 obj [3516 0 R /XYZ 0 697 0] endobj 24331 0 obj [3537 0 R /XYZ 0 697 0] endobj 24332 0 obj [3557 0 R /XYZ 0 697 0] endobj 24333 0 obj [3566 0 R /XYZ 0 697 0] endobj 24334 0 obj [3602 0 R /XYZ 0 697 0] endobj 24335 0 obj [3640 0 R /XYZ 0 697 0] endobj 24336 0 obj [3667 0 R /XYZ 0 697 0] endobj 24337 0 obj [3687 0 R /XYZ 0 697 0] endobj 24338 0 obj [3721 0 R /XYZ 0 697 0] endobj 24339 0 obj [3750 0 R /XYZ 0 697 0] endobj 24340 0 obj [3796 0 R /XYZ 0 697 0] endobj 24341 0 obj [3820 0 R /XYZ 0 697 0] endobj 24342 0 obj [3864 0 R /XYZ 0 697 0] endobj 24343 0 obj [3891 0 R /XYZ 0 697 0] endobj 24344 0 obj [3939 0 R /XYZ 0 697 0] endobj 24345 0 obj [3961 0 R /XYZ 0 697 0] endobj 24346 0 obj [3983 0 R /XYZ 0 697 0] endobj 24347 0 obj [4021 0 R /XYZ 0 697 0] endobj 24348 0 obj [4051 0 R /XYZ 0 697 0] endobj 24349 0 obj [4085 0 R /XYZ 0 697 0] endobj 24350 0 obj [4117 0 R /XYZ 0 697 0] endobj 24351 0 obj [4144 0 R /XYZ 0 697 0] endobj 24352 0 obj [4176 0 R /XYZ 0 697 0] endobj 24353 0 obj [4200 0 R /XYZ 0 697 0] endobj 24354 0 obj [4234 0 R /XYZ 0 697 0] endobj 24355 0 obj [4269 0 R /XYZ 0 697 0] endobj 24356 0 obj [4303 0 R /XYZ 0 697 0] endobj 24357 0 obj [4327 0 R /XYZ 0 697 0] endobj 24358 0 obj [4356 0 R /XYZ 0 697 0] endobj 24359 0 obj [4378 0 R /XYZ 0 697 0] endobj 24360 0 obj [4399 0 R /XYZ 0 697 0] endobj 24361 0 obj [4427 0 R /XYZ 0 697 0] endobj 24362 0 obj [4450 0 R /XYZ 0 697 0] endobj 24363 0 obj [4472 0 R /XYZ 0 697 0] endobj 24364 0 obj [4501 0 R /XYZ 0 697 0] endobj 24365 0 obj [4539 0 R /XYZ 0 697 0] endobj 24366 0 obj [4569 0 R /XYZ 0 697 0] endobj 24367 0 obj [4578 0 R /XYZ 0 697 0] endobj 24368 0 obj [4588 0 R /XYZ 0 697 0] endobj 24369 0 obj [4621 0 R /XYZ 0 697 0] endobj 24370 0 obj [4660 0 R /XYZ 0 697 0] endobj 24371 0 obj [4691 0 R /XYZ 0 697 0] endobj 24372 0 obj [4723 0 R /XYZ 0 697 0] endobj 24373 0 obj [4752 0 R /XYZ 0 697 0] endobj 24374 0 obj [4781 0 R /XYZ 0 697 0] endobj 24375 0 obj [4799 0 R /XYZ 0 697 0] endobj 24376 0 obj [4834 0 R /XYZ 0 697 0] endobj 24377 0 obj [4855 0 R /XYZ 0 697 0] endobj 24378 0 obj [4882 0 R /XYZ 0 697 0] endobj 24379 0 obj [4930 0 R /XYZ 0 697 0] endobj 24380 0 obj [4953 0 R /XYZ 0 697 0] endobj 24381 0 obj [4974 0 R /XYZ 0 697 0] endobj 24382 0 obj [4995 0 R /XYZ 0 697 0] endobj 24383 0 obj [5013 0 R /XYZ 0 697 0] endobj 24384 0 obj [5049 0 R /XYZ 0 697 0] endobj 24385 0 obj [5083 0 R /XYZ 0 697 0] endobj 24386 0 obj [5104 0 R /XYZ 0 697 0] endobj 24387 0 obj [5124 0 R /XYZ 0 697 0] endobj 24388 0 obj [5148 0 R /XYZ 0 697 0] endobj 24389 0 obj [5171 0 R /XYZ 0 697 0] endobj 24390 0 obj [5200 0 R /XYZ 0 697 0] endobj 24391 0 obj [5221 0 R /XYZ 0 697 0] endobj 24392 0 obj [5245 0 R /XYZ 0 697 0] endobj 24393 0 obj [5270 0 R /XYZ 0 697 0] endobj 24394 0 obj [5301 0 R /XYZ 0 697 0] endobj 24395 0 obj [5330 0 R /XYZ 0 697 0] endobj 24396 0 obj [5354 0 R /XYZ 0 697 0] endobj 24397 0 obj [5374 0 R /XYZ 0 697 0] endobj 24398 0 obj [5394 0 R /XYZ 0 697 0] endobj 24399 0 obj [5411 0 R /XYZ 0 697 0] endobj 24400 0 obj [5428 0 R /XYZ 0 697 0] endobj 24401 0 obj [5446 0 R /XYZ 0 697 0] endobj 24402 0 obj [5462 0 R /XYZ 0 697 0] endobj 24403 0 obj [5471 0 R /XYZ 0 697 0] endobj 24404 0 obj [5491 0 R /XYZ 0 697 0] endobj 24405 0 obj [5509 0 R /XYZ 0 697 0] endobj 24406 0 obj [5534 0 R /XYZ 0 697 0] endobj 24407 0 obj [5552 0 R /XYZ 0 697 0] endobj 24408 0 obj [5572 0 R /XYZ 0 697 0] endobj 24409 0 obj [5591 0 R /XYZ 0 697 0] endobj 24410 0 obj [5610 0 R /XYZ 0 697 0] endobj 24411 0 obj [5629 0 R /XYZ 0 697 0] endobj 24412 0 obj [5659 0 R /XYZ 0 697 0] endobj 24413 0 obj [5678 0 R /XYZ 0 697 0] endobj 24414 0 obj [5700 0 R /XYZ 0 697 0] endobj 24415 0 obj [5724 0 R /XYZ 0 697 0] endobj 24416 0 obj [5747 0 R /XYZ 0 697 0] endobj 24417 0 obj [5768 0 R /XYZ 0 697 0] endobj 24418 0 obj [5786 0 R /XYZ 0 697 0] endobj 24419 0 obj [5805 0 R /XYZ 0 697 0] endobj 24420 0 obj [5828 0 R /XYZ 0 697 0] endobj 24421 0 obj [5846 0 R /XYZ 0 697 0] endobj 24422 0 obj [5865 0 R /XYZ 0 697 0] endobj 24423 0 obj [5884 0 R /XYZ 0 697 0] endobj 24424 0 obj [5894 0 R /XYZ 0 697 0] endobj 24425 0 obj [5915 0 R /XYZ 0 697 0] endobj 24426 0 obj [5935 0 R /XYZ 0 697 0] endobj 24427 0 obj [5958 0 R /XYZ 0 697 0] endobj 24428 0 obj [5977 0 R /XYZ 0 697 0] endobj 24429 0 obj [5997 0 R /XYZ 0 697 0] endobj 24430 0 obj [6013 0 R /XYZ 0 697 0] endobj 24431 0 obj [6032 0 R /XYZ 0 697 0] endobj 24432 0 obj [6060 0 R /XYZ 0 697 0] endobj 24433 0 obj [6078 0 R /XYZ 0 697 0] endobj 24434 0 obj [6102 0 R /XYZ 0 697 0] endobj 24435 0 obj [6120 0 R /XYZ 0 697 0] endobj 24436 0 obj [6145 0 R /XYZ 0 697 0] endobj 24437 0 obj [6168 0 R /XYZ 0 697 0] endobj 24438 0 obj [6192 0 R /XYZ 0 697 0] endobj 24439 0 obj [6213 0 R /XYZ 0 697 0] endobj 24440 0 obj [6234 0 R /XYZ 0 697 0] endobj 24441 0 obj [6265 0 R /XYZ 0 697 0] endobj 24442 0 obj [6290 0 R /XYZ 0 697 0] endobj 24443 0 obj [6316 0 R /XYZ 0 697 0] endobj 24444 0 obj [6335 0 R /XYZ 0 697 0] endobj 24445 0 obj [6355 0 R /XYZ 0 697 0] endobj 24446 0 obj [6384 0 R /XYZ 0 697 0] endobj 24447 0 obj [6409 0 R /XYZ 0 697 0] endobj 24448 0 obj [6418 0 R /XYZ 0 697 0] endobj 24449 0 obj [6438 0 R /XYZ 0 697 0] endobj 24450 0 obj [6465 0 R /XYZ 0 697 0] endobj 24451 0 obj [6529 0 R /XYZ 0 697 0] endobj 24452 0 obj [6552 0 R /XYZ 0 697 0] endobj 24453 0 obj [6573 0 R /XYZ 0 697 0] endobj 24454 0 obj [6603 0 R /XYZ 0 697 0] endobj 24455 0 obj [6634 0 R /XYZ 0 697 0] endobj 24456 0 obj [6667 0 R /XYZ 0 697 0] endobj 24457 0 obj [6686 0 R /XYZ 0 697 0] endobj 24458 0 obj [6702 0 R /XYZ 0 697 0] endobj 24459 0 obj [6711 0 R /XYZ 0 697 0] endobj 24460 0 obj [6728 0 R /XYZ 0 697 0] endobj 24461 0 obj [6747 0 R /XYZ 0 697 0] endobj 24462 0 obj [6756 0 R /XYZ 0 697 0] endobj 24463 0 obj [6785 0 R /XYZ 0 697 0] endobj 24464 0 obj [6806 0 R /XYZ 0 697 0] endobj 24465 0 obj [6815 0 R /XYZ 0 697 0] endobj 24466 0 obj [6824 0 R /XYZ 0 697 0] endobj 24467 0 obj [6859 0 R /XYZ 0 697 0] endobj 24468 0 obj [6878 0 R /XYZ 0 697 0] endobj 24469 0 obj [6918 0 R /XYZ 0 697 0] endobj 24470 0 obj [6958 0 R /XYZ 0 697 0] endobj 24471 0 obj [6998 0 R /XYZ 0 697 0] endobj 24472 0 obj [7028 0 R /XYZ 0 697 0] endobj 24473 0 obj [7068 0 R /XYZ 0 697 0] endobj 24474 0 obj [7110 0 R /XYZ 0 697 0] endobj 24475 0 obj [7146 0 R /XYZ 0 697 0] endobj 24476 0 obj [7186 0 R /XYZ 0 697 0] endobj 24477 0 obj [7227 0 R /XYZ 0 697 0] endobj 24478 0 obj [7262 0 R /XYZ 0 697 0] endobj 24479 0 obj [7283 0 R /XYZ 0 697 0] endobj 24480 0 obj [7315 0 R /XYZ 0 697 0] endobj 24481 0 obj [7346 0 R /XYZ 0 697 0] endobj 24482 0 obj [7378 0 R /XYZ 0 697 0] endobj 24483 0 obj [7409 0 R /XYZ 0 697 0] endobj 24484 0 obj [7441 0 R /XYZ 0 697 0] endobj 24485 0 obj [7473 0 R /XYZ 0 697 0] endobj 24486 0 obj [7506 0 R /XYZ 0 697 0] endobj 24487 0 obj [7538 0 R /XYZ 0 697 0] endobj 24488 0 obj [7570 0 R /XYZ 0 697 0] endobj 24489 0 obj [7602 0 R /XYZ 0 697 0] endobj 24490 0 obj [7634 0 R /XYZ 0 697 0] endobj 24491 0 obj [7666 0 R /XYZ 0 697 0] endobj 24492 0 obj [7709 0 R /XYZ 0 697 0] endobj 24493 0 obj [7749 0 R /XYZ 0 697 0] endobj 24494 0 obj [7792 0 R /XYZ 0 697 0] endobj 24495 0 obj [7834 0 R /XYZ 0 697 0] endobj 24496 0 obj [7864 0 R /XYZ 0 697 0] endobj 24497 0 obj [7915 0 R /XYZ 0 697 0] endobj 24498 0 obj [7959 0 R /XYZ 0 697 0] endobj 24499 0 obj [7989 0 R /XYZ 0 697 0] endobj 24500 0 obj [8031 0 R /XYZ 0 697 0] endobj 24501 0 obj [8060 0 R /XYZ 0 697 0] endobj 24502 0 obj [8086 0 R /XYZ 0 697 0] endobj 24503 0 obj [8107 0 R /XYZ 0 697 0] endobj 24504 0 obj [8141 0 R /XYZ 0 697 0] endobj 24505 0 obj [8173 0 R /XYZ 0 697 0] endobj 24506 0 obj [8200 0 R /XYZ 0 697 0] endobj 24507 0 obj [8232 0 R /XYZ 0 697 0] endobj 24508 0 obj [8264 0 R /XYZ 0 697 0] endobj 24509 0 obj [8299 0 R /XYZ 0 697 0] endobj 24510 0 obj [8326 0 R /XYZ 0 697 0] endobj 24511 0 obj [8362 0 R /XYZ 0 697 0] endobj 24512 0 obj [8391 0 R /XYZ 0 697 0] endobj 24513 0 obj [8421 0 R /XYZ 0 697 0] endobj 24514 0 obj [8445 0 R /XYZ 0 697 0] endobj 24515 0 obj [8469 0 R /XYZ 0 697 0] endobj 24516 0 obj [8480 0 R /XYZ 0 697 0] endobj 24517 0 obj [8503 0 R /XYZ 0 697 0] endobj 24518 0 obj [8535 0 R /XYZ 0 697 0] endobj 24519 0 obj [8566 0 R /XYZ 0 697 0] endobj 24520 0 obj [8602 0 R /XYZ 0 697 0] endobj 24521 0 obj [8633 0 R /XYZ 0 697 0] endobj 24522 0 obj [8666 0 R /XYZ 0 697 0] endobj 24523 0 obj [8704 0 R /XYZ 0 697 0] endobj 24524 0 obj [8740 0 R /XYZ 0 697 0] endobj 24525 0 obj [8788 0 R /XYZ 0 697 0] endobj 24526 0 obj [8823 0 R /XYZ 0 697 0] endobj 24527 0 obj [8853 0 R /XYZ 0 697 0] endobj 24528 0 obj [8896 0 R /XYZ 0 697 0] endobj 24529 0 obj [8927 0 R /XYZ 0 697 0] endobj 24530 0 obj [8961 0 R /XYZ 0 697 0] endobj 24531 0 obj [9008 0 R /XYZ 0 697 0] endobj 24532 0 obj [9046 0 R /XYZ 0 697 0] endobj 24533 0 obj [9092 0 R /XYZ 0 697 0] endobj 24534 0 obj [9132 0 R /XYZ 0 697 0] endobj 24535 0 obj [9172 0 R /XYZ 0 697 0] endobj 24536 0 obj [9210 0 R /XYZ 0 697 0] endobj 24537 0 obj [9248 0 R /XYZ 0 697 0] endobj 24538 0 obj [9289 0 R /XYZ 0 697 0] endobj 24539 0 obj [9330 0 R /XYZ 0 697 0] endobj 24540 0 obj [9368 0 R /XYZ 0 697 0] endobj 24541 0 obj [9399 0 R /XYZ 0 697 0] endobj 24542 0 obj [9432 0 R /XYZ 0 697 0] endobj 24543 0 obj [9474 0 R /XYZ 0 697 0] endobj 24544 0 obj [9506 0 R /XYZ 0 697 0] endobj 24545 0 obj [9542 0 R /XYZ 0 697 0] endobj 24546 0 obj [9570 0 R /XYZ 0 697 0] endobj 24547 0 obj [9599 0 R /XYZ 0 697 0] endobj 24548 0 obj [9635 0 R /XYZ 0 697 0] endobj 24549 0 obj [9659 0 R /XYZ 0 697 0] endobj 24550 0 obj [9699 0 R /XYZ 0 697 0] endobj 24551 0 obj [9732 0 R /XYZ 0 697 0] endobj 24552 0 obj [9763 0 R /XYZ 0 697 0] endobj 24553 0 obj [9793 0 R /XYZ 0 697 0] endobj 24554 0 obj [9823 0 R /XYZ 0 697 0] endobj 24555 0 obj [9855 0 R /XYZ 0 697 0] endobj 24556 0 obj [9877 0 R /XYZ 0 697 0] endobj 24557 0 obj [9908 0 R /XYZ 0 697 0] endobj 24558 0 obj [9939 0 R /XYZ 0 697 0] endobj 24559 0 obj [9965 0 R /XYZ 0 697 0] endobj 24560 0 obj [10006 0 R /XYZ 0 697 0] endobj 24561 0 obj [10058 0 R /XYZ 0 697 0] endobj 24562 0 obj [10098 0 R /XYZ 0 697 0] endobj 24563 0 obj [10133 0 R /XYZ 0 697 0] endobj 24564 0 obj [10167 0 R /XYZ 0 697 0] endobj 24565 0 obj [10188 0 R /XYZ 0 697 0] endobj 24566 0 obj [10218 0 R /XYZ 0 697 0] endobj 24567 0 obj [10246 0 R /XYZ 0 697 0] endobj 24568 0 obj [10267 0 R /XYZ 0 697 0] endobj 24569 0 obj [10299 0 R /XYZ 0 697 0] endobj 24570 0 obj [10349 0 R /XYZ 0 697 0] endobj 24571 0 obj [10389 0 R /XYZ 0 697 0] endobj 24572 0 obj [10422 0 R /XYZ 0 697 0] endobj 24573 0 obj [10455 0 R /XYZ 0 697 0] endobj 24574 0 obj [10499 0 R /XYZ 0 697 0] endobj 24575 0 obj [10509 0 R /XYZ 0 697 0] endobj 24576 0 obj [10518 0 R /XYZ 0 697 0] endobj 24577 0 obj [10550 0 R /XYZ 0 697 0] endobj 24578 0 obj [10583 0 R /XYZ 0 697 0] endobj 24579 0 obj [10613 0 R /XYZ 0 697 0] endobj 24580 0 obj [10647 0 R /XYZ 0 697 0] endobj 24581 0 obj [10670 0 R /XYZ 0 697 0] endobj 24582 0 obj [10702 0 R /XYZ 0 697 0] endobj 24583 0 obj [10733 0 R /XYZ 0 697 0] endobj 24584 0 obj [10764 0 R /XYZ 0 697 0] endobj 24585 0 obj [10790 0 R /XYZ 0 697 0] endobj 24586 0 obj [10813 0 R /XYZ 0 697 0] endobj 24587 0 obj [10840 0 R /XYZ 0 697 0] endobj 24588 0 obj [10874 0 R /XYZ 0 697 0] endobj 24589 0 obj [10905 0 R /XYZ 0 697 0] endobj 24590 0 obj [10945 0 R /XYZ 0 697 0] endobj 24591 0 obj [10976 0 R /XYZ 0 697 0] endobj 24592 0 obj [11015 0 R /XYZ 0 697 0] endobj 24593 0 obj [11031 0 R /XYZ 0 697 0] endobj 24594 0 obj [11049 0 R /XYZ 0 697 0] endobj 24595 0 obj [11085 0 R /XYZ 0 697 0] endobj 24596 0 obj [11114 0 R /XYZ 0 697 0] endobj 24597 0 obj [11164 0 R /XYZ 0 697 0] endobj 24598 0 obj [11183 0 R /XYZ 0 697 0] endobj 24599 0 obj [11213 0 R /XYZ 0 697 0] endobj 24600 0 obj [11244 0 R /XYZ 0 697 0] endobj 24601 0 obj [11253 0 R /XYZ 0 697 0] endobj 24602 0 obj [11286 0 R /XYZ 0 697 0] endobj 24603 0 obj [11306 0 R /XYZ 0 697 0] endobj 24604 0 obj [11333 0 R /XYZ 0 697 0] endobj 24605 0 obj [11370 0 R /XYZ 0 697 0] endobj 24606 0 obj [11393 0 R /XYZ 0 697 0] endobj 24607 0 obj [11415 0 R /XYZ 0 697 0] endobj 24608 0 obj [11446 0 R /XYZ 0 697 0] endobj 24609 0 obj [11469 0 R /XYZ 0 697 0] endobj 24610 0 obj [11494 0 R /XYZ 0 697 0] endobj 24611 0 obj [11516 0 R /XYZ 0 697 0] endobj 24612 0 obj [11534 0 R /XYZ 0 697 0] endobj 24613 0 obj [11552 0 R /XYZ 0 697 0] endobj 24614 0 obj [11570 0 R /XYZ 0 697 0] endobj 24615 0 obj [11592 0 R /XYZ 0 697 0] endobj 24616 0 obj [11611 0 R /XYZ 0 697 0] endobj 24617 0 obj [11639 0 R /XYZ 0 697 0] endobj 24618 0 obj [11657 0 R /XYZ 0 697 0] endobj 24619 0 obj [11692 0 R /XYZ 0 697 0] endobj 24620 0 obj [11715 0 R /XYZ 0 697 0] endobj 24621 0 obj [11733 0 R /XYZ 0 697 0] endobj 24622 0 obj [11782 0 R /XYZ 0 697 0] endobj 24623 0 obj [11832 0 R /XYZ 0 697 0] endobj 24624 0 obj [11851 0 R /XYZ 0 697 0] endobj 24625 0 obj [11887 0 R /XYZ 0 697 0] endobj 24626 0 obj [11906 0 R /XYZ 0 697 0] endobj 24627 0 obj [11928 0 R /XYZ 0 697 0] endobj 24628 0 obj [11949 0 R /XYZ 0 697 0] endobj 24629 0 obj [11974 0 R /XYZ 0 697 0] endobj 24630 0 obj [12000 0 R /XYZ 0 697 0] endobj 24631 0 obj [12023 0 R /XYZ 0 697 0] endobj 24632 0 obj [12042 0 R /XYZ 0 697 0] endobj 24633 0 obj [12068 0 R /XYZ 0 697 0] endobj 24634 0 obj [12086 0 R /XYZ 0 697 0] endobj 24635 0 obj [12104 0 R /XYZ 0 697 0] endobj 24636 0 obj [12120 0 R /XYZ 0 697 0] endobj 24637 0 obj [12138 0 R /XYZ 0 697 0] endobj 24638 0 obj [12158 0 R /XYZ 0 697 0] endobj 24639 0 obj [12178 0 R /XYZ 0 697 0] endobj 24640 0 obj [12199 0 R /XYZ 0 697 0] endobj 24641 0 obj [12230 0 R /XYZ 0 697 0] endobj 24642 0 obj [12253 0 R /XYZ 0 697 0] endobj 24643 0 obj [12275 0 R /XYZ 0 697 0] endobj 24644 0 obj [12295 0 R /XYZ 0 697 0] endobj 24645 0 obj [12315 0 R /XYZ 0 697 0] endobj 24646 0 obj [12333 0 R /XYZ 0 697 0] endobj 24647 0 obj [12342 0 R /XYZ 0 697 0] endobj 24648 0 obj [12363 0 R /XYZ 0 697 0] endobj 24649 0 obj [12384 0 R /XYZ 0 697 0] endobj 24650 0 obj [12406 0 R /XYZ 0 697 0] endobj 24651 0 obj [12440 0 R /XYZ 0 697 0] endobj 24652 0 obj [12461 0 R /XYZ 0 697 0] endobj 24653 0 obj [12492 0 R /XYZ 0 697 0] endobj 24654 0 obj [12510 0 R /XYZ 0 697 0] endobj 24655 0 obj [12532 0 R /XYZ 0 697 0] endobj 24656 0 obj [12567 0 R /XYZ 0 697 0] endobj 24657 0 obj [12589 0 R /XYZ 0 697 0] endobj 24658 0 obj [12606 0 R /XYZ 0 697 0] endobj 24659 0 obj [12628 0 R /XYZ 0 697 0] endobj 24660 0 obj [12649 0 R /XYZ 0 697 0] endobj 24661 0 obj [12677 0 R /XYZ 0 697 0] endobj 24662 0 obj [12735 0 R /XYZ 0 697 0] endobj 24663 0 obj [12762 0 R /XYZ 0 697 0] endobj 24664 0 obj [12815 0 R /XYZ 0 697 0] endobj 24665 0 obj [12842 0 R /XYZ 0 697 0] endobj 24666 0 obj [12888 0 R /XYZ 0 697 0] endobj 24667 0 obj [12917 0 R /XYZ 0 697 0] endobj 24668 0 obj [12935 0 R /XYZ 0 697 0] endobj 24669 0 obj [12958 0 R /XYZ 0 697 0] endobj 24670 0 obj [12967 0 R /XYZ 0 697 0] endobj 24671 0 obj [12976 0 R /XYZ 0 697 0] endobj 24672 0 obj [13002 0 R /XYZ 0 697 0] endobj 24673 0 obj [13036 0 R /XYZ 0 697 0] endobj 24674 0 obj [13085 0 R /XYZ 0 697 0] endobj 24675 0 obj [13103 0 R /XYZ 0 697 0] endobj 24676 0 obj [13125 0 R /XYZ 0 697 0] endobj 24677 0 obj [13165 0 R /XYZ 0 697 0] endobj 24678 0 obj [13187 0 R /XYZ 0 697 0] endobj 24679 0 obj [13205 0 R /XYZ 0 697 0] endobj 24680 0 obj [13229 0 R /XYZ 0 697 0] endobj 24681 0 obj [13255 0 R /XYZ 0 697 0] endobj 24682 0 obj [13281 0 R /XYZ 0 697 0] endobj 24683 0 obj [13301 0 R /XYZ 0 697 0] endobj 24684 0 obj [13337 0 R /XYZ 0 697 0] endobj 24685 0 obj [13356 0 R /XYZ 0 697 0] endobj 24686 0 obj [13378 0 R /XYZ 0 697 0] endobj 24687 0 obj [13398 0 R /XYZ 0 697 0] endobj 24688 0 obj [13416 0 R /XYZ 0 697 0] endobj 24689 0 obj [13434 0 R /XYZ 0 697 0] endobj 24690 0 obj [13454 0 R /XYZ 0 697 0] endobj 24691 0 obj [13474 0 R /XYZ 0 697 0] endobj 24692 0 obj [13502 0 R /XYZ 0 697 0] endobj 24693 0 obj [13542 0 R /XYZ 0 697 0] endobj 24694 0 obj [13575 0 R /XYZ 0 697 0] endobj 24695 0 obj [13595 0 R /XYZ 0 697 0] endobj 24696 0 obj [13613 0 R /XYZ 0 697 0] endobj 24697 0 obj [13635 0 R /XYZ 0 697 0] endobj 24698 0 obj [13656 0 R /XYZ 0 697 0] endobj 24699 0 obj [13676 0 R /XYZ 0 697 0] endobj 24700 0 obj [13703 0 R /XYZ 0 697 0] endobj 24701 0 obj [13727 0 R /XYZ 0 697 0] endobj 24702 0 obj [13747 0 R /XYZ 0 697 0] endobj 24703 0 obj [13768 0 R /XYZ 0 697 0] endobj 24704 0 obj [13796 0 R /XYZ 0 697 0] endobj 24705 0 obj [13817 0 R /XYZ 0 697 0] endobj 24706 0 obj [13840 0 R /XYZ 0 697 0] endobj 24707 0 obj [13864 0 R /XYZ 0 697 0] endobj 24708 0 obj [13890 0 R /XYZ 0 697 0] endobj 24709 0 obj [13901 0 R /XYZ 0 697 0] endobj 24710 0 obj [13922 0 R /XYZ 0 697 0] endobj 24711 0 obj [13947 0 R /XYZ 0 697 0] endobj 24712 0 obj [13966 0 R /XYZ 0 697 0] endobj 24713 0 obj [13985 0 R /XYZ 0 697 0] endobj 24714 0 obj [14002 0 R /XYZ 0 697 0] endobj 24715 0 obj [14024 0 R /XYZ 0 697 0] endobj 24716 0 obj [14043 0 R /XYZ 0 697 0] endobj 24717 0 obj [14063 0 R /XYZ 0 697 0] endobj 24718 0 obj [14080 0 R /XYZ 0 697 0] endobj 24719 0 obj [14123 0 R /XYZ 0 697 0] endobj 24720 0 obj [14143 0 R /XYZ 0 697 0] endobj 24721 0 obj [14160 0 R /XYZ 0 697 0] endobj 24722 0 obj [14170 0 R /XYZ 0 697 0] endobj 24723 0 obj [14192 0 R /XYZ 0 697 0] endobj 24724 0 obj [14214 0 R /XYZ 0 697 0] endobj 24725 0 obj [14240 0 R /XYZ 0 697 0] endobj 24726 0 obj [14265 0 R /XYZ 0 697 0] endobj 24727 0 obj [14289 0 R /XYZ 0 697 0] endobj 24728 0 obj [14313 0 R /XYZ 0 697 0] endobj 24729 0 obj [14336 0 R /XYZ 0 697 0] endobj 24730 0 obj [14359 0 R /XYZ 0 697 0] endobj 24731 0 obj [14383 0 R /XYZ 0 697 0] endobj 24732 0 obj [14401 0 R /XYZ 0 697 0] endobj 24733 0 obj [14429 0 R /XYZ 0 697 0] endobj 24734 0 obj [14438 0 R /XYZ 0 697 0] endobj 24735 0 obj [14464 0 R /XYZ 0 697 0] endobj 24736 0 obj [14492 0 R /XYZ 0 697 0] endobj 24737 0 obj [14528 0 R /XYZ 0 697 0] endobj 24738 0 obj [14554 0 R /XYZ 0 697 0] endobj 24739 0 obj [14576 0 R /XYZ 0 697 0] endobj 24740 0 obj [14597 0 R /XYZ 0 697 0] endobj 24741 0 obj [14623 0 R /XYZ 0 697 0] endobj 24742 0 obj [14656 0 R /XYZ 0 697 0] endobj 24743 0 obj [14673 0 R /XYZ 0 697 0] endobj 24744 0 obj [14707 0 R /XYZ 0 697 0] endobj 24745 0 obj [14723 0 R /XYZ 0 697 0] endobj 24746 0 obj [14766 0 R /XYZ 0 697 0] endobj 24747 0 obj [14796 0 R /XYZ 0 697 0] endobj 24748 0 obj [14824 0 R /XYZ 0 697 0] endobj 24749 0 obj [14851 0 R /XYZ 0 697 0] endobj 24750 0 obj [14872 0 R /XYZ 0 697 0] endobj 24751 0 obj [14899 0 R /XYZ 0 697 0] endobj 24752 0 obj [14916 0 R /XYZ 0 697 0] endobj 24753 0 obj [14934 0 R /XYZ 0 697 0] endobj 24754 0 obj [14960 0 R /XYZ 0 697 0] endobj 24755 0 obj [14979 0 R /XYZ 0 697 0] endobj 24756 0 obj [15002 0 R /XYZ 0 697 0] endobj 24757 0 obj [15028 0 R /XYZ 0 697 0] endobj 24758 0 obj [15047 0 R /XYZ 0 697 0] endobj 24759 0 obj [15130 0 R /XYZ 0 697 0] endobj 24760 0 obj [15157 0 R /XYZ 0 697 0] endobj 24761 0 obj [15206 0 R /XYZ 0 697 0] endobj 24762 0 obj [15237 0 R /XYZ 0 697 0] endobj 24763 0 obj [15253 0 R /XYZ 0 697 0] endobj 24764 0 obj [15295 0 R /XYZ 0 697 0] endobj 24765 0 obj [15319 0 R /XYZ 0 697 0] endobj 24766 0 obj [15352 0 R /XYZ 0 697 0] endobj 24767 0 obj [15382 0 R /XYZ 0 697 0] endobj 24768 0 obj [15404 0 R /XYZ 0 697 0] endobj 24769 0 obj [15428 0 R /XYZ 0 697 0] endobj 24770 0 obj [15448 0 R /XYZ 0 697 0] endobj 24771 0 obj [15470 0 R /XYZ 0 697 0] endobj 24772 0 obj [15491 0 R /XYZ 0 697 0] endobj 24773 0 obj [15519 0 R /XYZ 0 697 0] endobj 24774 0 obj [15537 0 R /XYZ 0 697 0] endobj 24775 0 obj [15565 0 R /XYZ 0 697 0] endobj 24776 0 obj [15603 0 R /XYZ 0 697 0] endobj 24777 0 obj [15621 0 R /XYZ 0 697 0] endobj 24778 0 obj [15653 0 R /XYZ 0 697 0] endobj 24779 0 obj [15675 0 R /XYZ 0 697 0] endobj 24780 0 obj [15693 0 R /XYZ 0 697 0] endobj 24781 0 obj [15721 0 R /XYZ 0 697 0] endobj 24782 0 obj [15756 0 R /XYZ 0 697 0] endobj 24783 0 obj [15775 0 R /XYZ 0 697 0] endobj 24784 0 obj [15805 0 R /XYZ 0 697 0] endobj 24785 0 obj [15852 0 R /XYZ 0 697 0] endobj 24786 0 obj [15885 0 R /XYZ 0 697 0] endobj 24787 0 obj [15913 0 R /XYZ 0 697 0] endobj 24788 0 obj [15951 0 R /XYZ 0 697 0] endobj 24789 0 obj [15977 0 R /XYZ 0 697 0] endobj 24790 0 obj [16009 0 R /XYZ 0 697 0] endobj 24791 0 obj [16026 0 R /XYZ 0 697 0] endobj 24792 0 obj [16046 0 R /XYZ 0 697 0] endobj 24793 0 obj [16055 0 R /XYZ 0 697 0] endobj 24794 0 obj [16071 0 R /XYZ 0 697 0] endobj 24795 0 obj [16102 0 R /XYZ 0 697 0] endobj 24796 0 obj [16118 0 R /XYZ 0 697 0] endobj 24797 0 obj [16153 0 R /XYZ 0 697 0] endobj 24798 0 obj [16191 0 R /XYZ 0 697 0] endobj 24799 0 obj [16262 0 R /XYZ 0 697 0] endobj 24800 0 obj [16320 0 R /XYZ 0 697 0] endobj 24801 0 obj [16336 0 R /XYZ 0 697 0] endobj 24802 0 obj [16375 0 R /XYZ 0 697 0] endobj 24803 0 obj [16394 0 R /XYZ 0 697 0] endobj 24804 0 obj [16427 0 R /XYZ 0 697 0] endobj 24805 0 obj [16446 0 R /XYZ 0 697 0] endobj 24806 0 obj [16468 0 R /XYZ 0 697 0] endobj 24807 0 obj [16490 0 R /XYZ 0 697 0] endobj 24808 0 obj [16511 0 R /XYZ 0 697 0] endobj 24809 0 obj [16531 0 R /XYZ 0 697 0] endobj 24810 0 obj [16557 0 R /XYZ 0 697 0] endobj 24811 0 obj [16583 0 R /XYZ 0 697 0] endobj 24812 0 obj [16604 0 R /XYZ 0 697 0] endobj 24813 0 obj [16625 0 R /XYZ 0 697 0] endobj 24814 0 obj [16659 0 R /XYZ 0 697 0] endobj 24815 0 obj [16677 0 R /XYZ 0 697 0] endobj 24816 0 obj [16705 0 R /XYZ 0 697 0] endobj 24817 0 obj [16726 0 R /XYZ 0 697 0] endobj 24818 0 obj [16745 0 R /XYZ 0 697 0] endobj 24819 0 obj [16770 0 R /XYZ 0 697 0] endobj 24820 0 obj [16779 0 R /XYZ 0 697 0] endobj 24821 0 obj [16795 0 R /XYZ 0 697 0] endobj 24822 0 obj [16813 0 R /XYZ 0 697 0] endobj 24823 0 obj [16842 0 R /XYZ 0 697 0] endobj 24824 0 obj [16861 0 R /XYZ 0 697 0] endobj 24825 0 obj [16882 0 R /XYZ 0 697 0] endobj 24826 0 obj [16912 0 R /XYZ 0 697 0] endobj 24827 0 obj [16939 0 R /XYZ 0 697 0] endobj 24828 0 obj [16974 0 R /XYZ 0 697 0] endobj 24829 0 obj [17002 0 R /XYZ 0 697 0] endobj 24830 0 obj [17020 0 R /XYZ 0 697 0] endobj 24831 0 obj [17047 0 R /XYZ 0 697 0] endobj 24832 0 obj [17102 0 R /XYZ 0 697 0] endobj 24833 0 obj [17122 0 R /XYZ 0 697 0] endobj 24834 0 obj [17145 0 R /XYZ 0 697 0] endobj 24835 0 obj [17173 0 R /XYZ 0 697 0] endobj 24836 0 obj [17232 0 R /XYZ 0 697 0] endobj 24837 0 obj [17256 0 R /XYZ 0 697 0] endobj 24838 0 obj [17280 0 R /XYZ 0 697 0] endobj 24839 0 obj [17311 0 R /XYZ 0 697 0] endobj 24840 0 obj [17342 0 R /XYZ 0 697 0] endobj 24841 0 obj [17364 0 R /XYZ 0 697 0] endobj 24842 0 obj [17387 0 R /XYZ 0 697 0] endobj 24843 0 obj [17405 0 R /XYZ 0 697 0] endobj 24844 0 obj [17423 0 R /XYZ 0 697 0] endobj 24845 0 obj [17450 0 R /XYZ 0 697 0] endobj 24846 0 obj [17471 0 R /XYZ 0 697 0] endobj 24847 0 obj [17509 0 R /XYZ 0 697 0] endobj 24848 0 obj [17546 0 R /XYZ 0 697 0] endobj 24849 0 obj [17567 0 R /XYZ 0 697 0] endobj 24850 0 obj [17587 0 R /XYZ 0 697 0] endobj 24851 0 obj [17623 0 R /XYZ 0 697 0] endobj 24852 0 obj [17643 0 R /XYZ 0 697 0] endobj 24853 0 obj [17670 0 R /XYZ 0 697 0] endobj 24854 0 obj [17696 0 R /XYZ 0 697 0] endobj 24855 0 obj [17716 0 R /XYZ 0 697 0] endobj 24856 0 obj [17746 0 R /XYZ 0 697 0] endobj 24857 0 obj [17774 0 R /XYZ 0 697 0] endobj 24858 0 obj [17792 0 R /XYZ 0 697 0] endobj 24859 0 obj [17814 0 R /XYZ 0 697 0] endobj 24860 0 obj [17835 0 R /XYZ 0 697 0] endobj 24861 0 obj [17864 0 R /XYZ 0 697 0] endobj 24862 0 obj [17883 0 R /XYZ 0 697 0] endobj 24863 0 obj [17916 0 R /XYZ 0 697 0] endobj 24864 0 obj [17956 0 R /XYZ 0 697 0] endobj 24865 0 obj [17990 0 R /XYZ 0 697 0] endobj 24866 0 obj [18011 0 R /XYZ 0 697 0] endobj 24867 0 obj [18035 0 R /XYZ 0 697 0] endobj 24868 0 obj [18059 0 R /XYZ 0 697 0] endobj 24869 0 obj [18079 0 R /XYZ 0 697 0] endobj 24870 0 obj [18102 0 R /XYZ 0 697 0] endobj 24871 0 obj [18142 0 R /XYZ 0 697 0] endobj 24872 0 obj [18163 0 R /XYZ 0 697 0] endobj 24873 0 obj [18193 0 R /XYZ 0 697 0] endobj 24874 0 obj [18244 0 R /XYZ 0 697 0] endobj 24875 0 obj [18287 0 R /XYZ 0 697 0] endobj 24876 0 obj [18323 0 R /XYZ 0 697 0] endobj 24877 0 obj [18353 0 R /XYZ 0 697 0] endobj 24878 0 obj [18374 0 R /XYZ 0 697 0] endobj 24879 0 obj [18403 0 R /XYZ 0 697 0] endobj 24880 0 obj [18427 0 R /XYZ 0 697 0] endobj 24881 0 obj [18455 0 R /XYZ 0 697 0] endobj 24882 0 obj [18475 0 R /XYZ 0 697 0] endobj 24883 0 obj [18519 0 R /XYZ 0 697 0] endobj 24884 0 obj [18541 0 R /XYZ 0 697 0] endobj 24885 0 obj [18587 0 R /XYZ 0 697 0] endobj 24886 0 obj [18615 0 R /XYZ 0 697 0] endobj 24887 0 obj [18635 0 R /XYZ 0 697 0] endobj 24888 0 obj [18661 0 R /XYZ 0 697 0] endobj 24889 0 obj [18690 0 R /XYZ 0 697 0] endobj 24890 0 obj [18713 0 R /XYZ 0 697 0] endobj 24891 0 obj [18736 0 R /XYZ 0 697 0] endobj 24892 0 obj [18766 0 R /XYZ 0 697 0] endobj 24893 0 obj [18786 0 R /XYZ 0 697 0] endobj 24894 0 obj [18796 0 R /XYZ 0 697 0] endobj 24895 0 obj [18814 0 R /XYZ 0 697 0] endobj 24896 0 obj [18834 0 R /XYZ 0 697 0] endobj 24897 0 obj [18851 0 R /XYZ 0 697 0] endobj 24898 0 obj [18871 0 R /XYZ 0 697 0] endobj 24899 0 obj [18881 0 R /XYZ 0 697 0] endobj 24900 0 obj [18907 0 R /XYZ 0 697 0] endobj 24901 0 obj [18931 0 R /XYZ 0 697 0] endobj 24902 0 obj [18955 0 R /XYZ 0 697 0] endobj 24903 0 obj [18973 0 R /XYZ 0 697 0] endobj 24904 0 obj [18993 0 R /XYZ 0 697 0] endobj 24905 0 obj [19067 0 R /XYZ 0 697 0] endobj 24906 0 obj [19092 0 R /XYZ 0 697 0] endobj 24907 0 obj [19099 0 R /XYZ 0 697 0] endobj 24908 0 obj [19122 0 R /XYZ 0 697 0] endobj 24909 0 obj [19143 0 R /XYZ 0 697 0] endobj 24910 0 obj [19163 0 R /XYZ 0 697 0] endobj 24911 0 obj [19184 0 R /XYZ 0 697 0] endobj 24912 0 obj [19203 0 R /XYZ 0 697 0] endobj 24913 0 obj [19222 0 R /XYZ 0 697 0] endobj 24914 0 obj [19242 0 R /XYZ 0 697 0] endobj 24915 0 obj [19260 0 R /XYZ 0 697 0] endobj 24916 0 obj [19279 0 R /XYZ 0 697 0] endobj 24917 0 obj [19307 0 R /XYZ 0 697 0] endobj 24918 0 obj [19326 0 R /XYZ 0 697 0] endobj 24919 0 obj [19345 0 R /XYZ 0 697 0] endobj 24920 0 obj [19371 0 R /XYZ 0 697 0] endobj 24921 0 obj [19389 0 R /XYZ 0 697 0] endobj 24922 0 obj [19398 0 R /XYZ 0 697 0] endobj 24923 0 obj [19407 0 R /XYZ 0 697 0] endobj 24924 0 obj [19444 0 R /XYZ 0 697 0] endobj 24925 0 obj [19475 0 R /XYZ 0 697 0] endobj 24926 0 obj [19494 0 R /XYZ 0 697 0] endobj 24927 0 obj [19503 0 R /XYZ 0 697 0] endobj 24928 0 obj [19522 0 R /XYZ 0 697 0] endobj 24929 0 obj [19542 0 R /XYZ 0 697 0] endobj 24930 0 obj [19561 0 R /XYZ 0 697 0] endobj 24931 0 obj [19590 0 R /XYZ 0 697 0] endobj 24932 0 obj [19599 0 R /XYZ 0 697 0] endobj 24933 0 obj [19634 0 R /XYZ 0 697 0] endobj 24934 0 obj [19654 0 R /XYZ 0 697 0] endobj 24935 0 obj [19666 0 R /XYZ 0 697 0] endobj 24936 0 obj [19686 0 R /XYZ 0 697 0] endobj 24937 0 obj [19706 0 R /XYZ 0 697 0] endobj 24938 0 obj [19728 0 R /XYZ 0 697 0] endobj 24939 0 obj [19764 0 R /XYZ 0 697 0] endobj 24940 0 obj [19780 0 R /XYZ 0 697 0] endobj 24941 0 obj [19805 0 R /XYZ 0 697 0] endobj 24942 0 obj [19829 0 R /XYZ 0 697 0] endobj 24943 0 obj [19853 0 R /XYZ 0 697 0] endobj 24944 0 obj [19871 0 R /XYZ 0 697 0] endobj 24945 0 obj [19880 0 R /XYZ 0 697 0] endobj 24946 0 obj [19909 0 R /XYZ 0 697 0] endobj 24947 0 obj [19943 0 R /XYZ 0 697 0] endobj 24948 0 obj [19986 0 R /XYZ 0 697 0] endobj 24949 0 obj [20002 0 R /XYZ 0 697 0] endobj 24950 0 obj [20020 0 R /XYZ 0 697 0] endobj 24951 0 obj [20060 0 R /XYZ 0 697 0] endobj 24952 0 obj [20085 0 R /XYZ 0 697 0] endobj 24953 0 obj [20103 0 R /XYZ 0 697 0] endobj 24954 0 obj [20124 0 R /XYZ 0 697 0] endobj 24955 0 obj [20133 0 R /XYZ 0 697 0] endobj 24956 0 obj [20158 0 R /XYZ 0 697 0] endobj 24957 0 obj [20189 0 R /XYZ 0 697 0] endobj 24958 0 obj [20217 0 R /XYZ 0 697 0] endobj 24959 0 obj [20239 0 R /XYZ 0 697 0] endobj 24960 0 obj [20265 0 R /XYZ 0 697 0] endobj 24961 0 obj [20291 0 R /XYZ 0 697 0] endobj 24962 0 obj [20325 0 R /XYZ 0 697 0] endobj 24963 0 obj [20348 0 R /XYZ 0 697 0] endobj 24964 0 obj [20390 0 R /XYZ 0 697 0] endobj 24965 0 obj [20401 0 R /XYZ 0 697 0] endobj 24966 0 obj [20422 0 R /XYZ 0 697 0] endobj 24967 0 obj [20440 0 R /XYZ 0 697 0] endobj 24968 0 obj [20462 0 R /XYZ 0 697 0] endobj 24969 0 obj [20504 0 R /XYZ 0 697 0] endobj 24970 0 obj [20533 0 R /XYZ 0 697 0] endobj 24971 0 obj [20559 0 R /XYZ 0 697 0] endobj 24972 0 obj [20578 0 R /XYZ 0 697 0] endobj 24973 0 obj [20611 0 R /XYZ 0 697 0] endobj 24974 0 obj [20636 0 R /XYZ 0 697 0] endobj 24975 0 obj [20664 0 R /XYZ 0 697 0] endobj 24976 0 obj [20697 0 R /XYZ 0 697 0] endobj 24977 0 obj [20713 0 R /XYZ 0 697 0] endobj xref 0 24978 0000000000 65535 f 0000052499 00000 n 0000052977 00000 n 0000053177 00000 n 0000053616 00000 n 0000053690 00000 n 0000054751 00000 n 0000054826 00000 n 0000055653 00000 n 0000055981 00000 n 0000056179 00000 n 0000056386 00000 n 0000056591 00000 n 0000056791 00000 n 0000056988 00000 n 0000057187 00000 n 0000057387 00000 n 0000057588 00000 n 0000057785 00000 n 0000057989 00000 n 0000058185 00000 n 0000058386 00000 n 0000058583 00000 n 0000058778 00000 n 0000058973 00000 n 0000059173 00000 n 0000059374 00000 n 0000059577 00000 n 0000059787 00000 n 0000059994 00000 n 0000060206 00000 n 0000060405 00000 n 0000060603 00000 n 0000060800 00000 n 0000060999 00000 n 0000061204 00000 n 0000061404 00000 n 0000061614 00000 n 0000061820 00000 n 0000062025 00000 n 0000062231 00000 n 0000062434 00000 n 0000062637 00000 n 0000062839 00000 n 0000063042 00000 n 0000063254 00000 n 0000063458 00000 n 0000063668 00000 n 0000063865 00000 n 0000064070 00000 n 0000064275 00000 n 0000064471 00000 n 0000064674 00000 n 0000064882 00000 n 0000065086 00000 n 0000065286 00000 n 0000065487 00000 n 0000065699 00000 n 0000065909 00000 n 0000066110 00000 n 0000066315 00000 n 0000066524 00000 n 0000066730 00000 n 0000066935 00000 n 0000067141 00000 n 0000067346 00000 n 0000067543 00000 n 0000067733 00000 n 0000067801 00000 n 0000067879 00000 n 0000068944 00000 n 0000069022 00000 n 0000070087 00000 n 0000074476 00000 n 0000075039 00000 n 0000075298 00000 n 0000075545 00000 n 0000075746 00000 n 0000075821 00000 n 0000076883 00000 n 0000076959 00000 n 0000077787 00000 n 0000078116 00000 n 0000078184 00000 n 0000078262 00000 n 0000079327 00000 n 0000079405 00000 n 0000080470 00000 n 0000084651 00000 n 0000085156 00000 n 0000085431 00000 n 0000085698 00000 n 0000085899 00000 n 0000085975 00000 n 0000086803 00000 n 0000087132 00000 n 0000087200 00000 n 0000092037 00000 n 0000092519 00000 n 0000092794 00000 n 0000093048 00000 n 0000093250 00000 n 0000093327 00000 n 0000094155 00000 n 0000094485 00000 n 0000094554 00000 n 0000100046 00000 n 0000100516 00000 n 0000100718 00000 n 0000100796 00000 n 0000101629 00000 n 0000101959 00000 n 0000102028 00000 n 0000105621 00000 n 0000106091 00000 n 0000106293 00000 n 0000106371 00000 n 0000107203 00000 n 0000107533 00000 n 0000107602 00000 n 0000112091 00000 n 0000112590 00000 n 0000112792 00000 n 0000112870 00000 n 0000113702 00000 n 0000114032 00000 n 0000114101 00000 n 0000119094 00000 n 0000119587 00000 n 0000119857 00000 n 0000120059 00000 n 0000120137 00000 n 0000120969 00000 n 0000121299 00000 n 0000121368 00000 n 0000126115 00000 n 0000126571 00000 n 0000126773 00000 n 0000126851 00000 n 0000127683 00000 n 0000128014 00000 n 0000128083 00000 n 0000131402 00000 n 0000131886 00000 n 0000132088 00000 n 0000132166 00000 n 0000132999 00000 n 0000133330 00000 n 0000133399 00000 n 0000137316 00000 n 0000137758 00000 n 0000137960 00000 n 0000138038 00000 n 0000138871 00000 n 0000139202 00000 n 0000139271 00000 n 0000142377 00000 n 0000142819 00000 n 0000143021 00000 n 0000143099 00000 n 0000143932 00000 n 0000144263 00000 n 0000144332 00000 n 0000148366 00000 n 0000148822 00000 n 0000149024 00000 n 0000149102 00000 n 0000149935 00000 n 0000150266 00000 n 0000150335 00000 n 0000154592 00000 n 0000155034 00000 n 0000155236 00000 n 0000155314 00000 n 0000156146 00000 n 0000156477 00000 n 0000156546 00000 n 0000161221 00000 n 0000161691 00000 n 0000161893 00000 n 0000161971 00000 n 0000162803 00000 n 0000163134 00000 n 0000163203 00000 n 0000168598 00000 n 0000169083 00000 n 0000169285 00000 n 0000169363 00000 n 0000170195 00000 n 0000170526 00000 n 0000170595 00000 n 0000175584 00000 n 0000176077 00000 n 0000176336 00000 n 0000176538 00000 n 0000176616 00000 n 0000177448 00000 n 0000177779 00000 n 0000177848 00000 n 0000182299 00000 n 0000182755 00000 n 0000182957 00000 n 0000183035 00000 n 0000183867 00000 n 0000184198 00000 n 0000184267 00000 n 0000191004 00000 n 0000191432 00000 n 0000191634 00000 n 0000191712 00000 n 0000192544 00000 n 0000192875 00000 n 0000192944 00000 n 0000194777 00000 n 0000195275 00000 n 0000195352 00000 n 0000196416 00000 n 0000196618 00000 n 0000197162 00000 n 0000197240 00000 n 0000198072 00000 n 0000198403 00000 n 0000198615 00000 n 0000198826 00000 n 0000199033 00000 n 0000199275 00000 n 0000199502 00000 n 0000199735 00000 n 0000199945 00000 n 0000200156 00000 n 0000200363 00000 n 0000200585 00000 n 0000200798 00000 n 0000201017 00000 n 0000201233 00000 n 0000201445 00000 n 0000201654 00000 n 0000201878 00000 n 0000202087 00000 n 0000202300 00000 n 0000202542 00000 n 0000202765 00000 n 0000202980 00000 n 0000203192 00000 n 0000203411 00000 n 0000203634 00000 n 0000203850 00000 n 0000204060 00000 n 0000204274 00000 n 0000204488 00000 n 0000204725 00000 n 0000204934 00000 n 0000205140 00000 n 0000205361 00000 n 0000205580 00000 n 0000205791 00000 n 0000206004 00000 n 0000206225 00000 n 0000206433 00000 n 0000206644 00000 n 0000206881 00000 n 0000207092 00000 n 0000207309 00000 n 0000207515 00000 n 0000207739 00000 n 0000207941 00000 n 0000208136 00000 n 0000208333 00000 n 0000208522 00000 n 0000208716 00000 n 0000208913 00000 n 0000209108 00000 n 0000209318 00000 n 0000209522 00000 n 0000209726 00000 n 0000209926 00000 n 0000210130 00000 n 0000210334 00000 n 0000210531 00000 n 0000210729 00000 n 0000210933 00000 n 0000211131 00000 n 0000211319 00000 n 0000211503 00000 n 0000211697 00000 n 0000211766 00000 n 0000211845 00000 n 0000212911 00000 n 0000212990 00000 n 0000214056 00000 n 0000216851 00000 n 0000217240 00000 n 0000217442 00000 n 0000217930 00000 n 0000218008 00000 n 0000218841 00000 n 0000219172 00000 n 0000219364 00000 n 0000219561 00000 n 0000219774 00000 n 0000219986 00000 n 0000220201 00000 n 0000220412 00000 n 0000220613 00000 n 0000220817 00000 n 0000221015 00000 n 0000221213 00000 n 0000221409 00000 n 0000221615 00000 n 0000221821 00000 n 0000222026 00000 n 0000222229 00000 n 0000222433 00000 n 0000222636 00000 n 0000222839 00000 n 0000223047 00000 n 0000223249 00000 n 0000223450 00000 n 0000223656 00000 n 0000223861 00000 n 0000224064 00000 n 0000224269 00000 n 0000224470 00000 n 0000224669 00000 n 0000224863 00000 n 0000225066 00000 n 0000225269 00000 n 0000225467 00000 n 0000225662 00000 n 0000225857 00000 n 0000226065 00000 n 0000226269 00000 n 0000226469 00000 n 0000226669 00000 n 0000226864 00000 n 0000227059 00000 n 0000227262 00000 n 0000227457 00000 n 0000227653 00000 n 0000227847 00000 n 0000228044 00000 n 0000228244 00000 n 0000228444 00000 n 0000228637 00000 n 0000228834 00000 n 0000229033 00000 n 0000229228 00000 n 0000229426 00000 n 0000229630 00000 n 0000229834 00000 n 0000230031 00000 n 0000230226 00000 n 0000230428 00000 n 0000230497 00000 n 0000232816 00000 n 0000233205 00000 n 0000233417 00000 n 0000234209 00000 n 0000234287 00000 n 0000235118 00000 n 0000235449 00000 n 0000235650 00000 n 0000235850 00000 n 0000236051 00000 n 0000236247 00000 n 0000236445 00000 n 0000236642 00000 n 0000236843 00000 n 0000237066 00000 n 0000237270 00000 n 0000237463 00000 n 0000237672 00000 n 0000237877 00000 n 0000238078 00000 n 0000238285 00000 n 0000238493 00000 n 0000238695 00000 n 0000238898 00000 n 0000239097 00000 n 0000239295 00000 n 0000239491 00000 n 0000239685 00000 n 0000239885 00000 n 0000240080 00000 n 0000240276 00000 n 0000240469 00000 n 0000240664 00000 n 0000240871 00000 n 0000241063 00000 n 0000241261 00000 n 0000241453 00000 n 0000241651 00000 n 0000241847 00000 n 0000242042 00000 n 0000242243 00000 n 0000242450 00000 n 0000242652 00000 n 0000242853 00000 n 0000243049 00000 n 0000243245 00000 n 0000243442 00000 n 0000243644 00000 n 0000243840 00000 n 0000244044 00000 n 0000244249 00000 n 0000244446 00000 n 0000244649 00000 n 0000244862 00000 n 0000245059 00000 n 0000245263 00000 n 0000245463 00000 n 0000245670 00000 n 0000245871 00000 n 0000246067 00000 n 0000246267 00000 n 0000246463 00000 n 0000246658 00000 n 0000246863 00000 n 0000247065 00000 n 0000247265 00000 n 0000247467 00000 n 0000247668 00000 n 0000247873 00000 n 0000248069 00000 n 0000248267 00000 n 0000248469 00000 n 0000248665 00000 n 0000248876 00000 n 0000249085 00000 n 0000249284 00000 n 0000249480 00000 n 0000249679 00000 n 0000249889 00000 n 0000250105 00000 n 0000250300 00000 n 0000250513 00000 n 0000250723 00000 n 0000250933 00000 n 0000251137 00000 n 0000251344 00000 n 0000251548 00000 n 0000251746 00000 n 0000251934 00000 n 0000252151 00000 n 0000252363 00000 n 0000252564 00000 n 0000252763 00000 n 0000252963 00000 n 0000253159 00000 n 0000253356 00000 n 0000253550 00000 n 0000253741 00000 n 0000253947 00000 n 0000254147 00000 n 0000254350 00000 n 0000254419 00000 n 0000257361 00000 n 0000257750 00000 n 0000257962 00000 n 0000259034 00000 n 0000259112 00000 n 0000259945 00000 n 0000260276 00000 n 0000260474 00000 n 0000260678 00000 n 0000260879 00000 n 0000261081 00000 n 0000261283 00000 n 0000261481 00000 n 0000261680 00000 n 0000261880 00000 n 0000262083 00000 n 0000262282 00000 n 0000262486 00000 n 0000262690 00000 n 0000262893 00000 n 0000263099 00000 n 0000263302 00000 n 0000263503 00000 n 0000263705 00000 n 0000263910 00000 n 0000264116 00000 n 0000264321 00000 n 0000264527 00000 n 0000264729 00000 n 0000264933 00000 n 0000265137 00000 n 0000265337 00000 n 0000265543 00000 n 0000265742 00000 n 0000265945 00000 n 0000266149 00000 n 0000266355 00000 n 0000266556 00000 n 0000266758 00000 n 0000266961 00000 n 0000267166 00000 n 0000267373 00000 n 0000267579 00000 n 0000267784 00000 n 0000267985 00000 n 0000268188 00000 n 0000268389 00000 n 0000268587 00000 n 0000268788 00000 n 0000268981 00000 n 0000269176 00000 n 0000269368 00000 n 0000269570 00000 n 0000269768 00000 n 0000269966 00000 n 0000270164 00000 n 0000270364 00000 n 0000270565 00000 n 0000270769 00000 n 0000270975 00000 n 0000271176 00000 n 0000271379 00000 n 0000271587 00000 n 0000271789 00000 n 0000271990 00000 n 0000272191 00000 n 0000272394 00000 n 0000272597 00000 n 0000272798 00000 n 0000272999 00000 n 0000273202 00000 n 0000273403 00000 n 0000273604 00000 n 0000273795 00000 n 0000273991 00000 n 0000274187 00000 n 0000274381 00000 n 0000274579 00000 n 0000274777 00000 n 0000274973 00000 n 0000275170 00000 n 0000275367 00000 n 0000275564 00000 n 0000275763 00000 n 0000275962 00000 n 0000276152 00000 n 0000276345 00000 n 0000276539 00000 n 0000276732 00000 n 0000276927 00000 n 0000277123 00000 n 0000277320 00000 n 0000277515 00000 n 0000277713 00000 n 0000277910 00000 n 0000278108 00000 n 0000278303 00000 n 0000278499 00000 n 0000278700 00000 n 0000278899 00000 n 0000279108 00000 n 0000279313 00000 n 0000279518 00000 n 0000279721 00000 n 0000279923 00000 n 0000280123 00000 n 0000280323 00000 n 0000280526 00000 n 0000280730 00000 n 0000280928 00000 n 0000281127 00000 n 0000281327 00000 n 0000281534 00000 n 0000281740 00000 n 0000281945 00000 n 0000282141 00000 n 0000282343 00000 n 0000282540 00000 n 0000282741 00000 n 0000282944 00000 n 0000283148 00000 n 0000283349 00000 n 0000283550 00000 n 0000283752 00000 n 0000283950 00000 n 0000284153 00000 n 0000284356 00000 n 0000284559 00000 n 0000284764 00000 n 0000284965 00000 n 0000285168 00000 n 0000285369 00000 n 0000285569 00000 n 0000285772 00000 n 0000285977 00000 n 0000286179 00000 n 0000286248 00000 n 0000289698 00000 n 0000290087 00000 n 0000290289 00000 n 0000291081 00000 n 0000291159 00000 n 0000291992 00000 n 0000292323 00000 n 0000292520 00000 n 0000292718 00000 n 0000292911 00000 n 0000293111 00000 n 0000293297 00000 n 0000293488 00000 n 0000293679 00000 n 0000293866 00000 n 0000294056 00000 n 0000294249 00000 n 0000294447 00000 n 0000294641 00000 n 0000294834 00000 n 0000295032 00000 n 0000295228 00000 n 0000295430 00000 n 0000295621 00000 n 0000295809 00000 n 0000295994 00000 n 0000296191 00000 n 0000296375 00000 n 0000296570 00000 n 0000296763 00000 n 0000296952 00000 n 0000297149 00000 n 0000297353 00000 n 0000297544 00000 n 0000297735 00000 n 0000297928 00000 n 0000298125 00000 n 0000298320 00000 n 0000298518 00000 n 0000298712 00000 n 0000298907 00000 n 0000299097 00000 n 0000299297 00000 n 0000299491 00000 n 0000299684 00000 n 0000299883 00000 n 0000300077 00000 n 0000300273 00000 n 0000300471 00000 n 0000300669 00000 n 0000300869 00000 n 0000301073 00000 n 0000301262 00000 n 0000301452 00000 n 0000301643 00000 n 0000301841 00000 n 0000302039 00000 n 0000302239 00000 n 0000302433 00000 n 0000302636 00000 n 0000302834 00000 n 0000303033 00000 n 0000303238 00000 n 0000303431 00000 n 0000303626 00000 n 0000303823 00000 n 0000304022 00000 n 0000304210 00000 n 0000304401 00000 n 0000304594 00000 n 0000304790 00000 n 0000304988 00000 n 0000305179 00000 n 0000305370 00000 n 0000305563 00000 n 0000305755 00000 n 0000305954 00000 n 0000306158 00000 n 0000306353 00000 n 0000306552 00000 n 0000306759 00000 n 0000306970 00000 n 0000307172 00000 n 0000307370 00000 n 0000307560 00000 n 0000307754 00000 n 0000307942 00000 n 0000308143 00000 n 0000308338 00000 n 0000308534 00000 n 0000308729 00000 n 0000308925 00000 n 0000309122 00000 n 0000309323 00000 n 0000309518 00000 n 0000309716 00000 n 0000309908 00000 n 0000310106 00000 n 0000310309 00000 n 0000310514 00000 n 0000310715 00000 n 0000310784 00000 n 0000313763 00000 n 0000314152 00000 n 0000314354 00000 n 0000315186 00000 n 0000315264 00000 n 0000316096 00000 n 0000316427 00000 n 0000316622 00000 n 0000316820 00000 n 0000317029 00000 n 0000317242 00000 n 0000317439 00000 n 0000317637 00000 n 0000317841 00000 n 0000318032 00000 n 0000318224 00000 n 0000318415 00000 n 0000318601 00000 n 0000318792 00000 n 0000318983 00000 n 0000319174 00000 n 0000319365 00000 n 0000319556 00000 n 0000319747 00000 n 0000319938 00000 n 0000320129 00000 n 0000320320 00000 n 0000320511 00000 n 0000320706 00000 n 0000320900 00000 n 0000321096 00000 n 0000321290 00000 n 0000321492 00000 n 0000321694 00000 n 0000321888 00000 n 0000322085 00000 n 0000322285 00000 n 0000322485 00000 n 0000322689 00000 n 0000322892 00000 n 0000323092 00000 n 0000323285 00000 n 0000323478 00000 n 0000323671 00000 n 0000323872 00000 n 0000324065 00000 n 0000324264 00000 n 0000324464 00000 n 0000324666 00000 n 0000324867 00000 n 0000325062 00000 n 0000325248 00000 n 0000325443 00000 n 0000325640 00000 n 0000325833 00000 n 0000326020 00000 n 0000326218 00000 n 0000326413 00000 n 0000326618 00000 n 0000326819 00000 n 0000327019 00000 n 0000327218 00000 n 0000327423 00000 n 0000327627 00000 n 0000327823 00000 n 0000328023 00000 n 0000328228 00000 n 0000328426 00000 n 0000328621 00000 n 0000328811 00000 n 0000329007 00000 n 0000329196 00000 n 0000329393 00000 n 0000329586 00000 n 0000329773 00000 n 0000329967 00000 n 0000330168 00000 n 0000330364 00000 n 0000330560 00000 n 0000330751 00000 n 0000330946 00000 n 0000331144 00000 n 0000331342 00000 n 0000331531 00000 n 0000331724 00000 n 0000331924 00000 n 0000332128 00000 n 0000332324 00000 n 0000332511 00000 n 0000332702 00000 n 0000332892 00000 n 0000333086 00000 n 0000333278 00000 n 0000333475 00000 n 0000333666 00000 n 0000333858 00000 n 0000334051 00000 n 0000334244 00000 n 0000334439 00000 n 0000334638 00000 n 0000334837 00000 n 0000335032 00000 n 0000335230 00000 n 0000335425 00000 n 0000335615 00000 n 0000335805 00000 n 0000335874 00000 n 0000338959 00000 n 0000339348 00000 n 0000339550 00000 n 0000340350 00000 n 0000340428 00000 n 0000341261 00000 n 0000341592 00000 n 0000341786 00000 n 0000341983 00000 n 0000342181 00000 n 0000342375 00000 n 0000342570 00000 n 0000342765 00000 n 0000342955 00000 n 0000343143 00000 n 0000343334 00000 n 0000343536 00000 n 0000343734 00000 n 0000343943 00000 n 0000344133 00000 n 0000344321 00000 n 0000344515 00000 n 0000344706 00000 n 0000344895 00000 n 0000345086 00000 n 0000345284 00000 n 0000345487 00000 n 0000345694 00000 n 0000345884 00000 n 0000346069 00000 n 0000346255 00000 n 0000346457 00000 n 0000346662 00000 n 0000346857 00000 n 0000347052 00000 n 0000347244 00000 n 0000347433 00000 n 0000347621 00000 n 0000347823 00000 n 0000348022 00000 n 0000348217 00000 n 0000348425 00000 n 0000348626 00000 n 0000348824 00000 n 0000349023 00000 n 0000349229 00000 n 0000349435 00000 n 0000349640 00000 n 0000349835 00000 n 0000350035 00000 n 0000350229 00000 n 0000350423 00000 n 0000350612 00000 n 0000350801 00000 n 0000350998 00000 n 0000351187 00000 n 0000351383 00000 n 0000351579 00000 n 0000351766 00000 n 0000351952 00000 n 0000352144 00000 n 0000352337 00000 n 0000352537 00000 n 0000352735 00000 n 0000352930 00000 n 0000353132 00000 n 0000353327 00000 n 0000353522 00000 n 0000353726 00000 n 0000353921 00000 n 0000354118 00000 n 0000354314 00000 n 0000354509 00000 n 0000354700 00000 n 0000354889 00000 n 0000355085 00000 n 0000355277 00000 n 0000355471 00000 n 0000355671 00000 n 0000355875 00000 n 0000356078 00000 n 0000356282 00000 n 0000356484 00000 n 0000356689 00000 n 0000356888 00000 n 0000357083 00000 n 0000357281 00000 n 0000357481 00000 n 0000357685 00000 n 0000357887 00000 n 0000358084 00000 n 0000358282 00000 n 0000358488 00000 n 0000358686 00000 n 0000358884 00000 n 0000359085 00000 n 0000359291 00000 n 0000359499 00000 n 0000359695 00000 n 0000359896 00000 n 0000360094 00000 n 0000360300 00000 n 0000360369 00000 n 0000363279 00000 n 0000363670 00000 n 0000363872 00000 n 0000364687 00000 n 0000364765 00000 n 0000365597 00000 n 0000365928 00000 n 0000366128 00000 n 0000366325 00000 n 0000366515 00000 n 0000366703 00000 n 0000366895 00000 n 0000367098 00000 n 0000367302 00000 n 0000367507 00000 n 0000367701 00000 n 0000367903 00000 n 0000368092 00000 n 0000368297 00000 n 0000368499 00000 n 0000368690 00000 n 0000368882 00000 n 0000369080 00000 n 0000369272 00000 n 0000369465 00000 n 0000369659 00000 n 0000369848 00000 n 0000370044 00000 n 0000370231 00000 n 0000370434 00000 n 0000370628 00000 n 0000370822 00000 n 0000371019 00000 n 0000371213 00000 n 0000371404 00000 n 0000371601 00000 n 0000371788 00000 n 0000371982 00000 n 0000372177 00000 n 0000372373 00000 n 0000372565 00000 n 0000372769 00000 n 0000372964 00000 n 0000373158 00000 n 0000373349 00000 n 0000373538 00000 n 0000373736 00000 n 0000373931 00000 n 0000374126 00000 n 0000374316 00000 n 0000374513 00000 n 0000374698 00000 n 0000374887 00000 n 0000375089 00000 n 0000375277 00000 n 0000375470 00000 n 0000375658 00000 n 0000375843 00000 n 0000376036 00000 n 0000376225 00000 n 0000376421 00000 n 0000376613 00000 n 0000376803 00000 n 0000376994 00000 n 0000377187 00000 n 0000377371 00000 n 0000377560 00000 n 0000377758 00000 n 0000377948 00000 n 0000378144 00000 n 0000378345 00000 n 0000378539 00000 n 0000378732 00000 n 0000378921 00000 n 0000379115 00000 n 0000379311 00000 n 0000379506 00000 n 0000379698 00000 n 0000379892 00000 n 0000380092 00000 n 0000380281 00000 n 0000380468 00000 n 0000380657 00000 n 0000380853 00000 n 0000381053 00000 n 0000381248 00000 n 0000381436 00000 n 0000381632 00000 n 0000381821 00000 n 0000382013 00000 n 0000382214 00000 n 0000382408 00000 n 0000382600 00000 n 0000382801 00000 n 0000382996 00000 n 0000383201 00000 n 0000383399 00000 n 0000383599 00000 n 0000383802 00000 n 0000383994 00000 n 0000384184 00000 n 0000384381 00000 n 0000384579 00000 n 0000384649 00000 n 0000387796 00000 n 0000388191 00000 n 0000388394 00000 n 0000389418 00000 n 0000389497 00000 n 0000390331 00000 n 0000390663 00000 n 0000390859 00000 n 0000391046 00000 n 0000391237 00000 n 0000391426 00000 n 0000391614 00000 n 0000391805 00000 n 0000392005 00000 n 0000392199 00000 n 0000392396 00000 n 0000392588 00000 n 0000392784 00000 n 0000392974 00000 n 0000393163 00000 n 0000393357 00000 n 0000393549 00000 n 0000393739 00000 n 0000393937 00000 n 0000394138 00000 n 0000394341 00000 n 0000394552 00000 n 0000394754 00000 n 0000394957 00000 n 0000395165 00000 n 0000395362 00000 n 0000395558 00000 n 0000395750 00000 n 0000395949 00000 n 0000396142 00000 n 0000396339 00000 n 0000396535 00000 n 0000396722 00000 n 0000396923 00000 n 0000397125 00000 n 0000397331 00000 n 0000397525 00000 n 0000397734 00000 n 0000397936 00000 n 0000398138 00000 n 0000398338 00000 n 0000398536 00000 n 0000398735 00000 n 0000398937 00000 n 0000399140 00000 n 0000399332 00000 n 0000399527 00000 n 0000399721 00000 n 0000399922 00000 n 0000400118 00000 n 0000400313 00000 n 0000400511 00000 n 0000400716 00000 n 0000400907 00000 n 0000401106 00000 n 0000401312 00000 n 0000401506 00000 n 0000401703 00000 n 0000401896 00000 n 0000402109 00000 n 0000402306 00000 n 0000402501 00000 n 0000402699 00000 n 0000402898 00000 n 0000403101 00000 n 0000403302 00000 n 0000403501 00000 n 0000403699 00000 n 0000403891 00000 n 0000404098 00000 n 0000404303 00000 n 0000404498 00000 n 0000404701 00000 n 0000404911 00000 n 0000405111 00000 n 0000405307 00000 n 0000405493 00000 n 0000405688 00000 n 0000405882 00000 n 0000406078 00000 n 0000406269 00000 n 0000406463 00000 n 0000406658 00000 n 0000406847 00000 n 0000407038 00000 n 0000407230 00000 n 0000407423 00000 n 0000407613 00000 n 0000407803 00000 n 0000408003 00000 n 0000408198 00000 n 0000408396 00000 n 0000408586 00000 n 0000408777 00000 n 0000408982 00000 n 0000409172 00000 n 0000409361 00000 n 0000409552 00000 n 0000409744 00000 n 0000409942 00000 n 0000410140 00000 n 0000410339 00000 n 0000410531 00000 n 0000410730 00000 n 0000410922 00000 n 0000411120 00000 n 0000411315 00000 n 0000411519 00000 n 0000411713 00000 n 0000411900 00000 n 0000412092 00000 n 0000412162 00000 n 0000415405 00000 n 0000415800 00000 n 0000416003 00000 n 0000416892 00000 n 0000416971 00000 n 0000417805 00000 n 0000418137 00000 n 0000418330 00000 n 0000418525 00000 n 0000418720 00000 n 0000418919 00000 n 0000419114 00000 n 0000419315 00000 n 0000419516 00000 n 0000419710 00000 n 0000419907 00000 n 0000420103 00000 n 0000420290 00000 n 0000420485 00000 n 0000420676 00000 n 0000420865 00000 n 0000421059 00000 n 0000421251 00000 n 0000421435 00000 n 0000421621 00000 n 0000421812 00000 n 0000422019 00000 n 0000422220 00000 n 0000422422 00000 n 0000422616 00000 n 0000422811 00000 n 0000423004 00000 n 0000423197 00000 n 0000423390 00000 n 0000423586 00000 n 0000423775 00000 n 0000423977 00000 n 0000424175 00000 n 0000424369 00000 n 0000424555 00000 n 0000424751 00000 n 0000424950 00000 n 0000425148 00000 n 0000425341 00000 n 0000425532 00000 n 0000425728 00000 n 0000425920 00000 n 0000426110 00000 n 0000426304 00000 n 0000426502 00000 n 0000426690 00000 n 0000426878 00000 n 0000427066 00000 n 0000427251 00000 n 0000427435 00000 n 0000427623 00000 n 0000427813 00000 n 0000428001 00000 n 0000428201 00000 n 0000428387 00000 n 0000428578 00000 n 0000428772 00000 n 0000428963 00000 n 0000429162 00000 n 0000429356 00000 n 0000429550 00000 n 0000429742 00000 n 0000429941 00000 n 0000430132 00000 n 0000430323 00000 n 0000430514 00000 n 0000430708 00000 n 0000430907 00000 n 0000431102 00000 n 0000431296 00000 n 0000431494 00000 n 0000431683 00000 n 0000431867 00000 n 0000432058 00000 n 0000432263 00000 n 0000432452 00000 n 0000432638 00000 n 0000432824 00000 n 0000433008 00000 n 0000433195 00000 n 0000433386 00000 n 0000433577 00000 n 0000433777 00000 n 0000433962 00000 n 0000434158 00000 n 0000434347 00000 n 0000434537 00000 n 0000434730 00000 n 0000434934 00000 n 0000435138 00000 n 0000435339 00000 n 0000435541 00000 n 0000435743 00000 n 0000435943 00000 n 0000436140 00000 n 0000436334 00000 n 0000436404 00000 n 0000439452 00000 n 0000439847 00000 n 0000440050 00000 n 0000440768 00000 n 0000440847 00000 n 0000441681 00000 n 0000442013 00000 n 0000442213 00000 n 0000442410 00000 n 0000442607 00000 n 0000442808 00000 n 0000443005 00000 n 0000443196 00000 n 0000443396 00000 n 0000443595 00000 n 0000443797 00000 n 0000443996 00000 n 0000444204 00000 n 0000444412 00000 n 0000444609 00000 n 0000444812 00000 n 0000445015 00000 n 0000445206 00000 n 0000445404 00000 n 0000445609 00000 n 0000445807 00000 n 0000446007 00000 n 0000446209 00000 n 0000446407 00000 n 0000446609 00000 n 0000446800 00000 n 0000447008 00000 n 0000447208 00000 n 0000447411 00000 n 0000447610 00000 n 0000447810 00000 n 0000448005 00000 n 0000448204 00000 n 0000448406 00000 n 0000448597 00000 n 0000448792 00000 n 0000448987 00000 n 0000449185 00000 n 0000449381 00000 n 0000449587 00000 n 0000449782 00000 n 0000449980 00000 n 0000450176 00000 n 0000450369 00000 n 0000450564 00000 n 0000450754 00000 n 0000450942 00000 n 0000451135 00000 n 0000451327 00000 n 0000451525 00000 n 0000451719 00000 n 0000451924 00000 n 0000452118 00000 n 0000452311 00000 n 0000452507 00000 n 0000452709 00000 n 0000452905 00000 n 0000453105 00000 n 0000453302 00000 n 0000453504 00000 n 0000453703 00000 n 0000453910 00000 n 0000454117 00000 n 0000454310 00000 n 0000454506 00000 n 0000454699 00000 n 0000454894 00000 n 0000455089 00000 n 0000455278 00000 n 0000455476 00000 n 0000455672 00000 n 0000455862 00000 n 0000456058 00000 n 0000456251 00000 n 0000456448 00000 n 0000456647 00000 n 0000456843 00000 n 0000456913 00000 n 0000459680 00000 n 0000460075 00000 n 0000460278 00000 n 0000461347 00000 n 0000461426 00000 n 0000462260 00000 n 0000462592 00000 n 0000462781 00000 n 0000462971 00000 n 0000463169 00000 n 0000463361 00000 n 0000463559 00000 n 0000463760 00000 n 0000463966 00000 n 0000464169 00000 n 0000464367 00000 n 0000464556 00000 n 0000464746 00000 n 0000464937 00000 n 0000465128 00000 n 0000465317 00000 n 0000465508 00000 n 0000465705 00000 n 0000465904 00000 n 0000466103 00000 n 0000466298 00000 n 0000466499 00000 n 0000466706 00000 n 0000466911 00000 n 0000467111 00000 n 0000467316 00000 n 0000467514 00000 n 0000467718 00000 n 0000467912 00000 n 0000468106 00000 n 0000468304 00000 n 0000468501 00000 n 0000468700 00000 n 0000468902 00000 n 0000469106 00000 n 0000469301 00000 n 0000469498 00000 n 0000469699 00000 n 0000469885 00000 n 0000470079 00000 n 0000470268 00000 n 0000470457 00000 n 0000470649 00000 n 0000470846 00000 n 0000471042 00000 n 0000471244 00000 n 0000471449 00000 n 0000471641 00000 n 0000471833 00000 n 0000472023 00000 n 0000472209 00000 n 0000472403 00000 n 0000472596 00000 n 0000472788 00000 n 0000472978 00000 n 0000473168 00000 n 0000473376 00000 n 0000473582 00000 n 0000473779 00000 n 0000473975 00000 n 0000474169 00000 n 0000474364 00000 n 0000474558 00000 n 0000474749 00000 n 0000474939 00000 n 0000475142 00000 n 0000475339 00000 n 0000475542 00000 n 0000475732 00000 n 0000475924 00000 n 0000476126 00000 n 0000476331 00000 n 0000476535 00000 n 0000476743 00000 n 0000476948 00000 n 0000477143 00000 n 0000477337 00000 n 0000477535 00000 n 0000477733 00000 n 0000477932 00000 n 0000478124 00000 n 0000478323 00000 n 0000478515 00000 n 0000478714 00000 n 0000478914 00000 n 0000479110 00000 n 0000479314 00000 n 0000479511 00000 n 0000479709 00000 n 0000479897 00000 n 0000480091 00000 n 0000480285 00000 n 0000480486 00000 n 0000480681 00000 n 0000480876 00000 n 0000481081 00000 n 0000481282 00000 n 0000481489 00000 n 0000481700 00000 n 0000481904 00000 n 0000482111 00000 n 0000482311 00000 n 0000482518 00000 n 0000482718 00000 n 0000482927 00000 n 0000483132 00000 n 0000483329 00000 n 0000483528 00000 n 0000483726 00000 n 0000483922 00000 n 0000484125 00000 n 0000484331 00000 n 0000484534 00000 n 0000484739 00000 n 0000484947 00000 n 0000485150 00000 n 0000485220 00000 n 0000488672 00000 n 0000489067 00000 n 0000489270 00000 n 0000489331 00000 n 0000489410 00000 n 0000490244 00000 n 0000490576 00000 n 0000490771 00000 n 0000490972 00000 n 0000491042 00000 n 0000492309 00000 n 0000492888 00000 n 0000492966 00000 n 0000494031 00000 n 0000494234 00000 n 0000494313 00000 n 0000495147 00000 n 0000495479 00000 n 0000495549 00000 n 0000495629 00000 n 0000496696 00000 n 0000496776 00000 n 0000497843 00000 n 0000501754 00000 n 0000502234 00000 n 0000502437 00000 n 0000502498 00000 n 0000502577 00000 n 0000503412 00000 n 0000503744 00000 n 0000503947 00000 n 0000504150 00000 n 0000504220 00000 n 0000508454 00000 n 0000508907 00000 n 0000509110 00000 n 0000509171 00000 n 0000509250 00000 n 0000510084 00000 n 0000510416 00000 n 0000510638 00000 n 0000510854 00000 n 0000510924 00000 n 0000515579 00000 n 0000516045 00000 n 0000516248 00000 n 0000516318 00000 n 0000516397 00000 n 0000517231 00000 n 0000517563 00000 n 0000517767 00000 n 0000517984 00000 n 0000518190 00000 n 0000518260 00000 n 0000521625 00000 n 0000522089 00000 n 0000522292 00000 n 0000522371 00000 n 0000523204 00000 n 0000523536 00000 n 0000523606 00000 n 0000528276 00000 n 0000528782 00000 n 0000528985 00000 n 0000529064 00000 n 0000529897 00000 n 0000530229 00000 n 0000530299 00000 n 0000535008 00000 n 0000535446 00000 n 0000535649 00000 n 0000535728 00000 n 0000535807 00000 n 0000536641 00000 n 0000536973 00000 n 0000537175 00000 n 0000537385 00000 n 0000537595 00000 n 0000537792 00000 n 0000537862 00000 n 0000540170 00000 n 0000540623 00000 n 0000540826 00000 n 0000540887 00000 n 0000540966 00000 n 0000541799 00000 n 0000542131 00000 n 0000542341 00000 n 0000542562 00000 n 0000542632 00000 n 0000547373 00000 n 0000547868 00000 n 0000548118 00000 n 0000548390 00000 n 0000548593 00000 n 0000548672 00000 n 0000549506 00000 n 0000549838 00000 n 0000549908 00000 n 0000554486 00000 n 0000554963 00000 n 0000555166 00000 n 0000555245 00000 n 0000556078 00000 n 0000556410 00000 n 0000556480 00000 n 0000560698 00000 n 0000561122 00000 n 0000561325 00000 n 0000561458 00000 n 0000561537 00000 n 0000562371 00000 n 0000562703 00000 n 0000562906 00000 n 0000563112 00000 n 0000563309 00000 n 0000563513 00000 n 0000563713 00000 n 0000563917 00000 n 0000564120 00000 n 0000564328 00000 n 0000564536 00000 n 0000564741 00000 n 0000564811 00000 n 0000566617 00000 n 0000567070 00000 n 0000567273 00000 n 0000567325 00000 n 0000567404 00000 n 0000568237 00000 n 0000568569 00000 n 0000568788 00000 n 0000568858 00000 n 0000573699 00000 n 0000574191 00000 n 0000574394 00000 n 0000574473 00000 n 0000575306 00000 n 0000575638 00000 n 0000575708 00000 n 0000582188 00000 n 0000582665 00000 n 0000582868 00000 n 0000582947 00000 n 0000583781 00000 n 0000584113 00000 n 0000584183 00000 n 0000588399 00000 n 0000588865 00000 n 0000589068 00000 n 0000589174 00000 n 0000589253 00000 n 0000590086 00000 n 0000590418 00000 n 0000590624 00000 n 0000590829 00000 n 0000591031 00000 n 0000591231 00000 n 0000591436 00000 n 0000591638 00000 n 0000591839 00000 n 0000591909 00000 n 0000594407 00000 n 0000594914 00000 n 0000595117 00000 n 0000595196 00000 n 0000596029 00000 n 0000596361 00000 n 0000596431 00000 n 0000601434 00000 n 0000601911 00000 n 0000602114 00000 n 0000602193 00000 n 0000603028 00000 n 0000603360 00000 n 0000603430 00000 n 0000607990 00000 n 0000608496 00000 n 0000608699 00000 n 0000608778 00000 n 0000609611 00000 n 0000609943 00000 n 0000610013 00000 n 0000614826 00000 n 0000615292 00000 n 0000615495 00000 n 0000615565 00000 n 0000615644 00000 n 0000616478 00000 n 0000616810 00000 n 0000617015 00000 n 0000617219 00000 n 0000617425 00000 n 0000617495 00000 n 0000619669 00000 n 0000620166 00000 n 0000620429 00000 n 0000620632 00000 n 0000620693 00000 n 0000620773 00000 n 0000621611 00000 n 0000621943 00000 n 0000622163 00000 n 0000622233 00000 n 0000628009 00000 n 0000628476 00000 n 0000628679 00000 n 0000628812 00000 n 0000628892 00000 n 0000629728 00000 n 0000630060 00000 n 0000630266 00000 n 0000630476 00000 n 0000630686 00000 n 0000630890 00000 n 0000631100 00000 n 0000631305 00000 n 0000631512 00000 n 0000631721 00000 n 0000631928 00000 n 0000632135 00000 n 0000632205 00000 n 0000635460 00000 n 0000635853 00000 n 0000636056 00000 n 0000636136 00000 n 0000636973 00000 n 0000637305 00000 n 0000637375 00000 n 0000638533 00000 n 0000639030 00000 n 0000639233 00000 n 0000639285 00000 n 0000639365 00000 n 0000640203 00000 n 0000640535 00000 n 0000640755 00000 n 0000640825 00000 n 0000646307 00000 n 0000646814 00000 n 0000647017 00000 n 0000647097 00000 n 0000647934 00000 n 0000648266 00000 n 0000648336 00000 n 0000653761 00000 n 0000654200 00000 n 0000654403 00000 n 0000654518 00000 n 0000654598 00000 n 0000655435 00000 n 0000655767 00000 n 0000655971 00000 n 0000656172 00000 n 0000656373 00000 n 0000656571 00000 n 0000656777 00000 n 0000656981 00000 n 0000657194 00000 n 0000657402 00000 n 0000657472 00000 n 0000659992 00000 n 0000660446 00000 n 0000660649 00000 n 0000660719 00000 n 0000660799 00000 n 0000661636 00000 n 0000661968 00000 n 0000662187 00000 n 0000662410 00000 n 0000662652 00000 n 0000662722 00000 n 0000667447 00000 n 0000667928 00000 n 0000668131 00000 n 0000668201 00000 n 0000668281 00000 n 0000669119 00000 n 0000669451 00000 n 0000669657 00000 n 0000669865 00000 n 0000670070 00000 n 0000670140 00000 n 0000673420 00000 n 0000673860 00000 n 0000674063 00000 n 0000674124 00000 n 0000674204 00000 n 0000675042 00000 n 0000675374 00000 n 0000675585 00000 n 0000675814 00000 n 0000675884 00000 n 0000679140 00000 n 0000679591 00000 n 0000679794 00000 n 0000679874 00000 n 0000680712 00000 n 0000681044 00000 n 0000681114 00000 n 0000686049 00000 n 0000686516 00000 n 0000686719 00000 n 0000686771 00000 n 0000686851 00000 n 0000687689 00000 n 0000688021 00000 n 0000688240 00000 n 0000688310 00000 n 0000692164 00000 n 0000692631 00000 n 0000692885 00000 n 0000693157 00000 n 0000693360 00000 n 0000693457 00000 n 0000693537 00000 n 0000694375 00000 n 0000694707 00000 n 0000694912 00000 n 0000695119 00000 n 0000695327 00000 n 0000695540 00000 n 0000695610 00000 n 0000699551 00000 n 0000700033 00000 n 0000700236 00000 n 0000700288 00000 n 0000700368 00000 n 0000701206 00000 n 0000701538 00000 n 0000701759 00000 n 0000701829 00000 n 0000706312 00000 n 0000706779 00000 n 0000706982 00000 n 0000707061 00000 n 0000707141 00000 n 0000707979 00000 n 0000708311 00000 n 0000708512 00000 n 0000708719 00000 n 0000708927 00000 n 0000709138 00000 n 0000709208 00000 n 0000712885 00000 n 0000713367 00000 n 0000713570 00000 n 0000713622 00000 n 0000713702 00000 n 0000714541 00000 n 0000714873 00000 n 0000715094 00000 n 0000715164 00000 n 0000719311 00000 n 0000719778 00000 n 0000719981 00000 n 0000720060 00000 n 0000720140 00000 n 0000720977 00000 n 0000721309 00000 n 0000721510 00000 n 0000721719 00000 n 0000721925 00000 n 0000722136 00000 n 0000722206 00000 n 0000725679 00000 n 0000726161 00000 n 0000726364 00000 n 0000726416 00000 n 0000726496 00000 n 0000727334 00000 n 0000727666 00000 n 0000727887 00000 n 0000727957 00000 n 0000732121 00000 n 0000732588 00000 n 0000732791 00000 n 0000732870 00000 n 0000732950 00000 n 0000733787 00000 n 0000734119 00000 n 0000734320 00000 n 0000734529 00000 n 0000734736 00000 n 0000734949 00000 n 0000735019 00000 n 0000737864 00000 n 0000738346 00000 n 0000738549 00000 n 0000738601 00000 n 0000738681 00000 n 0000739518 00000 n 0000739850 00000 n 0000740072 00000 n 0000740142 00000 n 0000744990 00000 n 0000745468 00000 n 0000745671 00000 n 0000745751 00000 n 0000746588 00000 n 0000746920 00000 n 0000746990 00000 n 0000750914 00000 n 0000751324 00000 n 0000751527 00000 n 0000751606 00000 n 0000751686 00000 n 0000752522 00000 n 0000752854 00000 n 0000753057 00000 n 0000753258 00000 n 0000753460 00000 n 0000753655 00000 n 0000753725 00000 n 0000755069 00000 n 0000755551 00000 n 0000755754 00000 n 0000755815 00000 n 0000755895 00000 n 0000756732 00000 n 0000757064 00000 n 0000757274 00000 n 0000757490 00000 n 0000757560 00000 n 0000761438 00000 n 0000761877 00000 n 0000762080 00000 n 0000762168 00000 n 0000762248 00000 n 0000763085 00000 n 0000763417 00000 n 0000763622 00000 n 0000763837 00000 n 0000764036 00000 n 0000764239 00000 n 0000764452 00000 n 0000764522 00000 n 0000766523 00000 n 0000767005 00000 n 0000767208 00000 n 0000767260 00000 n 0000767340 00000 n 0000768176 00000 n 0000768508 00000 n 0000768719 00000 n 0000768789 00000 n 0000772840 00000 n 0000773307 00000 n 0000773510 00000 n 0000773634 00000 n 0000773714 00000 n 0000774551 00000 n 0000774883 00000 n 0000775084 00000 n 0000775286 00000 n 0000775492 00000 n 0000775701 00000 n 0000775900 00000 n 0000776102 00000 n 0000776308 00000 n 0000776516 00000 n 0000776723 00000 n 0000776793 00000 n 0000779049 00000 n 0000779531 00000 n 0000779734 00000 n 0000779786 00000 n 0000779866 00000 n 0000780704 00000 n 0000780915 00000 n 0000781247 00000 n 0000781317 00000 n 0000785388 00000 n 0000785855 00000 n 0000786058 00000 n 0000786182 00000 n 0000786383 00000 n 0000786585 00000 n 0000786791 00000 n 0000787000 00000 n 0000787199 00000 n 0000787401 00000 n 0000787607 00000 n 0000787815 00000 n 0000788022 00000 n 0000788102 00000 n 0000788939 00000 n 0000789271 00000 n 0000789341 00000 n 0000791619 00000 n 0000792073 00000 n 0000792276 00000 n 0000792328 00000 n 0000792539 00000 n 0000792619 00000 n 0000793457 00000 n 0000793527 00000 n 0000793859 00000 n 0000798318 00000 n 0000798796 00000 n 0000798999 00000 n 0000799069 00000 n 0000799149 00000 n 0000799985 00000 n 0000800317 00000 n 0000804147 00000 n 0000804572 00000 n 0000804775 00000 n 0000804863 00000 n 0000805060 00000 n 0000805263 00000 n 0000805459 00000 n 0000805654 00000 n 0000805850 00000 n 0000805920 00000 n 0000806000 00000 n 0000806836 00000 n 0000807168 00000 n 0000808934 00000 n 0000809388 00000 n 0000809591 00000 n 0000809643 00000 n 0000809854 00000 n 0000809924 00000 n 0000810004 00000 n 0000810840 00000 n 0000811172 00000 n 0000815634 00000 n 0000816112 00000 n 0000816315 00000 n 0000816385 00000 n 0000816465 00000 n 0000817301 00000 n 0000817633 00000 n 0000821264 00000 n 0000821688 00000 n 0000821891 00000 n 0000821988 00000 n 0000822184 00000 n 0000822387 00000 n 0000822580 00000 n 0000822776 00000 n 0000822971 00000 n 0000823167 00000 n 0000823237 00000 n 0000823317 00000 n 0000824154 00000 n 0000824486 00000 n 0000825877 00000 n 0000826359 00000 n 0000826562 00000 n 0000826614 00000 n 0000826825 00000 n 0000826895 00000 n 0000826975 00000 n 0000827811 00000 n 0000828143 00000 n 0000832269 00000 n 0000832708 00000 n 0000832911 00000 n 0000832999 00000 n 0000833203 00000 n 0000833409 00000 n 0000833618 00000 n 0000833817 00000 n 0000834019 00000 n 0000834089 00000 n 0000834169 00000 n 0000835006 00000 n 0000835338 00000 n 0000837569 00000 n 0000838051 00000 n 0000838254 00000 n 0000838306 00000 n 0000838517 00000 n 0000838587 00000 n 0000838667 00000 n 0000839503 00000 n 0000839835 00000 n 0000844025 00000 n 0000844492 00000 n 0000844695 00000 n 0000844819 00000 n 0000845020 00000 n 0000845221 00000 n 0000845427 00000 n 0000845636 00000 n 0000845835 00000 n 0000846037 00000 n 0000846243 00000 n 0000846451 00000 n 0000846658 00000 n 0000846728 00000 n 0000846808 00000 n 0000847644 00000 n 0000847976 00000 n 0000850071 00000 n 0000850553 00000 n 0000850756 00000 n 0000850817 00000 n 0000851027 00000 n 0000851243 00000 n 0000851313 00000 n 0000851393 00000 n 0000852230 00000 n 0000852562 00000 n 0000856612 00000 n 0000857051 00000 n 0000857254 00000 n 0000857369 00000 n 0000857566 00000 n 0000857764 00000 n 0000857967 00000 n 0000858160 00000 n 0000858363 00000 n 0000858565 00000 n 0000858773 00000 n 0000858978 00000 n 0000859048 00000 n 0000859128 00000 n 0000859964 00000 n 0000860296 00000 n 0000862530 00000 n 0000863012 00000 n 0000863215 00000 n 0000863267 00000 n 0000863478 00000 n 0000863548 00000 n 0000863628 00000 n 0000864464 00000 n 0000864796 00000 n 0000868884 00000 n 0000869351 00000 n 0000869554 00000 n 0000869669 00000 n 0000869869 00000 n 0000870075 00000 n 0000870284 00000 n 0000870483 00000 n 0000870685 00000 n 0000870891 00000 n 0000871099 00000 n 0000871306 00000 n 0000871376 00000 n 0000871456 00000 n 0000872292 00000 n 0000872624 00000 n 0000874682 00000 n 0000875164 00000 n 0000875367 00000 n 0000875428 00000 n 0000875638 00000 n 0000875854 00000 n 0000875924 00000 n 0000876004 00000 n 0000876840 00000 n 0000877172 00000 n 0000881251 00000 n 0000881690 00000 n 0000881893 00000 n 0000881981 00000 n 0000882178 00000 n 0000882387 00000 n 0000882586 00000 n 0000882788 00000 n 0000882997 00000 n 0000883067 00000 n 0000883147 00000 n 0000883984 00000 n 0000884316 00000 n 0000886071 00000 n 0000886553 00000 n 0000886756 00000 n 0000886808 00000 n 0000887019 00000 n 0000887089 00000 n 0000887169 00000 n 0000888005 00000 n 0000888337 00000 n 0000892438 00000 n 0000892905 00000 n 0000893108 00000 n 0000893205 00000 n 0000893406 00000 n 0000893615 00000 n 0000893814 00000 n 0000894016 00000 n 0000894222 00000 n 0000894430 00000 n 0000894500 00000 n 0000894580 00000 n 0000895417 00000 n 0000895749 00000 n 0000898187 00000 n 0000898669 00000 n 0000898872 00000 n 0000898933 00000 n 0000899143 00000 n 0000899359 00000 n 0000899429 00000 n 0000899509 00000 n 0000900346 00000 n 0000900678 00000 n 0000905896 00000 n 0000906374 00000 n 0000906577 00000 n 0000906647 00000 n 0000906727 00000 n 0000907564 00000 n 0000907896 00000 n 0000911429 00000 n 0000911896 00000 n 0000912099 00000 n 0000912205 00000 n 0000912408 00000 n 0000912617 00000 n 0000912816 00000 n 0000913022 00000 n 0000913230 00000 n 0000913437 00000 n 0000913643 00000 n 0000913713 00000 n 0000913793 00000 n 0000914629 00000 n 0000914962 00000 n 0000917962 00000 n 0000918430 00000 n 0000918633 00000 n 0000918685 00000 n 0000918913 00000 n 0000918983 00000 n 0000919063 00000 n 0000919901 00000 n 0000920234 00000 n 0000924746 00000 n 0000925253 00000 n 0000925456 00000 n 0000925526 00000 n 0000925606 00000 n 0000926442 00000 n 0000926775 00000 n 0000932565 00000 n 0000933004 00000 n 0000933207 00000 n 0000933304 00000 n 0000933511 00000 n 0000933720 00000 n 0000933925 00000 n 0000934134 00000 n 0000934337 00000 n 0000934552 00000 n 0000934622 00000 n 0000934702 00000 n 0000935538 00000 n 0000935871 00000 n 0000939973 00000 n 0000940369 00000 n 0000940572 00000 n 0000940633 00000 n 0000940844 00000 n 0000941042 00000 n 0000941112 00000 n 0000941192 00000 n 0000942028 00000 n 0000942361 00000 n 0000943662 00000 n 0000944116 00000 n 0000944319 00000 n 0000944398 00000 n 0000944614 00000 n 0000944838 00000 n 0000945058 00000 n 0000945291 00000 n 0000945361 00000 n 0000945441 00000 n 0000946278 00000 n 0000946611 00000 n 0000951331 00000 n 0000951809 00000 n 0000952012 00000 n 0000952082 00000 n 0000952162 00000 n 0000952998 00000 n 0000953331 00000 n 0000957565 00000 n 0000958004 00000 n 0000958207 00000 n 0000958304 00000 n 0000958508 00000 n 0000958718 00000 n 0000958935 00000 n 0000959142 00000 n 0000959350 00000 n 0000959553 00000 n 0000959623 00000 n 0000959703 00000 n 0000960539 00000 n 0000960872 00000 n 0000962898 00000 n 0000963352 00000 n 0000963555 00000 n 0000963607 00000 n 0000963821 00000 n 0000963891 00000 n 0000963971 00000 n 0000964807 00000 n 0000965140 00000 n 0000969674 00000 n 0000970155 00000 n 0000970358 00000 n 0000970419 00000 n 0000970629 00000 n 0000970834 00000 n 0000970904 00000 n 0000970984 00000 n 0000971820 00000 n 0000972153 00000 n 0000976305 00000 n 0000976701 00000 n 0000976904 00000 n 0000976983 00000 n 0000977181 00000 n 0000977385 00000 n 0000977582 00000 n 0000977784 00000 n 0000977854 00000 n 0000977934 00000 n 0000978770 00000 n 0000979103 00000 n 0000980444 00000 n 0000980941 00000 n 0000981144 00000 n 0000981196 00000 n 0000981415 00000 n 0000981485 00000 n 0000981565 00000 n 0000982402 00000 n 0000982735 00000 n 0000988532 00000 n 0000989010 00000 n 0000989213 00000 n 0000989283 00000 n 0000989363 00000 n 0000990201 00000 n 0000990534 00000 n 0000994688 00000 n 0000995155 00000 n 0000995358 00000 n 0000995419 00000 n 0000995627 00000 n 0000995837 00000 n 0000995907 00000 n 0000995987 00000 n 0000996823 00000 n 0000997156 00000 n 0000999824 00000 n 0001000289 00000 n 0001000492 00000 n 0001000562 00000 n 0001000642 00000 n 0001001478 00000 n 0001001811 00000 n 0001006823 00000 n 0001007305 00000 n 0001007508 00000 n 0001007560 00000 n 0001007786 00000 n 0001007856 00000 n 0001007936 00000 n 0001008773 00000 n 0001009106 00000 n 0001013890 00000 n 0001014368 00000 n 0001014571 00000 n 0001014641 00000 n 0001014721 00000 n 0001015557 00000 n 0001015890 00000 n 0001019520 00000 n 0001019959 00000 n 0001020162 00000 n 0001020250 00000 n 0001020461 00000 n 0001020673 00000 n 0001020882 00000 n 0001021093 00000 n 0001021305 00000 n 0001021375 00000 n 0001021455 00000 n 0001022291 00000 n 0001022624 00000 n 0001025056 00000 n 0001025567 00000 n 0001025770 00000 n 0001025831 00000 n 0001026048 00000 n 0001026272 00000 n 0001026342 00000 n 0001026422 00000 n 0001027258 00000 n 0001027591 00000 n 0001032728 00000 n 0001033195 00000 n 0001033398 00000 n 0001033459 00000 n 0001033664 00000 n 0001033866 00000 n 0001033936 00000 n 0001034016 00000 n 0001034853 00000 n 0001035186 00000 n 0001037150 00000 n 0001037604 00000 n 0001037807 00000 n 0001037859 00000 n 0001038079 00000 n 0001038149 00000 n 0001038229 00000 n 0001039065 00000 n 0001039398 00000 n 0001043831 00000 n 0001044298 00000 n 0001044501 00000 n 0001044598 00000 n 0001044808 00000 n 0001045017 00000 n 0001045227 00000 n 0001045435 00000 n 0001045639 00000 n 0001045842 00000 n 0001045912 00000 n 0001045992 00000 n 0001046828 00000 n 0001047161 00000 n 0001051311 00000 n 0001051707 00000 n 0001051910 00000 n 0001051962 00000 n 0001052166 00000 n 0001052236 00000 n 0001052316 00000 n 0001053154 00000 n 0001053487 00000 n 0001054736 00000 n 0001055233 00000 n 0001055436 00000 n 0001055497 00000 n 0001055714 00000 n 0001055937 00000 n 0001056007 00000 n 0001056087 00000 n 0001056924 00000 n 0001057257 00000 n 0001062575 00000 n 0001063096 00000 n 0001063299 00000 n 0001063369 00000 n 0001063449 00000 n 0001064286 00000 n 0001064619 00000 n 0001069348 00000 n 0001069844 00000 n 0001070047 00000 n 0001070117 00000 n 0001070327 00000 n 0001070532 00000 n 0001070740 00000 n 0001070810 00000 n 0001070890 00000 n 0001071728 00000 n 0001072061 00000 n 0001075704 00000 n 0001076186 00000 n 0001076389 00000 n 0001076450 00000 n 0001076666 00000 n 0001076890 00000 n 0001076960 00000 n 0001077040 00000 n 0001077877 00000 n 0001078210 00000 n 0001082413 00000 n 0001082852 00000 n 0001083055 00000 n 0001083116 00000 n 0001083314 00000 n 0001083514 00000 n 0001083584 00000 n 0001083664 00000 n 0001084501 00000 n 0001084834 00000 n 0001086469 00000 n 0001086980 00000 n 0001087183 00000 n 0001087235 00000 n 0001087454 00000 n 0001087524 00000 n 0001087604 00000 n 0001088441 00000 n 0001088774 00000 n 0001094175 00000 n 0001094642 00000 n 0001094845 00000 n 0001094897 00000 n 0001095102 00000 n 0001095172 00000 n 0001095252 00000 n 0001096089 00000 n 0001096422 00000 n 0001098398 00000 n 0001098852 00000 n 0001099055 00000 n 0001099116 00000 n 0001099332 00000 n 0001099553 00000 n 0001099623 00000 n 0001099703 00000 n 0001100539 00000 n 0001100872 00000 n 0001105962 00000 n 0001106426 00000 n 0001106629 00000 n 0001106699 00000 n 0001106779 00000 n 0001107616 00000 n 0001107949 00000 n 0001112063 00000 n 0001112570 00000 n 0001112773 00000 n 0001112843 00000 n 0001112923 00000 n 0001113760 00000 n 0001114093 00000 n 0001119347 00000 n 0001119814 00000 n 0001120017 00000 n 0001120114 00000 n 0001120319 00000 n 0001120522 00000 n 0001120726 00000 n 0001120932 00000 n 0001121141 00000 n 0001121345 00000 n 0001121415 00000 n 0001121495 00000 n 0001122332 00000 n 0001122665 00000 n 0001126705 00000 n 0001127101 00000 n 0001127304 00000 n 0001127365 00000 n 0001127565 00000 n 0001127768 00000 n 0001127838 00000 n 0001127918 00000 n 0001128754 00000 n 0001129087 00000 n 0001130343 00000 n 0001130865 00000 n 0001131068 00000 n 0001131138 00000 n 0001131218 00000 n 0001132055 00000 n 0001132388 00000 n 0001137263 00000 n 0001137702 00000 n 0001137905 00000 n 0001137975 00000 n 0001138176 00000 n 0001138375 00000 n 0001138575 00000 n 0001138645 00000 n 0001138725 00000 n 0001139562 00000 n 0001139895 00000 n 0001141634 00000 n 0001142116 00000 n 0001142319 00000 n 0001142371 00000 n 0001142599 00000 n 0001142669 00000 n 0001142749 00000 n 0001143585 00000 n 0001143918 00000 n 0001148024 00000 n 0001148449 00000 n 0001148652 00000 n 0001148740 00000 n 0001148943 00000 n 0001149143 00000 n 0001149344 00000 n 0001149545 00000 n 0001149746 00000 n 0001149816 00000 n 0001149896 00000 n 0001150733 00000 n 0001151066 00000 n 0001152730 00000 n 0001153212 00000 n 0001153415 00000 n 0001153467 00000 n 0001153686 00000 n 0001153756 00000 n 0001153836 00000 n 0001154672 00000 n 0001155005 00000 n 0001159035 00000 n 0001159474 00000 n 0001159677 00000 n 0001159729 00000 n 0001159936 00000 n 0001160006 00000 n 0001160086 00000 n 0001160923 00000 n 0001161256 00000 n 0001162940 00000 n 0001163436 00000 n 0001163639 00000 n 0001163691 00000 n 0001163911 00000 n 0001163981 00000 n 0001164061 00000 n 0001164898 00000 n 0001165231 00000 n 0001169215 00000 n 0001169625 00000 n 0001169828 00000 n 0001169889 00000 n 0001170093 00000 n 0001170295 00000 n 0001170365 00000 n 0001170445 00000 n 0001171283 00000 n 0001171616 00000 n 0001172931 00000 n 0001173427 00000 n 0001173630 00000 n 0001173682 00000 n 0001173902 00000 n 0001173972 00000 n 0001174052 00000 n 0001174888 00000 n 0001175221 00000 n 0001179714 00000 n 0001180153 00000 n 0001180356 00000 n 0001180426 00000 n 0001180633 00000 n 0001180840 00000 n 0001181050 00000 n 0001181120 00000 n 0001181200 00000 n 0001182036 00000 n 0001182369 00000 n 0001184428 00000 n 0001184939 00000 n 0001185142 00000 n 0001185194 00000 n 0001185413 00000 n 0001185483 00000 n 0001185563 00000 n 0001186400 00000 n 0001186733 00000 n 0001192107 00000 n 0001192574 00000 n 0001192777 00000 n 0001192829 00000 n 0001193037 00000 n 0001193107 00000 n 0001193187 00000 n 0001194023 00000 n 0001194356 00000 n 0001196635 00000 n 0001197128 00000 n 0001197331 00000 n 0001197401 00000 n 0001197481 00000 n 0001198317 00000 n 0001198650 00000 n 0001202603 00000 n 0001203013 00000 n 0001203216 00000 n 0001203277 00000 n 0001203472 00000 n 0001203668 00000 n 0001203738 00000 n 0001203818 00000 n 0001204654 00000 n 0001204987 00000 n 0001206271 00000 n 0001206764 00000 n 0001206967 00000 n 0001207037 00000 n 0001207117 00000 n 0001207954 00000 n 0001208287 00000 n 0001212335 00000 n 0001212774 00000 n 0001212977 00000 n 0001213038 00000 n 0001213236 00000 n 0001213445 00000 n 0001213515 00000 n 0001213595 00000 n 0001214432 00000 n 0001214765 00000 n 0001216411 00000 n 0001216904 00000 n 0001217107 00000 n 0001217177 00000 n 0001217257 00000 n 0001218094 00000 n 0001218427 00000 n 0001222488 00000 n 0001222898 00000 n 0001223101 00000 n 0001223153 00000 n 0001223347 00000 n 0001223417 00000 n 0001223497 00000 n 0001224336 00000 n 0001224669 00000 n 0001225929 00000 n 0001226383 00000 n 0001226586 00000 n 0001226638 00000 n 0001226859 00000 n 0001226929 00000 n 0001227009 00000 n 0001227846 00000 n 0001228179 00000 n 0001232742 00000 n 0001233209 00000 n 0001233412 00000 n 0001233509 00000 n 0001233720 00000 n 0001233932 00000 n 0001234141 00000 n 0001234350 00000 n 0001234561 00000 n 0001234770 00000 n 0001234840 00000 n 0001234920 00000 n 0001235757 00000 n 0001236090 00000 n 0001238760 00000 n 0001239242 00000 n 0001239445 00000 n 0001239497 00000 n 0001239717 00000 n 0001239787 00000 n 0001239867 00000 n 0001240705 00000 n 0001241038 00000 n 0001245343 00000 n 0001245782 00000 n 0001245985 00000 n 0001246118 00000 n 0001246318 00000 n 0001246522 00000 n 0001246729 00000 n 0001246933 00000 n 0001247143 00000 n 0001247350 00000 n 0001247559 00000 n 0001247770 00000 n 0001247978 00000 n 0001248187 00000 n 0001248257 00000 n 0001248337 00000 n 0001249174 00000 n 0001249507 00000 n 0001251674 00000 n 0001252156 00000 n 0001252359 00000 n 0001252411 00000 n 0001252631 00000 n 0001252701 00000 n 0001252781 00000 n 0001253618 00000 n 0001253951 00000 n 0001258358 00000 n 0001258825 00000 n 0001259028 00000 n 0001259134 00000 n 0001259344 00000 n 0001259554 00000 n 0001259758 00000 n 0001259969 00000 n 0001260176 00000 n 0001260382 00000 n 0001260587 00000 n 0001260657 00000 n 0001260737 00000 n 0001261574 00000 n 0001261907 00000 n 0001264324 00000 n 0001264835 00000 n 0001265038 00000 n 0001265090 00000 n 0001265310 00000 n 0001265380 00000 n 0001265460 00000 n 0001266297 00000 n 0001266630 00000 n 0001272058 00000 n 0001272525 00000 n 0001272728 00000 n 0001272888 00000 n 0001273098 00000 n 0001273305 00000 n 0001273512 00000 n 0001273723 00000 n 0001273930 00000 n 0001274140 00000 n 0001274349 00000 n 0001274557 00000 n 0001274764 00000 n 0001274971 00000 n 0001275178 00000 n 0001275386 00000 n 0001275591 00000 n 0001275661 00000 n 0001275741 00000 n 0001276578 00000 n 0001276911 00000 n 0001279626 00000 n 0001280108 00000 n 0001280311 00000 n 0001280363 00000 n 0001280583 00000 n 0001280653 00000 n 0001280733 00000 n 0001281569 00000 n 0001281902 00000 n 0001286158 00000 n 0001286625 00000 n 0001286828 00000 n 0001286934 00000 n 0001287144 00000 n 0001287354 00000 n 0001287561 00000 n 0001287771 00000 n 0001287981 00000 n 0001288191 00000 n 0001288396 00000 n 0001288466 00000 n 0001288546 00000 n 0001289383 00000 n 0001289716 00000 n 0001292259 00000 n 0001292741 00000 n 0001292944 00000 n 0001292996 00000 n 0001293216 00000 n 0001293286 00000 n 0001293366 00000 n 0001294202 00000 n 0001294535 00000 n 0001298862 00000 n 0001299329 00000 n 0001299532 00000 n 0001299656 00000 n 0001299866 00000 n 0001300076 00000 n 0001300282 00000 n 0001300486 00000 n 0001300696 00000 n 0001300903 00000 n 0001301117 00000 n 0001301330 00000 n 0001301535 00000 n 0001301605 00000 n 0001301685 00000 n 0001302522 00000 n 0001302855 00000 n 0001306123 00000 n 0001306605 00000 n 0001306808 00000 n 0001306878 00000 n 0001307097 00000 n 0001307320 00000 n 0001307555 00000 n 0001307625 00000 n 0001307705 00000 n 0001308543 00000 n 0001308876 00000 n 0001313540 00000 n 0001314007 00000 n 0001314210 00000 n 0001314262 00000 n 0001314462 00000 n 0001314532 00000 n 0001314612 00000 n 0001315450 00000 n 0001315783 00000 n 0001318020 00000 n 0001318485 00000 n 0001318688 00000 n 0001318758 00000 n 0001318838 00000 n 0001319676 00000 n 0001320009 00000 n 0001324736 00000 n 0001325243 00000 n 0001325446 00000 n 0001325516 00000 n 0001325596 00000 n 0001326433 00000 n 0001326766 00000 n 0001331490 00000 n 0001331969 00000 n 0001332172 00000 n 0001332242 00000 n 0001332322 00000 n 0001333159 00000 n 0001333492 00000 n 0001338681 00000 n 0001339148 00000 n 0001339351 00000 n 0001339412 00000 n 0001339626 00000 n 0001339833 00000 n 0001339903 00000 n 0001339983 00000 n 0001340819 00000 n 0001341152 00000 n 0001345114 00000 n 0001345524 00000 n 0001345727 00000 n 0001345779 00000 n 0001345982 00000 n 0001346052 00000 n 0001346132 00000 n 0001346968 00000 n 0001347301 00000 n 0001348541 00000 n 0001349006 00000 n 0001349209 00000 n 0001349279 00000 n 0001349359 00000 n 0001350195 00000 n 0001350528 00000 n 0001354879 00000 n 0001355343 00000 n 0001355546 00000 n 0001355616 00000 n 0001355696 00000 n 0001356534 00000 n 0001356867 00000 n 0001360237 00000 n 0001360704 00000 n 0001360907 00000 n 0001360959 00000 n 0001361165 00000 n 0001361235 00000 n 0001361315 00000 n 0001362151 00000 n 0001362484 00000 n 0001365362 00000 n 0001365844 00000 n 0001366047 00000 n 0001366099 00000 n 0001366315 00000 n 0001366385 00000 n 0001366465 00000 n 0001367304 00000 n 0001367637 00000 n 0001372265 00000 n 0001372704 00000 n 0001372907 00000 n 0001372959 00000 n 0001373167 00000 n 0001373237 00000 n 0001373317 00000 n 0001374155 00000 n 0001374488 00000 n 0001376087 00000 n 0001376541 00000 n 0001376744 00000 n 0001376796 00000 n 0001377016 00000 n 0001377086 00000 n 0001377166 00000 n 0001378003 00000 n 0001378336 00000 n 0001381456 00000 n 0001381938 00000 n 0001382141 00000 n 0001382193 00000 n 0001382423 00000 n 0001382493 00000 n 0001382573 00000 n 0001383410 00000 n 0001383743 00000 n 0001388025 00000 n 0001388464 00000 n 0001388667 00000 n 0001388719 00000 n 0001388934 00000 n 0001389004 00000 n 0001389084 00000 n 0001389921 00000 n 0001390254 00000 n 0001391958 00000 n 0001392423 00000 n 0001392626 00000 n 0001392696 00000 n 0001392776 00000 n 0001393612 00000 n 0001393945 00000 n 0001399329 00000 n 0001399768 00000 n 0001399971 00000 n 0001400032 00000 n 0001400250 00000 n 0001400473 00000 n 0001400543 00000 n 0001400623 00000 n 0001401460 00000 n 0001401793 00000 n 0001406942 00000 n 0001407449 00000 n 0001407652 00000 n 0001407722 00000 n 0001407802 00000 n 0001408638 00000 n 0001408971 00000 n 0001414599 00000 n 0001415078 00000 n 0001415281 00000 n 0001415351 00000 n 0001415431 00000 n 0001416268 00000 n 0001416601 00000 n 0001421726 00000 n 0001422190 00000 n 0001422393 00000 n 0001422463 00000 n 0001422543 00000 n 0001423380 00000 n 0001423713 00000 n 0001428667 00000 n 0001429145 00000 n 0001429348 00000 n 0001429418 00000 n 0001429498 00000 n 0001430335 00000 n 0001430668 00000 n 0001435057 00000 n 0001435467 00000 n 0001435670 00000 n 0001435749 00000 n 0001435943 00000 n 0001436142 00000 n 0001436340 00000 n 0001436535 00000 n 0001436605 00000 n 0001436685 00000 n 0001437522 00000 n 0001437855 00000 n 0001439187 00000 n 0001439669 00000 n 0001439872 00000 n 0001439924 00000 n 0001440140 00000 n 0001440210 00000 n 0001440290 00000 n 0001441127 00000 n 0001441460 00000 n 0001446173 00000 n 0001446651 00000 n 0001446854 00000 n 0001446924 00000 n 0001447004 00000 n 0001447841 00000 n 0001448174 00000 n 0001452462 00000 n 0001452886 00000 n 0001453089 00000 n 0001453159 00000 n 0001453367 00000 n 0001453567 00000 n 0001453775 00000 n 0001453845 00000 n 0001453925 00000 n 0001454762 00000 n 0001455095 00000 n 0001456441 00000 n 0001456895 00000 n 0001457098 00000 n 0001457150 00000 n 0001457366 00000 n 0001457436 00000 n 0001457516 00000 n 0001458354 00000 n 0001458687 00000 n 0001463389 00000 n 0001463896 00000 n 0001464099 00000 n 0001464169 00000 n 0001464249 00000 n 0001465085 00000 n 0001465418 00000 n 0001470400 00000 n 0001470867 00000 n 0001471070 00000 n 0001471131 00000 n 0001471340 00000 n 0001471540 00000 n 0001471610 00000 n 0001471690 00000 n 0001472526 00000 n 0001472859 00000 n 0001474876 00000 n 0001475330 00000 n 0001475533 00000 n 0001475585 00000 n 0001475813 00000 n 0001475883 00000 n 0001475963 00000 n 0001476799 00000 n 0001477132 00000 n 0001481497 00000 n 0001481964 00000 n 0001482167 00000 n 0001482255 00000 n 0001482462 00000 n 0001482673 00000 n 0001482878 00000 n 0001483087 00000 n 0001483297 00000 n 0001483367 00000 n 0001483447 00000 n 0001484284 00000 n 0001484617 00000 n 0001488276 00000 n 0001488730 00000 n 0001488933 00000 n 0001488985 00000 n 0001489213 00000 n 0001489283 00000 n 0001489363 00000 n 0001490201 00000 n 0001490534 00000 n 0001495110 00000 n 0001495577 00000 n 0001495780 00000 n 0001495868 00000 n 0001496078 00000 n 0001496284 00000 n 0001496494 00000 n 0001496698 00000 n 0001496903 00000 n 0001496973 00000 n 0001497053 00000 n 0001497890 00000 n 0001498223 00000 n 0001502117 00000 n 0001502571 00000 n 0001502774 00000 n 0001502835 00000 n 0001503049 00000 n 0001503265 00000 n 0001503335 00000 n 0001503415 00000 n 0001504252 00000 n 0001504585 00000 n 0001508752 00000 n 0001509248 00000 n 0001509451 00000 n 0001509521 00000 n 0001509729 00000 n 0001509928 00000 n 0001510134 00000 n 0001510204 00000 n 0001510284 00000 n 0001511121 00000 n 0001511454 00000 n 0001516234 00000 n 0001516630 00000 n 0001516833 00000 n 0001516903 00000 n 0001517101 00000 n 0001517299 00000 n 0001517497 00000 n 0001517567 00000 n 0001517647 00000 n 0001518484 00000 n 0001518817 00000 n 0001520092 00000 n 0001520546 00000 n 0001520749 00000 n 0001520810 00000 n 0001521024 00000 n 0001521240 00000 n 0001521310 00000 n 0001521390 00000 n 0001522226 00000 n 0001522559 00000 n 0001526756 00000 n 0001527223 00000 n 0001527426 00000 n 0001527532 00000 n 0001527742 00000 n 0001527952 00000 n 0001528166 00000 n 0001528374 00000 n 0001528575 00000 n 0001528787 00000 n 0001528990 00000 n 0001529060 00000 n 0001529140 00000 n 0001529978 00000 n 0001530311 00000 n 0001534152 00000 n 0001534634 00000 n 0001534837 00000 n 0001534889 00000 n 0001535109 00000 n 0001535179 00000 n 0001535259 00000 n 0001536096 00000 n 0001536429 00000 n 0001541606 00000 n 0001542073 00000 n 0001542276 00000 n 0001542355 00000 n 0001542565 00000 n 0001542778 00000 n 0001542985 00000 n 0001543190 00000 n 0001543260 00000 n 0001543340 00000 n 0001544176 00000 n 0001544509 00000 n 0001548211 00000 n 0001548607 00000 n 0001548810 00000 n 0001548862 00000 n 0001549066 00000 n 0001549136 00000 n 0001549216 00000 n 0001550053 00000 n 0001550386 00000 n 0001551632 00000 n 0001552086 00000 n 0001552289 00000 n 0001552341 00000 n 0001552560 00000 n 0001552630 00000 n 0001552710 00000 n 0001553548 00000 n 0001553881 00000 n 0001558650 00000 n 0001559157 00000 n 0001559360 00000 n 0001559430 00000 n 0001559510 00000 n 0001560347 00000 n 0001560680 00000 n 0001566786 00000 n 0001567264 00000 n 0001567467 00000 n 0001567537 00000 n 0001567617 00000 n 0001568455 00000 n 0001568788 00000 n 0001572767 00000 n 0001573177 00000 n 0001573380 00000 n 0001573513 00000 n 0001573710 00000 n 0001573907 00000 n 0001574105 00000 n 0001574306 00000 n 0001574503 00000 n 0001574704 00000 n 0001574906 00000 n 0001575103 00000 n 0001575303 00000 n 0001575500 00000 n 0001575570 00000 n 0001575650 00000 n 0001576487 00000 n 0001576820 00000 n 0001578274 00000 n 0001578771 00000 n 0001578974 00000 n 0001579026 00000 n 0001579242 00000 n 0001579312 00000 n 0001579392 00000 n 0001580230 00000 n 0001580563 00000 n 0001585934 00000 n 0001586426 00000 n 0001586629 00000 n 0001586699 00000 n 0001586779 00000 n 0001587616 00000 n 0001587949 00000 n 0001591884 00000 n 0001592308 00000 n 0001592511 00000 n 0001592590 00000 n 0001592786 00000 n 0001592980 00000 n 0001593179 00000 n 0001593378 00000 n 0001593448 00000 n 0001593528 00000 n 0001594365 00000 n 0001594698 00000 n 0001596031 00000 n 0001596485 00000 n 0001596688 00000 n 0001596749 00000 n 0001596981 00000 n 0001597208 00000 n 0001597278 00000 n 0001597358 00000 n 0001598197 00000 n 0001598530 00000 n 0001603227 00000 n 0001603694 00000 n 0001603897 00000 n 0001603976 00000 n 0001604178 00000 n 0001604386 00000 n 0001604588 00000 n 0001604790 00000 n 0001604860 00000 n 0001604940 00000 n 0001605777 00000 n 0001606110 00000 n 0001609337 00000 n 0001609791 00000 n 0001609994 00000 n 0001610046 00000 n 0001610274 00000 n 0001610344 00000 n 0001610424 00000 n 0001611260 00000 n 0001611593 00000 n 0001615926 00000 n 0001616393 00000 n 0001616596 00000 n 0001616657 00000 n 0001616867 00000 n 0001617069 00000 n 0001617139 00000 n 0001617219 00000 n 0001618057 00000 n 0001618390 00000 n 0001622149 00000 n 0001622545 00000 n 0001622748 00000 n 0001622800 00000 n 0001622998 00000 n 0001623068 00000 n 0001623148 00000 n 0001623985 00000 n 0001624318 00000 n 0001625550 00000 n 0001625990 00000 n 0001626193 00000 n 0001626245 00000 n 0001626464 00000 n 0001626534 00000 n 0001626614 00000 n 0001627450 00000 n 0001627783 00000 n 0001630868 00000 n 0001631350 00000 n 0001631553 00000 n 0001631614 00000 n 0001631833 00000 n 0001632056 00000 n 0001632126 00000 n 0001632206 00000 n 0001633043 00000 n 0001633376 00000 n 0001637817 00000 n 0001638284 00000 n 0001638487 00000 n 0001638566 00000 n 0001638768 00000 n 0001638968 00000 n 0001639170 00000 n 0001639370 00000 n 0001639440 00000 n 0001639520 00000 n 0001640358 00000 n 0001640691 00000 n 0001643157 00000 n 0001643639 00000 n 0001643842 00000 n 0001643903 00000 n 0001644135 00000 n 0001644362 00000 n 0001644432 00000 n 0001644512 00000 n 0001645348 00000 n 0001645681 00000 n 0001650032 00000 n 0001650499 00000 n 0001650702 00000 n 0001650772 00000 n 0001650975 00000 n 0001651179 00000 n 0001651380 00000 n 0001651450 00000 n 0001651530 00000 n 0001652366 00000 n 0001652699 00000 n 0001655097 00000 n 0001655551 00000 n 0001655754 00000 n 0001655806 00000 n 0001656034 00000 n 0001656104 00000 n 0001656184 00000 n 0001657022 00000 n 0001657355 00000 n 0001661446 00000 n 0001661913 00000 n 0001662116 00000 n 0001662204 00000 n 0001662414 00000 n 0001662626 00000 n 0001662835 00000 n 0001663046 00000 n 0001663258 00000 n 0001663328 00000 n 0001663408 00000 n 0001664244 00000 n 0001664577 00000 n 0001667641 00000 n 0001668095 00000 n 0001668298 00000 n 0001668350 00000 n 0001668578 00000 n 0001668648 00000 n 0001668728 00000 n 0001669564 00000 n 0001669897 00000 n 0001674239 00000 n 0001674731 00000 n 0001674934 00000 n 0001675004 00000 n 0001675084 00000 n 0001675922 00000 n 0001676255 00000 n 0001680654 00000 n 0001681121 00000 n 0001681324 00000 n 0001681403 00000 n 0001681608 00000 n 0001681820 00000 n 0001682026 00000 n 0001682241 00000 n 0001682311 00000 n 0001682391 00000 n 0001683228 00000 n 0001683561 00000 n 0001686932 00000 n 0001687386 00000 n 0001687589 00000 n 0001687641 00000 n 0001687869 00000 n 0001687939 00000 n 0001688019 00000 n 0001688855 00000 n 0001689188 00000 n 0001693573 00000 n 0001694040 00000 n 0001694243 00000 n 0001694322 00000 n 0001694530 00000 n 0001694740 00000 n 0001694947 00000 n 0001695154 00000 n 0001695224 00000 n 0001695304 00000 n 0001696141 00000 n 0001696474 00000 n 0001699476 00000 n 0001699958 00000 n 0001700161 00000 n 0001700213 00000 n 0001700441 00000 n 0001700511 00000 n 0001700591 00000 n 0001701427 00000 n 0001701760 00000 n 0001705749 00000 n 0001706188 00000 n 0001706391 00000 n 0001706443 00000 n 0001706649 00000 n 0001706719 00000 n 0001706799 00000 n 0001707635 00000 n 0001707968 00000 n 0001709570 00000 n 0001710024 00000 n 0001710227 00000 n 0001710279 00000 n 0001710507 00000 n 0001710577 00000 n 0001710657 00000 n 0001711494 00000 n 0001711827 00000 n 0001716165 00000 n 0001716632 00000 n 0001716835 00000 n 0001716914 00000 n 0001717126 00000 n 0001717333 00000 n 0001717540 00000 n 0001717750 00000 n 0001717820 00000 n 0001717900 00000 n 0001718737 00000 n 0001719070 00000 n 0001722774 00000 n 0001723228 00000 n 0001723431 00000 n 0001723483 00000 n 0001723711 00000 n 0001723781 00000 n 0001723861 00000 n 0001724698 00000 n 0001725031 00000 n 0001729239 00000 n 0001729706 00000 n 0001729909 00000 n 0001729997 00000 n 0001730209 00000 n 0001730420 00000 n 0001730627 00000 n 0001730834 00000 n 0001731044 00000 n 0001731114 00000 n 0001731194 00000 n 0001732030 00000 n 0001732363 00000 n 0001735657 00000 n 0001736097 00000 n 0001736300 00000 n 0001736352 00000 n 0001736580 00000 n 0001736650 00000 n 0001736730 00000 n 0001737568 00000 n 0001737901 00000 n 0001741050 00000 n 0001741532 00000 n 0001741735 00000 n 0001741787 00000 n 0001742015 00000 n 0001742085 00000 n 0001742165 00000 n 0001743002 00000 n 0001743335 00000 n 0001747421 00000 n 0001747860 00000 n 0001748063 00000 n 0001748187 00000 n 0001748393 00000 n 0001748590 00000 n 0001748791 00000 n 0001748996 00000 n 0001749201 00000 n 0001749413 00000 n 0001749619 00000 n 0001749826 00000 n 0001750036 00000 n 0001750106 00000 n 0001750186 00000 n 0001751022 00000 n 0001751355 00000 n 0001753021 00000 n 0001753475 00000 n 0001753678 00000 n 0001753730 00000 n 0001753958 00000 n 0001754028 00000 n 0001754108 00000 n 0001754945 00000 n 0001755278 00000 n 0001759280 00000 n 0001759747 00000 n 0001759950 00000 n 0001760029 00000 n 0001760232 00000 n 0001760439 00000 n 0001760647 00000 n 0001760850 00000 n 0001760920 00000 n 0001761000 00000 n 0001761837 00000 n 0001762170 00000 n 0001765230 00000 n 0001765684 00000 n 0001765887 00000 n 0001765939 00000 n 0001766167 00000 n 0001766237 00000 n 0001766317 00000 n 0001767154 00000 n 0001767487 00000 n 0001771644 00000 n 0001772111 00000 n 0001772314 00000 n 0001772384 00000 n 0001772591 00000 n 0001772801 00000 n 0001773013 00000 n 0001773083 00000 n 0001773163 00000 n 0001774000 00000 n 0001774333 00000 n 0001777840 00000 n 0001778280 00000 n 0001778483 00000 n 0001778535 00000 n 0001778763 00000 n 0001778833 00000 n 0001778913 00000 n 0001779750 00000 n 0001780083 00000 n 0001783076 00000 n 0001783558 00000 n 0001783761 00000 n 0001783813 00000 n 0001784032 00000 n 0001784102 00000 n 0001784182 00000 n 0001785019 00000 n 0001785352 00000 n 0001789827 00000 n 0001790294 00000 n 0001790497 00000 n 0001790630 00000 n 0001790834 00000 n 0001791037 00000 n 0001791240 00000 n 0001791444 00000 n 0001791648 00000 n 0001791850 00000 n 0001792064 00000 n 0001792272 00000 n 0001792474 00000 n 0001792678 00000 n 0001792748 00000 n 0001792828 00000 n 0001793664 00000 n 0001793997 00000 n 0001797621 00000 n 0001798017 00000 n 0001798220 00000 n 0001798272 00000 n 0001798469 00000 n 0001798539 00000 n 0001798619 00000 n 0001799456 00000 n 0001799789 00000 n 0001801018 00000 n 0001801515 00000 n 0001801718 00000 n 0001801779 00000 n 0001801989 00000 n 0001802205 00000 n 0001802275 00000 n 0001802355 00000 n 0001803192 00000 n 0001803525 00000 n 0001809167 00000 n 0001809674 00000 n 0001809877 00000 n 0001809947 00000 n 0001810027 00000 n 0001810864 00000 n 0001811197 00000 n 0001816425 00000 n 0001816892 00000 n 0001817095 00000 n 0001817255 00000 n 0001817458 00000 n 0001817673 00000 n 0001817876 00000 n 0001818082 00000 n 0001818298 00000 n 0001818512 00000 n 0001818729 00000 n 0001818938 00000 n 0001819148 00000 n 0001819362 00000 n 0001819570 00000 n 0001819779 00000 n 0001819992 00000 n 0001820062 00000 n 0001820142 00000 n 0001820979 00000 n 0001821312 00000 n 0001824393 00000 n 0001824847 00000 n 0001825050 00000 n 0001825102 00000 n 0001825349 00000 n 0001825419 00000 n 0001825499 00000 n 0001826336 00000 n 0001826669 00000 n 0001830895 00000 n 0001831401 00000 n 0001831604 00000 n 0001831674 00000 n 0001831754 00000 n 0001832590 00000 n 0001832923 00000 n 0001836735 00000 n 0001837188 00000 n 0001837391 00000 n 0001837461 00000 n 0001837679 00000 n 0001837900 00000 n 0001838124 00000 n 0001838194 00000 n 0001838274 00000 n 0001839111 00000 n 0001839444 00000 n 0001842142 00000 n 0001842638 00000 n 0001842841 00000 n 0001842893 00000 n 0001843112 00000 n 0001843182 00000 n 0001843262 00000 n 0001844101 00000 n 0001844434 00000 n 0001849302 00000 n 0001849769 00000 n 0001849972 00000 n 0001850042 00000 n 0001850259 00000 n 0001850473 00000 n 0001850687 00000 n 0001850757 00000 n 0001850837 00000 n 0001851673 00000 n 0001852006 00000 n 0001855574 00000 n 0001856070 00000 n 0001856273 00000 n 0001856334 00000 n 0001856548 00000 n 0001856764 00000 n 0001856834 00000 n 0001856914 00000 n 0001857751 00000 n 0001858084 00000 n 0001862529 00000 n 0001863007 00000 n 0001863210 00000 n 0001863280 00000 n 0001863360 00000 n 0001864198 00000 n 0001864531 00000 n 0001868459 00000 n 0001868884 00000 n 0001869087 00000 n 0001869211 00000 n 0001869422 00000 n 0001869626 00000 n 0001869830 00000 n 0001870032 00000 n 0001870245 00000 n 0001870446 00000 n 0001870656 00000 n 0001870864 00000 n 0001871069 00000 n 0001871139 00000 n 0001871219 00000 n 0001872056 00000 n 0001872389 00000 n 0001874456 00000 n 0001874952 00000 n 0001875155 00000 n 0001875216 00000 n 0001875430 00000 n 0001875646 00000 n 0001875716 00000 n 0001875796 00000 n 0001876633 00000 n 0001876966 00000 n 0001881528 00000 n 0001882020 00000 n 0001882223 00000 n 0001882293 00000 n 0001882373 00000 n 0001883209 00000 n 0001883542 00000 n 0001888550 00000 n 0001888960 00000 n 0001889163 00000 n 0001889242 00000 n 0001889447 00000 n 0001889651 00000 n 0001889859 00000 n 0001890058 00000 n 0001890128 00000 n 0001890208 00000 n 0001891045 00000 n 0001891378 00000 n 0001892724 00000 n 0001893178 00000 n 0001893381 00000 n 0001893442 00000 n 0001893652 00000 n 0001893873 00000 n 0001893943 00000 n 0001894023 00000 n 0001894860 00000 n 0001895193 00000 n 0001899878 00000 n 0001900328 00000 n 0001900531 00000 n 0001900601 00000 n 0001900681 00000 n 0001901517 00000 n 0001901850 00000 n 0001906135 00000 n 0001906599 00000 n 0001906802 00000 n 0001906872 00000 n 0001906952 00000 n 0001907789 00000 n 0001908122 00000 n 0001912491 00000 n 0001912969 00000 n 0001913172 00000 n 0001913242 00000 n 0001913322 00000 n 0001914159 00000 n 0001914492 00000 n 0001918751 00000 n 0001919175 00000 n 0001919378 00000 n 0001919484 00000 n 0001919685 00000 n 0001919891 00000 n 0001920098 00000 n 0001920301 00000 n 0001920502 00000 n 0001920707 00000 n 0001920904 00000 n 0001920974 00000 n 0001921054 00000 n 0001921891 00000 n 0001922224 00000 n 0001923646 00000 n 0001924100 00000 n 0001924303 00000 n 0001924364 00000 n 0001924574 00000 n 0001924795 00000 n 0001924865 00000 n 0001924945 00000 n 0001925783 00000 n 0001926116 00000 n 0001930377 00000 n 0001930844 00000 n 0001931047 00000 n 0001931144 00000 n 0001931351 00000 n 0001931563 00000 n 0001931770 00000 n 0001931980 00000 n 0001932190 00000 n 0001932393 00000 n 0001932463 00000 n 0001932543 00000 n 0001933381 00000 n 0001933714 00000 n 0001936089 00000 n 0001936543 00000 n 0001936746 00000 n 0001936807 00000 n 0001937017 00000 n 0001937238 00000 n 0001937308 00000 n 0001937388 00000 n 0001938224 00000 n 0001938557 00000 n 0001943319 00000 n 0001943797 00000 n 0001944000 00000 n 0001944070 00000 n 0001944150 00000 n 0001944988 00000 n 0001945321 00000 n 0001949516 00000 n 0001949994 00000 n 0001950197 00000 n 0001950267 00000 n 0001950347 00000 n 0001951184 00000 n 0001951517 00000 n 0001956005 00000 n 0001956472 00000 n 0001956675 00000 n 0001956826 00000 n 0001957033 00000 n 0001957245 00000 n 0001957454 00000 n 0001957656 00000 n 0001957863 00000 n 0001958074 00000 n 0001958275 00000 n 0001958476 00000 n 0001958678 00000 n 0001958880 00000 n 0001959083 00000 n 0001959288 00000 n 0001959358 00000 n 0001959438 00000 n 0001960274 00000 n 0001960607 00000 n 0001963045 00000 n 0001963499 00000 n 0001963702 00000 n 0001963754 00000 n 0001963973 00000 n 0001964043 00000 n 0001964123 00000 n 0001964959 00000 n 0001965292 00000 n 0001969804 00000 n 0001970297 00000 n 0001970500 00000 n 0001970570 00000 n 0001970650 00000 n 0001971486 00000 n 0001971819 00000 n 0001977676 00000 n 0001978143 00000 n 0001978346 00000 n 0001978416 00000 n 0001978618 00000 n 0001978826 00000 n 0001979027 00000 n 0001979097 00000 n 0001979177 00000 n 0001980015 00000 n 0001980348 00000 n 0001983226 00000 n 0001983723 00000 n 0001983926 00000 n 0001983987 00000 n 0001984197 00000 n 0001984413 00000 n 0001984483 00000 n 0001984563 00000 n 0001985399 00000 n 0001985732 00000 n 0001991504 00000 n 0001991997 00000 n 0001992200 00000 n 0001992270 00000 n 0001992350 00000 n 0001993188 00000 n 0001993521 00000 n 0001998638 00000 n 0001999102 00000 n 0001999305 00000 n 0001999375 00000 n 0001999455 00000 n 0002000293 00000 n 0002000626 00000 n 0002004834 00000 n 0002005301 00000 n 0002005504 00000 n 0002005601 00000 n 0002005804 00000 n 0002006007 00000 n 0002006218 00000 n 0002006419 00000 n 0002006624 00000 n 0002006832 00000 n 0002006902 00000 n 0002006982 00000 n 0002007818 00000 n 0002008151 00000 n 0002012135 00000 n 0002012531 00000 n 0002012734 00000 n 0002012795 00000 n 0002013003 00000 n 0002013210 00000 n 0002013280 00000 n 0002013360 00000 n 0002014197 00000 n 0002014530 00000 n 0002015825 00000 n 0002016293 00000 n 0002016496 00000 n 0002016548 00000 n 0002016772 00000 n 0002016842 00000 n 0002016922 00000 n 0002017759 00000 n 0002018092 00000 n 0002022850 00000 n 0002023317 00000 n 0002023520 00000 n 0002023608 00000 n 0002023830 00000 n 0002024050 00000 n 0002024266 00000 n 0002024489 00000 n 0002024706 00000 n 0002024776 00000 n 0002024856 00000 n 0002025692 00000 n 0002026025 00000 n 0002029577 00000 n 0002030031 00000 n 0002030234 00000 n 0002030286 00000 n 0002030510 00000 n 0002030580 00000 n 0002030660 00000 n 0002031497 00000 n 0002031830 00000 n 0002035997 00000 n 0002036464 00000 n 0002036667 00000 n 0002036755 00000 n 0002036973 00000 n 0002037193 00000 n 0002037409 00000 n 0002037632 00000 n 0002037851 00000 n 0002037921 00000 n 0002038001 00000 n 0002038838 00000 n 0002039171 00000 n 0002041945 00000 n 0002042399 00000 n 0002042602 00000 n 0002042654 00000 n 0002042874 00000 n 0002042944 00000 n 0002043024 00000 n 0002043861 00000 n 0002044194 00000 n 0002048791 00000 n 0002049283 00000 n 0002049486 00000 n 0002049556 00000 n 0002049636 00000 n 0002050473 00000 n 0002050806 00000 n 0002055333 00000 n 0002055783 00000 n 0002055986 00000 n 0002056056 00000 n 0002056136 00000 n 0002056973 00000 n 0002057306 00000 n 0002060988 00000 n 0002061413 00000 n 0002061616 00000 n 0002061722 00000 n 0002061926 00000 n 0002062124 00000 n 0002062326 00000 n 0002062527 00000 n 0002062731 00000 n 0002062936 00000 n 0002063133 00000 n 0002063203 00000 n 0002063283 00000 n 0002064120 00000 n 0002064453 00000 n 0002066281 00000 n 0002066763 00000 n 0002066966 00000 n 0002067018 00000 n 0002067238 00000 n 0002067308 00000 n 0002067388 00000 n 0002068224 00000 n 0002068557 00000 n 0002073168 00000 n 0002073646 00000 n 0002073849 00000 n 0002073919 00000 n 0002073999 00000 n 0002074835 00000 n 0002075168 00000 n 0002079256 00000 n 0002079695 00000 n 0002079898 00000 n 0002079995 00000 n 0002080199 00000 n 0002080405 00000 n 0002080606 00000 n 0002080810 00000 n 0002081015 00000 n 0002081223 00000 n 0002081293 00000 n 0002081373 00000 n 0002082210 00000 n 0002082543 00000 n 0002084757 00000 n 0002085254 00000 n 0002085457 00000 n 0002085509 00000 n 0002085729 00000 n 0002085799 00000 n 0002085879 00000 n 0002086717 00000 n 0002087050 00000 n 0002093066 00000 n 0002093533 00000 n 0002093736 00000 n 0002093797 00000 n 0002094004 00000 n 0002094212 00000 n 0002094282 00000 n 0002094362 00000 n 0002095198 00000 n 0002095531 00000 n 0002099394 00000 n 0002099790 00000 n 0002099993 00000 n 0002100099 00000 n 0002100302 00000 n 0002100505 00000 n 0002100706 00000 n 0002100905 00000 n 0002101109 00000 n 0002101314 00000 n 0002101513 00000 n 0002101583 00000 n 0002101663 00000 n 0002102500 00000 n 0002102833 00000 n 0002104233 00000 n 0002104701 00000 n 0002104904 00000 n 0002104956 00000 n 0002105176 00000 n 0002105246 00000 n 0002105326 00000 n 0002106162 00000 n 0002106495 00000 n 0002111322 00000 n 0002111829 00000 n 0002112032 00000 n 0002112102 00000 n 0002112182 00000 n 0002113018 00000 n 0002113351 00000 n 0002118283 00000 n 0002118750 00000 n 0002118953 00000 n 0002119068 00000 n 0002119277 00000 n 0002119487 00000 n 0002119697 00000 n 0002119904 00000 n 0002120111 00000 n 0002120318 00000 n 0002120528 00000 n 0002120733 00000 n 0002120803 00000 n 0002120883 00000 n 0002121721 00000 n 0002122054 00000 n 0002124991 00000 n 0002125445 00000 n 0002125648 00000 n 0002125700 00000 n 0002125920 00000 n 0002125990 00000 n 0002126070 00000 n 0002126907 00000 n 0002127240 00000 n 0002131630 00000 n 0002132137 00000 n 0002132340 00000 n 0002132410 00000 n 0002132490 00000 n 0002133326 00000 n 0002133659 00000 n 0002138651 00000 n 0002139090 00000 n 0002139293 00000 n 0002139363 00000 n 0002139565 00000 n 0002139763 00000 n 0002139963 00000 n 0002140033 00000 n 0002140113 00000 n 0002140950 00000 n 0002141283 00000 n 0002143133 00000 n 0002143601 00000 n 0002143804 00000 n 0002143856 00000 n 0002144076 00000 n 0002144146 00000 n 0002144226 00000 n 0002145063 00000 n 0002145396 00000 n 0002149903 00000 n 0002150399 00000 n 0002150602 00000 n 0002150681 00000 n 0002150895 00000 n 0002151103 00000 n 0002151313 00000 n 0002151520 00000 n 0002151590 00000 n 0002151670 00000 n 0002152506 00000 n 0002152839 00000 n 0002158261 00000 n 0002158657 00000 n 0002158860 00000 n 0002158930 00000 n 0002159138 00000 n 0002159343 00000 n 0002159553 00000 n 0002159623 00000 n 0002159703 00000 n 0002160541 00000 n 0002160874 00000 n 0002162192 00000 n 0002162646 00000 n 0002162849 00000 n 0002162901 00000 n 0002163121 00000 n 0002163191 00000 n 0002163271 00000 n 0002164107 00000 n 0002164440 00000 n 0002168825 00000 n 0002169292 00000 n 0002169495 00000 n 0002169601 00000 n 0002169812 00000 n 0002170022 00000 n 0002170232 00000 n 0002170441 00000 n 0002170655 00000 n 0002170866 00000 n 0002171082 00000 n 0002171152 00000 n 0002171232 00000 n 0002172069 00000 n 0002172402 00000 n 0002175429 00000 n 0002175883 00000 n 0002176086 00000 n 0002176138 00000 n 0002176358 00000 n 0002176428 00000 n 0002176508 00000 n 0002177344 00000 n 0002177677 00000 n 0002182128 00000 n 0002182609 00000 n 0002182812 00000 n 0002182891 00000 n 0002183103 00000 n 0002183313 00000 n 0002183521 00000 n 0002183729 00000 n 0002183799 00000 n 0002183879 00000 n 0002184717 00000 n 0002185050 00000 n 0002189312 00000 n 0002189708 00000 n 0002189911 00000 n 0002189999 00000 n 0002190204 00000 n 0002190406 00000 n 0002190609 00000 n 0002190815 00000 n 0002191019 00000 n 0002191089 00000 n 0002191169 00000 n 0002192006 00000 n 0002192339 00000 n 0002193685 00000 n 0002194139 00000 n 0002194342 00000 n 0002194394 00000 n 0002194614 00000 n 0002194684 00000 n 0002194764 00000 n 0002195601 00000 n 0002195934 00000 n 0002200709 00000 n 0002201205 00000 n 0002201408 00000 n 0002201478 00000 n 0002201689 00000 n 0002201899 00000 n 0002202106 00000 n 0002202176 00000 n 0002202256 00000 n 0002203093 00000 n 0002203426 00000 n 0002208474 00000 n 0002208870 00000 n 0002209073 00000 n 0002209179 00000 n 0002209383 00000 n 0002209588 00000 n 0002209794 00000 n 0002209997 00000 n 0002210201 00000 n 0002210408 00000 n 0002210613 00000 n 0002210683 00000 n 0002210763 00000 n 0002211600 00000 n 0002211933 00000 n 0002213326 00000 n 0002213794 00000 n 0002213997 00000 n 0002214049 00000 n 0002214269 00000 n 0002214339 00000 n 0002214419 00000 n 0002215255 00000 n 0002215588 00000 n 0002220226 00000 n 0002220704 00000 n 0002220907 00000 n 0002220977 00000 n 0002221057 00000 n 0002221894 00000 n 0002222227 00000 n 0002226005 00000 n 0002226444 00000 n 0002226647 00000 n 0002226780 00000 n 0002226984 00000 n 0002227186 00000 n 0002227390 00000 n 0002227597 00000 n 0002227802 00000 n 0002228012 00000 n 0002228222 00000 n 0002228430 00000 n 0002228635 00000 n 0002228840 00000 n 0002228910 00000 n 0002228990 00000 n 0002229828 00000 n 0002230161 00000 n 0002232552 00000 n 0002233034 00000 n 0002233237 00000 n 0002233289 00000 n 0002233516 00000 n 0002233586 00000 n 0002233666 00000 n 0002234503 00000 n 0002234836 00000 n 0002239057 00000 n 0002239496 00000 n 0002239699 00000 n 0002239805 00000 n 0002240008 00000 n 0002240212 00000 n 0002240425 00000 n 0002240629 00000 n 0002240833 00000 n 0002241045 00000 n 0002241259 00000 n 0002241329 00000 n 0002241409 00000 n 0002242247 00000 n 0002242580 00000 n 0002244388 00000 n 0002244842 00000 n 0002245045 00000 n 0002245097 00000 n 0002245313 00000 n 0002245383 00000 n 0002245463 00000 n 0002246301 00000 n 0002246634 00000 n 0002251695 00000 n 0002252187 00000 n 0002252390 00000 n 0002252460 00000 n 0002252540 00000 n 0002253377 00000 n 0002253710 00000 n 0002258005 00000 n 0002258472 00000 n 0002258675 00000 n 0002258763 00000 n 0002258967 00000 n 0002259175 00000 n 0002259377 00000 n 0002259580 00000 n 0002259784 00000 n 0002259854 00000 n 0002259934 00000 n 0002260770 00000 n 0002261103 00000 n 0002264233 00000 n 0002264687 00000 n 0002264890 00000 n 0002264951 00000 n 0002265170 00000 n 0002265393 00000 n 0002265463 00000 n 0002265543 00000 n 0002266380 00000 n 0002266713 00000 n 0002271473 00000 n 0002271969 00000 n 0002272172 00000 n 0002272251 00000 n 0002272454 00000 n 0002272656 00000 n 0002272856 00000 n 0002273061 00000 n 0002273131 00000 n 0002273211 00000 n 0002274049 00000 n 0002274382 00000 n 0002277880 00000 n 0002278363 00000 n 0002278566 00000 n 0002278618 00000 n 0002278829 00000 n 0002278899 00000 n 0002278979 00000 n 0002279815 00000 n 0002280148 00000 n 0002284712 00000 n 0002285179 00000 n 0002285382 00000 n 0002285461 00000 n 0002285667 00000 n 0002285870 00000 n 0002286074 00000 n 0002286279 00000 n 0002286349 00000 n 0002286429 00000 n 0002287266 00000 n 0002287599 00000 n 0002289842 00000 n 0002290324 00000 n 0002290527 00000 n 0002290579 00000 n 0002290798 00000 n 0002290868 00000 n 0002290948 00000 n 0002291785 00000 n 0002292118 00000 n 0002296617 00000 n 0002297084 00000 n 0002297287 00000 n 0002297429 00000 n 0002297633 00000 n 0002297836 00000 n 0002298040 00000 n 0002298247 00000 n 0002298448 00000 n 0002298651 00000 n 0002298858 00000 n 0002299066 00000 n 0002299269 00000 n 0002299475 00000 n 0002299678 00000 n 0002299748 00000 n 0002299828 00000 n 0002300665 00000 n 0002300998 00000 n 0002304234 00000 n 0002304760 00000 n 0002304963 00000 n 0002305024 00000 n 0002305240 00000 n 0002305468 00000 n 0002305538 00000 n 0002305618 00000 n 0002306454 00000 n 0002306787 00000 n 0002312137 00000 n 0002312604 00000 n 0002312807 00000 n 0002312895 00000 n 0002313111 00000 n 0002313326 00000 n 0002313540 00000 n 0002313749 00000 n 0002313952 00000 n 0002314022 00000 n 0002314102 00000 n 0002314940 00000 n 0002315273 00000 n 0002318886 00000 n 0002319368 00000 n 0002319571 00000 n 0002319632 00000 n 0002319843 00000 n 0002320072 00000 n 0002320142 00000 n 0002320222 00000 n 0002321059 00000 n 0002321392 00000 n 0002325568 00000 n 0002326035 00000 n 0002326238 00000 n 0002326317 00000 n 0002326529 00000 n 0002326733 00000 n 0002326939 00000 n 0002327144 00000 n 0002327214 00000 n 0002327294 00000 n 0002328130 00000 n 0002328463 00000 n 0002331075 00000 n 0002331529 00000 n 0002331732 00000 n 0002331802 00000 n 0002332021 00000 n 0002332244 00000 n 0002332486 00000 n 0002332556 00000 n 0002332636 00000 n 0002333472 00000 n 0002333805 00000 n 0002338002 00000 n 0002338480 00000 n 0002338683 00000 n 0002338753 00000 n 0002338833 00000 n 0002339669 00000 n 0002340002 00000 n 0002343733 00000 n 0002344143 00000 n 0002344346 00000 n 0002344416 00000 n 0002344627 00000 n 0002344833 00000 n 0002345036 00000 n 0002345106 00000 n 0002345186 00000 n 0002346022 00000 n 0002346355 00000 n 0002347698 00000 n 0002348180 00000 n 0002348383 00000 n 0002348435 00000 n 0002348654 00000 n 0002348724 00000 n 0002348804 00000 n 0002349640 00000 n 0002349973 00000 n 0002354603 00000 n 0002355070 00000 n 0002355273 00000 n 0002355388 00000 n 0002355592 00000 n 0002355795 00000 n 0002355999 00000 n 0002356201 00000 n 0002356406 00000 n 0002356609 00000 n 0002356812 00000 n 0002357017 00000 n 0002357087 00000 n 0002357167 00000 n 0002358004 00000 n 0002358337 00000 n 0002361801 00000 n 0002362197 00000 n 0002362400 00000 n 0002362488 00000 n 0002362698 00000 n 0002362900 00000 n 0002363097 00000 n 0002363297 00000 n 0002363494 00000 n 0002363564 00000 n 0002363644 00000 n 0002364480 00000 n 0002364813 00000 n 0002366164 00000 n 0002366618 00000 n 0002366821 00000 n 0002366873 00000 n 0002367100 00000 n 0002367170 00000 n 0002367250 00000 n 0002368086 00000 n 0002368419 00000 n 0002372734 00000 n 0002373212 00000 n 0002373415 00000 n 0002373485 00000 n 0002373565 00000 n 0002374402 00000 n 0002374735 00000 n 0002378838 00000 n 0002379305 00000 n 0002379508 00000 n 0002379605 00000 n 0002379814 00000 n 0002380027 00000 n 0002380231 00000 n 0002380435 00000 n 0002380643 00000 n 0002380857 00000 n 0002380927 00000 n 0002381007 00000 n 0002381844 00000 n 0002382177 00000 n 0002384963 00000 n 0002385417 00000 n 0002385620 00000 n 0002385672 00000 n 0002385889 00000 n 0002385959 00000 n 0002386039 00000 n 0002386875 00000 n 0002387208 00000 n 0002390988 00000 n 0002391428 00000 n 0002391631 00000 n 0002391692 00000 n 0002391903 00000 n 0002392132 00000 n 0002392202 00000 n 0002392282 00000 n 0002393118 00000 n 0002393451 00000 n 0002396985 00000 n 0002397511 00000 n 0002397714 00000 n 0002397775 00000 n 0002397991 00000 n 0002398219 00000 n 0002398289 00000 n 0002398369 00000 n 0002399206 00000 n 0002399539 00000 n 0002405183 00000 n 0002405679 00000 n 0002405882 00000 n 0002405952 00000 n 0002406165 00000 n 0002406379 00000 n 0002406594 00000 n 0002406664 00000 n 0002406744 00000 n 0002407580 00000 n 0002407913 00000 n 0002412026 00000 n 0002412436 00000 n 0002412639 00000 n 0002412718 00000 n 0002412929 00000 n 0002413137 00000 n 0002413356 00000 n 0002413566 00000 n 0002413636 00000 n 0002413716 00000 n 0002414553 00000 n 0002414886 00000 n 0002416247 00000 n 0002416701 00000 n 0002416904 00000 n 0002416956 00000 n 0002417175 00000 n 0002417245 00000 n 0002417325 00000 n 0002418162 00000 n 0002418495 00000 n 0002422906 00000 n 0002423413 00000 n 0002423616 00000 n 0002423686 00000 n 0002423766 00000 n 0002424602 00000 n 0002424935 00000 n 0002430542 00000 n 0002431020 00000 n 0002431223 00000 n 0002431293 00000 n 0002431373 00000 n 0002432209 00000 n 0002432542 00000 n 0002436885 00000 n 0002437352 00000 n 0002437555 00000 n 0002437670 00000 n 0002437876 00000 n 0002438086 00000 n 0002438296 00000 n 0002438504 00000 n 0002438711 00000 n 0002438916 00000 n 0002439124 00000 n 0002439329 00000 n 0002439399 00000 n 0002439479 00000 n 0002440316 00000 n 0002440649 00000 n 0002443686 00000 n 0002444140 00000 n 0002444343 00000 n 0002444404 00000 n 0002444649 00000 n 0002444865 00000 n 0002444935 00000 n 0002445015 00000 n 0002445852 00000 n 0002446185 00000 n 0002450939 00000 n 0002451417 00000 n 0002451620 00000 n 0002451690 00000 n 0002451770 00000 n 0002452606 00000 n 0002452939 00000 n 0002457049 00000 n 0002457516 00000 n 0002457719 00000 n 0002457816 00000 n 0002458021 00000 n 0002458227 00000 n 0002458439 00000 n 0002458647 00000 n 0002458854 00000 n 0002459059 00000 n 0002459129 00000 n 0002459209 00000 n 0002460046 00000 n 0002460379 00000 n 0002462686 00000 n 0002463212 00000 n 0002463415 00000 n 0002463476 00000 n 0002463692 00000 n 0002463920 00000 n 0002463990 00000 n 0002464070 00000 n 0002464907 00000 n 0002465240 00000 n 0002470240 00000 n 0002470747 00000 n 0002470950 00000 n 0002471020 00000 n 0002471100 00000 n 0002471936 00000 n 0002472269 00000 n 0002476628 00000 n 0002477067 00000 n 0002477270 00000 n 0002477376 00000 n 0002477583 00000 n 0002477789 00000 n 0002477999 00000 n 0002478204 00000 n 0002478411 00000 n 0002478633 00000 n 0002478838 00000 n 0002478908 00000 n 0002478988 00000 n 0002479825 00000 n 0002480158 00000 n 0002482059 00000 n 0002482542 00000 n 0002482745 00000 n 0002482806 00000 n 0002483022 00000 n 0002483242 00000 n 0002483312 00000 n 0002483392 00000 n 0002484231 00000 n 0002484564 00000 n 0002489210 00000 n 0002489703 00000 n 0002489906 00000 n 0002489976 00000 n 0002490056 00000 n 0002490893 00000 n 0002491226 00000 n 0002496889 00000 n 0002497385 00000 n 0002497588 00000 n 0002497667 00000 n 0002497881 00000 n 0002498090 00000 n 0002498301 00000 n 0002498506 00000 n 0002498576 00000 n 0002498656 00000 n 0002499492 00000 n 0002499825 00000 n 0002504329 00000 n 0002504783 00000 n 0002504986 00000 n 0002505038 00000 n 0002505257 00000 n 0002505327 00000 n 0002505407 00000 n 0002506244 00000 n 0002506577 00000 n 0002510698 00000 n 0002511205 00000 n 0002511408 00000 n 0002511478 00000 n 0002511558 00000 n 0002512394 00000 n 0002512727 00000 n 0002517530 00000 n 0002517997 00000 n 0002518200 00000 n 0002518288 00000 n 0002518504 00000 n 0002518717 00000 n 0002518921 00000 n 0002519125 00000 n 0002519330 00000 n 0002519400 00000 n 0002519480 00000 n 0002520316 00000 n 0002520649 00000 n 0002522800 00000 n 0002523282 00000 n 0002523485 00000 n 0002523537 00000 n 0002523756 00000 n 0002523826 00000 n 0002523906 00000 n 0002524743 00000 n 0002525076 00000 n 0002529317 00000 n 0002529784 00000 n 0002529987 00000 n 0002530039 00000 n 0002530242 00000 n 0002530312 00000 n 0002530392 00000 n 0002531229 00000 n 0002531562 00000 n 0002533683 00000 n 0002534123 00000 n 0002534326 00000 n 0002534387 00000 n 0002534603 00000 n 0002534824 00000 n 0002534894 00000 n 0002534974 00000 n 0002535811 00000 n 0002536144 00000 n 0002541178 00000 n 0002541670 00000 n 0002541873 00000 n 0002541943 00000 n 0002542023 00000 n 0002542860 00000 n 0002543193 00000 n 0002548202 00000 n 0002548680 00000 n 0002548883 00000 n 0002548953 00000 n 0002549033 00000 n 0002549870 00000 n 0002550203 00000 n 0002554187 00000 n 0002554611 00000 n 0002554814 00000 n 0002554884 00000 n 0002555092 00000 n 0002555294 00000 n 0002555494 00000 n 0002555564 00000 n 0002555644 00000 n 0002556482 00000 n 0002556815 00000 n 0002558173 00000 n 0002558656 00000 n 0002558859 00000 n 0002558911 00000 n 0002559127 00000 n 0002559197 00000 n 0002559277 00000 n 0002560113 00000 n 0002560446 00000 n 0002564795 00000 n 0002565262 00000 n 0002565465 00000 n 0002565544 00000 n 0002565746 00000 n 0002565954 00000 n 0002566159 00000 n 0002566364 00000 n 0002566434 00000 n 0002566514 00000 n 0002567351 00000 n 0002567684 00000 n 0002570117 00000 n 0002570599 00000 n 0002570802 00000 n 0002570854 00000 n 0002571079 00000 n 0002571149 00000 n 0002571229 00000 n 0002572066 00000 n 0002572399 00000 n 0002577117 00000 n 0002577584 00000 n 0002577787 00000 n 0002577857 00000 n 0002578066 00000 n 0002578266 00000 n 0002578473 00000 n 0002578543 00000 n 0002578623 00000 n 0002579459 00000 n 0002579792 00000 n 0002583709 00000 n 0002584102 00000 n 0002584305 00000 n 0002584375 00000 n 0002584455 00000 n 0002585293 00000 n 0002585626 00000 n 0002586786 00000 n 0002587237 00000 n 0002587440 00000 n 0002587510 00000 n 0002587590 00000 n 0002588427 00000 n 0002588760 00000 n 0002593820 00000 n 0002594302 00000 n 0002594505 00000 n 0002594611 00000 n 0002594826 00000 n 0002595042 00000 n 0002595259 00000 n 0002595492 00000 n 0002595708 00000 n 0002595925 00000 n 0002596146 00000 n 0002596216 00000 n 0002596296 00000 n 0002597132 00000 n 0002597465 00000 n 0002603900 00000 n 0002604379 00000 n 0002604582 00000 n 0002604652 00000 n 0002604732 00000 n 0002605568 00000 n 0002605901 00000 n 0002611288 00000 n 0002611755 00000 n 0002611958 00000 n 0002612100 00000 n 0002612309 00000 n 0002612516 00000 n 0002612726 00000 n 0002612937 00000 n 0002613156 00000 n 0002613360 00000 n 0002613563 00000 n 0002613766 00000 n 0002613976 00000 n 0002614182 00000 n 0002614386 00000 n 0002614456 00000 n 0002614536 00000 n 0002615372 00000 n 0002615705 00000 n 0002619040 00000 n 0002619494 00000 n 0002619697 00000 n 0002619749 00000 n 0002619970 00000 n 0002620040 00000 n 0002620120 00000 n 0002620958 00000 n 0002621291 00000 n 0002625747 00000 n 0002626214 00000 n 0002626417 00000 n 0002626514 00000 n 0002626724 00000 n 0002626936 00000 n 0002627145 00000 n 0002627356 00000 n 0002627565 00000 n 0002627774 00000 n 0002627844 00000 n 0002627924 00000 n 0002628760 00000 n 0002629093 00000 n 0002631721 00000 n 0002632189 00000 n 0002632392 00000 n 0002632444 00000 n 0002632663 00000 n 0002632733 00000 n 0002632813 00000 n 0002633651 00000 n 0002633984 00000 n 0002638283 00000 n 0002638750 00000 n 0002638953 00000 n 0002639032 00000 n 0002639234 00000 n 0002639442 00000 n 0002639647 00000 n 0002639852 00000 n 0002639922 00000 n 0002640002 00000 n 0002640840 00000 n 0002641173 00000 n 0002643856 00000 n 0002644338 00000 n 0002644541 00000 n 0002644593 00000 n 0002644821 00000 n 0002644891 00000 n 0002644971 00000 n 0002645807 00000 n 0002646140 00000 n 0002650078 00000 n 0002650545 00000 n 0002650748 00000 n 0002650827 00000 n 0002651039 00000 n 0002651246 00000 n 0002651452 00000 n 0002651659 00000 n 0002651729 00000 n 0002651809 00000 n 0002652646 00000 n 0002652979 00000 n 0002655649 00000 n 0002656131 00000 n 0002656334 00000 n 0002656386 00000 n 0002656614 00000 n 0002656684 00000 n 0002656764 00000 n 0002657601 00000 n 0002657934 00000 n 0002661982 00000 n 0002662421 00000 n 0002662624 00000 n 0002662676 00000 n 0002662882 00000 n 0002662952 00000 n 0002663032 00000 n 0002663868 00000 n 0002664201 00000 n 0002666724 00000 n 0002667221 00000 n 0002667424 00000 n 0002667485 00000 n 0002667701 00000 n 0002667921 00000 n 0002667991 00000 n 0002668071 00000 n 0002668907 00000 n 0002669240 00000 n 0002674116 00000 n 0002674583 00000 n 0002674786 00000 n 0002674883 00000 n 0002675091 00000 n 0002675299 00000 n 0002675511 00000 n 0002675728 00000 n 0002675944 00000 n 0002676149 00000 n 0002676219 00000 n 0002676299 00000 n 0002677135 00000 n 0002677468 00000 n 0002681136 00000 n 0002681532 00000 n 0002681735 00000 n 0002681814 00000 n 0002682019 00000 n 0002682215 00000 n 0002682417 00000 n 0002682616 00000 n 0002682686 00000 n 0002682766 00000 n 0002683603 00000 n 0002683936 00000 n 0002685248 00000 n 0002685716 00000 n 0002685919 00000 n 0002685989 00000 n 0002686205 00000 n 0002686429 00000 n 0002686649 00000 n 0002686719 00000 n 0002686799 00000 n 0002687635 00000 n 0002687968 00000 n 0002692411 00000 n 0002692918 00000 n 0002693121 00000 n 0002693191 00000 n 0002693271 00000 n 0002694108 00000 n 0002694441 00000 n 0002698496 00000 n 0002698935 00000 n 0002699138 00000 n 0002699253 00000 n 0002699455 00000 n 0002699661 00000 n 0002699860 00000 n 0002700077 00000 n 0002700288 00000 n 0002700495 00000 n 0002700703 00000 n 0002700908 00000 n 0002700978 00000 n 0002701058 00000 n 0002701895 00000 n 0002702228 00000 n 0002704357 00000 n 0002704839 00000 n 0002705042 00000 n 0002705103 00000 n 0002705313 00000 n 0002705529 00000 n 0002705599 00000 n 0002705679 00000 n 0002706516 00000 n 0002706849 00000 n 0002710886 00000 n 0002711353 00000 n 0002711556 00000 n 0002711626 00000 n 0002711835 00000 n 0002712039 00000 n 0002712244 00000 n 0002712314 00000 n 0002712394 00000 n 0002713230 00000 n 0002713563 00000 n 0002715559 00000 n 0002716041 00000 n 0002716244 00000 n 0002716296 00000 n 0002716515 00000 n 0002716585 00000 n 0002716665 00000 n 0002717502 00000 n 0002717835 00000 n 0002722313 00000 n 0002722780 00000 n 0002722983 00000 n 0002723053 00000 n 0002723260 00000 n 0002723467 00000 n 0002723672 00000 n 0002723742 00000 n 0002723822 00000 n 0002724659 00000 n 0002724992 00000 n 0002727730 00000 n 0002728224 00000 n 0002728427 00000 n 0002728497 00000 n 0002728577 00000 n 0002729413 00000 n 0002729746 00000 n 0002734635 00000 n 0002735113 00000 n 0002735316 00000 n 0002735386 00000 n 0002735466 00000 n 0002736302 00000 n 0002736635 00000 n 0002740030 00000 n 0002740469 00000 n 0002740672 00000 n 0002740733 00000 n 0002740933 00000 n 0002741133 00000 n 0002741203 00000 n 0002741283 00000 n 0002742119 00000 n 0002742452 00000 n 0002744675 00000 n 0002745129 00000 n 0002745332 00000 n 0002745384 00000 n 0002745604 00000 n 0002745674 00000 n 0002745754 00000 n 0002746590 00000 n 0002746923 00000 n 0002751212 00000 n 0002751679 00000 n 0002751882 00000 n 0002751979 00000 n 0002752188 00000 n 0002752398 00000 n 0002752605 00000 n 0002752812 00000 n 0002753022 00000 n 0002753227 00000 n 0002753297 00000 n 0002753377 00000 n 0002754215 00000 n 0002754548 00000 n 0002757485 00000 n 0002757953 00000 n 0002758156 00000 n 0002758208 00000 n 0002758428 00000 n 0002758498 00000 n 0002758578 00000 n 0002759415 00000 n 0002759748 00000 n 0002763967 00000 n 0002764459 00000 n 0002764662 00000 n 0002764732 00000 n 0002764812 00000 n 0002765649 00000 n 0002765982 00000 n 0002770447 00000 n 0002770857 00000 n 0002771060 00000 n 0002771148 00000 n 0002771352 00000 n 0002771556 00000 n 0002771757 00000 n 0002771958 00000 n 0002772159 00000 n 0002772229 00000 n 0002772309 00000 n 0002773146 00000 n 0002773479 00000 n 0002774885 00000 n 0002775353 00000 n 0002775556 00000 n 0002775608 00000 n 0002775828 00000 n 0002775898 00000 n 0002775978 00000 n 0002776815 00000 n 0002777148 00000 n 0002781649 00000 n 0002782116 00000 n 0002782319 00000 n 0002782398 00000 n 0002782608 00000 n 0002782815 00000 n 0002783019 00000 n 0002783228 00000 n 0002783298 00000 n 0002783378 00000 n 0002784214 00000 n 0002784547 00000 n 0002787343 00000 n 0002787797 00000 n 0002788000 00000 n 0002788052 00000 n 0002788272 00000 n 0002788342 00000 n 0002788422 00000 n 0002789260 00000 n 0002789593 00000 n 0002793571 00000 n 0002794038 00000 n 0002794241 00000 n 0002794347 00000 n 0002794554 00000 n 0002794766 00000 n 0002794970 00000 n 0002795179 00000 n 0002795388 00000 n 0002795591 00000 n 0002795799 00000 n 0002795869 00000 n 0002795949 00000 n 0002796785 00000 n 0002797118 00000 n 0002799921 00000 n 0002800403 00000 n 0002800606 00000 n 0002800658 00000 n 0002800878 00000 n 0002800948 00000 n 0002801028 00000 n 0002801864 00000 n 0002802197 00000 n 0002806519 00000 n 0002806986 00000 n 0002807189 00000 n 0002807304 00000 n 0002807510 00000 n 0002807716 00000 n 0002807922 00000 n 0002808131 00000 n 0002808334 00000 n 0002808541 00000 n 0002808748 00000 n 0002808958 00000 n 0002809028 00000 n 0002809108 00000 n 0002809945 00000 n 0002810278 00000 n 0002813419 00000 n 0002813887 00000 n 0002814090 00000 n 0002814142 00000 n 0002814362 00000 n 0002814432 00000 n 0002814512 00000 n 0002815350 00000 n 0002815683 00000 n 0002819947 00000 n 0002820428 00000 n 0002820631 00000 n 0002820719 00000 n 0002820927 00000 n 0002821137 00000 n 0002821344 00000 n 0002821550 00000 n 0002821755 00000 n 0002821825 00000 n 0002821905 00000 n 0002822742 00000 n 0002823075 00000 n 0002827328 00000 n 0002827724 00000 n 0002827927 00000 n 0002827979 00000 n 0002828183 00000 n 0002828253 00000 n 0002828333 00000 n 0002829170 00000 n 0002829503 00000 n 0002830754 00000 n 0002831251 00000 n 0002831454 00000 n 0002831515 00000 n 0002831725 00000 n 0002831941 00000 n 0002832011 00000 n 0002832091 00000 n 0002832927 00000 n 0002833260 00000 n 0002837881 00000 n 0002838359 00000 n 0002838562 00000 n 0002838632 00000 n 0002838712 00000 n 0002839548 00000 n 0002839881 00000 n 0002843552 00000 n 0002843991 00000 n 0002844194 00000 n 0002844273 00000 n 0002844474 00000 n 0002844684 00000 n 0002844887 00000 n 0002845097 00000 n 0002845167 00000 n 0002845247 00000 n 0002846083 00000 n 0002846416 00000 n 0002848141 00000 n 0002848624 00000 n 0002848827 00000 n 0002848888 00000 n 0002849104 00000 n 0002849332 00000 n 0002849402 00000 n 0002849482 00000 n 0002850319 00000 n 0002850652 00000 n 0002855090 00000 n 0002855557 00000 n 0002855760 00000 n 0002855857 00000 n 0002856070 00000 n 0002856286 00000 n 0002856501 00000 n 0002856718 00000 n 0002856932 00000 n 0002857148 00000 n 0002857218 00000 n 0002857298 00000 n 0002858137 00000 n 0002858470 00000 n 0002861303 00000 n 0002861785 00000 n 0002861988 00000 n 0002862040 00000 n 0002862259 00000 n 0002862329 00000 n 0002862409 00000 n 0002863246 00000 n 0002863579 00000 n 0002867587 00000 n 0002868054 00000 n 0002868257 00000 n 0002868327 00000 n 0002868533 00000 n 0002868740 00000 n 0002868947 00000 n 0002869017 00000 n 0002869097 00000 n 0002869933 00000 n 0002870266 00000 n 0002872320 00000 n 0002872774 00000 n 0002872977 00000 n 0002873029 00000 n 0002873248 00000 n 0002873318 00000 n 0002873398 00000 n 0002874236 00000 n 0002874569 00000 n 0002878896 00000 n 0002879363 00000 n 0002879566 00000 n 0002879636 00000 n 0002879839 00000 n 0002880040 00000 n 0002880244 00000 n 0002880314 00000 n 0002880394 00000 n 0002881230 00000 n 0002881563 00000 n 0002884232 00000 n 0002884725 00000 n 0002884928 00000 n 0002884998 00000 n 0002885078 00000 n 0002885914 00000 n 0002886247 00000 n 0002890158 00000 n 0002890640 00000 n 0002890843 00000 n 0002890904 00000 n 0002891107 00000 n 0002891313 00000 n 0002891383 00000 n 0002891463 00000 n 0002892300 00000 n 0002892633 00000 n 0002895924 00000 n 0002896417 00000 n 0002896620 00000 n 0002896690 00000 n 0002896770 00000 n 0002897608 00000 n 0002897941 00000 n 0002902149 00000 n 0002902588 00000 n 0002902791 00000 n 0002902852 00000 n 0002903048 00000 n 0002903247 00000 n 0002903317 00000 n 0002903397 00000 n 0002904233 00000 n 0002904566 00000 n 0002906130 00000 n 0002906623 00000 n 0002906826 00000 n 0002906896 00000 n 0002906976 00000 n 0002907812 00000 n 0002908145 00000 n 0002912225 00000 n 0002912664 00000 n 0002912867 00000 n 0002912937 00000 n 0002913131 00000 n 0002913317 00000 n 0002913508 00000 n 0002913578 00000 n 0002913658 00000 n 0002914496 00000 n 0002914829 00000 n 0002916425 00000 n 0002916918 00000 n 0002917121 00000 n 0002917191 00000 n 0002917271 00000 n 0002918108 00000 n 0002918441 00000 n 0002922591 00000 n 0002923030 00000 n 0002923233 00000 n 0002923285 00000 n 0002923479 00000 n 0002923549 00000 n 0002923629 00000 n 0002924466 00000 n 0002924799 00000 n 0002926367 00000 n 0002926807 00000 n 0002927010 00000 n 0002927062 00000 n 0002927302 00000 n 0002927372 00000 n 0002927452 00000 n 0002928290 00000 n 0002928623 00000 n 0002931327 00000 n 0002931809 00000 n 0002932012 00000 n 0002932064 00000 n 0002932285 00000 n 0002932355 00000 n 0002932435 00000 n 0002933272 00000 n 0002933605 00000 n 0002938018 00000 n 0002938457 00000 n 0002938660 00000 n 0002938730 00000 n 0002938941 00000 n 0002939150 00000 n 0002939359 00000 n 0002939429 00000 n 0002939509 00000 n 0002940345 00000 n 0002940678 00000 n 0002942769 00000 n 0002943223 00000 n 0002943426 00000 n 0002943478 00000 n 0002943695 00000 n 0002943765 00000 n 0002943845 00000 n 0002944682 00000 n 0002945015 00000 n 0002949691 00000 n 0002950158 00000 n 0002950361 00000 n 0002950413 00000 n 0002950621 00000 n 0002950691 00000 n 0002950771 00000 n 0002951608 00000 n 0002951941 00000 n 0002954803 00000 n 0002955285 00000 n 0002955488 00000 n 0002955540 00000 n 0002955768 00000 n 0002955838 00000 n 0002955918 00000 n 0002956754 00000 n 0002957087 00000 n 0002961205 00000 n 0002961644 00000 n 0002961847 00000 n 0002961962 00000 n 0002962171 00000 n 0002962382 00000 n 0002962587 00000 n 0002962797 00000 n 0002963006 00000 n 0002963210 00000 n 0002963414 00000 n 0002963619 00000 n 0002963689 00000 n 0002963769 00000 n 0002964606 00000 n 0002964939 00000 n 0002967298 00000 n 0002967780 00000 n 0002967983 00000 n 0002968035 00000 n 0002968251 00000 n 0002968321 00000 n 0002968401 00000 n 0002969238 00000 n 0002969571 00000 n 0002973616 00000 n 0002974026 00000 n 0002974229 00000 n 0002974281 00000 n 0002974489 00000 n 0002974559 00000 n 0002974639 00000 n 0002975475 00000 n 0002975808 00000 n 0002977093 00000 n 0002977575 00000 n 0002977778 00000 n 0002977830 00000 n 0002978049 00000 n 0002978119 00000 n 0002978199 00000 n 0002979035 00000 n 0002979368 00000 n 0002983444 00000 n 0002983854 00000 n 0002984057 00000 n 0002984127 00000 n 0002984337 00000 n 0002984545 00000 n 0002984753 00000 n 0002984823 00000 n 0002984903 00000 n 0002985740 00000 n 0002986073 00000 n 0002987420 00000 n 0002987902 00000 n 0002988105 00000 n 0002988157 00000 n 0002988371 00000 n 0002988441 00000 n 0002988521 00000 n 0002989358 00000 n 0002989691 00000 n 0002993662 00000 n 0002994115 00000 n 0002994318 00000 n 0002994379 00000 n 0002994589 00000 n 0002994797 00000 n 0002994867 00000 n 0002994947 00000 n 0002995783 00000 n 0002996116 00000 n 0002997802 00000 n 0002998284 00000 n 0002998487 00000 n 0002998539 00000 n 0002998753 00000 n 0002998823 00000 n 0002998903 00000 n 0002999739 00000 n 0003000072 00000 n 0003004037 00000 n 0003004504 00000 n 0003004707 00000 n 0003004768 00000 n 0003004976 00000 n 0003005178 00000 n 0003005248 00000 n 0003005328 00000 n 0003006165 00000 n 0003006498 00000 n 0003008696 00000 n 0003009178 00000 n 0003009381 00000 n 0003009442 00000 n 0003009652 00000 n 0003009873 00000 n 0003009943 00000 n 0003010023 00000 n 0003010859 00000 n 0003011192 00000 n 0003015642 00000 n 0003016081 00000 n 0003016284 00000 n 0003016336 00000 n 0003016545 00000 n 0003016615 00000 n 0003016695 00000 n 0003017532 00000 n 0003017865 00000 n 0003019626 00000 n 0003020108 00000 n 0003020311 00000 n 0003020372 00000 n 0003020582 00000 n 0003020803 00000 n 0003020873 00000 n 0003020953 00000 n 0003021790 00000 n 0003022123 00000 n 0003026661 00000 n 0003027157 00000 n 0003027411 00000 n 0003027683 00000 n 0003027886 00000 n 0003027956 00000 n 0003028036 00000 n 0003028872 00000 n 0003029205 00000 n 0003034055 00000 n 0003034522 00000 n 0003034725 00000 n 0003034795 00000 n 0003035007 00000 n 0003035213 00000 n 0003035421 00000 n 0003035491 00000 n 0003035571 00000 n 0003036407 00000 n 0003036740 00000 n 0003039212 00000 n 0003039694 00000 n 0003039897 00000 n 0003039949 00000 n 0003040168 00000 n 0003040238 00000 n 0003040318 00000 n 0003041155 00000 n 0003041488 00000 n 0003045888 00000 n 0003046355 00000 n 0003046558 00000 n 0003046619 00000 n 0003046820 00000 n 0003047022 00000 n 0003047092 00000 n 0003047172 00000 n 0003048009 00000 n 0003048342 00000 n 0003050157 00000 n 0003050639 00000 n 0003050842 00000 n 0003050894 00000 n 0003051114 00000 n 0003051184 00000 n 0003051264 00000 n 0003052100 00000 n 0003052433 00000 n 0003056713 00000 n 0003057152 00000 n 0003057355 00000 n 0003057443 00000 n 0003057647 00000 n 0003057841 00000 n 0003058043 00000 n 0003058244 00000 n 0003058443 00000 n 0003058513 00000 n 0003058593 00000 n 0003059430 00000 n 0003059763 00000 n 0003061493 00000 n 0003061975 00000 n 0003062178 00000 n 0003062239 00000 n 0003062459 00000 n 0003062680 00000 n 0003062750 00000 n 0003062830 00000 n 0003063667 00000 n 0003064000 00000 n 0003068182 00000 n 0003068649 00000 n 0003068852 00000 n 0003068949 00000 n 0003069161 00000 n 0003069371 00000 n 0003069578 00000 n 0003069788 00000 n 0003069993 00000 n 0003070201 00000 n 0003070271 00000 n 0003070351 00000 n 0003071187 00000 n 0003071520 00000 n 0003073467 00000 n 0003073949 00000 n 0003074152 00000 n 0003074204 00000 n 0003074424 00000 n 0003074494 00000 n 0003074574 00000 n 0003075410 00000 n 0003075743 00000 n 0003079812 00000 n 0003080222 00000 n 0003080425 00000 n 0003080522 00000 n 0003080725 00000 n 0003080929 00000 n 0003081130 00000 n 0003081331 00000 n 0003081530 00000 n 0003081734 00000 n 0003081804 00000 n 0003081884 00000 n 0003082722 00000 n 0003083055 00000 n 0003084478 00000 n 0003084960 00000 n 0003085163 00000 n 0003085215 00000 n 0003085435 00000 n 0003085505 00000 n 0003085585 00000 n 0003086422 00000 n 0003086755 00000 n 0003090839 00000 n 0003091278 00000 n 0003091481 00000 n 0003091560 00000 n 0003091762 00000 n 0003091959 00000 n 0003092157 00000 n 0003092357 00000 n 0003092427 00000 n 0003092507 00000 n 0003093343 00000 n 0003093676 00000 n 0003095378 00000 n 0003095860 00000 n 0003096063 00000 n 0003096115 00000 n 0003096335 00000 n 0003096405 00000 n 0003096485 00000 n 0003097323 00000 n 0003097656 00000 n 0003101855 00000 n 0003102294 00000 n 0003102497 00000 n 0003102549 00000 n 0003102752 00000 n 0003102822 00000 n 0003102902 00000 n 0003103739 00000 n 0003104072 00000 n 0003105681 00000 n 0003106163 00000 n 0003106366 00000 n 0003106418 00000 n 0003106645 00000 n 0003106715 00000 n 0003106795 00000 n 0003107631 00000 n 0003107964 00000 n 0003112083 00000 n 0003112522 00000 n 0003112725 00000 n 0003112786 00000 n 0003112989 00000 n 0003113183 00000 n 0003113253 00000 n 0003113333 00000 n 0003114170 00000 n 0003114503 00000 n 0003116132 00000 n 0003116643 00000 n 0003116846 00000 n 0003116907 00000 n 0003117126 00000 n 0003117349 00000 n 0003117419 00000 n 0003117499 00000 n 0003118337 00000 n 0003118670 00000 n 0003123735 00000 n 0003124202 00000 n 0003124405 00000 n 0003124493 00000 n 0003124699 00000 n 0003124907 00000 n 0003125109 00000 n 0003125309 00000 n 0003125514 00000 n 0003125584 00000 n 0003125664 00000 n 0003126500 00000 n 0003126833 00000 n 0003129370 00000 n 0003129852 00000 n 0003130055 00000 n 0003130107 00000 n 0003130318 00000 n 0003130388 00000 n 0003130468 00000 n 0003131304 00000 n 0003131637 00000 n 0003135532 00000 n 0003135942 00000 n 0003136145 00000 n 0003136197 00000 n 0003136396 00000 n 0003136466 00000 n 0003136546 00000 n 0003137382 00000 n 0003137715 00000 n 0003138980 00000 n 0003139491 00000 n 0003139694 00000 n 0003139746 00000 n 0003139969 00000 n 0003140039 00000 n 0003140119 00000 n 0003140956 00000 n 0003141289 00000 n 0003146816 00000 n 0003147283 00000 n 0003147486 00000 n 0003147547 00000 n 0003147760 00000 n 0003147971 00000 n 0003148041 00000 n 0003148121 00000 n 0003148957 00000 n 0003149290 00000 n 0003151590 00000 n 0003152072 00000 n 0003152275 00000 n 0003152336 00000 n 0003152558 00000 n 0003152774 00000 n 0003152844 00000 n 0003152924 00000 n 0003153762 00000 n 0003154095 00000 n 0003158339 00000 n 0003158778 00000 n 0003158981 00000 n 0003159033 00000 n 0003159233 00000 n 0003159303 00000 n 0003159383 00000 n 0003160221 00000 n 0003160554 00000 n 0003162159 00000 n 0003162599 00000 n 0003162802 00000 n 0003162863 00000 n 0003163074 00000 n 0003163303 00000 n 0003163373 00000 n 0003163453 00000 n 0003164290 00000 n 0003164623 00000 n 0003167459 00000 n 0003167941 00000 n 0003168144 00000 n 0003168196 00000 n 0003168410 00000 n 0003168480 00000 n 0003168560 00000 n 0003169396 00000 n 0003169729 00000 n 0003173880 00000 n 0003174319 00000 n 0003174522 00000 n 0003174601 00000 n 0003174804 00000 n 0003174999 00000 n 0003175210 00000 n 0003175419 00000 n 0003175489 00000 n 0003175569 00000 n 0003176406 00000 n 0003176739 00000 n 0003178454 00000 n 0003178936 00000 n 0003179139 00000 n 0003179191 00000 n 0003179414 00000 n 0003179484 00000 n 0003179564 00000 n 0003180400 00000 n 0003180733 00000 n 0003184855 00000 n 0003185294 00000 n 0003185497 00000 n 0003185567 00000 n 0003185777 00000 n 0003185981 00000 n 0003186191 00000 n 0003186261 00000 n 0003186341 00000 n 0003187177 00000 n 0003187510 00000 n 0003189220 00000 n 0003189702 00000 n 0003189905 00000 n 0003189975 00000 n 0003190191 00000 n 0003190422 00000 n 0003190643 00000 n 0003190713 00000 n 0003190793 00000 n 0003191630 00000 n 0003191963 00000 n 0003196238 00000 n 0003196677 00000 n 0003196880 00000 n 0003196959 00000 n 0003197165 00000 n 0003197378 00000 n 0003197591 00000 n 0003197804 00000 n 0003197874 00000 n 0003197954 00000 n 0003198792 00000 n 0003199125 00000 n 0003200997 00000 n 0003201508 00000 n 0003201711 00000 n 0003201763 00000 n 0003201986 00000 n 0003202056 00000 n 0003202136 00000 n 0003202972 00000 n 0003203305 00000 n 0003208671 00000 n 0003209110 00000 n 0003209313 00000 n 0003209374 00000 n 0003209589 00000 n 0003209802 00000 n 0003209872 00000 n 0003209952 00000 n 0003210790 00000 n 0003211123 00000 n 0003213035 00000 n 0003213517 00000 n 0003213720 00000 n 0003213781 00000 n 0003213997 00000 n 0003214217 00000 n 0003214287 00000 n 0003214367 00000 n 0003215205 00000 n 0003215538 00000 n 0003219738 00000 n 0003220177 00000 n 0003220380 00000 n 0003220441 00000 n 0003220648 00000 n 0003220849 00000 n 0003220919 00000 n 0003220999 00000 n 0003221835 00000 n 0003222168 00000 n 0003223870 00000 n 0003224352 00000 n 0003224555 00000 n 0003224607 00000 n 0003224829 00000 n 0003224899 00000 n 0003224979 00000 n 0003225815 00000 n 0003226148 00000 n 0003230536 00000 n 0003230986 00000 n 0003231189 00000 n 0003231259 00000 n 0003231339 00000 n 0003232176 00000 n 0003232509 00000 n 0003234088 00000 n 0003234570 00000 n 0003234773 00000 n 0003234825 00000 n 0003235044 00000 n 0003235114 00000 n 0003235194 00000 n 0003236030 00000 n 0003236363 00000 n 0003240581 00000 n 0003241048 00000 n 0003241251 00000 n 0003241312 00000 n 0003241509 00000 n 0003241707 00000 n 0003241777 00000 n 0003241857 00000 n 0003242692 00000 n 0003243025 00000 n 0003244924 00000 n 0003245378 00000 n 0003245581 00000 n 0003245642 00000 n 0003245858 00000 n 0003246079 00000 n 0003246149 00000 n 0003246229 00000 n 0003247065 00000 n 0003247398 00000 n 0003251709 00000 n 0003252176 00000 n 0003252379 00000 n 0003252512 00000 n 0003252715 00000 n 0003252927 00000 n 0003253133 00000 n 0003253347 00000 n 0003253548 00000 n 0003253759 00000 n 0003253966 00000 n 0003254175 00000 n 0003254379 00000 n 0003254593 00000 n 0003254663 00000 n 0003254743 00000 n 0003255579 00000 n 0003255912 00000 n 0003258925 00000 n 0003259407 00000 n 0003259610 00000 n 0003259662 00000 n 0003259878 00000 n 0003259948 00000 n 0003260028 00000 n 0003260863 00000 n 0003261196 00000 n 0003265258 00000 n 0003265682 00000 n 0003265885 00000 n 0003265937 00000 n 0003266142 00000 n 0003266212 00000 n 0003266292 00000 n 0003267129 00000 n 0003267462 00000 n 0003268740 00000 n 0003269222 00000 n 0003269425 00000 n 0003269486 00000 n 0003269701 00000 n 0003269922 00000 n 0003269992 00000 n 0003270072 00000 n 0003270909 00000 n 0003271242 00000 n 0003276075 00000 n 0003276542 00000 n 0003276745 00000 n 0003276842 00000 n 0003277047 00000 n 0003277256 00000 n 0003277460 00000 n 0003277663 00000 n 0003277866 00000 n 0003278076 00000 n 0003278146 00000 n 0003278226 00000 n 0003279062 00000 n 0003279395 00000 n 0003281438 00000 n 0003281960 00000 n 0003282163 00000 n 0003282233 00000 n 0003282313 00000 n 0003283149 00000 n 0003283482 00000 n 0003288895 00000 n 0003289362 00000 n 0003289565 00000 n 0003289635 00000 n 0003289841 00000 n 0003290045 00000 n 0003290252 00000 n 0003290322 00000 n 0003290402 00000 n 0003291239 00000 n 0003291572 00000 n 0003294250 00000 n 0003294704 00000 n 0003294907 00000 n 0003294959 00000 n 0003295179 00000 n 0003295249 00000 n 0003295329 00000 n 0003296166 00000 n 0003296499 00000 n 0003300804 00000 n 0003301271 00000 n 0003301474 00000 n 0003301589 00000 n 0003301800 00000 n 0003302016 00000 n 0003302224 00000 n 0003302432 00000 n 0003302639 00000 n 0003302851 00000 n 0003303060 00000 n 0003303274 00000 n 0003303344 00000 n 0003303424 00000 n 0003304260 00000 n 0003304593 00000 n 0003307559 00000 n 0003308041 00000 n 0003308244 00000 n 0003308296 00000 n 0003308524 00000 n 0003308594 00000 n 0003308674 00000 n 0003309510 00000 n 0003309843 00000 n 0003313972 00000 n 0003314439 00000 n 0003314642 00000 n 0003314739 00000 n 0003314951 00000 n 0003315158 00000 n 0003315365 00000 n 0003315569 00000 n 0003315773 00000 n 0003315978 00000 n 0003316048 00000 n 0003316128 00000 n 0003316964 00000 n 0003317297 00000 n 0003320234 00000 n 0003320716 00000 n 0003320919 00000 n 0003320971 00000 n 0003321199 00000 n 0003321269 00000 n 0003321349 00000 n 0003322185 00000 n 0003322518 00000 n 0003326607 00000 n 0003327074 00000 n 0003327277 00000 n 0003327383 00000 n 0003327595 00000 n 0003327802 00000 n 0003328007 00000 n 0003328220 00000 n 0003328422 00000 n 0003328624 00000 n 0003328827 00000 n 0003328897 00000 n 0003328977 00000 n 0003329815 00000 n 0003330148 00000 n 0003332666 00000 n 0003333148 00000 n 0003333351 00000 n 0003333403 00000 n 0003333617 00000 n 0003333687 00000 n 0003333767 00000 n 0003334604 00000 n 0003334937 00000 n 0003338983 00000 n 0003339450 00000 n 0003339653 00000 n 0003339732 00000 n 0003339943 00000 n 0003340153 00000 n 0003340361 00000 n 0003340571 00000 n 0003340641 00000 n 0003340721 00000 n 0003341558 00000 n 0003341891 00000 n 0003344567 00000 n 0003345049 00000 n 0003345252 00000 n 0003345304 00000 n 0003345524 00000 n 0003345594 00000 n 0003345674 00000 n 0003346511 00000 n 0003346844 00000 n 0003351041 00000 n 0003351480 00000 n 0003351683 00000 n 0003351762 00000 n 0003351972 00000 n 0003352182 00000 n 0003352389 00000 n 0003352599 00000 n 0003352669 00000 n 0003352749 00000 n 0003353586 00000 n 0003353919 00000 n 0003356038 00000 n 0003356520 00000 n 0003356723 00000 n 0003356775 00000 n 0003356994 00000 n 0003357064 00000 n 0003357144 00000 n 0003357980 00000 n 0003358313 00000 n 0003363158 00000 n 0003363636 00000 n 0003363839 00000 n 0003363909 00000 n 0003363989 00000 n 0003364827 00000 n 0003365160 00000 n 0003369196 00000 n 0003369678 00000 n 0003369881 00000 n 0003369987 00000 n 0003370191 00000 n 0003370394 00000 n 0003370597 00000 n 0003370805 00000 n 0003371008 00000 n 0003371214 00000 n 0003371417 00000 n 0003371487 00000 n 0003371567 00000 n 0003372404 00000 n 0003372737 00000 n 0003376445 00000 n 0003376899 00000 n 0003377102 00000 n 0003377154 00000 n 0003377374 00000 n 0003377444 00000 n 0003377524 00000 n 0003378360 00000 n 0003378693 00000 n 0003383381 00000 n 0003383848 00000 n 0003384051 00000 n 0003384166 00000 n 0003384373 00000 n 0003384585 00000 n 0003384796 00000 n 0003385000 00000 n 0003385209 00000 n 0003385418 00000 n 0003385621 00000 n 0003385830 00000 n 0003385900 00000 n 0003385980 00000 n 0003386816 00000 n 0003387149 00000 n 0003390657 00000 n 0003391139 00000 n 0003391342 00000 n 0003391394 00000 n 0003391614 00000 n 0003391684 00000 n 0003391764 00000 n 0003392602 00000 n 0003392935 00000 n 0003397304 00000 n 0003397743 00000 n 0003397946 00000 n 0003398070 00000 n 0003398275 00000 n 0003398485 00000 n 0003398688 00000 n 0003398899 00000 n 0003399101 00000 n 0003399310 00000 n 0003399519 00000 n 0003399731 00000 n 0003399941 00000 n 0003400011 00000 n 0003400091 00000 n 0003400928 00000 n 0003401261 00000 n 0003403165 00000 n 0003403662 00000 n 0003403865 00000 n 0003403917 00000 n 0003404134 00000 n 0003404204 00000 n 0003404284 00000 n 0003405121 00000 n 0003405454 00000 n 0003411755 00000 n 0003412251 00000 n 0003412454 00000 n 0003412515 00000 n 0003412717 00000 n 0003412916 00000 n 0003412986 00000 n 0003413066 00000 n 0003413904 00000 n 0003414237 00000 n 0003418927 00000 n 0003419438 00000 n 0003419641 00000 n 0003419693 00000 n 0003419917 00000 n 0003419987 00000 n 0003420067 00000 n 0003420903 00000 n 0003421236 00000 n 0003426599 00000 n 0003427038 00000 n 0003427241 00000 n 0003427311 00000 n 0003427514 00000 n 0003427711 00000 n 0003427908 00000 n 0003427978 00000 n 0003428058 00000 n 0003428894 00000 n 0003429227 00000 n 0003431250 00000 n 0003431704 00000 n 0003431907 00000 n 0003431959 00000 n 0003432187 00000 n 0003432257 00000 n 0003432337 00000 n 0003433174 00000 n 0003433507 00000 n 0003437701 00000 n 0003438193 00000 n 0003438396 00000 n 0003438466 00000 n 0003438546 00000 n 0003439384 00000 n 0003439717 00000 n 0003444694 00000 n 0003445133 00000 n 0003445336 00000 n 0003445424 00000 n 0003445628 00000 n 0003445839 00000 n 0003446042 00000 n 0003446253 00000 n 0003446459 00000 n 0003446529 00000 n 0003446609 00000 n 0003447445 00000 n 0003447778 00000 n 0003449747 00000 n 0003450244 00000 n 0003450447 00000 n 0003450499 00000 n 0003450719 00000 n 0003450789 00000 n 0003450869 00000 n 0003451705 00000 n 0003452038 00000 n 0003457910 00000 n 0003458377 00000 n 0003458580 00000 n 0003458695 00000 n 0003458903 00000 n 0003459112 00000 n 0003459322 00000 n 0003459530 00000 n 0003459736 00000 n 0003459943 00000 n 0003460153 00000 n 0003460358 00000 n 0003460428 00000 n 0003460508 00000 n 0003461345 00000 n 0003461678 00000 n 0003464684 00000 n 0003465138 00000 n 0003465341 00000 n 0003465393 00000 n 0003465621 00000 n 0003465691 00000 n 0003465771 00000 n 0003466607 00000 n 0003466940 00000 n 0003470437 00000 n 0003470919 00000 n 0003471122 00000 n 0003471192 00000 n 0003471414 00000 n 0003471651 00000 n 0003471879 00000 n 0003471949 00000 n 0003472029 00000 n 0003472865 00000 n 0003473198 00000 n 0003477948 00000 n 0003478415 00000 n 0003478618 00000 n 0003478670 00000 n 0003478872 00000 n 0003478942 00000 n 0003479022 00000 n 0003479859 00000 n 0003480192 00000 n 0003482378 00000 n 0003482832 00000 n 0003483035 00000 n 0003483096 00000 n 0003483320 00000 n 0003483556 00000 n 0003483626 00000 n 0003483706 00000 n 0003484543 00000 n 0003484876 00000 n 0003489695 00000 n 0003490173 00000 n 0003490376 00000 n 0003490446 00000 n 0003490526 00000 n 0003491363 00000 n 0003491696 00000 n 0003495660 00000 n 0003496099 00000 n 0003496302 00000 n 0003496363 00000 n 0003496565 00000 n 0003496765 00000 n 0003496835 00000 n 0003496915 00000 n 0003497751 00000 n 0003498084 00000 n 0003500856 00000 n 0003501321 00000 n 0003501524 00000 n 0003501594 00000 n 0003501674 00000 n 0003502512 00000 n 0003502845 00000 n 0003507847 00000 n 0003508314 00000 n 0003508517 00000 n 0003508578 00000 n 0003508802 00000 n 0003509038 00000 n 0003509108 00000 n 0003509188 00000 n 0003510025 00000 n 0003510358 00000 n 0003516040 00000 n 0003516518 00000 n 0003516721 00000 n 0003516791 00000 n 0003516871 00000 n 0003517707 00000 n 0003518040 00000 n 0003522230 00000 n 0003522666 00000 n 0003522869 00000 n 0003522939 00000 n 0003523019 00000 n 0003523857 00000 n 0003524190 00000 n 0003527786 00000 n 0003528250 00000 n 0003528453 00000 n 0003528523 00000 n 0003528603 00000 n 0003529441 00000 n 0003529774 00000 n 0003533922 00000 n 0003534372 00000 n 0003534575 00000 n 0003534645 00000 n 0003534725 00000 n 0003535562 00000 n 0003535895 00000 n 0003538722 00000 n 0003539158 00000 n 0003539361 00000 n 0003539431 00000 n 0003539511 00000 n 0003540347 00000 n 0003540680 00000 n 0003543832 00000 n 0003544271 00000 n 0003544474 00000 n 0003544553 00000 n 0003544761 00000 n 0003544963 00000 n 0003545163 00000 n 0003545369 00000 n 0003545439 00000 n 0003545519 00000 n 0003546356 00000 n 0003546689 00000 n 0003548780 00000 n 0003549245 00000 n 0003549448 00000 n 0003549518 00000 n 0003549598 00000 n 0003550434 00000 n 0003550767 00000 n 0003555748 00000 n 0003556226 00000 n 0003556429 00000 n 0003556499 00000 n 0003556579 00000 n 0003557415 00000 n 0003557748 00000 n 0003561161 00000 n 0003561600 00000 n 0003561803 00000 n 0003561855 00000 n 0003562066 00000 n 0003562136 00000 n 0003562216 00000 n 0003563053 00000 n 0003563386 00000 n 0003565975 00000 n 0003566429 00000 n 0003566632 00000 n 0003566684 00000 n 0003566900 00000 n 0003566970 00000 n 0003567050 00000 n 0003567887 00000 n 0003568220 00000 n 0003572553 00000 n 0003573020 00000 n 0003573223 00000 n 0003573302 00000 n 0003573506 00000 n 0003573708 00000 n 0003573910 00000 n 0003574110 00000 n 0003574180 00000 n 0003574260 00000 n 0003575096 00000 n 0003575429 00000 n 0003578679 00000 n 0003579161 00000 n 0003579415 00000 n 0003579687 00000 n 0003579890 00000 n 0003579978 00000 n 0003580194 00000 n 0003580444 00000 n 0003580660 00000 n 0003580730 00000 n 0003580810 00000 n 0003581647 00000 n 0003581980 00000 n 0003586668 00000 n 0003587132 00000 n 0003587335 00000 n 0003587405 00000 n 0003587485 00000 n 0003588322 00000 n 0003588655 00000 n 0003593192 00000 n 0003593631 00000 n 0003593834 00000 n 0003593895 00000 n 0003594091 00000 n 0003594287 00000 n 0003594357 00000 n 0003594437 00000 n 0003595273 00000 n 0003595606 00000 n 0003597827 00000 n 0003598292 00000 n 0003598495 00000 n 0003598565 00000 n 0003598645 00000 n 0003599481 00000 n 0003599814 00000 n 0003604765 00000 n 0003605232 00000 n 0003605435 00000 n 0003605487 00000 n 0003605713 00000 n 0003605783 00000 n 0003605863 00000 n 0003606700 00000 n 0003607033 00000 n 0003610875 00000 n 0003611342 00000 n 0003611545 00000 n 0003611651 00000 n 0003611856 00000 n 0003612065 00000 n 0003612274 00000 n 0003612484 00000 n 0003612688 00000 n 0003612892 00000 n 0003613097 00000 n 0003613167 00000 n 0003613247 00000 n 0003614084 00000 n 0003614417 00000 n 0003617276 00000 n 0003617759 00000 n 0003617962 00000 n 0003618023 00000 n 0003618239 00000 n 0003618460 00000 n 0003618530 00000 n 0003618610 00000 n 0003619447 00000 n 0003619780 00000 n 0003624793 00000 n 0003625300 00000 n 0003625503 00000 n 0003625573 00000 n 0003625653 00000 n 0003626490 00000 n 0003626823 00000 n 0003632039 00000 n 0003632478 00000 n 0003632681 00000 n 0003632796 00000 n 0003633001 00000 n 0003633202 00000 n 0003633404 00000 n 0003633609 00000 n 0003633815 00000 n 0003634023 00000 n 0003634235 00000 n 0003634440 00000 n 0003634510 00000 n 0003634590 00000 n 0003635426 00000 n 0003635759 00000 n 0003638198 00000 n 0003638680 00000 n 0003638883 00000 n 0003638935 00000 n 0003639154 00000 n 0003639224 00000 n 0003639304 00000 n 0003640140 00000 n 0003640473 00000 n 0003645220 00000 n 0003645687 00000 n 0003645890 00000 n 0003645951 00000 n 0003646156 00000 n 0003646360 00000 n 0003646430 00000 n 0003646510 00000 n 0003647347 00000 n 0003647680 00000 n 0003650504 00000 n 0003650997 00000 n 0003651200 00000 n 0003651270 00000 n 0003651350 00000 n 0003652187 00000 n 0003652520 00000 n 0003656976 00000 n 0003657415 00000 n 0003657618 00000 n 0003657670 00000 n 0003657864 00000 n 0003657934 00000 n 0003658014 00000 n 0003658851 00000 n 0003659184 00000 n 0003660750 00000 n 0003661204 00000 n 0003661407 00000 n 0003661459 00000 n 0003661676 00000 n 0003661746 00000 n 0003661826 00000 n 0003662663 00000 n 0003662996 00000 n 0003666581 00000 n 0003667035 00000 n 0003667238 00000 n 0003667299 00000 n 0003667523 00000 n 0003667759 00000 n 0003667829 00000 n 0003667909 00000 n 0003668746 00000 n 0003669079 00000 n 0003673507 00000 n 0003673971 00000 n 0003674174 00000 n 0003674244 00000 n 0003674324 00000 n 0003675160 00000 n 0003675493 00000 n 0003677867 00000 n 0003678321 00000 n 0003678524 00000 n 0003678576 00000 n 0003678793 00000 n 0003678863 00000 n 0003678943 00000 n 0003679779 00000 n 0003680112 00000 n 0003684548 00000 n 0003685015 00000 n 0003685218 00000 n 0003685279 00000 n 0003685481 00000 n 0003685688 00000 n 0003685758 00000 n 0003685838 00000 n 0003686676 00000 n 0003687009 00000 n 0003689599 00000 n 0003690053 00000 n 0003690256 00000 n 0003690308 00000 n 0003690525 00000 n 0003690595 00000 n 0003690675 00000 n 0003691511 00000 n 0003691844 00000 n 0003695337 00000 n 0003695791 00000 n 0003695994 00000 n 0003696064 00000 n 0003696283 00000 n 0003696506 00000 n 0003696748 00000 n 0003696818 00000 n 0003696898 00000 n 0003697734 00000 n 0003698067 00000 n 0003702883 00000 n 0003703375 00000 n 0003703578 00000 n 0003703648 00000 n 0003703728 00000 n 0003704565 00000 n 0003704898 00000 n 0003709176 00000 n 0003709601 00000 n 0003709804 00000 n 0003709874 00000 n 0003710085 00000 n 0003710289 00000 n 0003710492 00000 n 0003710562 00000 n 0003710642 00000 n 0003711479 00000 n 0003711812 00000 n 0003713513 00000 n 0003713995 00000 n 0003714198 00000 n 0003714259 00000 n 0003714475 00000 n 0003714695 00000 n 0003714765 00000 n 0003714845 00000 n 0003715681 00000 n 0003716014 00000 n 0003720444 00000 n 0003720911 00000 n 0003721114 00000 n 0003721184 00000 n 0003721397 00000 n 0003721611 00000 n 0003721822 00000 n 0003721892 00000 n 0003721972 00000 n 0003722809 00000 n 0003723142 00000 n 0003726165 00000 n 0003726619 00000 n 0003726822 00000 n 0003726874 00000 n 0003727091 00000 n 0003727161 00000 n 0003727241 00000 n 0003728078 00000 n 0003728411 00000 n 0003731855 00000 n 0003732309 00000 n 0003732512 00000 n 0003732564 00000 n 0003732781 00000 n 0003732851 00000 n 0003732931 00000 n 0003733767 00000 n 0003734100 00000 n 0003737399 00000 n 0003737881 00000 n 0003738084 00000 n 0003738154 00000 n 0003738373 00000 n 0003738596 00000 n 0003738831 00000 n 0003738901 00000 n 0003738981 00000 n 0003739817 00000 n 0003740150 00000 n 0003745172 00000 n 0003745679 00000 n 0003745882 00000 n 0003745952 00000 n 0003746032 00000 n 0003746869 00000 n 0003747202 00000 n 0003752506 00000 n 0003752973 00000 n 0003753176 00000 n 0003753300 00000 n 0003753502 00000 n 0003753704 00000 n 0003753910 00000 n 0003754112 00000 n 0003754317 00000 n 0003754530 00000 n 0003754730 00000 n 0003754938 00000 n 0003755143 00000 n 0003755213 00000 n 0003755293 00000 n 0003756130 00000 n 0003756463 00000 n 0003759346 00000 n 0003759828 00000 n 0003760031 00000 n 0003760083 00000 n 0003760308 00000 n 0003760378 00000 n 0003760458 00000 n 0003761294 00000 n 0003761627 00000 n 0003766147 00000 n 0003766614 00000 n 0003766817 00000 n 0003766878 00000 n 0003767079 00000 n 0003767286 00000 n 0003767356 00000 n 0003767436 00000 n 0003768273 00000 n 0003768606 00000 n 0003770861 00000 n 0003771343 00000 n 0003771546 00000 n 0003771598 00000 n 0003771826 00000 n 0003771896 00000 n 0003771976 00000 n 0003772813 00000 n 0003773146 00000 n 0003777878 00000 n 0003778374 00000 n 0003778628 00000 n 0003778900 00000 n 0003779103 00000 n 0003779173 00000 n 0003779253 00000 n 0003780089 00000 n 0003780422 00000 n 0003785232 00000 n 0003785696 00000 n 0003785899 00000 n 0003785969 00000 n 0003786049 00000 n 0003786885 00000 n 0003787218 00000 n 0003791454 00000 n 0003791921 00000 n 0003792124 00000 n 0003792230 00000 n 0003792434 00000 n 0003792645 00000 n 0003792857 00000 n 0003793068 00000 n 0003793273 00000 n 0003793482 00000 n 0003793694 00000 n 0003793764 00000 n 0003793844 00000 n 0003794680 00000 n 0003795013 00000 n 0003797082 00000 n 0003797564 00000 n 0003797817 00000 n 0003798020 00000 n 0003798081 00000 n 0003798309 00000 n 0003798379 00000 n 0003798459 00000 n 0003799295 00000 n 0003799628 00000 n 0003804447 00000 n 0003804920 00000 n 0003805192 00000 n 0003805395 00000 n 0003805465 00000 n 0003805545 00000 n 0003806381 00000 n 0003806714 00000 n 0003811646 00000 n 0003812124 00000 n 0003812327 00000 n 0003812397 00000 n 0003812477 00000 n 0003813313 00000 n 0003813646 00000 n 0003817355 00000 n 0003817779 00000 n 0003817982 00000 n 0003818088 00000 n 0003818292 00000 n 0003818497 00000 n 0003818703 00000 n 0003818906 00000 n 0003819105 00000 n 0003819308 00000 n 0003819514 00000 n 0003819584 00000 n 0003819664 00000 n 0003820502 00000 n 0003820835 00000 n 0003822250 00000 n 0003822732 00000 n 0003822935 00000 n 0003822987 00000 n 0003823215 00000 n 0003823285 00000 n 0003823365 00000 n 0003824201 00000 n 0003824534 00000 n 0003828834 00000 n 0003829330 00000 n 0003829584 00000 n 0003829856 00000 n 0003830059 00000 n 0003830129 00000 n 0003830209 00000 n 0003831047 00000 n 0003831380 00000 n 0003836377 00000 n 0003836844 00000 n 0003837047 00000 n 0003837135 00000 n 0003837342 00000 n 0003837551 00000 n 0003837755 00000 n 0003837959 00000 n 0003838163 00000 n 0003838233 00000 n 0003838313 00000 n 0003839151 00000 n 0003839484 00000 n 0003843619 00000 n 0003844015 00000 n 0003844218 00000 n 0003844270 00000 n 0003844472 00000 n 0003844542 00000 n 0003844622 00000 n 0003845458 00000 n 0003845791 00000 n 0003847030 00000 n 0003847512 00000 n 0003847765 00000 n 0003847968 00000 n 0003848029 00000 n 0003848257 00000 n 0003848327 00000 n 0003848407 00000 n 0003849244 00000 n 0003849577 00000 n 0003854418 00000 n 0003854891 00000 n 0003855163 00000 n 0003855366 00000 n 0003855436 00000 n 0003855516 00000 n 0003856353 00000 n 0003856686 00000 n 0003861527 00000 n 0003861952 00000 n 0003862155 00000 n 0003862234 00000 n 0003862437 00000 n 0003862637 00000 n 0003862838 00000 n 0003863040 00000 n 0003863110 00000 n 0003863190 00000 n 0003864026 00000 n 0003864359 00000 n 0003865984 00000 n 0003866466 00000 n 0003866669 00000 n 0003866721 00000 n 0003866949 00000 n 0003867019 00000 n 0003867099 00000 n 0003867936 00000 n 0003868269 00000 n 0003873247 00000 n 0003873743 00000 n 0003873997 00000 n 0003874269 00000 n 0003874472 00000 n 0003874542 00000 n 0003874622 00000 n 0003875459 00000 n 0003875792 00000 n 0003880621 00000 n 0003881099 00000 n 0003881302 00000 n 0003881372 00000 n 0003881452 00000 n 0003882289 00000 n 0003882622 00000 n 0003886901 00000 n 0003887326 00000 n 0003887529 00000 n 0003887635 00000 n 0003887840 00000 n 0003888036 00000 n 0003888233 00000 n 0003888428 00000 n 0003888624 00000 n 0003888824 00000 n 0003889026 00000 n 0003889096 00000 n 0003889176 00000 n 0003890012 00000 n 0003890345 00000 n 0003892107 00000 n 0003892589 00000 n 0003892792 00000 n 0003892844 00000 n 0003893072 00000 n 0003893142 00000 n 0003893222 00000 n 0003894060 00000 n 0003894393 00000 n 0003899132 00000 n 0003899628 00000 n 0003899882 00000 n 0003900154 00000 n 0003900357 00000 n 0003900427 00000 n 0003900507 00000 n 0003901344 00000 n 0003901677 00000 n 0003906517 00000 n 0003906984 00000 n 0003907187 00000 n 0003907239 00000 n 0003907443 00000 n 0003907513 00000 n 0003907593 00000 n 0003908430 00000 n 0003908763 00000 n 0003912911 00000 n 0003913321 00000 n 0003913524 00000 n 0003913630 00000 n 0003913830 00000 n 0003914031 00000 n 0003914235 00000 n 0003914433 00000 n 0003914631 00000 n 0003914831 00000 n 0003915033 00000 n 0003915103 00000 n 0003915183 00000 n 0003916019 00000 n 0003916352 00000 n 0003917729 00000 n 0003918211 00000 n 0003918414 00000 n 0003918466 00000 n 0003918694 00000 n 0003918764 00000 n 0003918844 00000 n 0003919681 00000 n 0003920014 00000 n 0003924528 00000 n 0003925024 00000 n 0003925278 00000 n 0003925550 00000 n 0003925753 00000 n 0003925823 00000 n 0003925903 00000 n 0003926740 00000 n 0003927073 00000 n 0003932012 00000 n 0003932479 00000 n 0003932682 00000 n 0003932815 00000 n 0003933026 00000 n 0003933237 00000 n 0003933449 00000 n 0003933658 00000 n 0003933867 00000 n 0003934071 00000 n 0003934275 00000 n 0003934480 00000 n 0003934686 00000 n 0003934894 00000 n 0003934964 00000 n 0003935044 00000 n 0003935880 00000 n 0003936213 00000 n 0003939614 00000 n 0003940096 00000 n 0003940299 00000 n 0003940351 00000 n 0003940579 00000 n 0003940649 00000 n 0003940729 00000 n 0003941565 00000 n 0003941898 00000 n 0003946808 00000 n 0003947304 00000 n 0003947558 00000 n 0003947830 00000 n 0003948033 00000 n 0003948103 00000 n 0003948183 00000 n 0003949019 00000 n 0003949352 00000 n 0003954159 00000 n 0003954637 00000 n 0003954840 00000 n 0003954910 00000 n 0003954990 00000 n 0003955826 00000 n 0003956159 00000 n 0003960374 00000 n 0003960799 00000 n 0003961002 00000 n 0003961108 00000 n 0003961313 00000 n 0003961509 00000 n 0003961706 00000 n 0003961901 00000 n 0003962097 00000 n 0003962297 00000 n 0003962499 00000 n 0003962569 00000 n 0003962649 00000 n 0003963486 00000 n 0003963819 00000 n 0003965551 00000 n 0003966033 00000 n 0003966236 00000 n 0003966288 00000 n 0003966516 00000 n 0003966586 00000 n 0003966666 00000 n 0003967503 00000 n 0003967836 00000 n 0003972522 00000 n 0003973018 00000 n 0003973272 00000 n 0003973544 00000 n 0003973747 00000 n 0003973817 00000 n 0003973897 00000 n 0003974734 00000 n 0003975067 00000 n 0003979825 00000 n 0003980303 00000 n 0003980506 00000 n 0003980576 00000 n 0003980656 00000 n 0003981493 00000 n 0003981826 00000 n 0003985966 00000 n 0003986390 00000 n 0003986593 00000 n 0003986708 00000 n 0003986908 00000 n 0003987108 00000 n 0003987309 00000 n 0003987512 00000 n 0003987716 00000 n 0003987914 00000 n 0003988114 00000 n 0003988316 00000 n 0003988386 00000 n 0003988466 00000 n 0003989303 00000 n 0003989636 00000 n 0003991068 00000 n 0003991550 00000 n 0003991753 00000 n 0003991805 00000 n 0003992033 00000 n 0003992103 00000 n 0003992183 00000 n 0003993019 00000 n 0003993352 00000 n 0003997792 00000 n 0003998288 00000 n 0003998542 00000 n 0003998814 00000 n 0003999017 00000 n 0003999087 00000 n 0003999167 00000 n 0004000005 00000 n 0004000338 00000 n 0004005249 00000 n 0004005716 00000 n 0004005919 00000 n 0004006043 00000 n 0004006249 00000 n 0004006455 00000 n 0004006662 00000 n 0004006871 00000 n 0004007081 00000 n 0004007285 00000 n 0004007489 00000 n 0004007695 00000 n 0004007903 00000 n 0004007973 00000 n 0004008053 00000 n 0004008889 00000 n 0004009222 00000 n 0004012374 00000 n 0004012828 00000 n 0004013031 00000 n 0004013083 00000 n 0004013311 00000 n 0004013381 00000 n 0004013461 00000 n 0004014298 00000 n 0004014631 00000 n 0004018837 00000 n 0004019304 00000 n 0004019507 00000 n 0004019586 00000 n 0004019795 00000 n 0004020004 00000 n 0004020214 00000 n 0004020422 00000 n 0004020492 00000 n 0004020572 00000 n 0004021408 00000 n 0004021741 00000 n 0004024803 00000 n 0004025285 00000 n 0004025488 00000 n 0004025558 00000 n 0004025774 00000 n 0004025995 00000 n 0004026211 00000 n 0004026281 00000 n 0004026361 00000 n 0004027198 00000 n 0004027531 00000 n 0004031952 00000 n 0004032448 00000 n 0004032702 00000 n 0004032974 00000 n 0004033177 00000 n 0004033247 00000 n 0004033327 00000 n 0004034163 00000 n 0004034496 00000 n 0004039224 00000 n 0004039691 00000 n 0004039894 00000 n 0004039973 00000 n 0004040173 00000 n 0004040375 00000 n 0004040581 00000 n 0004040789 00000 n 0004040859 00000 n 0004040939 00000 n 0004041776 00000 n 0004042109 00000 n 0004045639 00000 n 0004046121 00000 n 0004046324 00000 n 0004046394 00000 n 0004046610 00000 n 0004046831 00000 n 0004047047 00000 n 0004047117 00000 n 0004047197 00000 n 0004048034 00000 n 0004048367 00000 n 0004053480 00000 n 0004053976 00000 n 0004054230 00000 n 0004054502 00000 n 0004054705 00000 n 0004054775 00000 n 0004054855 00000 n 0004055691 00000 n 0004056024 00000 n 0004061075 00000 n 0004061542 00000 n 0004061745 00000 n 0004061815 00000 n 0004062017 00000 n 0004062223 00000 n 0004062431 00000 n 0004062501 00000 n 0004062581 00000 n 0004063417 00000 n 0004063750 00000 n 0004066844 00000 n 0004067312 00000 n 0004067515 00000 n 0004067576 00000 n 0004067792 00000 n 0004068012 00000 n 0004068082 00000 n 0004068162 00000 n 0004068999 00000 n 0004069332 00000 n 0004074316 00000 n 0004074812 00000 n 0004075066 00000 n 0004075338 00000 n 0004075541 00000 n 0004075611 00000 n 0004075691 00000 n 0004076529 00000 n 0004076862 00000 n 0004081711 00000 n 0004082178 00000 n 0004082381 00000 n 0004082469 00000 n 0004082679 00000 n 0004082886 00000 n 0004083103 00000 n 0004083310 00000 n 0004083512 00000 n 0004083582 00000 n 0004083662 00000 n 0004084499 00000 n 0004084832 00000 n 0004088414 00000 n 0004088882 00000 n 0004089085 00000 n 0004089146 00000 n 0004089362 00000 n 0004089582 00000 n 0004089652 00000 n 0004089732 00000 n 0004090568 00000 n 0004090901 00000 n 0004095851 00000 n 0004096347 00000 n 0004096601 00000 n 0004096873 00000 n 0004097076 00000 n 0004097146 00000 n 0004097226 00000 n 0004098063 00000 n 0004098396 00000 n 0004103125 00000 n 0004103592 00000 n 0004103795 00000 n 0004103874 00000 n 0004104084 00000 n 0004104301 00000 n 0004104508 00000 n 0004104710 00000 n 0004104780 00000 n 0004104860 00000 n 0004105696 00000 n 0004106029 00000 n 0004109598 00000 n 0004110066 00000 n 0004110269 00000 n 0004110330 00000 n 0004110546 00000 n 0004110766 00000 n 0004110836 00000 n 0004110916 00000 n 0004111752 00000 n 0004112085 00000 n 0004117221 00000 n 0004117717 00000 n 0004117971 00000 n 0004118243 00000 n 0004118446 00000 n 0004118516 00000 n 0004118596 00000 n 0004119432 00000 n 0004119765 00000 n 0004124484 00000 n 0004124951 00000 n 0004125154 00000 n 0004125242 00000 n 0004125452 00000 n 0004125659 00000 n 0004125876 00000 n 0004126083 00000 n 0004126285 00000 n 0004126355 00000 n 0004126435 00000 n 0004127272 00000 n 0004127605 00000 n 0004131169 00000 n 0004131637 00000 n 0004131840 00000 n 0004131901 00000 n 0004132117 00000 n 0004132337 00000 n 0004132407 00000 n 0004132487 00000 n 0004133323 00000 n 0004133656 00000 n 0004138645 00000 n 0004139141 00000 n 0004139395 00000 n 0004139667 00000 n 0004139870 00000 n 0004139940 00000 n 0004140020 00000 n 0004140856 00000 n 0004141189 00000 n 0004145929 00000 n 0004146396 00000 n 0004146599 00000 n 0004146687 00000 n 0004146892 00000 n 0004147099 00000 n 0004147316 00000 n 0004147523 00000 n 0004147725 00000 n 0004147795 00000 n 0004147875 00000 n 0004148713 00000 n 0004149046 00000 n 0004152209 00000 n 0004152677 00000 n 0004152880 00000 n 0004152941 00000 n 0004153157 00000 n 0004153377 00000 n 0004153447 00000 n 0004153527 00000 n 0004154363 00000 n 0004154696 00000 n 0004159610 00000 n 0004160106 00000 n 0004160360 00000 n 0004160632 00000 n 0004160835 00000 n 0004160905 00000 n 0004160985 00000 n 0004161821 00000 n 0004162154 00000 n 0004166951 00000 n 0004167418 00000 n 0004167621 00000 n 0004167718 00000 n 0004167928 00000 n 0004168135 00000 n 0004168347 00000 n 0004168564 00000 n 0004168771 00000 n 0004168973 00000 n 0004169043 00000 n 0004169123 00000 n 0004169961 00000 n 0004170294 00000 n 0004173452 00000 n 0004173920 00000 n 0004174123 00000 n 0004174184 00000 n 0004174400 00000 n 0004174620 00000 n 0004174690 00000 n 0004174770 00000 n 0004175607 00000 n 0004175940 00000 n 0004180995 00000 n 0004181491 00000 n 0004181745 00000 n 0004182017 00000 n 0004182220 00000 n 0004182290 00000 n 0004182370 00000 n 0004183206 00000 n 0004183539 00000 n 0004188426 00000 n 0004188893 00000 n 0004189096 00000 n 0004189184 00000 n 0004189394 00000 n 0004189601 00000 n 0004189818 00000 n 0004190025 00000 n 0004190227 00000 n 0004190297 00000 n 0004190377 00000 n 0004191214 00000 n 0004191547 00000 n 0004194336 00000 n 0004194804 00000 n 0004195007 00000 n 0004195068 00000 n 0004195284 00000 n 0004195504 00000 n 0004195574 00000 n 0004195654 00000 n 0004196490 00000 n 0004196823 00000 n 0004201893 00000 n 0004202389 00000 n 0004202643 00000 n 0004202915 00000 n 0004203118 00000 n 0004203188 00000 n 0004203268 00000 n 0004204104 00000 n 0004204437 00000 n 0004209167 00000 n 0004209634 00000 n 0004209837 00000 n 0004209925 00000 n 0004210135 00000 n 0004210357 00000 n 0004210573 00000 n 0004210785 00000 n 0004210987 00000 n 0004211057 00000 n 0004211137 00000 n 0004211973 00000 n 0004212306 00000 n 0004215585 00000 n 0004216053 00000 n 0004216256 00000 n 0004216317 00000 n 0004216533 00000 n 0004216753 00000 n 0004216823 00000 n 0004216903 00000 n 0004217740 00000 n 0004218073 00000 n 0004222970 00000 n 0004223466 00000 n 0004223720 00000 n 0004223992 00000 n 0004224195 00000 n 0004224265 00000 n 0004224345 00000 n 0004225181 00000 n 0004225514 00000 n 0004230390 00000 n 0004230857 00000 n 0004231060 00000 n 0004231148 00000 n 0004231358 00000 n 0004231565 00000 n 0004231782 00000 n 0004231989 00000 n 0004232191 00000 n 0004232261 00000 n 0004232341 00000 n 0004233178 00000 n 0004233511 00000 n 0004236317 00000 n 0004236785 00000 n 0004236988 00000 n 0004237049 00000 n 0004237265 00000 n 0004237485 00000 n 0004237555 00000 n 0004237635 00000 n 0004238472 00000 n 0004238805 00000 n 0004243613 00000 n 0004244109 00000 n 0004244363 00000 n 0004244635 00000 n 0004244838 00000 n 0004244908 00000 n 0004244988 00000 n 0004245825 00000 n 0004246158 00000 n 0004251061 00000 n 0004251528 00000 n 0004251731 00000 n 0004251819 00000 n 0004252029 00000 n 0004252236 00000 n 0004252453 00000 n 0004252660 00000 n 0004252862 00000 n 0004252932 00000 n 0004253012 00000 n 0004253849 00000 n 0004254182 00000 n 0004256756 00000 n 0004257224 00000 n 0004257427 00000 n 0004257488 00000 n 0004257704 00000 n 0004257924 00000 n 0004257994 00000 n 0004258074 00000 n 0004258910 00000 n 0004259243 00000 n 0004264224 00000 n 0004264720 00000 n 0004264974 00000 n 0004265246 00000 n 0004265449 00000 n 0004265519 00000 n 0004265599 00000 n 0004266435 00000 n 0004266768 00000 n 0004271533 00000 n 0004272000 00000 n 0004272203 00000 n 0004272291 00000 n 0004272501 00000 n 0004272708 00000 n 0004272925 00000 n 0004273132 00000 n 0004273334 00000 n 0004273404 00000 n 0004273484 00000 n 0004274321 00000 n 0004274654 00000 n 0004277735 00000 n 0004278203 00000 n 0004278406 00000 n 0004278467 00000 n 0004278683 00000 n 0004278903 00000 n 0004278973 00000 n 0004279053 00000 n 0004279890 00000 n 0004280223 00000 n 0004285133 00000 n 0004285629 00000 n 0004285883 00000 n 0004286155 00000 n 0004286358 00000 n 0004286428 00000 n 0004286508 00000 n 0004287345 00000 n 0004287678 00000 n 0004292331 00000 n 0004292798 00000 n 0004293001 00000 n 0004293089 00000 n 0004293301 00000 n 0004293510 00000 n 0004293720 00000 n 0004293927 00000 n 0004294127 00000 n 0004294197 00000 n 0004294277 00000 n 0004295113 00000 n 0004295446 00000 n 0004299581 00000 n 0004299977 00000 n 0004300180 00000 n 0004300250 00000 n 0004300456 00000 n 0004300661 00000 n 0004300862 00000 n 0004300932 00000 n 0004301012 00000 n 0004301848 00000 n 0004302181 00000 n 0004303487 00000 n 0004303955 00000 n 0004304158 00000 n 0004304219 00000 n 0004304435 00000 n 0004304655 00000 n 0004304725 00000 n 0004304805 00000 n 0004305642 00000 n 0004305975 00000 n 0004310707 00000 n 0004311203 00000 n 0004311457 00000 n 0004311723 00000 n 0004311926 00000 n 0004311996 00000 n 0004312076 00000 n 0004312912 00000 n 0004313245 00000 n 0004317762 00000 n 0004318240 00000 n 0004318443 00000 n 0004318513 00000 n 0004318593 00000 n 0004319430 00000 n 0004319763 00000 n 0004324061 00000 n 0004324500 00000 n 0004324703 00000 n 0004324800 00000 n 0004325006 00000 n 0004325205 00000 n 0004325409 00000 n 0004325611 00000 n 0004325828 00000 n 0004326035 00000 n 0004326105 00000 n 0004326185 00000 n 0004327021 00000 n 0004327354 00000 n 0004330157 00000 n 0004330625 00000 n 0004330828 00000 n 0004330907 00000 n 0004331123 00000 n 0004331347 00000 n 0004331564 00000 n 0004331784 00000 n 0004331854 00000 n 0004331934 00000 n 0004332771 00000 n 0004333104 00000 n 0004337896 00000 n 0004338406 00000 n 0004338660 00000 n 0004338927 00000 n 0004339130 00000 n 0004339200 00000 n 0004339280 00000 n 0004340116 00000 n 0004340449 00000 n 0004345249 00000 n 0004345716 00000 n 0004345919 00000 n 0004345989 00000 n 0004346199 00000 n 0004346415 00000 n 0004346624 00000 n 0004346694 00000 n 0004346774 00000 n 0004347611 00000 n 0004347944 00000 n 0004352139 00000 n 0004352535 00000 n 0004352738 00000 n 0004352808 00000 n 0004353008 00000 n 0004353210 00000 n 0004353409 00000 n 0004353479 00000 n 0004353559 00000 n 0004354398 00000 n 0004354731 00000 n 0004356016 00000 n 0004356484 00000 n 0004356687 00000 n 0004356757 00000 n 0004356973 00000 n 0004357197 00000 n 0004357417 00000 n 0004357487 00000 n 0004357567 00000 n 0004358404 00000 n 0004358737 00000 n 0004363584 00000 n 0004364109 00000 n 0004364363 00000 n 0004364635 00000 n 0004364838 00000 n 0004364908 00000 n 0004364988 00000 n 0004365825 00000 n 0004366158 00000 n 0004371175 00000 n 0004371642 00000 n 0004371845 00000 n 0004371924 00000 n 0004372134 00000 n 0004372351 00000 n 0004372561 00000 n 0004372765 00000 n 0004372835 00000 n 0004372915 00000 n 0004373752 00000 n 0004374085 00000 n 0004378297 00000 n 0004378693 00000 n 0004378896 00000 n 0004378957 00000 n 0004379159 00000 n 0004379358 00000 n 0004379428 00000 n 0004379508 00000 n 0004380345 00000 n 0004380678 00000 n 0004381943 00000 n 0004382425 00000 n 0004382628 00000 n 0004382689 00000 n 0004382900 00000 n 0004383123 00000 n 0004383193 00000 n 0004383273 00000 n 0004384110 00000 n 0004384443 00000 n 0004389492 00000 n 0004389988 00000 n 0004390242 00000 n 0004390514 00000 n 0004390717 00000 n 0004390787 00000 n 0004390867 00000 n 0004391704 00000 n 0004392037 00000 n 0004397060 00000 n 0004397527 00000 n 0004397730 00000 n 0004397800 00000 n 0004398006 00000 n 0004398209 00000 n 0004398417 00000 n 0004398487 00000 n 0004398567 00000 n 0004399403 00000 n 0004399736 00000 n 0004402678 00000 n 0004403132 00000 n 0004403335 00000 n 0004403405 00000 n 0004403615 00000 n 0004403831 00000 n 0004404054 00000 n 0004404124 00000 n 0004404204 00000 n 0004405041 00000 n 0004405374 00000 n 0004410176 00000 n 0004410701 00000 n 0004410953 00000 n 0004411225 00000 n 0004411428 00000 n 0004411498 00000 n 0004411578 00000 n 0004412414 00000 n 0004412747 00000 n 0004418191 00000 n 0004418655 00000 n 0004418858 00000 n 0004418928 00000 n 0004419008 00000 n 0004419845 00000 n 0004420178 00000 n 0004424803 00000 n 0004425281 00000 n 0004425484 00000 n 0004425554 00000 n 0004425634 00000 n 0004426472 00000 n 0004426805 00000 n 0004431035 00000 n 0004431474 00000 n 0004431677 00000 n 0004431801 00000 n 0004432010 00000 n 0004432221 00000 n 0004432427 00000 n 0004432628 00000 n 0004432829 00000 n 0004433035 00000 n 0004433243 00000 n 0004433446 00000 n 0004433654 00000 n 0004433724 00000 n 0004433804 00000 n 0004434640 00000 n 0004434973 00000 n 0004436879 00000 n 0004437333 00000 n 0004437536 00000 n 0004437597 00000 n 0004437817 00000 n 0004438038 00000 n 0004438108 00000 n 0004438188 00000 n 0004439026 00000 n 0004439359 00000 n 0004444257 00000 n 0004444753 00000 n 0004445007 00000 n 0004445273 00000 n 0004445476 00000 n 0004445546 00000 n 0004445626 00000 n 0004446464 00000 n 0004446797 00000 n 0004451340 00000 n 0004451836 00000 n 0004452039 00000 n 0004452091 00000 n 0004452298 00000 n 0004452368 00000 n 0004452448 00000 n 0004453284 00000 n 0004453617 00000 n 0004458373 00000 n 0004458769 00000 n 0004458972 00000 n 0004459087 00000 n 0004459291 00000 n 0004459494 00000 n 0004459695 00000 n 0004459891 00000 n 0004460090 00000 n 0004460294 00000 n 0004460493 00000 n 0004460692 00000 n 0004460762 00000 n 0004460842 00000 n 0004461679 00000 n 0004462012 00000 n 0004463436 00000 n 0004463918 00000 n 0004464121 00000 n 0004464182 00000 n 0004464398 00000 n 0004464619 00000 n 0004464689 00000 n 0004464769 00000 n 0004465606 00000 n 0004465939 00000 n 0004470543 00000 n 0004471039 00000 n 0004471293 00000 n 0004471565 00000 n 0004471768 00000 n 0004471838 00000 n 0004471918 00000 n 0004472754 00000 n 0004473087 00000 n 0004477984 00000 n 0004478451 00000 n 0004478654 00000 n 0004478724 00000 n 0004478926 00000 n 0004479130 00000 n 0004479336 00000 n 0004479406 00000 n 0004479486 00000 n 0004480322 00000 n 0004480655 00000 n 0004484380 00000 n 0004484862 00000 n 0004485065 00000 n 0004485135 00000 n 0004485345 00000 n 0004485561 00000 n 0004485784 00000 n 0004485854 00000 n 0004485934 00000 n 0004486770 00000 n 0004487103 00000 n 0004492104 00000 n 0004492600 00000 n 0004492854 00000 n 0004493126 00000 n 0004493329 00000 n 0004493399 00000 n 0004493479 00000 n 0004494316 00000 n 0004494649 00000 n 0004499617 00000 n 0004500084 00000 n 0004500287 00000 n 0004500348 00000 n 0004500551 00000 n 0004500754 00000 n 0004500824 00000 n 0004500904 00000 n 0004501740 00000 n 0004502073 00000 n 0004505889 00000 n 0004506285 00000 n 0004506488 00000 n 0004506567 00000 n 0004506776 00000 n 0004506983 00000 n 0004507183 00000 n 0004507385 00000 n 0004507455 00000 n 0004507535 00000 n 0004508373 00000 n 0004508706 00000 n 0004510057 00000 n 0004510511 00000 n 0004510714 00000 n 0004510766 00000 n 0004511013 00000 n 0004511083 00000 n 0004511163 00000 n 0004512002 00000 n 0004512335 00000 n 0004517066 00000 n 0004517548 00000 n 0004517802 00000 n 0004518074 00000 n 0004518277 00000 n 0004518347 00000 n 0004518427 00000 n 0004519263 00000 n 0004519596 00000 n 0004524523 00000 n 0004524990 00000 n 0004525193 00000 n 0004525263 00000 n 0004525477 00000 n 0004525692 00000 n 0004525910 00000 n 0004525980 00000 n 0004526060 00000 n 0004526897 00000 n 0004527230 00000 n 0004529350 00000 n 0004529832 00000 n 0004530035 00000 n 0004530096 00000 n 0004530312 00000 n 0004530533 00000 n 0004530603 00000 n 0004530683 00000 n 0004531520 00000 n 0004531853 00000 n 0004536646 00000 n 0004537113 00000 n 0004537316 00000 n 0004537431 00000 n 0004537644 00000 n 0004537852 00000 n 0004538055 00000 n 0004538259 00000 n 0004538473 00000 n 0004538678 00000 n 0004538887 00000 n 0004539094 00000 n 0004539164 00000 n 0004539244 00000 n 0004540081 00000 n 0004540414 00000 n 0004543254 00000 n 0004543736 00000 n 0004543939 00000 n 0004544000 00000 n 0004544216 00000 n 0004544440 00000 n 0004544510 00000 n 0004544590 00000 n 0004545427 00000 n 0004545760 00000 n 0004550086 00000 n 0004550496 00000 n 0004550699 00000 n 0004550769 00000 n 0004550979 00000 n 0004551190 00000 n 0004551398 00000 n 0004551468 00000 n 0004551548 00000 n 0004552385 00000 n 0004552718 00000 n 0004554066 00000 n 0004554548 00000 n 0004554751 00000 n 0004554821 00000 n 0004555037 00000 n 0004555256 00000 n 0004555471 00000 n 0004555541 00000 n 0004555621 00000 n 0004556458 00000 n 0004556791 00000 n 0004561624 00000 n 0004562120 00000 n 0004562374 00000 n 0004562646 00000 n 0004562849 00000 n 0004562919 00000 n 0004562999 00000 n 0004563836 00000 n 0004564169 00000 n 0004569030 00000 n 0004569497 00000 n 0004569700 00000 n 0004569797 00000 n 0004570007 00000 n 0004570217 00000 n 0004570425 00000 n 0004570631 00000 n 0004570839 00000 n 0004571044 00000 n 0004571114 00000 n 0004571194 00000 n 0004572032 00000 n 0004572365 00000 n 0004575720 00000 n 0004576202 00000 n 0004576405 00000 n 0004576475 00000 n 0004576691 00000 n 0004576910 00000 n 0004577125 00000 n 0004577195 00000 n 0004577275 00000 n 0004578112 00000 n 0004578445 00000 n 0004583108 00000 n 0004583604 00000 n 0004583856 00000 n 0004584128 00000 n 0004584331 00000 n 0004584401 00000 n 0004584481 00000 n 0004585317 00000 n 0004585650 00000 n 0004590501 00000 n 0004590968 00000 n 0004591171 00000 n 0004591250 00000 n 0004591460 00000 n 0004591670 00000 n 0004591876 00000 n 0004592084 00000 n 0004592154 00000 n 0004592234 00000 n 0004593070 00000 n 0004593403 00000 n 0004596925 00000 n 0004597407 00000 n 0004597610 00000 n 0004597662 00000 n 0004597878 00000 n 0004597948 00000 n 0004598028 00000 n 0004598865 00000 n 0004599198 00000 n 0004603370 00000 n 0004603866 00000 n 0004604120 00000 n 0004604392 00000 n 0004604595 00000 n 0004604665 00000 n 0004604745 00000 n 0004605582 00000 n 0004605915 00000 n 0004610770 00000 n 0004611237 00000 n 0004611440 00000 n 0004611492 00000 n 0004611700 00000 n 0004611770 00000 n 0004611850 00000 n 0004612687 00000 n 0004613020 00000 n 0004615636 00000 n 0004616118 00000 n 0004616321 00000 n 0004616382 00000 n 0004616592 00000 n 0004616813 00000 n 0004616883 00000 n 0004616963 00000 n 0004617800 00000 n 0004618133 00000 n 0004623110 00000 n 0004623592 00000 n 0004623846 00000 n 0004624118 00000 n 0004624321 00000 n 0004624391 00000 n 0004624471 00000 n 0004625307 00000 n 0004625640 00000 n 0004630509 00000 n 0004630976 00000 n 0004631179 00000 n 0004631267 00000 n 0004631476 00000 n 0004631686 00000 n 0004631892 00000 n 0004632095 00000 n 0004632303 00000 n 0004632373 00000 n 0004632453 00000 n 0004633289 00000 n 0004633622 00000 n 0004636523 00000 n 0004637005 00000 n 0004637208 00000 n 0004637269 00000 n 0004637479 00000 n 0004637700 00000 n 0004637770 00000 n 0004637850 00000 n 0004638688 00000 n 0004639021 00000 n 0004643844 00000 n 0004644340 00000 n 0004644594 00000 n 0004644866 00000 n 0004645069 00000 n 0004645139 00000 n 0004645219 00000 n 0004646056 00000 n 0004646389 00000 n 0004651273 00000 n 0004651740 00000 n 0004651943 00000 n 0004652031 00000 n 0004652238 00000 n 0004652450 00000 n 0004652656 00000 n 0004652859 00000 n 0004653067 00000 n 0004653137 00000 n 0004653217 00000 n 0004654053 00000 n 0004654386 00000 n 0004657294 00000 n 0004657748 00000 n 0004657951 00000 n 0004658012 00000 n 0004658222 00000 n 0004658443 00000 n 0004658513 00000 n 0004658593 00000 n 0004659431 00000 n 0004659764 00000 n 0004664570 00000 n 0004665066 00000 n 0004665320 00000 n 0004665592 00000 n 0004665795 00000 n 0004665865 00000 n 0004665945 00000 n 0004666782 00000 n 0004667115 00000 n 0004672197 00000 n 0004672664 00000 n 0004672867 00000 n 0004672982 00000 n 0004673191 00000 n 0004673404 00000 n 0004673606 00000 n 0004673807 00000 n 0004674008 00000 n 0004674210 00000 n 0004674411 00000 n 0004674612 00000 n 0004674682 00000 n 0004674762 00000 n 0004675598 00000 n 0004675931 00000 n 0004679545 00000 n 0004679999 00000 n 0004680252 00000 n 0004680455 00000 n 0004680516 00000 n 0004680744 00000 n 0004680814 00000 n 0004680894 00000 n 0004681730 00000 n 0004682063 00000 n 0004686599 00000 n 0004687072 00000 n 0004687344 00000 n 0004687547 00000 n 0004687617 00000 n 0004687697 00000 n 0004688533 00000 n 0004688866 00000 n 0004693695 00000 n 0004694162 00000 n 0004694365 00000 n 0004694417 00000 n 0004694630 00000 n 0004694700 00000 n 0004694780 00000 n 0004695617 00000 n 0004695950 00000 n 0004697953 00000 n 0004698407 00000 n 0004698610 00000 n 0004698671 00000 n 0004698887 00000 n 0004699111 00000 n 0004699181 00000 n 0004699261 00000 n 0004700099 00000 n 0004700432 00000 n 0004704999 00000 n 0004705495 00000 n 0004705745 00000 n 0004706017 00000 n 0004706220 00000 n 0004706290 00000 n 0004706370 00000 n 0004707206 00000 n 0004707539 00000 n 0004712684 00000 n 0004713177 00000 n 0004713380 00000 n 0004713450 00000 n 0004713530 00000 n 0004714366 00000 n 0004714699 00000 n 0004719788 00000 n 0004720255 00000 n 0004720458 00000 n 0004720519 00000 n 0004720732 00000 n 0004720940 00000 n 0004721010 00000 n 0004721090 00000 n 0004721927 00000 n 0004722260 00000 n 0004725520 00000 n 0004726002 00000 n 0004726205 00000 n 0004726266 00000 n 0004726482 00000 n 0004726706 00000 n 0004726776 00000 n 0004726856 00000 n 0004727692 00000 n 0004728025 00000 n 0004732435 00000 n 0004732931 00000 n 0004733185 00000 n 0004733457 00000 n 0004733660 00000 n 0004733730 00000 n 0004733810 00000 n 0004734646 00000 n 0004734979 00000 n 0004739928 00000 n 0004740395 00000 n 0004740598 00000 n 0004740659 00000 n 0004740861 00000 n 0004741069 00000 n 0004741139 00000 n 0004741219 00000 n 0004742056 00000 n 0004742389 00000 n 0004745939 00000 n 0004746421 00000 n 0004746624 00000 n 0004746685 00000 n 0004746896 00000 n 0004747119 00000 n 0004747189 00000 n 0004747269 00000 n 0004748106 00000 n 0004748439 00000 n 0004753262 00000 n 0004753758 00000 n 0004754012 00000 n 0004754284 00000 n 0004754487 00000 n 0004754557 00000 n 0004754637 00000 n 0004755474 00000 n 0004755807 00000 n 0004760800 00000 n 0004761267 00000 n 0004761470 00000 n 0004761540 00000 n 0004761755 00000 n 0004761958 00000 n 0004762166 00000 n 0004762236 00000 n 0004762316 00000 n 0004763152 00000 n 0004763485 00000 n 0004766229 00000 n 0004766683 00000 n 0004766886 00000 n 0004766938 00000 n 0004767162 00000 n 0004767232 00000 n 0004767312 00000 n 0004768150 00000 n 0004768483 00000 n 0004772368 00000 n 0004772835 00000 n 0004773089 00000 n 0004773361 00000 n 0004773564 00000 n 0004773670 00000 n 0004773888 00000 n 0004774110 00000 n 0004774326 00000 n 0004774549 00000 n 0004774768 00000 n 0004774838 00000 n 0004774918 00000 n 0004775754 00000 n 0004776087 00000 n 0004779867 00000 n 0004780349 00000 n 0004780603 00000 n 0004780875 00000 n 0004781078 00000 n 0004781148 00000 n 0004781372 00000 n 0004781442 00000 n 0004781522 00000 n 0004782358 00000 n 0004782691 00000 n 0004787142 00000 n 0004787609 00000 n 0004787812 00000 n 0004787900 00000 n 0004788118 00000 n 0004788340 00000 n 0004788560 00000 n 0004788783 00000 n 0004789002 00000 n 0004789072 00000 n 0004789152 00000 n 0004789992 00000 n 0004790325 00000 n 0004793261 00000 n 0004793743 00000 n 0004793946 00000 n 0004794016 00000 n 0004794226 00000 n 0004794442 00000 n 0004794656 00000 n 0004794726 00000 n 0004794806 00000 n 0004795643 00000 n 0004795976 00000 n 0004799843 00000 n 0004800353 00000 n 0004800556 00000 n 0004800617 00000 n 0004800833 00000 n 0004801062 00000 n 0004801132 00000 n 0004801212 00000 n 0004802048 00000 n 0004802381 00000 n 0004806767 00000 n 0004807234 00000 n 0004807437 00000 n 0004807525 00000 n 0004807736 00000 n 0004807949 00000 n 0004808159 00000 n 0004808364 00000 n 0004808571 00000 n 0004808641 00000 n 0004808721 00000 n 0004809557 00000 n 0004809890 00000 n 0004812979 00000 n 0004813447 00000 n 0004813650 00000 n 0004813711 00000 n 0004813931 00000 n 0004814152 00000 n 0004814222 00000 n 0004814302 00000 n 0004815139 00000 n 0004815472 00000 n 0004820448 00000 n 0004820944 00000 n 0004821198 00000 n 0004821470 00000 n 0004821673 00000 n 0004821743 00000 n 0004821823 00000 n 0004822659 00000 n 0004822992 00000 n 0004827623 00000 n 0004828090 00000 n 0004828293 00000 n 0004828381 00000 n 0004828591 00000 n 0004828801 00000 n 0004829005 00000 n 0004829212 00000 n 0004829417 00000 n 0004829487 00000 n 0004829567 00000 n 0004830403 00000 n 0004830736 00000 n 0004834274 00000 n 0004834728 00000 n 0004834931 00000 n 0004834992 00000 n 0004835212 00000 n 0004835433 00000 n 0004835503 00000 n 0004835583 00000 n 0004836420 00000 n 0004836753 00000 n 0004841071 00000 n 0004841581 00000 n 0004841835 00000 n 0004842107 00000 n 0004842310 00000 n 0004842380 00000 n 0004842460 00000 n 0004843297 00000 n 0004843630 00000 n 0004848370 00000 n 0004848837 00000 n 0004849040 00000 n 0004849119 00000 n 0004849329 00000 n 0004849539 00000 n 0004849749 00000 n 0004849954 00000 n 0004850024 00000 n 0004850104 00000 n 0004850940 00000 n 0004851273 00000 n 0004855429 00000 n 0004855883 00000 n 0004856086 00000 n 0004856147 00000 n 0004856367 00000 n 0004856588 00000 n 0004856658 00000 n 0004856738 00000 n 0004857575 00000 n 0004857908 00000 n 0004862468 00000 n 0004862964 00000 n 0004863218 00000 n 0004863490 00000 n 0004863693 00000 n 0004863763 00000 n 0004863843 00000 n 0004864680 00000 n 0004865013 00000 n 0004869902 00000 n 0004870369 00000 n 0004870572 00000 n 0004870696 00000 n 0004870905 00000 n 0004871115 00000 n 0004871324 00000 n 0004871531 00000 n 0004871733 00000 n 0004871938 00000 n 0004872148 00000 n 0004872353 00000 n 0004872558 00000 n 0004872628 00000 n 0004872708 00000 n 0004873544 00000 n 0004873877 00000 n 0004876086 00000 n 0004876569 00000 n 0004876772 00000 n 0004876833 00000 n 0004877053 00000 n 0004877274 00000 n 0004877344 00000 n 0004877424 00000 n 0004878261 00000 n 0004878594 00000 n 0004883301 00000 n 0004883797 00000 n 0004884051 00000 n 0004884323 00000 n 0004884526 00000 n 0004884596 00000 n 0004884676 00000 n 0004885513 00000 n 0004885846 00000 n 0004890903 00000 n 0004891370 00000 n 0004891573 00000 n 0004891652 00000 n 0004891862 00000 n 0004892072 00000 n 0004892281 00000 n 0004892489 00000 n 0004892559 00000 n 0004892639 00000 n 0004893475 00000 n 0004893808 00000 n 0004896623 00000 n 0004897077 00000 n 0004897280 00000 n 0004897341 00000 n 0004897561 00000 n 0004897782 00000 n 0004897852 00000 n 0004897932 00000 n 0004898769 00000 n 0004899102 00000 n 0004903450 00000 n 0004903932 00000 n 0004904182 00000 n 0004904454 00000 n 0004904657 00000 n 0004904727 00000 n 0004904807 00000 n 0004905644 00000 n 0004905977 00000 n 0004911001 00000 n 0004911468 00000 n 0004911671 00000 n 0004911768 00000 n 0004911978 00000 n 0004912186 00000 n 0004912396 00000 n 0004912606 00000 n 0004912813 00000 n 0004913020 00000 n 0004913090 00000 n 0004913170 00000 n 0004914006 00000 n 0004914339 00000 n 0004916908 00000 n 0004917362 00000 n 0004917622 00000 n 0004917825 00000 n 0004917895 00000 n 0004918115 00000 n 0004918336 00000 n 0004918406 00000 n 0004918486 00000 n 0004919322 00000 n 0004919655 00000 n 0004924483 00000 n 0004924979 00000 n 0004925233 00000 n 0004925505 00000 n 0004925708 00000 n 0004925778 00000 n 0004925858 00000 n 0004926695 00000 n 0004927028 00000 n 0004932102 00000 n 0004932569 00000 n 0004932772 00000 n 0004932905 00000 n 0004933111 00000 n 0004933317 00000 n 0004933527 00000 n 0004933737 00000 n 0004933947 00000 n 0004934154 00000 n 0004934363 00000 n 0004934574 00000 n 0004934782 00000 n 0004934991 00000 n 0004935061 00000 n 0004935141 00000 n 0004935978 00000 n 0004936311 00000 n 0004939165 00000 n 0004939619 00000 n 0004939822 00000 n 0004939883 00000 n 0004940103 00000 n 0004940324 00000 n 0004940394 00000 n 0004940474 00000 n 0004941311 00000 n 0004941644 00000 n 0004945984 00000 n 0004946480 00000 n 0004946734 00000 n 0004947006 00000 n 0004947209 00000 n 0004947279 00000 n 0004947359 00000 n 0004948196 00000 n 0004948529 00000 n 0004953403 00000 n 0004953870 00000 n 0004954073 00000 n 0004954197 00000 n 0004954407 00000 n 0004954614 00000 n 0004954826 00000 n 0004955038 00000 n 0004955245 00000 n 0004955449 00000 n 0004955658 00000 n 0004955869 00000 n 0004956079 00000 n 0004956149 00000 n 0004956229 00000 n 0004957067 00000 n 0004957400 00000 n 0004960447 00000 n 0004960915 00000 n 0004961118 00000 n 0004961179 00000 n 0004961399 00000 n 0004961620 00000 n 0004961690 00000 n 0004961770 00000 n 0004962607 00000 n 0004962940 00000 n 0004967395 00000 n 0004967887 00000 n 0004968090 00000 n 0004968160 00000 n 0004968240 00000 n 0004969077 00000 n 0004969410 00000 n 0004973623 00000 n 0004974105 00000 n 0004974359 00000 n 0004974631 00000 n 0004974834 00000 n 0004974904 00000 n 0004974984 00000 n 0004975823 00000 n 0004976156 00000 n 0004981113 00000 n 0004981580 00000 n 0004981783 00000 n 0004981952 00000 n 0004982162 00000 n 0004982372 00000 n 0004982582 00000 n 0004982789 00000 n 0004983001 00000 n 0004983205 00000 n 0004983412 00000 n 0004983621 00000 n 0004983825 00000 n 0004984032 00000 n 0004984242 00000 n 0004984445 00000 n 0004984650 00000 n 0004984861 00000 n 0004984931 00000 n 0004985011 00000 n 0004985848 00000 n 0004986181 00000 n 0004988564 00000 n 0004989047 00000 n 0004989250 00000 n 0004989311 00000 n 0004989531 00000 n 0004989752 00000 n 0004989822 00000 n 0004989902 00000 n 0004990738 00000 n 0004991071 00000 n 0004995899 00000 n 0004996395 00000 n 0004996649 00000 n 0004996921 00000 n 0004997124 00000 n 0004997194 00000 n 0004997274 00000 n 0004998112 00000 n 0004998445 00000 n 0005003298 00000 n 0005003765 00000 n 0005003968 00000 n 0005004083 00000 n 0005004289 00000 n 0005004499 00000 n 0005004709 00000 n 0005004919 00000 n 0005005130 00000 n 0005005337 00000 n 0005005543 00000 n 0005005748 00000 n 0005005818 00000 n 0005005898 00000 n 0005006735 00000 n 0005007068 00000 n 0005010953 00000 n 0005011407 00000 n 0005011610 00000 n 0005011671 00000 n 0005011891 00000 n 0005012112 00000 n 0005012182 00000 n 0005012262 00000 n 0005013098 00000 n 0005013431 00000 n 0005018098 00000 n 0005018585 00000 n 0005018838 00000 n 0005019041 00000 n 0005019111 00000 n 0005019191 00000 n 0005020028 00000 n 0005020361 00000 n 0005025124 00000 n 0005025591 00000 n 0005025863 00000 n 0005026066 00000 n 0005026145 00000 n 0005026351 00000 n 0005026558 00000 n 0005026766 00000 n 0005026836 00000 n 0005026916 00000 n 0005027753 00000 n 0005028086 00000 n 0005030548 00000 n 0005031002 00000 n 0005031205 00000 n 0005031266 00000 n 0005031486 00000 n 0005031707 00000 n 0005031777 00000 n 0005031857 00000 n 0005032693 00000 n 0005033026 00000 n 0005037185 00000 n 0005037695 00000 n 0005037949 00000 n 0005038219 00000 n 0005038422 00000 n 0005038492 00000 n 0005038572 00000 n 0005039408 00000 n 0005039741 00000 n 0005044525 00000 n 0005044992 00000 n 0005045195 00000 n 0005045283 00000 n 0005045494 00000 n 0005045704 00000 n 0005045914 00000 n 0005046124 00000 n 0005046329 00000 n 0005046399 00000 n 0005046479 00000 n 0005047316 00000 n 0005047649 00000 n 0005051763 00000 n 0005052159 00000 n 0005052362 00000 n 0005052432 00000 n 0005052633 00000 n 0005052831 00000 n 0005053029 00000 n 0005053099 00000 n 0005053179 00000 n 0005054016 00000 n 0005054349 00000 n 0005055638 00000 n 0005056120 00000 n 0005056323 00000 n 0005056384 00000 n 0005056604 00000 n 0005056825 00000 n 0005056895 00000 n 0005056975 00000 n 0005057811 00000 n 0005058144 00000 n 0005062910 00000 n 0005063406 00000 n 0005063660 00000 n 0005063932 00000 n 0005064135 00000 n 0005064205 00000 n 0005064285 00000 n 0005065122 00000 n 0005065455 00000 n 0005070344 00000 n 0005070811 00000 n 0005071014 00000 n 0005071093 00000 n 0005071303 00000 n 0005071513 00000 n 0005071726 00000 n 0005071936 00000 n 0005072006 00000 n 0005072086 00000 n 0005072923 00000 n 0005073256 00000 n 0005075516 00000 n 0005075970 00000 n 0005076173 00000 n 0005076234 00000 n 0005076454 00000 n 0005076675 00000 n 0005076745 00000 n 0005076825 00000 n 0005077661 00000 n 0005077994 00000 n 0005082588 00000 n 0005083084 00000 n 0005083338 00000 n 0005083610 00000 n 0005083813 00000 n 0005083883 00000 n 0005083963 00000 n 0005084799 00000 n 0005085132 00000 n 0005089487 00000 n 0005089954 00000 n 0005090157 00000 n 0005090263 00000 n 0005090473 00000 n 0005090680 00000 n 0005090887 00000 n 0005091097 00000 n 0005091305 00000 n 0005091512 00000 n 0005091720 00000 n 0005091790 00000 n 0005091870 00000 n 0005092706 00000 n 0005093039 00000 n 0005096641 00000 n 0005097081 00000 n 0005097284 00000 n 0005097345 00000 n 0005097565 00000 n 0005097786 00000 n 0005097856 00000 n 0005097936 00000 n 0005098772 00000 n 0005099105 00000 n 0005103190 00000 n 0005103715 00000 n 0005103969 00000 n 0005104241 00000 n 0005104444 00000 n 0005104514 00000 n 0005104594 00000 n 0005105430 00000 n 0005105763 00000 n 0005110696 00000 n 0005111163 00000 n 0005111366 00000 n 0005111445 00000 n 0005111655 00000 n 0005111862 00000 n 0005112069 00000 n 0005112278 00000 n 0005112348 00000 n 0005112428 00000 n 0005113264 00000 n 0005113597 00000 n 0005117776 00000 n 0005118172 00000 n 0005118375 00000 n 0005118490 00000 n 0005118691 00000 n 0005118895 00000 n 0005119098 00000 n 0005119300 00000 n 0005119501 00000 n 0005119702 00000 n 0005119903 00000 n 0005120105 00000 n 0005120175 00000 n 0005120255 00000 n 0005121093 00000 n 0005121426 00000 n 0005122805 00000 n 0005123288 00000 n 0005123491 00000 n 0005123552 00000 n 0005123772 00000 n 0005123993 00000 n 0005124063 00000 n 0005124143 00000 n 0005124980 00000 n 0005125313 00000 n 0005130295 00000 n 0005130791 00000 n 0005131045 00000 n 0005131317 00000 n 0005131520 00000 n 0005131590 00000 n 0005131670 00000 n 0005132508 00000 n 0005132841 00000 n 0005137549 00000 n 0005138016 00000 n 0005138219 00000 n 0005138361 00000 n 0005138571 00000 n 0005138778 00000 n 0005138985 00000 n 0005139196 00000 n 0005139406 00000 n 0005139615 00000 n 0005139823 00000 n 0005140030 00000 n 0005140237 00000 n 0005140443 00000 n 0005140649 00000 n 0005140719 00000 n 0005140799 00000 n 0005141638 00000 n 0005141971 00000 n 0005145683 00000 n 0005146166 00000 n 0005146369 00000 n 0005146430 00000 n 0005146650 00000 n 0005146871 00000 n 0005146941 00000 n 0005147021 00000 n 0005147858 00000 n 0005148191 00000 n 0005153278 00000 n 0005153774 00000 n 0005154028 00000 n 0005154300 00000 n 0005154503 00000 n 0005154573 00000 n 0005154653 00000 n 0005155491 00000 n 0005155824 00000 n 0005160244 00000 n 0005160711 00000 n 0005160914 00000 n 0005160993 00000 n 0005161203 00000 n 0005161410 00000 n 0005161617 00000 n 0005161826 00000 n 0005161896 00000 n 0005161976 00000 n 0005162814 00000 n 0005163147 00000 n 0005167303 00000 n 0005167699 00000 n 0005167902 00000 n 0005168008 00000 n 0005168209 00000 n 0005168412 00000 n 0005168614 00000 n 0005168815 00000 n 0005169016 00000 n 0005169217 00000 n 0005169419 00000 n 0005169489 00000 n 0005169569 00000 n 0005170406 00000 n 0005170739 00000 n 0005172111 00000 n 0005172565 00000 n 0005172768 00000 n 0005172829 00000 n 0005173049 00000 n 0005173270 00000 n 0005173340 00000 n 0005173420 00000 n 0005174257 00000 n 0005174590 00000 n 0005179120 00000 n 0005179616 00000 n 0005179870 00000 n 0005180142 00000 n 0005180345 00000 n 0005180415 00000 n 0005180495 00000 n 0005181332 00000 n 0005181665 00000 n 0005186700 00000 n 0005187167 00000 n 0005187370 00000 n 0005187530 00000 n 0005187741 00000 n 0005187957 00000 n 0005188167 00000 n 0005188374 00000 n 0005188581 00000 n 0005188791 00000 n 0005189000 00000 n 0005189207 00000 n 0005189414 00000 n 0005189621 00000 n 0005189832 00000 n 0005190048 00000 n 0005190256 00000 n 0005190326 00000 n 0005190406 00000 n 0005191243 00000 n 0005191576 00000 n 0005194443 00000 n 0005194897 00000 n 0005195100 00000 n 0005195161 00000 n 0005195381 00000 n 0005195602 00000 n 0005195672 00000 n 0005195752 00000 n 0005196588 00000 n 0005196921 00000 n 0005201608 00000 n 0005202104 00000 n 0005202358 00000 n 0005202630 00000 n 0005202833 00000 n 0005202903 00000 n 0005202983 00000 n 0005203820 00000 n 0005204153 00000 n 0005209079 00000 n 0005209546 00000 n 0005209749 00000 n 0005209909 00000 n 0005210120 00000 n 0005210336 00000 n 0005210546 00000 n 0005210753 00000 n 0005210960 00000 n 0005211170 00000 n 0005211384 00000 n 0005211591 00000 n 0005211798 00000 n 0005212005 00000 n 0005212216 00000 n 0005212432 00000 n 0005212640 00000 n 0005212710 00000 n 0005212790 00000 n 0005213627 00000 n 0005213960 00000 n 0005217195 00000 n 0005217649 00000 n 0005217852 00000 n 0005217913 00000 n 0005218133 00000 n 0005218354 00000 n 0005218424 00000 n 0005218504 00000 n 0005219340 00000 n 0005219673 00000 n 0005224327 00000 n 0005224823 00000 n 0005225077 00000 n 0005225349 00000 n 0005225552 00000 n 0005225622 00000 n 0005225702 00000 n 0005226538 00000 n 0005226871 00000 n 0005231769 00000 n 0005232236 00000 n 0005232439 00000 n 0005232581 00000 n 0005232791 00000 n 0005232998 00000 n 0005233205 00000 n 0005233416 00000 n 0005233623 00000 n 0005233833 00000 n 0005234042 00000 n 0005234249 00000 n 0005234456 00000 n 0005234663 00000 n 0005234871 00000 n 0005234941 00000 n 0005235021 00000 n 0005235858 00000 n 0005236191 00000 n 0005239362 00000 n 0005239816 00000 n 0005240019 00000 n 0005240080 00000 n 0005240300 00000 n 0005240521 00000 n 0005240591 00000 n 0005240671 00000 n 0005241508 00000 n 0005241841 00000 n 0005246313 00000 n 0005246809 00000 n 0005247063 00000 n 0005247335 00000 n 0005247538 00000 n 0005247608 00000 n 0005247688 00000 n 0005248524 00000 n 0005248857 00000 n 0005253767 00000 n 0005254234 00000 n 0005254437 00000 n 0005254579 00000 n 0005254789 00000 n 0005254996 00000 n 0005255203 00000 n 0005255414 00000 n 0005255621 00000 n 0005255831 00000 n 0005256040 00000 n 0005256248 00000 n 0005256455 00000 n 0005256662 00000 n 0005256870 00000 n 0005256940 00000 n 0005257020 00000 n 0005257856 00000 n 0005258189 00000 n 0005261284 00000 n 0005261738 00000 n 0005261941 00000 n 0005262002 00000 n 0005262222 00000 n 0005262443 00000 n 0005262513 00000 n 0005262593 00000 n 0005263429 00000 n 0005263762 00000 n 0005268236 00000 n 0005268718 00000 n 0005268968 00000 n 0005269240 00000 n 0005269443 00000 n 0005269513 00000 n 0005269593 00000 n 0005270429 00000 n 0005270762 00000 n 0005275789 00000 n 0005276256 00000 n 0005276459 00000 n 0005276628 00000 n 0005276839 00000 n 0005277051 00000 n 0005277261 00000 n 0005277471 00000 n 0005277682 00000 n 0005277894 00000 n 0005278102 00000 n 0005278311 00000 n 0005278520 00000 n 0005278730 00000 n 0005278942 00000 n 0005279155 00000 n 0005279365 00000 n 0005279576 00000 n 0005279646 00000 n 0005279726 00000 n 0005280563 00000 n 0005280896 00000 n 0005283482 00000 n 0005283936 00000 n 0005284139 00000 n 0005284200 00000 n 0005284420 00000 n 0005284641 00000 n 0005284711 00000 n 0005284791 00000 n 0005285628 00000 n 0005285961 00000 n 0005290786 00000 n 0005291282 00000 n 0005291536 00000 n 0005291808 00000 n 0005292011 00000 n 0005292081 00000 n 0005292161 00000 n 0005292998 00000 n 0005293331 00000 n 0005298270 00000 n 0005298737 00000 n 0005298940 00000 n 0005299109 00000 n 0005299320 00000 n 0005299532 00000 n 0005299742 00000 n 0005299951 00000 n 0005300162 00000 n 0005300374 00000 n 0005300582 00000 n 0005300791 00000 n 0005301000 00000 n 0005301210 00000 n 0005301422 00000 n 0005301635 00000 n 0005301845 00000 n 0005302056 00000 n 0005302126 00000 n 0005302206 00000 n 0005303043 00000 n 0005303376 00000 n 0005306405 00000 n 0005306859 00000 n 0005307062 00000 n 0005307123 00000 n 0005307343 00000 n 0005307564 00000 n 0005307634 00000 n 0005307714 00000 n 0005308551 00000 n 0005308884 00000 n 0005313387 00000 n 0005313883 00000 n 0005314137 00000 n 0005314409 00000 n 0005314612 00000 n 0005314682 00000 n 0005314762 00000 n 0005315598 00000 n 0005315931 00000 n 0005320893 00000 n 0005321360 00000 n 0005321563 00000 n 0005321705 00000 n 0005321915 00000 n 0005322122 00000 n 0005322329 00000 n 0005322540 00000 n 0005322747 00000 n 0005322957 00000 n 0005323166 00000 n 0005323374 00000 n 0005323581 00000 n 0005323788 00000 n 0005323996 00000 n 0005324066 00000 n 0005324146 00000 n 0005324984 00000 n 0005325317 00000 n 0005328314 00000 n 0005328768 00000 n 0005328971 00000 n 0005329032 00000 n 0005329252 00000 n 0005329473 00000 n 0005329543 00000 n 0005329623 00000 n 0005330459 00000 n 0005330792 00000 n 0005335620 00000 n 0005336145 00000 n 0005336399 00000 n 0005336671 00000 n 0005336874 00000 n 0005336944 00000 n 0005337024 00000 n 0005337861 00000 n 0005338194 00000 n 0005344160 00000 n 0005344627 00000 n 0005344830 00000 n 0005344909 00000 n 0005345119 00000 n 0005345329 00000 n 0005345539 00000 n 0005345748 00000 n 0005345818 00000 n 0005345898 00000 n 0005346734 00000 n 0005347067 00000 n 0005349865 00000 n 0005350333 00000 n 0005350536 00000 n 0005350588 00000 n 0005350808 00000 n 0005350878 00000 n 0005350958 00000 n 0005351796 00000 n 0005352129 00000 n 0005356329 00000 n 0005356821 00000 n 0005357024 00000 n 0005357094 00000 n 0005357174 00000 n 0005358010 00000 n 0005358343 00000 n 0005363333 00000 n 0005363743 00000 n 0005363946 00000 n 0005364070 00000 n 0005364273 00000 n 0005364477 00000 n 0005364681 00000 n 0005364882 00000 n 0005365083 00000 n 0005365284 00000 n 0005365488 00000 n 0005365692 00000 n 0005365891 00000 n 0005365961 00000 n 0005366041 00000 n 0005366878 00000 n 0005367211 00000 n 0005368698 00000 n 0005369166 00000 n 0005369369 00000 n 0005369430 00000 n 0005369650 00000 n 0005369871 00000 n 0005369941 00000 n 0005370021 00000 n 0005370858 00000 n 0005371191 00000 n 0005376107 00000 n 0005376603 00000 n 0005376857 00000 n 0005377129 00000 n 0005377332 00000 n 0005377402 00000 n 0005377482 00000 n 0005378318 00000 n 0005378651 00000 n 0005383423 00000 n 0005383890 00000 n 0005384093 00000 n 0005384181 00000 n 0005384391 00000 n 0005384601 00000 n 0005384808 00000 n 0005385018 00000 n 0005385223 00000 n 0005385293 00000 n 0005385373 00000 n 0005386209 00000 n 0005386542 00000 n 0005390702 00000 n 0005391098 00000 n 0005391301 00000 n 0005391362 00000 n 0005391566 00000 n 0005391765 00000 n 0005391835 00000 n 0005391915 00000 n 0005392752 00000 n 0005393085 00000 n 0005394381 00000 n 0005394849 00000 n 0005395052 00000 n 0005395113 00000 n 0005395333 00000 n 0005395554 00000 n 0005395624 00000 n 0005395704 00000 n 0005396542 00000 n 0005396875 00000 n 0005401693 00000 n 0005402189 00000 n 0005402443 00000 n 0005402715 00000 n 0005402918 00000 n 0005402988 00000 n 0005403068 00000 n 0005403904 00000 n 0005404237 00000 n 0005409210 00000 n 0005409677 00000 n 0005409880 00000 n 0005409968 00000 n 0005410178 00000 n 0005410387 00000 n 0005410597 00000 n 0005410804 00000 n 0005411011 00000 n 0005411081 00000 n 0005411161 00000 n 0005411997 00000 n 0005412330 00000 n 0005415269 00000 n 0005415723 00000 n 0005415926 00000 n 0005415987 00000 n 0005416207 00000 n 0005416428 00000 n 0005416498 00000 n 0005416578 00000 n 0005417415 00000 n 0005417748 00000 n 0005422200 00000 n 0005422710 00000 n 0005422964 00000 n 0005423236 00000 n 0005423439 00000 n 0005423509 00000 n 0005423589 00000 n 0005424425 00000 n 0005424758 00000 n 0005429533 00000 n 0005430000 00000 n 0005430203 00000 n 0005430327 00000 n 0005430533 00000 n 0005430743 00000 n 0005430953 00000 n 0005431159 00000 n 0005431369 00000 n 0005431576 00000 n 0005431790 00000 n 0005432003 00000 n 0005432208 00000 n 0005432278 00000 n 0005432358 00000 n 0005433194 00000 n 0005433527 00000 n 0005437195 00000 n 0005437677 00000 n 0005437880 00000 n 0005437932 00000 n 0005438148 00000 n 0005438218 00000 n 0005438298 00000 n 0005439134 00000 n 0005439467 00000 n 0005443796 00000 n 0005444292 00000 n 0005444546 00000 n 0005444818 00000 n 0005445021 00000 n 0005445091 00000 n 0005445171 00000 n 0005446008 00000 n 0005446341 00000 n 0005451400 00000 n 0005451867 00000 n 0005452070 00000 n 0005452131 00000 n 0005452340 00000 n 0005452549 00000 n 0005452619 00000 n 0005452699 00000 n 0005453535 00000 n 0005453868 00000 n 0005456183 00000 n 0005456665 00000 n 0005456868 00000 n 0005456929 00000 n 0005457145 00000 n 0005457366 00000 n 0005457436 00000 n 0005457516 00000 n 0005458352 00000 n 0005458685 00000 n 0005463112 00000 n 0005463608 00000 n 0005463862 00000 n 0005464134 00000 n 0005464337 00000 n 0005464407 00000 n 0005464487 00000 n 0005465325 00000 n 0005465658 00000 n 0005470661 00000 n 0005471128 00000 n 0005471331 00000 n 0005471392 00000 n 0005471598 00000 n 0005471806 00000 n 0005471876 00000 n 0005471956 00000 n 0005472793 00000 n 0005473126 00000 n 0005476197 00000 n 0005476679 00000 n 0005476882 00000 n 0005476952 00000 n 0005477168 00000 n 0005477384 00000 n 0005477607 00000 n 0005477677 00000 n 0005477757 00000 n 0005478593 00000 n 0005478926 00000 n 0005483471 00000 n 0005483967 00000 n 0005484221 00000 n 0005484493 00000 n 0005484696 00000 n 0005484766 00000 n 0005484846 00000 n 0005485684 00000 n 0005486017 00000 n 0005491064 00000 n 0005491531 00000 n 0005491734 00000 n 0005491849 00000 n 0005492058 00000 n 0005492272 00000 n 0005492483 00000 n 0005492685 00000 n 0005492887 00000 n 0005493093 00000 n 0005493297 00000 n 0005493505 00000 n 0005493575 00000 n 0005493655 00000 n 0005494492 00000 n 0005494825 00000 n 0005497686 00000 n 0005498140 00000 n 0005498343 00000 n 0005498413 00000 n 0005498629 00000 n 0005498853 00000 n 0005499076 00000 n 0005499146 00000 n 0005499226 00000 n 0005500063 00000 n 0005500396 00000 n 0005504746 00000 n 0005505213 00000 n 0005505416 00000 n 0005505504 00000 n 0005505712 00000 n 0005505912 00000 n 0005506114 00000 n 0005506323 00000 n 0005506525 00000 n 0005506595 00000 n 0005506675 00000 n 0005507512 00000 n 0005507845 00000 n 0005511527 00000 n 0005511981 00000 n 0005512184 00000 n 0005512245 00000 n 0005512461 00000 n 0005512682 00000 n 0005512752 00000 n 0005512832 00000 n 0005513668 00000 n 0005514001 00000 n 0005518508 00000 n 0005519019 00000 n 0005519273 00000 n 0005519545 00000 n 0005519748 00000 n 0005519818 00000 n 0005519898 00000 n 0005520734 00000 n 0005521067 00000 n 0005527020 00000 n 0005527527 00000 n 0005527730 00000 n 0005527800 00000 n 0005527880 00000 n 0005528716 00000 n 0005529049 00000 n 0005534064 00000 n 0005534546 00000 n 0005534749 00000 n 0005534846 00000 n 0005535042 00000 n 0005535244 00000 n 0005535438 00000 n 0005535637 00000 n 0005535845 00000 n 0005536055 00000 n 0005536125 00000 n 0005536205 00000 n 0005537042 00000 n 0005537375 00000 n 0005540450 00000 n 0005540932 00000 n 0005541135 00000 n 0005541205 00000 n 0005541421 00000 n 0005541645 00000 n 0005541868 00000 n 0005541938 00000 n 0005542018 00000 n 0005542855 00000 n 0005543188 00000 n 0005547799 00000 n 0005548295 00000 n 0005548549 00000 n 0005548821 00000 n 0005549024 00000 n 0005549094 00000 n 0005549174 00000 n 0005550011 00000 n 0005550344 00000 n 0005555143 00000 n 0005555610 00000 n 0005555813 00000 n 0005555901 00000 n 0005556103 00000 n 0005556311 00000 n 0005556514 00000 n 0005556716 00000 n 0005556926 00000 n 0005556996 00000 n 0005557076 00000 n 0005557913 00000 n 0005558246 00000 n 0005561832 00000 n 0005562314 00000 n 0005562517 00000 n 0005562569 00000 n 0005562785 00000 n 0005562855 00000 n 0005562935 00000 n 0005563772 00000 n 0005564105 00000 n 0005568991 00000 n 0005569487 00000 n 0005569741 00000 n 0005570013 00000 n 0005570216 00000 n 0005570286 00000 n 0005570366 00000 n 0005571202 00000 n 0005571535 00000 n 0005576598 00000 n 0005577065 00000 n 0005577268 00000 n 0005577356 00000 n 0005577558 00000 n 0005577759 00000 n 0005577964 00000 n 0005578170 00000 n 0005578378 00000 n 0005578448 00000 n 0005578528 00000 n 0005579365 00000 n 0005579698 00000 n 0005582397 00000 n 0005582879 00000 n 0005583082 00000 n 0005583134 00000 n 0005583350 00000 n 0005583420 00000 n 0005583500 00000 n 0005584337 00000 n 0005584670 00000 n 0005589447 00000 n 0005589943 00000 n 0005590197 00000 n 0005590469 00000 n 0005590672 00000 n 0005590742 00000 n 0005590822 00000 n 0005591659 00000 n 0005591992 00000 n 0005596852 00000 n 0005597319 00000 n 0005597522 00000 n 0005597601 00000 n 0005597802 00000 n 0005598008 00000 n 0005598214 00000 n 0005598422 00000 n 0005598492 00000 n 0005598572 00000 n 0005599409 00000 n 0005599742 00000 n 0005602452 00000 n 0005602934 00000 n 0005603137 00000 n 0005603189 00000 n 0005603405 00000 n 0005603475 00000 n 0005603555 00000 n 0005604392 00000 n 0005604725 00000 n 0005609030 00000 n 0005609526 00000 n 0005609780 00000 n 0005610052 00000 n 0005610255 00000 n 0005610325 00000 n 0005610405 00000 n 0005611241 00000 n 0005611574 00000 n 0005616419 00000 n 0005616886 00000 n 0005617089 00000 n 0005617168 00000 n 0005617374 00000 n 0005617579 00000 n 0005617785 00000 n 0005617993 00000 n 0005618063 00000 n 0005618143 00000 n 0005618979 00000 n 0005619312 00000 n 0005621360 00000 n 0005621842 00000 n 0005622045 00000 n 0005622106 00000 n 0005622317 00000 n 0005622540 00000 n 0005622610 00000 n 0005622690 00000 n 0005623527 00000 n 0005623860 00000 n 0005628146 00000 n 0005628642 00000 n 0005628896 00000 n 0005629168 00000 n 0005629371 00000 n 0005629441 00000 n 0005629521 00000 n 0005630358 00000 n 0005630691 00000 n 0005635648 00000 n 0005636115 00000 n 0005636318 00000 n 0005636406 00000 n 0005636611 00000 n 0005636817 00000 n 0005637023 00000 n 0005637231 00000 n 0005637436 00000 n 0005637506 00000 n 0005637586 00000 n 0005638422 00000 n 0005638755 00000 n 0005641880 00000 n 0005642362 00000 n 0005642565 00000 n 0005642626 00000 n 0005642842 00000 n 0005643071 00000 n 0005643141 00000 n 0005643221 00000 n 0005644058 00000 n 0005644391 00000 n 0005648707 00000 n 0005649174 00000 n 0005649377 00000 n 0005649456 00000 n 0005649672 00000 n 0005649882 00000 n 0005650087 00000 n 0005650294 00000 n 0005650364 00000 n 0005650444 00000 n 0005651281 00000 n 0005651614 00000 n 0005653925 00000 n 0005654407 00000 n 0005654610 00000 n 0005654671 00000 n 0005654897 00000 n 0005655118 00000 n 0005655188 00000 n 0005655268 00000 n 0005656104 00000 n 0005656437 00000 n 0005660802 00000 n 0005661298 00000 n 0005661552 00000 n 0005661824 00000 n 0005662027 00000 n 0005662097 00000 n 0005662177 00000 n 0005663014 00000 n 0005663347 00000 n 0005668297 00000 n 0005668764 00000 n 0005668967 00000 n 0005669046 00000 n 0005669265 00000 n 0005669471 00000 n 0005669681 00000 n 0005669889 00000 n 0005669959 00000 n 0005670039 00000 n 0005670878 00000 n 0005671211 00000 n 0005673459 00000 n 0005673941 00000 n 0005674144 00000 n 0005674205 00000 n 0005674431 00000 n 0005674652 00000 n 0005674722 00000 n 0005674802 00000 n 0005675638 00000 n 0005675971 00000 n 0005681060 00000 n 0005681556 00000 n 0005681810 00000 n 0005682082 00000 n 0005682285 00000 n 0005682355 00000 n 0005682435 00000 n 0005683271 00000 n 0005683604 00000 n 0005688466 00000 n 0005688933 00000 n 0005689136 00000 n 0005689215 00000 n 0005689430 00000 n 0005689636 00000 n 0005689846 00000 n 0005690054 00000 n 0005690124 00000 n 0005690204 00000 n 0005691041 00000 n 0005691374 00000 n 0005694502 00000 n 0005694956 00000 n 0005695159 00000 n 0005695211 00000 n 0005695427 00000 n 0005695497 00000 n 0005695577 00000 n 0005696413 00000 n 0005696746 00000 n 0005701148 00000 n 0005701655 00000 n 0005701858 00000 n 0005701928 00000 n 0005702008 00000 n 0005702844 00000 n 0005703177 00000 n 0005708173 00000 n 0005708654 00000 n 0005708857 00000 n 0005708918 00000 n 0005709125 00000 n 0005709330 00000 n 0005709400 00000 n 0005709480 00000 n 0005710316 00000 n 0005710649 00000 n 0005715133 00000 n 0005715630 00000 n 0005715833 00000 n 0005715903 00000 n 0005716119 00000 n 0005716343 00000 n 0005716566 00000 n 0005716636 00000 n 0005716716 00000 n 0005717553 00000 n 0005717886 00000 n 0005723616 00000 n 0005724112 00000 n 0005724366 00000 n 0005724638 00000 n 0005724841 00000 n 0005724911 00000 n 0005724991 00000 n 0005725827 00000 n 0005726160 00000 n 0005731036 00000 n 0005731529 00000 n 0005731732 00000 n 0005731802 00000 n 0005731882 00000 n 0005732718 00000 n 0005733051 00000 n 0005738440 00000 n 0005738926 00000 n 0005739129 00000 n 0005739228 00000 n 0005739423 00000 n 0005739618 00000 n 0005739814 00000 n 0005740013 00000 n 0005740219 00000 n 0005740428 00000 n 0005740499 00000 n 0005740580 00000 n 0005741417 00000 n 0005741751 00000 n 0005744792 00000 n 0005745238 00000 n 0005745442 00000 n 0005745518 00000 n 0005745729 00000 n 0005745946 00000 n 0005746170 00000 n 0005746241 00000 n 0005746322 00000 n 0005747160 00000 n 0005747494 00000 n 0005752275 00000 n 0005752780 00000 n 0005753035 00000 n 0005753308 00000 n 0005753512 00000 n 0005753583 00000 n 0005753664 00000 n 0005754501 00000 n 0005754835 00000 n 0005759685 00000 n 0005760185 00000 n 0005760389 00000 n 0005760460 00000 n 0005760541 00000 n 0005761379 00000 n 0005761713 00000 n 0005767378 00000 n 0005767863 00000 n 0005768067 00000 n 0005768138 00000 n 0005768219 00000 n 0005769058 00000 n 0005769392 00000 n 0005773165 00000 n 0005773610 00000 n 0005773814 00000 n 0005773960 00000 n 0005774172 00000 n 0005774382 00000 n 0005774585 00000 n 0005774789 00000 n 0005775001 00000 n 0005775203 00000 n 0005775406 00000 n 0005775613 00000 n 0005775817 00000 n 0005776026 00000 n 0005776097 00000 n 0005776178 00000 n 0005777015 00000 n 0005777349 00000 n 0005779803 00000 n 0005780263 00000 n 0005780467 00000 n 0005780543 00000 n 0005780760 00000 n 0005780982 00000 n 0005781199 00000 n 0005781270 00000 n 0005781351 00000 n 0005782188 00000 n 0005782522 00000 n 0005787302 00000 n 0005787807 00000 n 0005788062 00000 n 0005788335 00000 n 0005788539 00000 n 0005788610 00000 n 0005788691 00000 n 0005789528 00000 n 0005789862 00000 n 0005794537 00000 n 0005795008 00000 n 0005795212 00000 n 0005795283 00000 n 0005795364 00000 n 0005796201 00000 n 0005796535 00000 n 0005800883 00000 n 0005801328 00000 n 0005801532 00000 n 0005801628 00000 n 0005801829 00000 n 0005802032 00000 n 0005802235 00000 n 0005802442 00000 n 0005802651 00000 n 0005802722 00000 n 0005802803 00000 n 0005803640 00000 n 0005803974 00000 n 0005805904 00000 n 0005806376 00000 n 0005806580 00000 n 0005806651 00000 n 0005806732 00000 n 0005807569 00000 n 0005807903 00000 n 0005813020 00000 n 0005813525 00000 n 0005813780 00000 n 0005814053 00000 n 0005814257 00000 n 0005814328 00000 n 0005814409 00000 n 0005815247 00000 n 0005815581 00000 n 0005820527 00000 n 0005821012 00000 n 0005821216 00000 n 0005821287 00000 n 0005821368 00000 n 0005822205 00000 n 0005822539 00000 n 0005826279 00000 n 0005826695 00000 n 0005826899 00000 n 0005826985 00000 n 0005827186 00000 n 0005827387 00000 n 0005827589 00000 n 0005827792 00000 n 0005827863 00000 n 0005827944 00000 n 0005828782 00000 n 0005829116 00000 n 0005830470 00000 n 0005830958 00000 n 0005831162 00000 n 0005831228 00000 n 0005831440 00000 n 0005831664 00000 n 0005831735 00000 n 0005831816 00000 n 0005832655 00000 n 0005832989 00000 n 0005837477 00000 n 0005837982 00000 n 0005838237 00000 n 0005838510 00000 n 0005838714 00000 n 0005838785 00000 n 0005838866 00000 n 0005839703 00000 n 0005840037 00000 n 0005845094 00000 n 0005845567 00000 n 0005845771 00000 n 0005845887 00000 n 0005846102 00000 n 0005846314 00000 n 0005846517 00000 n 0005846720 00000 n 0005846927 00000 n 0005847132 00000 n 0005847341 00000 n 0005847412 00000 n 0005847493 00000 n 0005848331 00000 n 0005848665 00000 n 0005851853 00000 n 0005852341 00000 n 0005852545 00000 n 0005852601 00000 n 0005852847 00000 n 0005852918 00000 n 0005852999 00000 n 0005853837 00000 n 0005854171 00000 n 0005858473 00000 n 0005858946 00000 n 0005859150 00000 n 0005859236 00000 n 0005859440 00000 n 0005859646 00000 n 0005859851 00000 n 0005860054 00000 n 0005860125 00000 n 0005860206 00000 n 0005861043 00000 n 0005861377 00000 n 0005863253 00000 n 0005863785 00000 n 0005863989 00000 n 0005864055 00000 n 0005864272 00000 n 0005864501 00000 n 0005864572 00000 n 0005864653 00000 n 0005865491 00000 n 0005865825 00000 n 0005871289 00000 n 0005871794 00000 n 0005872049 00000 n 0005872322 00000 n 0005872526 00000 n 0005872597 00000 n 0005872678 00000 n 0005873515 00000 n 0005873849 00000 n 0005878739 00000 n 0005879212 00000 n 0005879416 00000 n 0005879492 00000 n 0005879706 00000 n 0005879921 00000 n 0005880127 00000 n 0005880198 00000 n 0005880279 00000 n 0005881116 00000 n 0005881450 00000 n 0005883894 00000 n 0005884382 00000 n 0005884586 00000 n 0005884642 00000 n 0005884859 00000 n 0005884930 00000 n 0005885011 00000 n 0005885848 00000 n 0005886182 00000 n 0005890518 00000 n 0005891023 00000 n 0005891278 00000 n 0005891551 00000 n 0005891755 00000 n 0005891826 00000 n 0005891907 00000 n 0005892745 00000 n 0005893079 00000 n 0005897900 00000 n 0005898373 00000 n 0005898577 00000 n 0005898643 00000 n 0005898850 00000 n 0005899059 00000 n 0005899130 00000 n 0005899211 00000 n 0005900048 00000 n 0005900382 00000 n 0005903571 00000 n 0005904059 00000 n 0005904263 00000 n 0005904329 00000 n 0005904541 00000 n 0005904765 00000 n 0005904836 00000 n 0005904917 00000 n 0005905754 00000 n 0005906088 00000 n 0005910427 00000 n 0005910900 00000 n 0005911155 00000 n 0005911428 00000 n 0005911632 00000 n 0005911708 00000 n 0005911912 00000 n 0005911983 00000 n 0005912064 00000 n 0005912901 00000 n 0005913235 00000 n 0005917059 00000 n 0005917547 00000 n 0005917751 00000 n 0005917827 00000 n 0005918044 00000 n 0005918271 00000 n 0005918488 00000 n 0005918559 00000 n 0005918640 00000 n 0005919478 00000 n 0005919812 00000 n 0005924325 00000 n 0005924830 00000 n 0005925085 00000 n 0005925358 00000 n 0005925562 00000 n 0005925633 00000 n 0005925714 00000 n 0005926552 00000 n 0005926886 00000 n 0005931833 00000 n 0005932306 00000 n 0005932510 00000 n 0005932596 00000 n 0005932809 00000 n 0005933016 00000 n 0005933219 00000 n 0005933426 00000 n 0005933497 00000 n 0005933578 00000 n 0005934415 00000 n 0005934749 00000 n 0005938104 00000 n 0005938564 00000 n 0005938768 00000 n 0005938844 00000 n 0005939055 00000 n 0005939272 00000 n 0005939496 00000 n 0005939567 00000 n 0005939648 00000 n 0005940485 00000 n 0005940819 00000 n 0005945665 00000 n 0005946199 00000 n 0005946454 00000 n 0005946727 00000 n 0005946931 00000 n 0005947002 00000 n 0005947083 00000 n 0005947920 00000 n 0005948254 00000 n 0005953658 00000 n 0005954129 00000 n 0005954333 00000 n 0005954404 00000 n 0005954485 00000 n 0005955322 00000 n 0005955656 00000 n 0005960625 00000 n 0005961139 00000 n 0005961343 00000 n 0005961414 00000 n 0005961495 00000 n 0005962334 00000 n 0005962668 00000 n 0005967269 00000 n 0005967714 00000 n 0005967918 00000 n 0005968044 00000 n 0005968254 00000 n 0005968457 00000 n 0005968669 00000 n 0005968876 00000 n 0005969085 00000 n 0005969289 00000 n 0005969498 00000 n 0005969705 00000 n 0005969776 00000 n 0005969857 00000 n 0005970695 00000 n 0005971029 00000 n 0005972911 00000 n 0005973371 00000 n 0005973575 00000 n 0005973651 00000 n 0005973868 00000 n 0005974090 00000 n 0005974307 00000 n 0005974378 00000 n 0005974459 00000 n 0005975296 00000 n 0005975630 00000 n 0005980360 00000 n 0005980865 00000 n 0005981120 00000 n 0005981393 00000 n 0005981597 00000 n 0005981668 00000 n 0005981749 00000 n 0005982587 00000 n 0005982921 00000 n 0005987966 00000 n 0005988437 00000 n 0005988641 00000 n 0005988712 00000 n 0005988793 00000 n 0005989631 00000 n 0005989965 00000 n 0005994268 00000 n 0005994713 00000 n 0005994917 00000 n 0005995013 00000 n 0005995210 00000 n 0005995403 00000 n 0005995600 00000 n 0005995801 00000 n 0005996004 00000 n 0005996075 00000 n 0005996156 00000 n 0005996993 00000 n 0005997327 00000 n 0005999065 00000 n 0005999525 00000 n 0005999729 00000 n 0005999805 00000 n 0006000022 00000 n 0006000239 00000 n 0006000463 00000 n 0006000534 00000 n 0006000615 00000 n 0006001454 00000 n 0006001788 00000 n 0006006337 00000 n 0006006842 00000 n 0006007097 00000 n 0006007366 00000 n 0006007570 00000 n 0006007641 00000 n 0006007722 00000 n 0006008560 00000 n 0006008894 00000 n 0006014044 00000 n 0006014517 00000 n 0006014721 00000 n 0006014817 00000 n 0006015022 00000 n 0006015232 00000 n 0006015444 00000 n 0006015651 00000 n 0006015860 00000 n 0006015931 00000 n 0006016012 00000 n 0006016850 00000 n 0006017184 00000 n 0006020380 00000 n 0006020840 00000 n 0006021044 00000 n 0006021120 00000 n 0006021337 00000 n 0006021554 00000 n 0006021778 00000 n 0006021849 00000 n 0006021930 00000 n 0006022768 00000 n 0006023102 00000 n 0006027636 00000 n 0006028141 00000 n 0006028396 00000 n 0006028665 00000 n 0006028869 00000 n 0006028940 00000 n 0006029021 00000 n 0006029860 00000 n 0006030194 00000 n 0006035303 00000 n 0006035776 00000 n 0006035980 00000 n 0006036076 00000 n 0006036281 00000 n 0006036491 00000 n 0006036706 00000 n 0006036913 00000 n 0006037122 00000 n 0006037193 00000 n 0006037274 00000 n 0006038112 00000 n 0006038446 00000 n 0006041531 00000 n 0006041991 00000 n 0006042195 00000 n 0006042271 00000 n 0006042482 00000 n 0006042699 00000 n 0006042923 00000 n 0006042994 00000 n 0006043075 00000 n 0006043913 00000 n 0006044247 00000 n 0006048945 00000 n 0006049450 00000 n 0006049705 00000 n 0006049974 00000 n 0006050178 00000 n 0006050249 00000 n 0006050330 00000 n 0006051168 00000 n 0006051502 00000 n 0006056437 00000 n 0006056922 00000 n 0006057126 00000 n 0006057197 00000 n 0006057278 00000 n 0006058116 00000 n 0006058450 00000 n 0006062673 00000 n 0006063118 00000 n 0006063322 00000 n 0006063458 00000 n 0006063668 00000 n 0006063871 00000 n 0006064075 00000 n 0006064287 00000 n 0006064489 00000 n 0006064699 00000 n 0006064906 00000 n 0006065110 00000 n 0006065319 00000 n 0006065390 00000 n 0006065471 00000 n 0006066310 00000 n 0006066644 00000 n 0006069081 00000 n 0006069527 00000 n 0006069731 00000 n 0006069797 00000 n 0006070009 00000 n 0006070239 00000 n 0006070310 00000 n 0006070391 00000 n 0006071228 00000 n 0006071562 00000 n 0006075041 00000 n 0006075501 00000 n 0006075705 00000 n 0006075761 00000 n 0006075978 00000 n 0006076049 00000 n 0006076130 00000 n 0006076970 00000 n 0006077304 00000 n 0006081353 00000 n 0006081842 00000 n 0006082046 00000 n 0006082112 00000 n 0006082329 00000 n 0006082558 00000 n 0006082629 00000 n 0006082710 00000 n 0006083548 00000 n 0006083882 00000 n 0006088598 00000 n 0006089103 00000 n 0006089358 00000 n 0006089631 00000 n 0006089835 00000 n 0006089906 00000 n 0006089987 00000 n 0006090824 00000 n 0006091158 00000 n 0006096048 00000 n 0006096521 00000 n 0006096725 00000 n 0006096821 00000 n 0006097035 00000 n 0006097252 00000 n 0006097468 00000 n 0006097686 00000 n 0006097903 00000 n 0006097974 00000 n 0006098055 00000 n 0006098894 00000 n 0006099228 00000 n 0006101398 00000 n 0006101858 00000 n 0006102062 00000 n 0006102118 00000 n 0006102335 00000 n 0006102406 00000 n 0006102487 00000 n 0006103325 00000 n 0006103659 00000 n 0006108652 00000 n 0006109157 00000 n 0006109412 00000 n 0006109685 00000 n 0006109889 00000 n 0006109960 00000 n 0006110041 00000 n 0006110878 00000 n 0006111212 00000 n 0006116034 00000 n 0006116507 00000 n 0006116711 00000 n 0006116827 00000 n 0006117030 00000 n 0006117232 00000 n 0006117438 00000 n 0006117645 00000 n 0006117848 00000 n 0006118055 00000 n 0006118264 00000 n 0006118335 00000 n 0006118416 00000 n 0006119253 00000 n 0006119587 00000 n 0006122428 00000 n 0006122916 00000 n 0006123120 00000 n 0006123186 00000 n 0006123403 00000 n 0006123628 00000 n 0006123699 00000 n 0006123780 00000 n 0006124618 00000 n 0006124952 00000 n 0006129119 00000 n 0006129624 00000 n 0006129879 00000 n 0006130152 00000 n 0006130356 00000 n 0006130427 00000 n 0006130508 00000 n 0006131346 00000 n 0006131680 00000 n 0006136562 00000 n 0006137035 00000 n 0006137239 00000 n 0006137315 00000 n 0006137523 00000 n 0006137732 00000 n 0006137938 00000 n 0006138009 00000 n 0006138090 00000 n 0006138927 00000 n 0006139261 00000 n 0006142274 00000 n 0006142762 00000 n 0006142966 00000 n 0006143042 00000 n 0006143259 00000 n 0006143510 00000 n 0006143727 00000 n 0006143798 00000 n 0006143879 00000 n 0006144718 00000 n 0006145052 00000 n 0006149422 00000 n 0006149907 00000 n 0006150111 00000 n 0006150182 00000 n 0006150263 00000 n 0006151100 00000 n 0006151434 00000 n 0006155045 00000 n 0006155461 00000 n 0006155665 00000 n 0006155791 00000 n 0006155994 00000 n 0006156191 00000 n 0006156388 00000 n 0006156589 00000 n 0006156796 00000 n 0006156999 00000 n 0006157201 00000 n 0006157401 00000 n 0006157472 00000 n 0006157553 00000 n 0006158390 00000 n 0006158724 00000 n 0006160133 00000 n 0006160593 00000 n 0006160797 00000 n 0006160873 00000 n 0006161090 00000 n 0006161322 00000 n 0006161544 00000 n 0006161615 00000 n 0006161696 00000 n 0006162535 00000 n 0006162869 00000 n 0006167424 00000 n 0006167897 00000 n 0006168101 00000 n 0006168187 00000 n 0006168403 00000 n 0006168617 00000 n 0006168832 00000 n 0006169046 00000 n 0006169117 00000 n 0006169198 00000 n 0006170036 00000 n 0006170370 00000 n 0006173667 00000 n 0006174127 00000 n 0006174331 00000 n 0006174397 00000 n 0006174614 00000 n 0006174843 00000 n 0006174914 00000 n 0006174995 00000 n 0006175832 00000 n 0006176166 00000 n 0006180382 00000 n 0006180887 00000 n 0006181142 00000 n 0006181415 00000 n 0006181619 00000 n 0006181690 00000 n 0006181771 00000 n 0006182609 00000 n 0006182943 00000 n 0006188018 00000 n 0006188491 00000 n 0006188695 00000 n 0006188791 00000 n 0006189005 00000 n 0006189222 00000 n 0006189439 00000 n 0006189651 00000 n 0006189857 00000 n 0006189928 00000 n 0006190009 00000 n 0006190847 00000 n 0006191181 00000 n 0006193572 00000 n 0006194032 00000 n 0006194287 00000 n 0006194491 00000 n 0006194567 00000 n 0006194784 00000 n 0006195005 00000 n 0006195076 00000 n 0006195157 00000 n 0006195994 00000 n 0006196328 00000 n 0006200931 00000 n 0006201412 00000 n 0006201685 00000 n 0006201889 00000 n 0006201960 00000 n 0006202041 00000 n 0006202878 00000 n 0006203212 00000 n 0006208167 00000 n 0006208612 00000 n 0006208816 00000 n 0006208902 00000 n 0006209110 00000 n 0006209319 00000 n 0006209523 00000 n 0006209725 00000 n 0006209796 00000 n 0006209877 00000 n 0006210715 00000 n 0006211049 00000 n 0006212875 00000 n 0006213363 00000 n 0006213567 00000 n 0006213633 00000 n 0006213850 00000 n 0006214075 00000 n 0006214146 00000 n 0006214227 00000 n 0006215065 00000 n 0006215399 00000 n 0006219816 00000 n 0006220321 00000 n 0006220576 00000 n 0006220849 00000 n 0006221053 00000 n 0006221124 00000 n 0006221205 00000 n 0006222043 00000 n 0006222377 00000 n 0006227371 00000 n 0006227844 00000 n 0006228048 00000 n 0006228134 00000 n 0006228338 00000 n 0006228543 00000 n 0006228757 00000 n 0006228966 00000 n 0006229037 00000 n 0006229118 00000 n 0006229957 00000 n 0006230291 00000 n 0006233108 00000 n 0006233580 00000 n 0006233784 00000 n 0006233855 00000 n 0006233936 00000 n 0006234774 00000 n 0006235108 00000 n 0006239273 00000 n 0006239764 00000 n 0006240019 00000 n 0006240292 00000 n 0006240496 00000 n 0006240567 00000 n 0006240648 00000 n 0006241486 00000 n 0006241820 00000 n 0006246745 00000 n 0006247218 00000 n 0006247422 00000 n 0006247488 00000 n 0006247685 00000 n 0006247886 00000 n 0006247957 00000 n 0006248038 00000 n 0006248876 00000 n 0006249210 00000 n 0006251526 00000 n 0006252042 00000 n 0006252246 00000 n 0006252312 00000 n 0006252529 00000 n 0006252759 00000 n 0006252830 00000 n 0006252911 00000 n 0006253749 00000 n 0006254083 00000 n 0006258301 00000 n 0006258774 00000 n 0006258978 00000 n 0006259074 00000 n 0006259291 00000 n 0006259503 00000 n 0006259714 00000 n 0006259920 00000 n 0006260128 00000 n 0006260199 00000 n 0006260280 00000 n 0006261118 00000 n 0006261452 00000 n 0006264162 00000 n 0006264622 00000 n 0006264877 00000 n 0006265081 00000 n 0006265147 00000 n 0006265373 00000 n 0006265444 00000 n 0006265525 00000 n 0006266363 00000 n 0006266697 00000 n 0006271215 00000 n 0006271696 00000 n 0006271969 00000 n 0006272173 00000 n 0006272244 00000 n 0006272325 00000 n 0006273163 00000 n 0006273497 00000 n 0006278347 00000 n 0006278792 00000 n 0006278996 00000 n 0006279052 00000 n 0006279256 00000 n 0006279327 00000 n 0006279408 00000 n 0006280246 00000 n 0006280580 00000 n 0006282103 00000 n 0006282591 00000 n 0006282795 00000 n 0006282871 00000 n 0006283088 00000 n 0006283310 00000 n 0006283527 00000 n 0006283598 00000 n 0006283679 00000 n 0006284517 00000 n 0006284851 00000 n 0006289548 00000 n 0006290039 00000 n 0006290290 00000 n 0006290563 00000 n 0006290767 00000 n 0006290838 00000 n 0006290919 00000 n 0006291758 00000 n 0006292092 00000 n 0006297199 00000 n 0006297672 00000 n 0006297876 00000 n 0006297982 00000 n 0006298184 00000 n 0006298387 00000 n 0006298589 00000 n 0006298792 00000 n 0006298999 00000 n 0006299208 00000 n 0006299279 00000 n 0006299360 00000 n 0006300199 00000 n 0006300533 00000 n 0006303665 00000 n 0006304153 00000 n 0006304357 00000 n 0006304433 00000 n 0006304650 00000 n 0006304872 00000 n 0006305089 00000 n 0006305160 00000 n 0006305241 00000 n 0006306079 00000 n 0006306413 00000 n 0006311100 00000 n 0006311605 00000 n 0006311860 00000 n 0006312133 00000 n 0006312337 00000 n 0006312408 00000 n 0006312489 00000 n 0006313327 00000 n 0006313661 00000 n 0006318403 00000 n 0006318876 00000 n 0006319080 00000 n 0006319156 00000 n 0006319359 00000 n 0006319566 00000 n 0006319775 00000 n 0006319846 00000 n 0006319927 00000 n 0006320765 00000 n 0006321099 00000 n 0006324524 00000 n 0006325012 00000 n 0006325216 00000 n 0006325292 00000 n 0006325509 00000 n 0006325726 00000 n 0006325950 00000 n 0006326021 00000 n 0006326102 00000 n 0006326939 00000 n 0006327273 00000 n 0006332136 00000 n 0006332641 00000 n 0006332896 00000 n 0006333169 00000 n 0006333373 00000 n 0006333444 00000 n 0006333525 00000 n 0006334363 00000 n 0006334697 00000 n 0006339633 00000 n 0006340118 00000 n 0006340322 00000 n 0006340393 00000 n 0006340474 00000 n 0006341314 00000 n 0006341648 00000 n 0006345711 00000 n 0006346156 00000 n 0006346360 00000 n 0006346456 00000 n 0006346653 00000 n 0006346865 00000 n 0006347061 00000 n 0006347268 00000 n 0006347471 00000 n 0006347542 00000 n 0006347623 00000 n 0006348461 00000 n 0006348795 00000 n 0006350579 00000 n 0006351067 00000 n 0006351271 00000 n 0006351347 00000 n 0006351564 00000 n 0006351786 00000 n 0006352003 00000 n 0006352074 00000 n 0006352155 00000 n 0006352992 00000 n 0006353326 00000 n 0006357845 00000 n 0006358350 00000 n 0006358605 00000 n 0006358878 00000 n 0006359082 00000 n 0006359153 00000 n 0006359234 00000 n 0006360072 00000 n 0006360406 00000 n 0006365494 00000 n 0006365967 00000 n 0006366171 00000 n 0006366247 00000 n 0006366453 00000 n 0006366660 00000 n 0006366869 00000 n 0006366940 00000 n 0006367021 00000 n 0006367859 00000 n 0006368193 00000 n 0006371568 00000 n 0006372028 00000 n 0006372232 00000 n 0006372308 00000 n 0006372525 00000 n 0006372747 00000 n 0006372964 00000 n 0006373035 00000 n 0006373116 00000 n 0006373953 00000 n 0006374287 00000 n 0006378990 00000 n 0006379495 00000 n 0006379750 00000 n 0006380019 00000 n 0006380223 00000 n 0006380294 00000 n 0006380375 00000 n 0006381213 00000 n 0006381547 00000 n 0006386610 00000 n 0006387083 00000 n 0006387287 00000 n 0006387353 00000 n 0006387553 00000 n 0006387758 00000 n 0006387829 00000 n 0006387910 00000 n 0006388749 00000 n 0006389083 00000 n 0006393219 00000 n 0006393621 00000 n 0006393825 00000 n 0006393881 00000 n 0006394084 00000 n 0006394155 00000 n 0006394236 00000 n 0006395074 00000 n 0006395408 00000 n 0006396648 00000 n 0006397148 00000 n 0006397352 00000 n 0006397423 00000 n 0006397504 00000 n 0006398341 00000 n 0006398675 00000 n 0006402849 00000 n 0006403294 00000 n 0006403498 00000 n 0006403554 00000 n 0006403761 00000 n 0006403832 00000 n 0006403913 00000 n 0006404751 00000 n 0006405085 00000 n 0006407826 00000 n 0006408314 00000 n 0006408518 00000 n 0006408574 00000 n 0006408803 00000 n 0006408874 00000 n 0006408955 00000 n 0006409793 00000 n 0006410127 00000 n 0006413925 00000 n 0006414355 00000 n 0006414559 00000 n 0006414615 00000 n 0006414833 00000 n 0006414904 00000 n 0006414985 00000 n 0006415822 00000 n 0006416156 00000 n 0006417451 00000 n 0006417939 00000 n 0006418143 00000 n 0006418219 00000 n 0006418436 00000 n 0006418658 00000 n 0006418875 00000 n 0006418946 00000 n 0006419027 00000 n 0006419865 00000 n 0006420199 00000 n 0006424731 00000 n 0006425236 00000 n 0006425491 00000 n 0006425764 00000 n 0006425968 00000 n 0006426039 00000 n 0006426120 00000 n 0006426959 00000 n 0006427293 00000 n 0006432112 00000 n 0006432585 00000 n 0006432789 00000 n 0006432915 00000 n 0006433116 00000 n 0006433319 00000 n 0006433521 00000 n 0006433728 00000 n 0006433931 00000 n 0006434134 00000 n 0006434341 00000 n 0006434550 00000 n 0006434621 00000 n 0006434702 00000 n 0006435540 00000 n 0006435874 00000 n 0006439418 00000 n 0006439906 00000 n 0006440110 00000 n 0006440186 00000 n 0006440398 00000 n 0006440622 00000 n 0006440846 00000 n 0006440917 00000 n 0006440998 00000 n 0006441836 00000 n 0006442170 00000 n 0006446748 00000 n 0006447219 00000 n 0006447423 00000 n 0006447494 00000 n 0006447575 00000 n 0006448413 00000 n 0006448747 00000 n 0006453530 00000 n 0006453975 00000 n 0006454179 00000 n 0006454255 00000 n 0006454456 00000 n 0006454658 00000 n 0006454866 00000 n 0006454937 00000 n 0006455018 00000 n 0006455855 00000 n 0006456189 00000 n 0006458270 00000 n 0006458742 00000 n 0006458946 00000 n 0006459017 00000 n 0006459098 00000 n 0006459935 00000 n 0006460269 00000 n 0006465408 00000 n 0006465881 00000 n 0006466085 00000 n 0006466151 00000 n 0006466368 00000 n 0006466590 00000 n 0006466661 00000 n 0006466742 00000 n 0006467579 00000 n 0006467913 00000 n 0006473044 00000 n 0006473515 00000 n 0006473719 00000 n 0006473790 00000 n 0006473871 00000 n 0006474709 00000 n 0006475043 00000 n 0006479255 00000 n 0006479726 00000 n 0006479930 00000 n 0006480001 00000 n 0006480082 00000 n 0006480919 00000 n 0006481253 00000 n 0006485926 00000 n 0006486431 00000 n 0006486686 00000 n 0006486959 00000 n 0006487163 00000 n 0006487234 00000 n 0006487315 00000 n 0006488154 00000 n 0006488488 00000 n 0006493380 00000 n 0006493825 00000 n 0006494029 00000 n 0006494095 00000 n 0006494298 00000 n 0006494499 00000 n 0006494570 00000 n 0006494651 00000 n 0006495490 00000 n 0006495824 00000 n 0006498267 00000 n 0006498767 00000 n 0006498971 00000 n 0006499042 00000 n 0006499123 00000 n 0006499960 00000 n 0006500294 00000 n 0006505937 00000 n 0006506410 00000 n 0006506614 00000 n 0006506700 00000 n 0006506906 00000 n 0006507111 00000 n 0006507314 00000 n 0006507521 00000 n 0006507592 00000 n 0006507673 00000 n 0006508510 00000 n 0006508844 00000 n 0006512018 00000 n 0006512478 00000 n 0006512682 00000 n 0006512748 00000 n 0006512964 00000 n 0006513186 00000 n 0006513257 00000 n 0006513338 00000 n 0006514176 00000 n 0006514510 00000 n 0006519571 00000 n 0006520076 00000 n 0006520331 00000 n 0006520604 00000 n 0006520808 00000 n 0006520879 00000 n 0006520960 00000 n 0006521797 00000 n 0006522131 00000 n 0006526891 00000 n 0006527364 00000 n 0006527568 00000 n 0006527644 00000 n 0006527850 00000 n 0006528058 00000 n 0006528266 00000 n 0006528337 00000 n 0006528418 00000 n 0006529256 00000 n 0006529590 00000 n 0006532854 00000 n 0006533314 00000 n 0006533518 00000 n 0006533574 00000 n 0006533796 00000 n 0006533867 00000 n 0006533948 00000 n 0006534786 00000 n 0006535120 00000 n 0006539652 00000 n 0006540157 00000 n 0006540412 00000 n 0006540685 00000 n 0006540889 00000 n 0006540960 00000 n 0006541041 00000 n 0006541879 00000 n 0006542213 00000 n 0006547179 00000 n 0006547652 00000 n 0006547856 00000 n 0006547952 00000 n 0006548163 00000 n 0006548375 00000 n 0006548588 00000 n 0006548798 00000 n 0006549008 00000 n 0006549079 00000 n 0006549160 00000 n 0006549998 00000 n 0006550332 00000 n 0006552754 00000 n 0006553214 00000 n 0006553418 00000 n 0006553474 00000 n 0006553696 00000 n 0006553767 00000 n 0006553848 00000 n 0006554687 00000 n 0006555021 00000 n 0006559414 00000 n 0006559874 00000 n 0006560078 00000 n 0006560154 00000 n 0006560371 00000 n 0006560593 00000 n 0006560810 00000 n 0006560881 00000 n 0006560962 00000 n 0006561801 00000 n 0006562135 00000 n 0006566604 00000 n 0006567109 00000 n 0006567364 00000 n 0006567633 00000 n 0006567837 00000 n 0006567908 00000 n 0006567989 00000 n 0006568827 00000 n 0006569161 00000 n 0006574323 00000 n 0006574796 00000 n 0006575000 00000 n 0006575096 00000 n 0006575299 00000 n 0006575501 00000 n 0006575704 00000 n 0006575911 00000 n 0006576120 00000 n 0006576191 00000 n 0006576272 00000 n 0006577111 00000 n 0006577445 00000 n 0006580837 00000 n 0006581297 00000 n 0006581501 00000 n 0006581557 00000 n 0006581786 00000 n 0006581857 00000 n 0006581938 00000 n 0006582777 00000 n 0006583111 00000 n 0006587298 00000 n 0006587771 00000 n 0006587975 00000 n 0006588051 00000 n 0006588259 00000 n 0006588465 00000 n 0006588672 00000 n 0006588743 00000 n 0006588824 00000 n 0006589663 00000 n 0006589997 00000 n 0006593124 00000 n 0006593584 00000 n 0006593788 00000 n 0006593854 00000 n 0006594065 00000 n 0006594282 00000 n 0006594353 00000 n 0006594434 00000 n 0006595271 00000 n 0006595605 00000 n 0006600549 00000 n 0006601063 00000 n 0006601267 00000 n 0006601338 00000 n 0006601419 00000 n 0006602257 00000 n 0006602591 00000 n 0006607248 00000 n 0006607693 00000 n 0006607897 00000 n 0006607963 00000 n 0006608172 00000 n 0006608379 00000 n 0006608450 00000 n 0006608531 00000 n 0006609368 00000 n 0006609702 00000 n 0006611942 00000 n 0006612402 00000 n 0006612606 00000 n 0006612662 00000 n 0006612882 00000 n 0006612953 00000 n 0006613034 00000 n 0006613872 00000 n 0006614206 00000 n 0006618993 00000 n 0006619478 00000 n 0006619682 00000 n 0006619753 00000 n 0006619834 00000 n 0006620673 00000 n 0006621007 00000 n 0006625130 00000 n 0006625644 00000 n 0006625848 00000 n 0006625919 00000 n 0006626000 00000 n 0006626838 00000 n 0006627172 00000 n 0006630994 00000 n 0006631467 00000 n 0006631671 00000 n 0006631777 00000 n 0006631980 00000 n 0006632177 00000 n 0006632381 00000 n 0006632582 00000 n 0006632791 00000 n 0006632999 00000 n 0006633070 00000 n 0006633151 00000 n 0006633989 00000 n 0006634323 00000 n 0006636481 00000 n 0006636998 00000 n 0006637202 00000 n 0006637258 00000 n 0006637483 00000 n 0006637554 00000 n 0006637635 00000 n 0006638474 00000 n 0006638808 00000 n 0006644707 00000 n 0006645180 00000 n 0006645384 00000 n 0006645490 00000 n 0006645707 00000 n 0006645919 00000 n 0006646133 00000 n 0006646339 00000 n 0006646547 00000 n 0006646760 00000 n 0006646831 00000 n 0006646912 00000 n 0006647750 00000 n 0006648084 00000 n 0006651444 00000 n 0006651904 00000 n 0006652108 00000 n 0006652164 00000 n 0006652379 00000 n 0006652450 00000 n 0006652531 00000 n 0006653368 00000 n 0006653702 00000 n 0006658239 00000 n 0006658712 00000 n 0006658916 00000 n 0006659012 00000 n 0006659224 00000 n 0006659435 00000 n 0006659646 00000 n 0006659855 00000 n 0006660060 00000 n 0006660131 00000 n 0006660212 00000 n 0006661051 00000 n 0006661385 00000 n 0006663694 00000 n 0006664183 00000 n 0006664387 00000 n 0006664443 00000 n 0006664659 00000 n 0006664730 00000 n 0006664811 00000 n 0006665648 00000 n 0006665982 00000 n 0006671172 00000 n 0006671659 00000 n 0006671863 00000 n 0006671959 00000 n 0006672164 00000 n 0006672369 00000 n 0006672574 00000 n 0006672777 00000 n 0006672983 00000 n 0006673054 00000 n 0006673135 00000 n 0006673972 00000 n 0006674306 00000 n 0006679001 00000 n 0006679403 00000 n 0006679607 00000 n 0006679663 00000 n 0006679864 00000 n 0006679935 00000 n 0006680016 00000 n 0006680853 00000 n 0006681187 00000 n 0006682429 00000 n 0006682917 00000 n 0006683121 00000 n 0006683177 00000 n 0006683393 00000 n 0006683464 00000 n 0006683545 00000 n 0006684384 00000 n 0006684718 00000 n 0006690601 00000 n 0006691086 00000 n 0006691290 00000 n 0006691361 00000 n 0006691442 00000 n 0006692280 00000 n 0006692614 00000 n 0006696580 00000 n 0006697037 00000 n 0006697241 00000 n 0006697312 00000 n 0006697393 00000 n 0006698231 00000 n 0006698565 00000 n 0006700830 00000 n 0006701319 00000 n 0006701523 00000 n 0006701579 00000 n 0006701799 00000 n 0006701870 00000 n 0006701951 00000 n 0006702789 00000 n 0006703124 00000 n 0006707835 00000 n 0006708349 00000 n 0006708553 00000 n 0006708624 00000 n 0006708705 00000 n 0006709544 00000 n 0006709879 00000 n 0006714567 00000 n 0006715040 00000 n 0006715244 00000 n 0006715300 00000 n 0006715503 00000 n 0006715574 00000 n 0006715655 00000 n 0006716492 00000 n 0006716827 00000 n 0006720381 00000 n 0006720841 00000 n 0006721045 00000 n 0006721111 00000 n 0006721331 00000 n 0006721555 00000 n 0006721626 00000 n 0006721707 00000 n 0006722544 00000 n 0006722879 00000 n 0006727466 00000 n 0006727939 00000 n 0006728143 00000 n 0006728229 00000 n 0006728428 00000 n 0006728627 00000 n 0006728832 00000 n 0006729029 00000 n 0006729100 00000 n 0006729181 00000 n 0006730021 00000 n 0006730356 00000 n 0006734035 00000 n 0006734523 00000 n 0006734727 00000 n 0006734783 00000 n 0006735003 00000 n 0006735074 00000 n 0006735155 00000 n 0006735993 00000 n 0006736328 00000 n 0006740626 00000 n 0006741099 00000 n 0006741303 00000 n 0006741359 00000 n 0006741567 00000 n 0006741638 00000 n 0006741719 00000 n 0006742556 00000 n 0006742891 00000 n 0006745057 00000 n 0006745517 00000 n 0006745721 00000 n 0006745777 00000 n 0006745997 00000 n 0006746068 00000 n 0006746149 00000 n 0006746988 00000 n 0006747323 00000 n 0006751721 00000 n 0006752194 00000 n 0006752398 00000 n 0006752454 00000 n 0006752660 00000 n 0006752731 00000 n 0006752812 00000 n 0006753650 00000 n 0006753985 00000 n 0006756647 00000 n 0006757135 00000 n 0006757339 00000 n 0006757395 00000 n 0006757615 00000 n 0006757686 00000 n 0006757767 00000 n 0006758604 00000 n 0006758939 00000 n 0006763197 00000 n 0006763670 00000 n 0006763874 00000 n 0006763930 00000 n 0006764138 00000 n 0006764209 00000 n 0006764290 00000 n 0006765128 00000 n 0006765463 00000 n 0006767509 00000 n 0006767969 00000 n 0006768173 00000 n 0006768229 00000 n 0006768458 00000 n 0006768529 00000 n 0006768610 00000 n 0006769448 00000 n 0006769783 00000 n 0006773763 00000 n 0006774236 00000 n 0006774440 00000 n 0006774536 00000 n 0006774747 00000 n 0006774959 00000 n 0006775172 00000 n 0006775382 00000 n 0006775594 00000 n 0006775665 00000 n 0006775746 00000 n 0006776583 00000 n 0006776918 00000 n 0006779598 00000 n 0006780058 00000 n 0006780262 00000 n 0006780318 00000 n 0006780536 00000 n 0006780607 00000 n 0006780688 00000 n 0006781526 00000 n 0006781861 00000 n 0006786907 00000 n 0006787380 00000 n 0006787584 00000 n 0006787650 00000 n 0006787852 00000 n 0006788054 00000 n 0006788125 00000 n 0006788206 00000 n 0006789045 00000 n 0006789380 00000 n 0006792790 00000 n 0006793250 00000 n 0006793454 00000 n 0006793510 00000 n 0006793739 00000 n 0006793810 00000 n 0006793891 00000 n 0006794729 00000 n 0006795064 00000 n 0006799405 00000 n 0006799919 00000 n 0006800123 00000 n 0006800194 00000 n 0006800275 00000 n 0006801112 00000 n 0006801447 00000 n 0006806495 00000 n 0006806940 00000 n 0006807144 00000 n 0006807230 00000 n 0006807434 00000 n 0006807636 00000 n 0006807843 00000 n 0006808043 00000 n 0006808114 00000 n 0006808195 00000 n 0006809034 00000 n 0006809369 00000 n 0006811589 00000 n 0006812049 00000 n 0006812253 00000 n 0006812309 00000 n 0006812538 00000 n 0006812609 00000 n 0006812690 00000 n 0006813528 00000 n 0006813863 00000 n 0006818090 00000 n 0006818563 00000 n 0006818767 00000 n 0006818823 00000 n 0006819040 00000 n 0006819111 00000 n 0006819192 00000 n 0006820029 00000 n 0006820364 00000 n 0006823064 00000 n 0006823536 00000 n 0006823740 00000 n 0006823811 00000 n 0006823892 00000 n 0006824730 00000 n 0006825065 00000 n 0006830203 00000 n 0006830691 00000 n 0006830895 00000 n 0006830951 00000 n 0006831167 00000 n 0006831238 00000 n 0006831319 00000 n 0006832156 00000 n 0006832491 00000 n 0006838442 00000 n 0006838885 00000 n 0006839089 00000 n 0006839160 00000 n 0006839241 00000 n 0006840078 00000 n 0006840413 00000 n 0006844108 00000 n 0006844581 00000 n 0006844785 00000 n 0006844871 00000 n 0006845080 00000 n 0006845291 00000 n 0006845500 00000 n 0006845713 00000 n 0006845784 00000 n 0006845865 00000 n 0006846702 00000 n 0006847037 00000 n 0006850630 00000 n 0006851118 00000 n 0006851322 00000 n 0006851378 00000 n 0006851604 00000 n 0006851675 00000 n 0006851756 00000 n 0006852593 00000 n 0006852928 00000 n 0006857637 00000 n 0006858110 00000 n 0006858314 00000 n 0006858420 00000 n 0006858632 00000 n 0006858843 00000 n 0006859054 00000 n 0006859257 00000 n 0006859461 00000 n 0006859668 00000 n 0006859739 00000 n 0006859820 00000 n 0006860658 00000 n 0006860993 00000 n 0006864068 00000 n 0006864556 00000 n 0006864760 00000 n 0006864816 00000 n 0006865042 00000 n 0006865113 00000 n 0006865194 00000 n 0006866032 00000 n 0006866367 00000 n 0006871130 00000 n 0006871603 00000 n 0006871807 00000 n 0006871863 00000 n 0006872072 00000 n 0006872143 00000 n 0006872224 00000 n 0006873062 00000 n 0006873397 00000 n 0006875394 00000 n 0006875852 00000 n 0006876056 00000 n 0006876127 00000 n 0006876208 00000 n 0006877046 00000 n 0006877381 00000 n 0006882600 00000 n 0006883059 00000 n 0006883263 00000 n 0006883319 00000 n 0006883539 00000 n 0006883610 00000 n 0006883691 00000 n 0006884527 00000 n 0006884862 00000 n 0006889481 00000 n 0006889952 00000 n 0006890156 00000 n 0006890227 00000 n 0006890308 00000 n 0006891146 00000 n 0006891481 00000 n 0006896106 00000 n 0006896591 00000 n 0006896795 00000 n 0006896866 00000 n 0006896947 00000 n 0006897785 00000 n 0006898120 00000 n 0006902200 00000 n 0006902645 00000 n 0006902849 00000 n 0006903005 00000 n 0006903209 00000 n 0006903411 00000 n 0006903615 00000 n 0006903824 00000 n 0006904029 00000 n 0006904239 00000 n 0006904443 00000 n 0006904649 00000 n 0006904855 00000 n 0006905060 00000 n 0006905269 00000 n 0006905340 00000 n 0006905421 00000 n 0006906259 00000 n 0006906594 00000 n 0006909587 00000 n 0006910047 00000 n 0006910251 00000 n 0006910307 00000 n 0006910533 00000 n 0006910604 00000 n 0006910685 00000 n 0006911523 00000 n 0006911858 00000 n 0006917133 00000 n 0006917647 00000 n 0006917851 00000 n 0006917922 00000 n 0006918003 00000 n 0006918841 00000 n 0006919176 00000 n 0006924552 00000 n 0006925023 00000 n 0006925227 00000 n 0006925298 00000 n 0006925379 00000 n 0006926217 00000 n 0006926552 00000 n 0006931544 00000 n 0006932043 00000 n 0006932247 00000 n 0006932318 00000 n 0006932399 00000 n 0006933236 00000 n 0006933571 00000 n 0006938594 00000 n 0006939039 00000 n 0006939243 00000 n 0006939319 00000 n 0006939522 00000 n 0006939726 00000 n 0006939930 00000 n 0006940001 00000 n 0006940082 00000 n 0006940920 00000 n 0006941255 00000 n 0006944660 00000 n 0006945062 00000 n 0006945266 00000 n 0006945322 00000 n 0006945513 00000 n 0006945584 00000 n 0006945665 00000 n 0006946503 00000 n 0006946838 00000 n 0006948052 00000 n 0006948552 00000 n 0006948756 00000 n 0006948827 00000 n 0006948908 00000 n 0006949745 00000 n 0006950080 00000 n 0006954592 00000 n 0006955037 00000 n 0006955241 00000 n 0006955327 00000 n 0006955527 00000 n 0006955719 00000 n 0006955913 00000 n 0006956108 00000 n 0006956179 00000 n 0006956260 00000 n 0006957098 00000 n 0006957433 00000 n 0006959057 00000 n 0006959517 00000 n 0006959721 00000 n 0006959777 00000 n 0006959993 00000 n 0006960064 00000 n 0006960145 00000 n 0006960983 00000 n 0006961318 00000 n 0006965850 00000 n 0006966364 00000 n 0006966568 00000 n 0006966639 00000 n 0006966720 00000 n 0006967557 00000 n 0006967892 00000 n 0006972745 00000 n 0006973247 00000 n 0006973451 00000 n 0006973527 00000 n 0006973732 00000 n 0006973941 00000 n 0006974141 00000 n 0006974212 00000 n 0006974293 00000 n 0006975131 00000 n 0006975466 00000 n 0006979615 00000 n 0006980017 00000 n 0006980221 00000 n 0006980277 00000 n 0006980476 00000 n 0006980547 00000 n 0006980628 00000 n 0006981468 00000 n 0006981803 00000 n 0006983038 00000 n 0006983526 00000 n 0006983730 00000 n 0006983786 00000 n 0006984002 00000 n 0006984073 00000 n 0006984154 00000 n 0006984992 00000 n 0006985327 00000 n 0006989351 00000 n 0006989782 00000 n 0006989986 00000 n 0006990052 00000 n 0006990244 00000 n 0006990441 00000 n 0006990512 00000 n 0006990593 00000 n 0006991430 00000 n 0006991765 00000 n 0006993363 00000 n 0006993866 00000 n 0006994070 00000 n 0006994136 00000 n 0006994357 00000 n 0006994579 00000 n 0006994650 00000 n 0006994731 00000 n 0006995569 00000 n 0006995904 00000 n 0007001180 00000 n 0007001653 00000 n 0007001857 00000 n 0007001943 00000 n 0007002151 00000 n 0007002356 00000 n 0007002563 00000 n 0007002772 00000 n 0007002843 00000 n 0007002924 00000 n 0007003761 00000 n 0007004096 00000 n 0007007179 00000 n 0007007667 00000 n 0007007871 00000 n 0007007927 00000 n 0007008173 00000 n 0007008244 00000 n 0007008325 00000 n 0007009162 00000 n 0007009497 00000 n 0007013399 00000 n 0007013844 00000 n 0007014048 00000 n 0007014134 00000 n 0007014331 00000 n 0007014527 00000 n 0007014726 00000 n 0007014923 00000 n 0007014994 00000 n 0007015075 00000 n 0007015913 00000 n 0007016248 00000 n 0007017853 00000 n 0007018341 00000 n 0007018545 00000 n 0007018611 00000 n 0007018828 00000 n 0007019079 00000 n 0007019150 00000 n 0007019231 00000 n 0007020069 00000 n 0007020404 00000 n 0007024768 00000 n 0007025241 00000 n 0007025445 00000 n 0007025561 00000 n 0007025770 00000 n 0007025973 00000 n 0007026179 00000 n 0007026392 00000 n 0007026601 00000 n 0007026809 00000 n 0007027015 00000 n 0007027086 00000 n 0007027167 00000 n 0007028005 00000 n 0007028340 00000 n 0007031637 00000 n 0007032125 00000 n 0007032329 00000 n 0007032395 00000 n 0007032612 00000 n 0007032863 00000 n 0007032934 00000 n 0007033015 00000 n 0007033852 00000 n 0007034187 00000 n 0007038594 00000 n 0007039067 00000 n 0007039271 00000 n 0007039397 00000 n 0007039606 00000 n 0007039814 00000 n 0007040017 00000 n 0007040223 00000 n 0007040430 00000 n 0007040639 00000 n 0007040847 00000 n 0007041052 00000 n 0007041123 00000 n 0007041204 00000 n 0007042042 00000 n 0007042377 00000 n 0007045791 00000 n 0007046279 00000 n 0007046483 00000 n 0007046539 00000 n 0007046759 00000 n 0007046830 00000 n 0007046911 00000 n 0007047750 00000 n 0007048085 00000 n 0007052246 00000 n 0007052719 00000 n 0007052923 00000 n 0007053029 00000 n 0007053239 00000 n 0007053446 00000 n 0007053655 00000 n 0007053858 00000 n 0007054061 00000 n 0007054262 00000 n 0007054333 00000 n 0007054414 00000 n 0007055251 00000 n 0007055586 00000 n 0007057661 00000 n 0007058121 00000 n 0007058325 00000 n 0007058381 00000 n 0007058597 00000 n 0007058668 00000 n 0007058749 00000 n 0007059587 00000 n 0007059922 00000 n 0007064248 00000 n 0007064721 00000 n 0007064925 00000 n 0007064991 00000 n 0007065189 00000 n 0007065396 00000 n 0007065467 00000 n 0007065548 00000 n 0007066387 00000 n 0007066722 00000 n 0007069008 00000 n 0007069482 00000 n 0007069686 00000 n 0007069742 00000 n 0007069962 00000 n 0007070033 00000 n 0007070114 00000 n 0007070951 00000 n 0007071286 00000 n 0007076203 00000 n 0007076717 00000 n 0007076921 00000 n 0007076992 00000 n 0007077073 00000 n 0007077911 00000 n 0007078246 00000 n 0007083639 00000 n 0007084084 00000 n 0007084288 00000 n 0007084354 00000 n 0007084556 00000 n 0007084758 00000 n 0007084829 00000 n 0007084910 00000 n 0007085748 00000 n 0007086083 00000 n 0007088080 00000 n 0007088580 00000 n 0007088784 00000 n 0007088855 00000 n 0007088936 00000 n 0007089773 00000 n 0007090108 00000 n 0007094599 00000 n 0007095044 00000 n 0007095248 00000 n 0007095324 00000 n 0007095528 00000 n 0007095733 00000 n 0007095936 00000 n 0007096007 00000 n 0007096088 00000 n 0007096925 00000 n 0007097260 00000 n 0007099334 00000 n 0007099834 00000 n 0007100038 00000 n 0007100109 00000 n 0007100190 00000 n 0007101027 00000 n 0007101362 00000 n 0007106445 00000 n 0007106918 00000 n 0007107122 00000 n 0007107198 00000 n 0007107404 00000 n 0007107606 00000 n 0007107806 00000 n 0007107877 00000 n 0007107958 00000 n 0007108796 00000 n 0007109131 00000 n 0007113038 00000 n 0007113539 00000 n 0007113743 00000 n 0007113814 00000 n 0007113895 00000 n 0007114732 00000 n 0007115067 00000 n 0007119767 00000 n 0007120240 00000 n 0007120444 00000 n 0007120500 00000 n 0007120705 00000 n 0007120776 00000 n 0007120857 00000 n 0007121695 00000 n 0007122030 00000 n 0007124648 00000 n 0007125177 00000 n 0007125381 00000 n 0007125452 00000 n 0007125533 00000 n 0007126372 00000 n 0007126707 00000 n 0007132030 00000 n 0007132503 00000 n 0007132707 00000 n 0007132783 00000 n 0007132988 00000 n 0007133188 00000 n 0007133394 00000 n 0007133465 00000 n 0007133546 00000 n 0007134383 00000 n 0007134718 00000 n 0007136687 00000 n 0007137175 00000 n 0007137379 00000 n 0007137435 00000 n 0007137664 00000 n 0007137735 00000 n 0007137816 00000 n 0007138654 00000 n 0007138989 00000 n 0007143520 00000 n 0007143993 00000 n 0007144197 00000 n 0007144273 00000 n 0007144477 00000 n 0007144690 00000 n 0007144903 00000 n 0007144974 00000 n 0007145055 00000 n 0007145893 00000 n 0007146228 00000 n 0007148973 00000 n 0007149461 00000 n 0007149665 00000 n 0007149721 00000 n 0007149950 00000 n 0007150021 00000 n 0007150102 00000 n 0007150939 00000 n 0007151274 00000 n 0007155685 00000 n 0007156158 00000 n 0007156362 00000 n 0007156438 00000 n 0007156642 00000 n 0007156850 00000 n 0007157058 00000 n 0007157129 00000 n 0007157210 00000 n 0007158048 00000 n 0007158383 00000 n 0007161049 00000 n 0007161566 00000 n 0007161770 00000 n 0007161836 00000 n 0007162048 00000 n 0007162281 00000 n 0007162352 00000 n 0007162433 00000 n 0007163272 00000 n 0007163607 00000 n 0007168525 00000 n 0007168970 00000 n 0007169174 00000 n 0007169250 00000 n 0007169454 00000 n 0007169649 00000 n 0007169858 00000 n 0007169929 00000 n 0007170010 00000 n 0007170847 00000 n 0007171182 00000 n 0007172875 00000 n 0007173363 00000 n 0007173567 00000 n 0007173633 00000 n 0007173853 00000 n 0007174077 00000 n 0007174148 00000 n 0007174229 00000 n 0007175067 00000 n 0007175402 00000 n 0007180301 00000 n 0007180815 00000 n 0007181019 00000 n 0007181090 00000 n 0007181171 00000 n 0007182010 00000 n 0007182345 00000 n 0007187035 00000 n 0007187465 00000 n 0007187669 00000 n 0007187775 00000 n 0007187972 00000 n 0007188176 00000 n 0007188377 00000 n 0007188580 00000 n 0007188780 00000 n 0007188980 00000 n 0007189051 00000 n 0007189132 00000 n 0007189970 00000 n 0007190305 00000 n 0007191725 00000 n 0007192213 00000 n 0007192417 00000 n 0007192473 00000 n 0007192698 00000 n 0007192769 00000 n 0007192850 00000 n 0007193688 00000 n 0007194023 00000 n 0007198674 00000 n 0007199147 00000 n 0007199351 00000 n 0007199457 00000 n 0007199674 00000 n 0007199886 00000 n 0007200100 00000 n 0007200311 00000 n 0007200519 00000 n 0007200727 00000 n 0007200798 00000 n 0007200879 00000 n 0007201717 00000 n 0007202052 00000 n 0007204175 00000 n 0007204635 00000 n 0007204839 00000 n 0007204895 00000 n 0007205110 00000 n 0007205181 00000 n 0007205262 00000 n 0007206100 00000 n 0007206435 00000 n 0007210607 00000 n 0007211094 00000 n 0007211298 00000 n 0007211394 00000 n 0007211606 00000 n 0007211817 00000 n 0007212028 00000 n 0007212243 00000 n 0007212449 00000 n 0007212520 00000 n 0007212601 00000 n 0007213439 00000 n 0007213774 00000 n 0007218060 00000 n 0007218520 00000 n 0007218724 00000 n 0007218780 00000 n 0007219009 00000 n 0007219080 00000 n 0007219161 00000 n 0007219998 00000 n 0007220333 00000 n 0007224549 00000 n 0007225022 00000 n 0007225226 00000 n 0007225302 00000 n 0007225508 00000 n 0007225716 00000 n 0007225924 00000 n 0007225995 00000 n 0007226076 00000 n 0007226914 00000 n 0007227249 00000 n 0007229955 00000 n 0007230443 00000 n 0007230647 00000 n 0007230703 00000 n 0007230923 00000 n 0007230994 00000 n 0007231075 00000 n 0007231912 00000 n 0007232247 00000 n 0007237379 00000 n 0007237852 00000 n 0007238056 00000 n 0007238132 00000 n 0007238349 00000 n 0007238567 00000 n 0007238782 00000 n 0007238853 00000 n 0007238934 00000 n 0007239772 00000 n 0007240107 00000 n 0007242577 00000 n 0007243065 00000 n 0007243269 00000 n 0007243325 00000 n 0007243545 00000 n 0007243616 00000 n 0007243697 00000 n 0007244536 00000 n 0007244871 00000 n 0007249281 00000 n 0007249783 00000 n 0007249987 00000 n 0007250043 00000 n 0007250244 00000 n 0007250315 00000 n 0007250396 00000 n 0007251234 00000 n 0007251569 00000 n 0007254328 00000 n 0007254788 00000 n 0007254992 00000 n 0007255048 00000 n 0007255270 00000 n 0007255341 00000 n 0007255422 00000 n 0007256260 00000 n 0007256595 00000 n 0007260372 00000 n 0007260860 00000 n 0007261064 00000 n 0007261120 00000 n 0007261349 00000 n 0007261420 00000 n 0007261501 00000 n 0007262340 00000 n 0007262675 00000 n 0007266699 00000 n 0007267144 00000 n 0007267348 00000 n 0007267434 00000 n 0007267643 00000 n 0007267853 00000 n 0007268064 00000 n 0007268272 00000 n 0007268343 00000 n 0007268424 00000 n 0007269262 00000 n 0007269597 00000 n 0007271574 00000 n 0007272076 00000 n 0007272280 00000 n 0007272336 00000 n 0007272556 00000 n 0007272627 00000 n 0007272708 00000 n 0007273546 00000 n 0007273881 00000 n 0007278588 00000 n 0007279090 00000 n 0007279294 00000 n 0007279380 00000 n 0007279586 00000 n 0007279795 00000 n 0007280003 00000 n 0007280212 00000 n 0007280283 00000 n 0007280364 00000 n 0007281202 00000 n 0007281537 00000 n 0007285379 00000 n 0007285839 00000 n 0007286043 00000 n 0007286109 00000 n 0007286329 00000 n 0007286553 00000 n 0007286624 00000 n 0007286705 00000 n 0007287543 00000 n 0007287878 00000 n 0007292104 00000 n 0007292577 00000 n 0007292781 00000 n 0007292867 00000 n 0007293070 00000 n 0007293279 00000 n 0007293480 00000 n 0007293683 00000 n 0007293754 00000 n 0007293835 00000 n 0007294673 00000 n 0007295008 00000 n 0007297679 00000 n 0007298168 00000 n 0007298372 00000 n 0007298428 00000 n 0007298649 00000 n 0007298720 00000 n 0007298801 00000 n 0007299638 00000 n 0007299973 00000 n 0007304453 00000 n 0007304940 00000 n 0007305144 00000 n 0007305210 00000 n 0007305420 00000 n 0007305629 00000 n 0007305700 00000 n 0007305781 00000 n 0007306620 00000 n 0007306955 00000 n 0007311699 00000 n 0007312101 00000 n 0007312305 00000 n 0007312421 00000 n 0007312626 00000 n 0007312831 00000 n 0007313033 00000 n 0007313235 00000 n 0007313437 00000 n 0007313642 00000 n 0007313842 00000 n 0007313913 00000 n 0007313994 00000 n 0007314832 00000 n 0007315167 00000 n 0007316577 00000 n 0007317065 00000 n 0007317269 00000 n 0007317345 00000 n 0007317560 00000 n 0007317787 00000 n 0007318010 00000 n 0007318081 00000 n 0007318162 00000 n 0007319000 00000 n 0007319335 00000 n 0007323796 00000 n 0007324269 00000 n 0007324473 00000 n 0007324539 00000 n 0007324753 00000 n 0007324964 00000 n 0007325035 00000 n 0007325116 00000 n 0007325953 00000 n 0007326288 00000 n 0007328889 00000 n 0007329363 00000 n 0007329567 00000 n 0007329623 00000 n 0007329844 00000 n 0007329915 00000 n 0007329996 00000 n 0007330833 00000 n 0007331168 00000 n 0007336069 00000 n 0007336564 00000 n 0007336870 00000 n 0007337074 00000 n 0007337145 00000 n 0007337226 00000 n 0007338063 00000 n 0007338398 00000 n 0007342506 00000 n 0007342951 00000 n 0007343155 00000 n 0007343261 00000 n 0007343464 00000 n 0007343669 00000 n 0007343874 00000 n 0007344085 00000 n 0007344293 00000 n 0007344501 00000 n 0007344572 00000 n 0007344653 00000 n 0007345491 00000 n 0007345826 00000 n 0007347964 00000 n 0007348452 00000 n 0007348656 00000 n 0007348712 00000 n 0007348932 00000 n 0007349003 00000 n 0007349084 00000 n 0007349923 00000 n 0007350258 00000 n 0007354306 00000 n 0007354779 00000 n 0007354983 00000 n 0007355039 00000 n 0007355241 00000 n 0007355312 00000 n 0007355393 00000 n 0007356231 00000 n 0007356566 00000 n 0007359404 00000 n 0007359907 00000 n 0007360111 00000 n 0007360167 00000 n 0007360387 00000 n 0007360458 00000 n 0007360539 00000 n 0007361376 00000 n 0007361711 00000 n 0007366680 00000 n 0007367182 00000 n 0007367386 00000 n 0007367482 00000 n 0007367689 00000 n 0007367897 00000 n 0007368108 00000 n 0007368319 00000 n 0007368528 00000 n 0007368599 00000 n 0007368680 00000 n 0007369519 00000 n 0007369854 00000 n 0007373754 00000 n 0007374214 00000 n 0007374418 00000 n 0007374474 00000 n 0007374691 00000 n 0007374762 00000 n 0007374843 00000 n 0007375680 00000 n 0007376015 00000 n 0007380733 00000 n 0007381235 00000 n 0007381439 00000 n 0007381515 00000 n 0007381721 00000 n 0007381929 00000 n 0007382141 00000 n 0007382212 00000 n 0007382293 00000 n 0007383129 00000 n 0007383464 00000 n 0007388446 00000 n 0007388862 00000 n 0007389066 00000 n 0007389182 00000 n 0007389386 00000 n 0007389584 00000 n 0007389789 00000 n 0007389989 00000 n 0007390195 00000 n 0007390396 00000 n 0007390605 00000 n 0007390676 00000 n 0007390757 00000 n 0007391594 00000 n 0007391929 00000 n 0007393310 00000 n 0007393784 00000 n 0007393988 00000 n 0007394044 00000 n 0007394264 00000 n 0007394335 00000 n 0007394416 00000 n 0007395254 00000 n 0007395589 00000 n 0007400042 00000 n 0007400515 00000 n 0007400719 00000 n 0007400815 00000 n 0007401020 00000 n 0007401225 00000 n 0007401434 00000 n 0007401642 00000 n 0007401851 00000 n 0007401922 00000 n 0007402003 00000 n 0007402840 00000 n 0007403175 00000 n 0007406110 00000 n 0007406582 00000 n 0007406786 00000 n 0007406857 00000 n 0007406938 00000 n 0007407775 00000 n 0007408110 00000 n 0007412441 00000 n 0007412886 00000 n 0007413090 00000 n 0007413156 00000 n 0007413360 00000 n 0007413562 00000 n 0007413633 00000 n 0007413714 00000 n 0007414552 00000 n 0007414887 00000 n 0007416926 00000 n 0007417426 00000 n 0007417630 00000 n 0007417701 00000 n 0007417782 00000 n 0007418619 00000 n 0007418954 00000 n 0007423733 00000 n 0007424206 00000 n 0007424410 00000 n 0007424526 00000 n 0007424732 00000 n 0007424934 00000 n 0007425136 00000 n 0007425338 00000 n 0007425538 00000 n 0007425740 00000 n 0007425941 00000 n 0007426012 00000 n 0007426093 00000 n 0007426930 00000 n 0007427265 00000 n 0007430954 00000 n 0007431414 00000 n 0007431618 00000 n 0007431674 00000 n 0007431896 00000 n 0007431967 00000 n 0007432048 00000 n 0007432887 00000 n 0007433222 00000 n 0007437855 00000 n 0007438357 00000 n 0007438561 00000 n 0007438647 00000 n 0007438858 00000 n 0007439070 00000 n 0007439282 00000 n 0007439492 00000 n 0007439563 00000 n 0007439644 00000 n 0007440482 00000 n 0007440817 00000 n 0007444497 00000 n 0007444997 00000 n 0007445201 00000 n 0007445272 00000 n 0007445353 00000 n 0007446190 00000 n 0007446525 00000 n 0007451200 00000 n 0007451702 00000 n 0007451906 00000 n 0007451982 00000 n 0007452194 00000 n 0007452402 00000 n 0007452610 00000 n 0007452681 00000 n 0007452762 00000 n 0007453600 00000 n 0007453935 00000 n 0007459122 00000 n 0007459538 00000 n 0007459742 00000 n 0007459808 00000 n 0007460012 00000 n 0007460216 00000 n 0007460287 00000 n 0007460368 00000 n 0007461206 00000 n 0007461541 00000 n 0007462819 00000 n 0007463291 00000 n 0007463495 00000 n 0007463566 00000 n 0007463647 00000 n 0007464484 00000 n 0007464819 00000 n 0007470296 00000 n 0007470784 00000 n 0007470988 00000 n 0007471044 00000 n 0007471260 00000 n 0007471331 00000 n 0007471412 00000 n 0007472250 00000 n 0007472585 00000 n 0007478092 00000 n 0007478577 00000 n 0007478781 00000 n 0007478852 00000 n 0007478933 00000 n 0007479771 00000 n 0007480106 00000 n 0007484852 00000 n 0007485352 00000 n 0007485556 00000 n 0007485627 00000 n 0007485708 00000 n 0007486545 00000 n 0007486880 00000 n 0007492994 00000 n 0007493466 00000 n 0007493670 00000 n 0007493741 00000 n 0007493822 00000 n 0007494659 00000 n 0007494994 00000 n 0007499693 00000 n 0007500207 00000 n 0007500411 00000 n 0007500482 00000 n 0007500563 00000 n 0007501401 00000 n 0007501736 00000 n 0007506610 00000 n 0007507098 00000 n 0007507302 00000 n 0007507408 00000 n 0007507617 00000 n 0007507822 00000 n 0007508032 00000 n 0007508238 00000 n 0007508445 00000 n 0007508650 00000 n 0007508721 00000 n 0007508802 00000 n 0007509639 00000 n 0007509974 00000 n 0007513300 00000 n 0007513788 00000 n 0007513992 00000 n 0007514048 00000 n 0007514269 00000 n 0007514340 00000 n 0007514421 00000 n 0007515261 00000 n 0007515596 00000 n 0007519738 00000 n 0007520183 00000 n 0007520387 00000 n 0007520533 00000 n 0007520734 00000 n 0007520931 00000 n 0007521136 00000 n 0007521341 00000 n 0007521540 00000 n 0007521748 00000 n 0007521958 00000 n 0007522170 00000 n 0007522379 00000 n 0007522589 00000 n 0007522660 00000 n 0007522741 00000 n 0007523580 00000 n 0007523915 00000 n 0007525785 00000 n 0007526257 00000 n 0007526461 00000 n 0007526532 00000 n 0007526613 00000 n 0007527451 00000 n 0007527786 00000 n 0007533091 00000 n 0007533593 00000 n 0007533797 00000 n 0007533853 00000 n 0007534069 00000 n 0007534140 00000 n 0007534221 00000 n 0007535059 00000 n 0007535394 00000 n 0007540794 00000 n 0007541308 00000 n 0007541512 00000 n 0007541583 00000 n 0007541664 00000 n 0007542502 00000 n 0007542837 00000 n 0007548158 00000 n 0007548630 00000 n 0007548834 00000 n 0007548905 00000 n 0007548986 00000 n 0007549825 00000 n 0007550160 00000 n 0007554923 00000 n 0007555423 00000 n 0007555627 00000 n 0007555698 00000 n 0007555779 00000 n 0007556617 00000 n 0007556952 00000 n 0007562067 00000 n 0007562540 00000 n 0007562744 00000 n 0007562870 00000 n 0007563072 00000 n 0007563279 00000 n 0007563483 00000 n 0007563688 00000 n 0007563898 00000 n 0007564109 00000 n 0007564315 00000 n 0007564527 00000 n 0007564598 00000 n 0007564679 00000 n 0007565516 00000 n 0007565851 00000 n 0007569511 00000 n 0007569999 00000 n 0007570203 00000 n 0007570259 00000 n 0007570482 00000 n 0007570553 00000 n 0007570634 00000 n 0007571472 00000 n 0007571807 00000 n 0007576139 00000 n 0007576624 00000 n 0007576828 00000 n 0007576899 00000 n 0007576980 00000 n 0007577818 00000 n 0007578153 00000 n 0007582044 00000 n 0007582460 00000 n 0007582664 00000 n 0007582740 00000 n 0007582939 00000 n 0007583138 00000 n 0007583338 00000 n 0007583409 00000 n 0007583490 00000 n 0007584328 00000 n 0007584663 00000 n 0007585987 00000 n 0007586447 00000 n 0007586651 00000 n 0007586707 00000 n 0007586923 00000 n 0007586994 00000 n 0007587075 00000 n 0007587913 00000 n 0007588248 00000 n 0007593156 00000 n 0007593656 00000 n 0007593860 00000 n 0007593931 00000 n 0007594012 00000 n 0007594850 00000 n 0007595185 00000 n 0007600793 00000 n 0007601236 00000 n 0007601440 00000 n 0007601511 00000 n 0007601592 00000 n 0007602429 00000 n 0007602764 00000 n 0007606571 00000 n 0007607071 00000 n 0007607275 00000 n 0007607346 00000 n 0007607427 00000 n 0007608265 00000 n 0007608600 00000 n 0007615220 00000 n 0007615693 00000 n 0007615897 00000 n 0007616023 00000 n 0007616228 00000 n 0007616432 00000 n 0007616637 00000 n 0007616846 00000 n 0007617056 00000 n 0007617264 00000 n 0007617470 00000 n 0007617676 00000 n 0007617747 00000 n 0007617828 00000 n 0007618668 00000 n 0007619003 00000 n 0007621787 00000 n 0007622275 00000 n 0007622479 00000 n 0007622535 00000 n 0007622763 00000 n 0007622834 00000 n 0007622915 00000 n 0007623752 00000 n 0007624087 00000 n 0007628640 00000 n 0007629113 00000 n 0007629317 00000 n 0007629383 00000 n 0007629588 00000 n 0007629791 00000 n 0007629862 00000 n 0007629943 00000 n 0007630780 00000 n 0007631115 00000 n 0007634922 00000 n 0007635338 00000 n 0007635542 00000 n 0007635608 00000 n 0007635816 00000 n 0007636015 00000 n 0007636086 00000 n 0007636167 00000 n 0007637005 00000 n 0007637340 00000 n 0007638629 00000 n 0007639144 00000 n 0007639348 00000 n 0007639419 00000 n 0007639500 00000 n 0007640338 00000 n 0007640673 00000 n 0007645921 00000 n 0007646394 00000 n 0007646598 00000 n 0007646674 00000 n 0007646882 00000 n 0007647090 00000 n 0007647299 00000 n 0007647370 00000 n 0007647451 00000 n 0007648287 00000 n 0007648622 00000 n 0007651002 00000 n 0007651490 00000 n 0007651694 00000 n 0007651750 00000 n 0007651965 00000 n 0007652036 00000 n 0007652117 00000 n 0007652955 00000 n 0007653290 00000 n 0007658011 00000 n 0007658484 00000 n 0007658688 00000 n 0007658794 00000 n 0007658998 00000 n 0007659207 00000 n 0007659410 00000 n 0007659616 00000 n 0007659826 00000 n 0007660033 00000 n 0007660104 00000 n 0007660185 00000 n 0007661023 00000 n 0007661358 00000 n 0007663837 00000 n 0007664297 00000 n 0007664501 00000 n 0007664557 00000 n 0007664775 00000 n 0007664846 00000 n 0007664927 00000 n 0007665764 00000 n 0007666099 00000 n 0007669263 00000 n 0007669723 00000 n 0007669927 00000 n 0007669983 00000 n 0007670201 00000 n 0007670272 00000 n 0007670353 00000 n 0007671191 00000 n 0007671526 00000 n 0007675066 00000 n 0007675555 00000 n 0007675759 00000 n 0007675815 00000 n 0007676033 00000 n 0007676104 00000 n 0007676185 00000 n 0007677023 00000 n 0007677358 00000 n 0007682593 00000 n 0007683078 00000 n 0007683282 00000 n 0007683353 00000 n 0007683434 00000 n 0007684272 00000 n 0007684607 00000 n 0007688651 00000 n 0007689096 00000 n 0007689300 00000 n 0007689366 00000 n 0007689574 00000 n 0007689783 00000 n 0007689854 00000 n 0007689935 00000 n 0007690772 00000 n 0007691107 00000 n 0007692936 00000 n 0007693396 00000 n 0007693600 00000 n 0007693656 00000 n 0007693877 00000 n 0007693948 00000 n 0007694029 00000 n 0007694867 00000 n 0007695202 00000 n 0007699976 00000 n 0007700490 00000 n 0007700694 00000 n 0007700765 00000 n 0007700846 00000 n 0007701685 00000 n 0007702020 00000 n 0007707582 00000 n 0007708055 00000 n 0007708259 00000 n 0007708405 00000 n 0007708615 00000 n 0007708826 00000 n 0007709037 00000 n 0007709247 00000 n 0007709457 00000 n 0007709665 00000 n 0007709871 00000 n 0007710083 00000 n 0007710289 00000 n 0007710494 00000 n 0007710565 00000 n 0007710646 00000 n 0007711483 00000 n 0007711818 00000 n 0007715204 00000 n 0007715662 00000 n 0007715866 00000 n 0007715937 00000 n 0007716018 00000 n 0007716856 00000 n 0007717191 00000 n 0007722190 00000 n 0007722649 00000 n 0007722853 00000 n 0007722909 00000 n 0007723128 00000 n 0007723199 00000 n 0007723280 00000 n 0007724118 00000 n 0007724453 00000 n 0007728204 00000 n 0007728704 00000 n 0007728908 00000 n 0007728979 00000 n 0007729060 00000 n 0007729897 00000 n 0007730232 00000 n 0007736479 00000 n 0007736979 00000 n 0007737183 00000 n 0007737254 00000 n 0007737335 00000 n 0007738172 00000 n 0007738507 00000 n 0007744096 00000 n 0007744541 00000 n 0007744745 00000 n 0007744901 00000 n 0007745106 00000 n 0007745309 00000 n 0007745513 00000 n 0007745718 00000 n 0007745923 00000 n 0007746125 00000 n 0007746333 00000 n 0007746541 00000 n 0007746752 00000 n 0007746961 00000 n 0007747167 00000 n 0007747238 00000 n 0007747319 00000 n 0007748156 00000 n 0007748491 00000 n 0007751035 00000 n 0007751564 00000 n 0007751768 00000 n 0007751839 00000 n 0007751920 00000 n 0007752758 00000 n 0007753093 00000 n 0007758435 00000 n 0007758908 00000 n 0007759112 00000 n 0007759188 00000 n 0007759393 00000 n 0007759593 00000 n 0007759799 00000 n 0007759870 00000 n 0007759951 00000 n 0007760788 00000 n 0007761123 00000 n 0007763076 00000 n 0007763578 00000 n 0007763782 00000 n 0007763848 00000 n 0007764066 00000 n 0007764302 00000 n 0007764373 00000 n 0007764454 00000 n 0007765292 00000 n 0007765627 00000 n 0007770081 00000 n 0007770554 00000 n 0007770758 00000 n 0007770844 00000 n 0007771047 00000 n 0007771253 00000 n 0007771461 00000 n 0007771669 00000 n 0007771740 00000 n 0007771821 00000 n 0007772660 00000 n 0007772995 00000 n 0007775590 00000 n 0007776050 00000 n 0007776254 00000 n 0007776310 00000 n 0007776528 00000 n 0007776599 00000 n 0007776680 00000 n 0007777517 00000 n 0007777852 00000 n 0007783135 00000 n 0007783649 00000 n 0007783853 00000 n 0007783924 00000 n 0007784005 00000 n 0007784842 00000 n 0007785177 00000 n 0007790487 00000 n 0007791001 00000 n 0007791205 00000 n 0007791276 00000 n 0007791357 00000 n 0007792195 00000 n 0007792530 00000 n 0007797960 00000 n 0007798448 00000 n 0007798652 00000 n 0007798788 00000 n 0007798990 00000 n 0007799205 00000 n 0007799413 00000 n 0007799622 00000 n 0007799825 00000 n 0007800030 00000 n 0007800239 00000 n 0007800461 00000 n 0007800670 00000 n 0007800741 00000 n 0007800822 00000 n 0007801659 00000 n 0007801994 00000 n 0007805693 00000 n 0007806167 00000 n 0007806371 00000 n 0007806427 00000 n 0007806645 00000 n 0007806716 00000 n 0007806797 00000 n 0007807635 00000 n 0007807970 00000 n 0007812711 00000 n 0007813184 00000 n 0007813388 00000 n 0007813484 00000 n 0007813692 00000 n 0007813902 00000 n 0007814110 00000 n 0007814316 00000 n 0007814519 00000 n 0007814590 00000 n 0007814671 00000 n 0007815508 00000 n 0007815843 00000 n 0007819098 00000 n 0007819615 00000 n 0007819819 00000 n 0007819875 00000 n 0007820093 00000 n 0007820164 00000 n 0007820245 00000 n 0007821083 00000 n 0007821418 00000 n 0007826312 00000 n 0007826785 00000 n 0007826989 00000 n 0007827045 00000 n 0007827249 00000 n 0007827320 00000 n 0007827401 00000 n 0007828239 00000 n 0007828574 00000 n 0007830591 00000 n 0007831051 00000 n 0007831255 00000 n 0007831311 00000 n 0007831532 00000 n 0007831603 00000 n 0007831684 00000 n 0007832522 00000 n 0007832857 00000 n 0007836899 00000 n 0007837372 00000 n 0007837576 00000 n 0007837692 00000 n 0007837900 00000 n 0007838113 00000 n 0007838326 00000 n 0007838531 00000 n 0007838741 00000 n 0007838945 00000 n 0007839156 00000 n 0007839227 00000 n 0007839308 00000 n 0007840146 00000 n 0007840481 00000 n 0007843526 00000 n 0007843986 00000 n 0007844190 00000 n 0007844246 00000 n 0007844467 00000 n 0007844538 00000 n 0007844619 00000 n 0007845456 00000 n 0007845791 00000 n 0007850005 00000 n 0007850478 00000 n 0007850682 00000 n 0007850818 00000 n 0007851030 00000 n 0007851241 00000 n 0007851454 00000 n 0007851664 00000 n 0007851876 00000 n 0007852085 00000 n 0007852295 00000 n 0007852508 00000 n 0007852717 00000 n 0007852788 00000 n 0007852869 00000 n 0007853706 00000 n 0007854041 00000 n 0007857091 00000 n 0007857551 00000 n 0007857755 00000 n 0007857811 00000 n 0007858032 00000 n 0007858103 00000 n 0007858184 00000 n 0007859022 00000 n 0007859357 00000 n 0007863712 00000 n 0007864185 00000 n 0007864389 00000 n 0007864525 00000 n 0007864738 00000 n 0007864949 00000 n 0007865162 00000 n 0007865373 00000 n 0007865586 00000 n 0007865796 00000 n 0007866007 00000 n 0007866221 00000 n 0007866433 00000 n 0007866504 00000 n 0007866585 00000 n 0007867423 00000 n 0007867758 00000 n 0007870609 00000 n 0007871112 00000 n 0007871316 00000 n 0007871382 00000 n 0007871600 00000 n 0007871824 00000 n 0007871895 00000 n 0007871976 00000 n 0007872813 00000 n 0007873148 00000 n 0007878762 00000 n 0007879235 00000 n 0007879439 00000 n 0007879505 00000 n 0007879708 00000 n 0007879911 00000 n 0007879982 00000 n 0007880063 00000 n 0007880901 00000 n 0007881236 00000 n 0007884250 00000 n 0007884708 00000 n 0007884912 00000 n 0007884983 00000 n 0007885064 00000 n 0007885901 00000 n 0007886236 00000 n 0007891273 00000 n 0007891718 00000 n 0007891922 00000 n 0007891978 00000 n 0007892194 00000 n 0007892265 00000 n 0007892346 00000 n 0007893184 00000 n 0007893519 00000 n 0007897982 00000 n 0007898455 00000 n 0007898659 00000 n 0007898715 00000 n 0007898922 00000 n 0007898993 00000 n 0007899074 00000 n 0007899913 00000 n 0007900248 00000 n 0007904344 00000 n 0007904746 00000 n 0007904950 00000 n 0007905026 00000 n 0007905229 00000 n 0007905432 00000 n 0007905639 00000 n 0007905710 00000 n 0007905791 00000 n 0007906628 00000 n 0007906963 00000 n 0007908285 00000 n 0007908773 00000 n 0007908977 00000 n 0007909033 00000 n 0007909251 00000 n 0007909322 00000 n 0007909403 00000 n 0007910241 00000 n 0007910576 00000 n 0007914786 00000 n 0007915259 00000 n 0007915463 00000 n 0007915529 00000 n 0007915741 00000 n 0007915944 00000 n 0007916015 00000 n 0007916096 00000 n 0007916934 00000 n 0007917269 00000 n 0007919293 00000 n 0007919781 00000 n 0007919985 00000 n 0007920061 00000 n 0007920279 00000 n 0007920513 00000 n 0007920737 00000 n 0007920808 00000 n 0007920889 00000 n 0007921727 00000 n 0007922062 00000 n 0007926555 00000 n 0007927000 00000 n 0007927204 00000 n 0007927280 00000 n 0007927487 00000 n 0007927702 00000 n 0007927910 00000 n 0007927981 00000 n 0007928062 00000 n 0007928899 00000 n 0007929234 00000 n 0007931077 00000 n 0007931580 00000 n 0007931784 00000 n 0007931850 00000 n 0007932068 00000 n 0007932290 00000 n 0007932361 00000 n 0007932442 00000 n 0007933281 00000 n 0007933616 00000 n 0007939451 00000 n 0007939924 00000 n 0007940128 00000 n 0007940194 00000 n 0007940400 00000 n 0007940602 00000 n 0007940673 00000 n 0007940754 00000 n 0007941592 00000 n 0007941927 00000 n 0007945093 00000 n 0007945581 00000 n 0007945785 00000 n 0007945841 00000 n 0007946059 00000 n 0007946130 00000 n 0007946211 00000 n 0007947049 00000 n 0007947384 00000 n 0007951553 00000 n 0007952026 00000 n 0007952230 00000 n 0007952286 00000 n 0007952495 00000 n 0007952566 00000 n 0007952647 00000 n 0007953485 00000 n 0007953820 00000 n 0007955972 00000 n 0007956432 00000 n 0007956636 00000 n 0007956692 00000 n 0007956910 00000 n 0007956981 00000 n 0007957062 00000 n 0007957901 00000 n 0007958236 00000 n 0007962796 00000 n 0007963269 00000 n 0007963473 00000 n 0007963529 00000 n 0007963736 00000 n 0007963807 00000 n 0007963888 00000 n 0007964726 00000 n 0007965061 00000 n 0007967953 00000 n 0007968427 00000 n 0007968631 00000 n 0007968687 00000 n 0007968905 00000 n 0007968976 00000 n 0007969057 00000 n 0007969895 00000 n 0007970230 00000 n 0007975277 00000 n 0007975750 00000 n 0007975954 00000 n 0007976030 00000 n 0007976233 00000 n 0007976436 00000 n 0007976640 00000 n 0007976711 00000 n 0007976792 00000 n 0007977630 00000 n 0007977965 00000 n 0007981808 00000 n 0007982282 00000 n 0007982486 00000 n 0007982542 00000 n 0007982760 00000 n 0007982831 00000 n 0007982912 00000 n 0007983750 00000 n 0007984085 00000 n 0007988721 00000 n 0007989194 00000 n 0007989398 00000 n 0007989474 00000 n 0007989677 00000 n 0007989883 00000 n 0007990089 00000 n 0007990160 00000 n 0007990241 00000 n 0007991078 00000 n 0007991413 00000 n 0007994192 00000 n 0007994695 00000 n 0007994899 00000 n 0007994955 00000 n 0007995173 00000 n 0007995244 00000 n 0007995325 00000 n 0007996163 00000 n 0007996498 00000 n 0008001642 00000 n 0008002156 00000 n 0008002360 00000 n 0008002431 00000 n 0008002512 00000 n 0008003351 00000 n 0008003686 00000 n 0008009399 00000 n 0008009872 00000 n 0008010076 00000 n 0008010162 00000 n 0008010365 00000 n 0008010575 00000 n 0008010785 00000 n 0008010987 00000 n 0008011058 00000 n 0008011139 00000 n 0008011976 00000 n 0008012311 00000 n 0008015216 00000 n 0008015676 00000 n 0008015880 00000 n 0008015936 00000 n 0008016157 00000 n 0008016228 00000 n 0008016309 00000 n 0008017146 00000 n 0008017481 00000 n 0008022395 00000 n 0008022895 00000 n 0008023099 00000 n 0008023170 00000 n 0008023251 00000 n 0008024090 00000 n 0008024425 00000 n 0008029536 00000 n 0008030009 00000 n 0008030213 00000 n 0008030329 00000 n 0008030539 00000 n 0008030750 00000 n 0008030960 00000 n 0008031170 00000 n 0008031378 00000 n 0008031582 00000 n 0008031789 00000 n 0008031860 00000 n 0008031941 00000 n 0008032778 00000 n 0008033113 00000 n 0008036529 00000 n 0008036931 00000 n 0008037135 00000 n 0008037191 00000 n 0008037391 00000 n 0008037462 00000 n 0008037543 00000 n 0008038380 00000 n 0008038715 00000 n 0008039954 00000 n 0008040457 00000 n 0008040661 00000 n 0008040717 00000 n 0008040938 00000 n 0008041009 00000 n 0008041090 00000 n 0008041927 00000 n 0008042262 00000 n 0008046818 00000 n 0008047320 00000 n 0008047524 00000 n 0008047610 00000 n 0008047821 00000 n 0008048031 00000 n 0008048241 00000 n 0008048445 00000 n 0008048516 00000 n 0008048597 00000 n 0008049435 00000 n 0008049770 00000 n 0008054371 00000 n 0008054773 00000 n 0008054977 00000 n 0008055063 00000 n 0008055265 00000 n 0008055470 00000 n 0008055675 00000 n 0008055878 00000 n 0008055949 00000 n 0008056030 00000 n 0008056867 00000 n 0008057202 00000 n 0008058562 00000 n 0008059065 00000 n 0008059269 00000 n 0008059325 00000 n 0008059550 00000 n 0008059621 00000 n 0008059702 00000 n 0008060539 00000 n 0008060874 00000 n 0008065568 00000 n 0008066041 00000 n 0008066245 00000 n 0008066321 00000 n 0008066519 00000 n 0008066723 00000 n 0008066925 00000 n 0008066996 00000 n 0008067077 00000 n 0008067916 00000 n 0008068251 00000 n 0008070358 00000 n 0008070846 00000 n 0008071050 00000 n 0008071106 00000 n 0008071326 00000 n 0008071397 00000 n 0008071478 00000 n 0008072316 00000 n 0008072651 00000 n 0008076584 00000 n 0008077057 00000 n 0008077261 00000 n 0008077317 00000 n 0008077517 00000 n 0008077588 00000 n 0008077669 00000 n 0008078506 00000 n 0008078841 00000 n 0008081208 00000 n 0008081696 00000 n 0008081900 00000 n 0008081956 00000 n 0008082177 00000 n 0008082248 00000 n 0008082329 00000 n 0008083168 00000 n 0008083503 00000 n 0008087635 00000 n 0008088108 00000 n 0008088312 00000 n 0008088408 00000 n 0008088619 00000 n 0008088830 00000 n 0008089035 00000 n 0008089244 00000 n 0008089450 00000 n 0008089521 00000 n 0008089602 00000 n 0008090440 00000 n 0008090775 00000 n 0008092831 00000 n 0008093291 00000 n 0008093495 00000 n 0008093551 00000 n 0008093772 00000 n 0008093843 00000 n 0008093924 00000 n 0008094761 00000 n 0008095096 00000 n 0008099582 00000 n 0008100084 00000 n 0008100288 00000 n 0008100374 00000 n 0008100585 00000 n 0008100796 00000 n 0008101003 00000 n 0008101212 00000 n 0008101283 00000 n 0008101364 00000 n 0008102202 00000 n 0008102537 00000 n 0008106657 00000 n 0008107145 00000 n 0008107349 00000 n 0008107405 00000 n 0008107626 00000 n 0008107697 00000 n 0008107778 00000 n 0008108615 00000 n 0008108950 00000 n 0008113580 00000 n 0008114053 00000 n 0008114257 00000 n 0008114333 00000 n 0008114540 00000 n 0008114744 00000 n 0008114951 00000 n 0008115022 00000 n 0008115103 00000 n 0008115940 00000 n 0008116275 00000 n 0008119430 00000 n 0008119890 00000 n 0008120094 00000 n 0008120150 00000 n 0008120371 00000 n 0008120442 00000 n 0008120523 00000 n 0008121360 00000 n 0008121695 00000 n 0008126105 00000 n 0008126607 00000 n 0008126811 00000 n 0008126957 00000 n 0008127164 00000 n 0008127371 00000 n 0008127582 00000 n 0008127793 00000 n 0008127998 00000 n 0008128209 00000 n 0008128419 00000 n 0008128631 00000 n 0008128840 00000 n 0008129050 00000 n 0008129121 00000 n 0008129202 00000 n 0008130040 00000 n 0008130375 00000 n 0008134810 00000 n 0008135270 00000 n 0008135474 00000 n 0008135530 00000 n 0008135751 00000 n 0008135822 00000 n 0008135903 00000 n 0008136740 00000 n 0008137075 00000 n 0008141463 00000 n 0008141965 00000 n 0008142169 00000 n 0008142285 00000 n 0008142492 00000 n 0008142703 00000 n 0008142914 00000 n 0008143119 00000 n 0008143331 00000 n 0008143538 00000 n 0008143744 00000 n 0008143815 00000 n 0008143896 00000 n 0008144733 00000 n 0008145068 00000 n 0008149370 00000 n 0008149858 00000 n 0008150062 00000 n 0008150118 00000 n 0008150339 00000 n 0008150410 00000 n 0008150491 00000 n 0008151329 00000 n 0008151664 00000 n 0008156142 00000 n 0008156615 00000 n 0008156819 00000 n 0008156895 00000 n 0008157101 00000 n 0008157309 00000 n 0008157516 00000 n 0008157587 00000 n 0008157668 00000 n 0008158506 00000 n 0008158841 00000 n 0008160741 00000 n 0008161229 00000 n 0008161433 00000 n 0008161489 00000 n 0008161710 00000 n 0008161781 00000 n 0008161862 00000 n 0008162699 00000 n 0008163034 00000 n 0008167366 00000 n 0008167811 00000 n 0008168015 00000 n 0008168101 00000 n 0008168306 00000 n 0008168511 00000 n 0008168719 00000 n 0008168927 00000 n 0008168998 00000 n 0008169079 00000 n 0008169917 00000 n 0008170252 00000 n 0008172221 00000 n 0008172681 00000 n 0008172885 00000 n 0008172941 00000 n 0008173162 00000 n 0008173233 00000 n 0008173314 00000 n 0008174151 00000 n 0008174486 00000 n 0008178996 00000 n 0008179498 00000 n 0008179702 00000 n 0008179858 00000 n 0008180069 00000 n 0008180277 00000 n 0008180485 00000 n 0008180697 00000 n 0008180905 00000 n 0008181116 00000 n 0008181326 00000 n 0008181535 00000 n 0008181743 00000 n 0008181951 00000 n 0008182159 00000 n 0008182230 00000 n 0008182311 00000 n 0008183149 00000 n 0008183484 00000 n 0008187839 00000 n 0008188299 00000 n 0008188503 00000 n 0008188559 00000 n 0008188780 00000 n 0008188851 00000 n 0008188932 00000 n 0008189770 00000 n 0008190105 00000 n 0008194989 00000 n 0008195462 00000 n 0008195666 00000 n 0008195752 00000 n 0008195963 00000 n 0008196174 00000 n 0008196379 00000 n 0008196587 00000 n 0008196658 00000 n 0008196739 00000 n 0008197577 00000 n 0008197912 00000 n 0008200838 00000 n 0008201341 00000 n 0008201545 00000 n 0008201601 00000 n 0008201822 00000 n 0008201893 00000 n 0008201974 00000 n 0008202811 00000 n 0008203146 00000 n 0008208524 00000 n 0008209026 00000 n 0008209230 00000 n 0008209336 00000 n 0008209544 00000 n 0008209755 00000 n 0008209966 00000 n 0008210174 00000 n 0008210385 00000 n 0008210589 00000 n 0008210660 00000 n 0008210741 00000 n 0008211578 00000 n 0008211913 00000 n 0008216373 00000 n 0008216847 00000 n 0008217051 00000 n 0008217107 00000 n 0008217328 00000 n 0008217399 00000 n 0008217480 00000 n 0008218318 00000 n 0008218653 00000 n 0008223214 00000 n 0008223687 00000 n 0008223891 00000 n 0008224007 00000 n 0008224214 00000 n 0008224421 00000 n 0008224628 00000 n 0008224832 00000 n 0008225040 00000 n 0008225248 00000 n 0008225456 00000 n 0008225527 00000 n 0008225608 00000 n 0008226446 00000 n 0008226781 00000 n 0008229651 00000 n 0008230111 00000 n 0008230315 00000 n 0008230371 00000 n 0008230592 00000 n 0008230663 00000 n 0008230744 00000 n 0008231582 00000 n 0008231917 00000 n 0008236332 00000 n 0008236819 00000 n 0008237023 00000 n 0008237159 00000 n 0008237366 00000 n 0008237577 00000 n 0008237788 00000 n 0008237995 00000 n 0008238198 00000 n 0008238407 00000 n 0008238620 00000 n 0008238832 00000 n 0008239036 00000 n 0008239107 00000 n 0008239188 00000 n 0008240026 00000 n 0008240361 00000 n 0008244366 00000 n 0008244826 00000 n 0008245030 00000 n 0008245106 00000 n 0008245324 00000 n 0008245549 00000 n 0008245802 00000 n 0008245873 00000 n 0008245954 00000 n 0008246792 00000 n 0008247127 00000 n 0008249960 00000 n 0008250448 00000 n 0008250652 00000 n 0008250708 00000 n 0008250937 00000 n 0008251008 00000 n 0008251089 00000 n 0008251926 00000 n 0008252261 00000 n 0008256760 00000 n 0008257233 00000 n 0008257437 00000 n 0008257523 00000 n 0008257734 00000 n 0008257942 00000 n 0008258145 00000 n 0008258354 00000 n 0008258425 00000 n 0008258506 00000 n 0008259344 00000 n 0008259679 00000 n 0008261876 00000 n 0008262336 00000 n 0008262540 00000 n 0008262596 00000 n 0008262812 00000 n 0008262883 00000 n 0008262964 00000 n 0008263804 00000 n 0008264139 00000 n 0008268328 00000 n 0008268813 00000 n 0008269017 00000 n 0008269088 00000 n 0008269169 00000 n 0008270007 00000 n 0008270342 00000 n 0008273626 00000 n 0008274071 00000 n 0008274275 00000 n 0008274331 00000 n 0008274534 00000 n 0008274605 00000 n 0008274686 00000 n 0008275524 00000 n 0008275859 00000 n 0008277490 00000 n 0008277978 00000 n 0008278182 00000 n 0008278238 00000 n 0008278458 00000 n 0008278529 00000 n 0008278610 00000 n 0008279449 00000 n 0008279784 00000 n 0008284116 00000 n 0008284589 00000 n 0008284793 00000 n 0008284859 00000 n 0008285062 00000 n 0008285268 00000 n 0008285339 00000 n 0008285420 00000 n 0008286258 00000 n 0008286593 00000 n 0008289105 00000 n 0008289620 00000 n 0008289824 00000 n 0008289895 00000 n 0008289976 00000 n 0008290812 00000 n 0008291147 00000 n 0008295690 00000 n 0008296163 00000 n 0008296367 00000 n 0008296453 00000 n 0008296656 00000 n 0008296861 00000 n 0008297071 00000 n 0008297268 00000 n 0008297339 00000 n 0008297420 00000 n 0008298258 00000 n 0008298593 00000 n 0008300966 00000 n 0008301481 00000 n 0008301685 00000 n 0008301756 00000 n 0008301837 00000 n 0008302676 00000 n 0008303011 00000 n 0008307885 00000 n 0008308358 00000 n 0008308562 00000 n 0008308628 00000 n 0008308828 00000 n 0008309032 00000 n 0008309103 00000 n 0008309184 00000 n 0008310020 00000 n 0008310355 00000 n 0008313334 00000 n 0008313822 00000 n 0008314026 00000 n 0008314082 00000 n 0008314302 00000 n 0008314373 00000 n 0008314454 00000 n 0008315291 00000 n 0008315626 00000 n 0008320004 00000 n 0008320449 00000 n 0008320653 00000 n 0008320749 00000 n 0008320954 00000 n 0008321163 00000 n 0008321367 00000 n 0008321574 00000 n 0008321778 00000 n 0008321849 00000 n 0008321930 00000 n 0008322767 00000 n 0008323102 00000 n 0008325304 00000 n 0008325792 00000 n 0008325996 00000 n 0008326062 00000 n 0008326285 00000 n 0008326502 00000 n 0008326573 00000 n 0008326654 00000 n 0008327491 00000 n 0008327826 00000 n 0008332139 00000 n 0008332584 00000 n 0008332788 00000 n 0008332844 00000 n 0008333051 00000 n 0008333122 00000 n 0008333203 00000 n 0008334039 00000 n 0008334374 00000 n 0008336057 00000 n 0008336517 00000 n 0008336721 00000 n 0008336777 00000 n 0008337025 00000 n 0008337096 00000 n 0008337177 00000 n 0008338015 00000 n 0008338350 00000 n 0008342804 00000 n 0008343291 00000 n 0008343495 00000 n 0008343571 00000 n 0008343792 00000 n 0008344011 00000 n 0008344236 00000 n 0008344307 00000 n 0008344388 00000 n 0008345226 00000 n 0008345561 00000 n 0008348027 00000 n 0008348556 00000 n 0008348760 00000 n 0008348831 00000 n 0008348912 00000 n 0008349749 00000 n 0008350084 00000 n 0008355056 00000 n 0008355529 00000 n 0008355733 00000 n 0008355799 00000 n 0008356006 00000 n 0008356217 00000 n 0008356288 00000 n 0008356369 00000 n 0008357206 00000 n 0008357541 00000 n 0008359882 00000 n 0008360342 00000 n 0008360546 00000 n 0008360612 00000 n 0008360823 00000 n 0008361045 00000 n 0008361116 00000 n 0008361197 00000 n 0008362035 00000 n 0008362370 00000 n 0008367262 00000 n 0008367796 00000 n 0008368051 00000 n 0008368324 00000 n 0008368528 00000 n 0008368599 00000 n 0008368680 00000 n 0008369517 00000 n 0008369852 00000 n 0008375243 00000 n 0008375728 00000 n 0008375932 00000 n 0008376003 00000 n 0008376084 00000 n 0008376921 00000 n 0008377256 00000 n 0008381388 00000 n 0008381804 00000 n 0008382008 00000 n 0008382144 00000 n 0008382346 00000 n 0008382550 00000 n 0008382757 00000 n 0008382959 00000 n 0008383164 00000 n 0008383365 00000 n 0008383563 00000 n 0008383766 00000 n 0008383969 00000 n 0008384040 00000 n 0008384121 00000 n 0008384958 00000 n 0008385293 00000 n 0008386729 00000 n 0008387189 00000 n 0008387393 00000 n 0008387449 00000 n 0008387669 00000 n 0008387740 00000 n 0008387821 00000 n 0008388659 00000 n 0008388994 00000 n 0008393391 00000 n 0008393893 00000 n 0008394097 00000 n 0008394173 00000 n 0008394376 00000 n 0008394585 00000 n 0008394788 00000 n 0008394859 00000 n 0008394940 00000 n 0008395777 00000 n 0008396112 00000 n 0008399706 00000 n 0008400235 00000 n 0008400439 00000 n 0008400510 00000 n 0008400591 00000 n 0008401429 00000 n 0008401764 00000 n 0008406691 00000 n 0008407164 00000 n 0008407368 00000 n 0008407434 00000 n 0008407639 00000 n 0008407842 00000 n 0008407913 00000 n 0008407994 00000 n 0008408833 00000 n 0008409168 00000 n 0008411443 00000 n 0008411889 00000 n 0008412093 00000 n 0008412159 00000 n 0008412371 00000 n 0008412601 00000 n 0008412672 00000 n 0008412753 00000 n 0008413590 00000 n 0008413925 00000 n 0008417055 00000 n 0008417543 00000 n 0008417747 00000 n 0008417803 00000 n 0008418028 00000 n 0008418099 00000 n 0008418180 00000 n 0008419017 00000 n 0008419352 00000 n 0008423663 00000 n 0008424094 00000 n 0008424298 00000 n 0008424394 00000 n 0008424607 00000 n 0008424824 00000 n 0008425041 00000 n 0008425262 00000 n 0008425482 00000 n 0008425553 00000 n 0008425634 00000 n 0008426471 00000 n 0008426806 00000 n 0008428632 00000 n 0008429120 00000 n 0008429324 00000 n 0008429380 00000 n 0008429605 00000 n 0008429676 00000 n 0008429757 00000 n 0008430594 00000 n 0008430929 00000 n 0008434930 00000 n 0008435346 00000 n 0008435550 00000 n 0008435646 00000 n 0008435859 00000 n 0008436076 00000 n 0008436291 00000 n 0008436502 00000 n 0008436720 00000 n 0008436791 00000 n 0008436872 00000 n 0008437710 00000 n 0008438045 00000 n 0008439430 00000 n 0008439947 00000 n 0008440151 00000 n 0008440207 00000 n 0008440428 00000 n 0008440499 00000 n 0008440580 00000 n 0008441417 00000 n 0008441752 00000 n 0008447239 00000 n 0008447684 00000 n 0008447888 00000 n 0008448024 00000 n 0008448225 00000 n 0008448430 00000 n 0008448635 00000 n 0008448834 00000 n 0008449039 00000 n 0008449241 00000 n 0008449453 00000 n 0008449662 00000 n 0008449872 00000 n 0008449943 00000 n 0008450024 00000 n 0008450862 00000 n 0008451197 00000 n 0008453448 00000 n 0008453951 00000 n 0008454155 00000 n 0008454211 00000 n 0008454432 00000 n 0008454503 00000 n 0008454584 00000 n 0008455421 00000 n 0008455756 00000 n 0008461239 00000 n 0008461712 00000 n 0008461916 00000 n 0008462042 00000 n 0008462253 00000 n 0008462464 00000 n 0008462672 00000 n 0008462880 00000 n 0008463085 00000 n 0008463292 00000 n 0008463497 00000 n 0008463706 00000 n 0008463777 00000 n 0008463858 00000 n 0008464696 00000 n 0008465031 00000 n 0008467784 00000 n 0008468272 00000 n 0008468476 00000 n 0008468532 00000 n 0008468753 00000 n 0008468824 00000 n 0008468905 00000 n 0008469743 00000 n 0008470078 00000 n 0008474390 00000 n 0008474835 00000 n 0008475039 00000 n 0008475155 00000 n 0008475367 00000 n 0008475584 00000 n 0008475795 00000 n 0008476006 00000 n 0008476216 00000 n 0008476431 00000 n 0008476648 00000 n 0008476719 00000 n 0008476800 00000 n 0008477637 00000 n 0008477972 00000 n 0008480149 00000 n 0008480666 00000 n 0008480870 00000 n 0008480926 00000 n 0008481147 00000 n 0008481218 00000 n 0008481299 00000 n 0008482136 00000 n 0008482471 00000 n 0008488052 00000 n 0008488525 00000 n 0008488729 00000 n 0008488845 00000 n 0008489057 00000 n 0008489274 00000 n 0008489485 00000 n 0008489696 00000 n 0008489906 00000 n 0008490121 00000 n 0008490333 00000 n 0008490404 00000 n 0008490485 00000 n 0008491323 00000 n 0008491658 00000 n 0008493857 00000 n 0008494345 00000 n 0008494549 00000 n 0008494605 00000 n 0008494826 00000 n 0008494897 00000 n 0008494978 00000 n 0008495816 00000 n 0008496151 00000 n 0008500226 00000 n 0008500671 00000 n 0008500875 00000 n 0008500981 00000 n 0008501187 00000 n 0008501387 00000 n 0008501590 00000 n 0008501794 00000 n 0008502001 00000 n 0008502212 00000 n 0008502283 00000 n 0008502364 00000 n 0008503201 00000 n 0008503536 00000 n 0008505269 00000 n 0008505757 00000 n 0008505961 00000 n 0008506017 00000 n 0008506238 00000 n 0008506309 00000 n 0008506390 00000 n 0008507227 00000 n 0008507562 00000 n 0008511631 00000 n 0008512076 00000 n 0008512280 00000 n 0008512386 00000 n 0008512593 00000 n 0008512794 00000 n 0008512998 00000 n 0008513203 00000 n 0008513411 00000 n 0008513623 00000 n 0008513694 00000 n 0008513775 00000 n 0008514612 00000 n 0008514947 00000 n 0008516697 00000 n 0008517185 00000 n 0008517389 00000 n 0008517445 00000 n 0008517665 00000 n 0008517736 00000 n 0008517817 00000 n 0008518654 00000 n 0008518989 00000 n 0008523539 00000 n 0008524012 00000 n 0008524216 00000 n 0008524332 00000 n 0008524536 00000 n 0008524744 00000 n 0008524952 00000 n 0008525161 00000 n 0008525365 00000 n 0008525572 00000 n 0008525776 00000 n 0008525847 00000 n 0008525928 00000 n 0008526767 00000 n 0008527102 00000 n 0008530233 00000 n 0008530721 00000 n 0008530925 00000 n 0008530981 00000 n 0008531201 00000 n 0008531272 00000 n 0008531353 00000 n 0008532190 00000 n 0008532525 00000 n 0008536998 00000 n 0008537471 00000 n 0008537675 00000 n 0008537731 00000 n 0008537935 00000 n 0008538006 00000 n 0008538087 00000 n 0008538925 00000 n 0008539260 00000 n 0008541440 00000 n 0008541928 00000 n 0008542132 00000 n 0008542188 00000 n 0008542416 00000 n 0008542487 00000 n 0008542568 00000 n 0008543407 00000 n 0008543742 00000 n 0008548277 00000 n 0008548750 00000 n 0008548954 00000 n 0008549110 00000 n 0008549315 00000 n 0008549519 00000 n 0008549723 00000 n 0008549928 00000 n 0008550138 00000 n 0008550343 00000 n 0008550552 00000 n 0008550761 00000 n 0008550965 00000 n 0008551172 00000 n 0008551376 00000 n 0008551447 00000 n 0008551528 00000 n 0008552366 00000 n 0008552701 00000 n 0008555257 00000 n 0008555717 00000 n 0008555921 00000 n 0008555977 00000 n 0008556199 00000 n 0008556270 00000 n 0008556351 00000 n 0008557188 00000 n 0008557523 00000 n 0008560626 00000 n 0008561128 00000 n 0008561332 00000 n 0008561388 00000 n 0008561608 00000 n 0008561679 00000 n 0008561760 00000 n 0008562599 00000 n 0008562934 00000 n 0008568266 00000 n 0008568739 00000 n 0008568943 00000 n 0008569079 00000 n 0008569280 00000 n 0008569481 00000 n 0008569681 00000 n 0008569886 00000 n 0008570103 00000 n 0008570312 00000 n 0008570516 00000 n 0008570723 00000 n 0008570927 00000 n 0008570998 00000 n 0008571079 00000 n 0008571917 00000 n 0008572252 00000 n 0008575117 00000 n 0008575605 00000 n 0008575809 00000 n 0008575865 00000 n 0008576085 00000 n 0008576156 00000 n 0008576237 00000 n 0008577075 00000 n 0008577410 00000 n 0008581968 00000 n 0008582441 00000 n 0008582645 00000 n 0008582801 00000 n 0008583002 00000 n 0008583203 00000 n 0008583403 00000 n 0008583607 00000 n 0008583812 00000 n 0008584017 00000 n 0008584234 00000 n 0008584443 00000 n 0008584647 00000 n 0008584854 00000 n 0008585058 00000 n 0008585129 00000 n 0008585210 00000 n 0008586048 00000 n 0008586383 00000 n 0008589218 00000 n 0008589706 00000 n 0008589910 00000 n 0008589966 00000 n 0008590186 00000 n 0008590257 00000 n 0008590338 00000 n 0008591178 00000 n 0008591513 00000 n 0008596098 00000 n 0008596571 00000 n 0008596775 00000 n 0008596911 00000 n 0008597116 00000 n 0008597321 00000 n 0008597525 00000 n 0008597729 00000 n 0008597934 00000 n 0008598138 00000 n 0008598355 00000 n 0008598563 00000 n 0008598765 00000 n 0008598836 00000 n 0008598917 00000 n 0008599754 00000 n 0008600089 00000 n 0008603543 00000 n 0008603945 00000 n 0008604149 00000 n 0008604215 00000 n 0008604416 00000 n 0008604614 00000 n 0008604685 00000 n 0008604766 00000 n 0008605603 00000 n 0008605938 00000 n 0008607204 00000 n 0008607721 00000 n 0008607925 00000 n 0008607981 00000 n 0008608201 00000 n 0008608272 00000 n 0008608353 00000 n 0008609191 00000 n 0008609526 00000 n 0008614830 00000 n 0008615303 00000 n 0008615507 00000 n 0008615643 00000 n 0008615844 00000 n 0008616044 00000 n 0008616245 00000 n 0008616450 00000 n 0008616667 00000 n 0008616876 00000 n 0008617080 00000 n 0008617287 00000 n 0008617491 00000 n 0008617562 00000 n 0008617643 00000 n 0008618480 00000 n 0008618815 00000 n 0008621646 00000 n 0008622106 00000 n 0008622310 00000 n 0008622366 00000 n 0008622586 00000 n 0008622657 00000 n 0008622738 00000 n 0008623575 00000 n 0008623910 00000 n 0008628318 00000 n 0008628791 00000 n 0008628995 00000 n 0008629091 00000 n 0008629301 00000 n 0008629506 00000 n 0008629710 00000 n 0008629917 00000 n 0008630121 00000 n 0008630192 00000 n 0008630273 00000 n 0008631111 00000 n 0008631446 00000 n 0008634122 00000 n 0008634582 00000 n 0008634786 00000 n 0008634842 00000 n 0008635062 00000 n 0008635133 00000 n 0008635214 00000 n 0008636053 00000 n 0008636388 00000 n 0008641098 00000 n 0008641571 00000 n 0008641775 00000 n 0008641861 00000 n 0008642064 00000 n 0008642272 00000 n 0008642481 00000 n 0008642686 00000 n 0008642757 00000 n 0008642838 00000 n 0008643676 00000 n 0008644011 00000 n 0008647840 00000 n 0008648328 00000 n 0008648532 00000 n 0008648588 00000 n 0008648817 00000 n 0008648888 00000 n 0008648969 00000 n 0008649806 00000 n 0008650141 00000 n 0008654980 00000 n 0008655465 00000 n 0008655669 00000 n 0008655740 00000 n 0008655821 00000 n 0008656660 00000 n 0008656995 00000 n 0008661049 00000 n 0008661465 00000 n 0008661669 00000 n 0008661735 00000 n 0008661937 00000 n 0008662137 00000 n 0008662208 00000 n 0008662289 00000 n 0008663126 00000 n 0008663461 00000 n 0008664765 00000 n 0008665225 00000 n 0008665429 00000 n 0008665485 00000 n 0008665713 00000 n 0008665784 00000 n 0008665865 00000 n 0008666702 00000 n 0008667037 00000 n 0008672387 00000 n 0008672901 00000 n 0008673105 00000 n 0008673176 00000 n 0008673257 00000 n 0008674094 00000 n 0008674429 00000 n 0008679937 00000 n 0008680408 00000 n 0008680612 00000 n 0008680683 00000 n 0008680764 00000 n 0008681603 00000 n 0008681938 00000 n 0008686475 00000 n 0008686948 00000 n 0008687152 00000 n 0008687218 00000 n 0008687429 00000 n 0008687637 00000 n 0008687708 00000 n 0008687789 00000 n 0008688626 00000 n 0008688961 00000 n 0008691516 00000 n 0008692016 00000 n 0008692220 00000 n 0008692291 00000 n 0008692372 00000 n 0008693209 00000 n 0008693544 00000 n 0008697822 00000 n 0008698295 00000 n 0008698499 00000 n 0008698565 00000 n 0008698765 00000 n 0008698969 00000 n 0008699040 00000 n 0008699121 00000 n 0008699960 00000 n 0008700295 00000 n 0008702426 00000 n 0008702898 00000 n 0008703102 00000 n 0008703173 00000 n 0008703254 00000 n 0008704091 00000 n 0008704426 00000 n 0008709627 00000 n 0008710115 00000 n 0008710319 00000 n 0008710385 00000 n 0008710600 00000 n 0008710820 00000 n 0008710891 00000 n 0008710972 00000 n 0008711809 00000 n 0008712144 00000 n 0008717074 00000 n 0008717545 00000 n 0008717749 00000 n 0008717820 00000 n 0008717901 00000 n 0008718740 00000 n 0008719075 00000 n 0008723422 00000 n 0008723895 00000 n 0008724099 00000 n 0008724165 00000 n 0008724370 00000 n 0008724576 00000 n 0008724647 00000 n 0008724728 00000 n 0008725566 00000 n 0008725901 00000 n 0008728728 00000 n 0008729188 00000 n 0008729392 00000 n 0008729448 00000 n 0008729665 00000 n 0008729736 00000 n 0008729817 00000 n 0008730654 00000 n 0008730989 00000 n 0008735185 00000 n 0008735670 00000 n 0008735874 00000 n 0008735945 00000 n 0008736026 00000 n 0008736864 00000 n 0008737199 00000 n 0008740568 00000 n 0008741028 00000 n 0008741232 00000 n 0008741298 00000 n 0008741517 00000 n 0008741741 00000 n 0008741812 00000 n 0008741893 00000 n 0008742730 00000 n 0008743065 00000 n 0008748015 00000 n 0008748515 00000 n 0008748719 00000 n 0008748790 00000 n 0008748871 00000 n 0008749708 00000 n 0008750043 00000 n 0008754174 00000 n 0008754645 00000 n 0008754849 00000 n 0008754920 00000 n 0008755001 00000 n 0008755839 00000 n 0008756174 00000 n 0008760422 00000 n 0008760895 00000 n 0008761099 00000 n 0008761255 00000 n 0008761459 00000 n 0008761665 00000 n 0008761881 00000 n 0008762085 00000 n 0008762302 00000 n 0008762517 00000 n 0008762724 00000 n 0008762931 00000 n 0008763146 00000 n 0008763356 00000 n 0008763564 00000 n 0008763635 00000 n 0008763716 00000 n 0008764553 00000 n 0008764888 00000 n 0008767827 00000 n 0008768315 00000 n 0008768519 00000 n 0008768585 00000 n 0008768802 00000 n 0008769024 00000 n 0008769095 00000 n 0008769176 00000 n 0008770013 00000 n 0008770348 00000 n 0008775636 00000 n 0008776121 00000 n 0008776325 00000 n 0008776396 00000 n 0008776477 00000 n 0008777314 00000 n 0008777649 00000 n 0008781800 00000 n 0008782273 00000 n 0008782477 00000 n 0008782573 00000 n 0008782779 00000 n 0008782995 00000 n 0008783202 00000 n 0008783411 00000 n 0008783620 00000 n 0008783691 00000 n 0008783772 00000 n 0008784610 00000 n 0008784945 00000 n 0008788011 00000 n 0008788471 00000 n 0008788675 00000 n 0008788741 00000 n 0008788958 00000 n 0008789180 00000 n 0008789251 00000 n 0008789332 00000 n 0008790170 00000 n 0008790505 00000 n 0008795537 00000 n 0008796022 00000 n 0008796226 00000 n 0008796297 00000 n 0008796378 00000 n 0008797215 00000 n 0008797550 00000 n 0008801423 00000 n 0008801896 00000 n 0008802100 00000 n 0008802176 00000 n 0008802382 00000 n 0008802605 00000 n 0008802809 00000 n 0008802880 00000 n 0008802961 00000 n 0008803798 00000 n 0008804133 00000 n 0008807079 00000 n 0008807539 00000 n 0008807743 00000 n 0008807809 00000 n 0008808026 00000 n 0008808248 00000 n 0008808319 00000 n 0008808400 00000 n 0008809238 00000 n 0008809573 00000 n 0008813909 00000 n 0008814423 00000 n 0008814627 00000 n 0008814698 00000 n 0008814779 00000 n 0008815617 00000 n 0008815952 00000 n 0008820882 00000 n 0008821355 00000 n 0008821559 00000 n 0008821625 00000 n 0008821831 00000 n 0008822047 00000 n 0008822118 00000 n 0008822199 00000 n 0008823037 00000 n 0008823372 00000 n 0008825875 00000 n 0008826363 00000 n 0008826567 00000 n 0008826633 00000 n 0008826850 00000 n 0008827072 00000 n 0008827143 00000 n 0008827224 00000 n 0008828062 00000 n 0008828397 00000 n 0008833071 00000 n 0008833544 00000 n 0008833748 00000 n 0008833824 00000 n 0008834030 00000 n 0008834246 00000 n 0008834464 00000 n 0008834535 00000 n 0008834616 00000 n 0008835453 00000 n 0008835788 00000 n 0008839056 00000 n 0008839516 00000 n 0008839720 00000 n 0008839776 00000 n 0008839996 00000 n 0008840067 00000 n 0008840148 00000 n 0008840985 00000 n 0008841320 00000 n 0008846273 00000 n 0008846787 00000 n 0008846991 00000 n 0008847062 00000 n 0008847143 00000 n 0008847981 00000 n 0008848316 00000 n 0008852982 00000 n 0008853455 00000 n 0008853659 00000 n 0008853735 00000 n 0008853944 00000 n 0008854147 00000 n 0008854361 00000 n 0008854432 00000 n 0008854513 00000 n 0008855350 00000 n 0008855685 00000 n 0008857994 00000 n 0008858494 00000 n 0008858698 00000 n 0008858769 00000 n 0008858850 00000 n 0008859687 00000 n 0008860022 00000 n 0008864446 00000 n 0008864919 00000 n 0008865123 00000 n 0008865189 00000 n 0008865396 00000 n 0008865607 00000 n 0008865678 00000 n 0008865759 00000 n 0008866596 00000 n 0008866931 00000 n 0008869680 00000 n 0008870168 00000 n 0008870372 00000 n 0008870428 00000 n 0008870645 00000 n 0008870716 00000 n 0008870797 00000 n 0008871635 00000 n 0008871970 00000 n 0008876442 00000 n 0008876915 00000 n 0008877119 00000 n 0008877175 00000 n 0008877390 00000 n 0008877461 00000 n 0008877542 00000 n 0008878379 00000 n 0008878714 00000 n 0008881743 00000 n 0008882231 00000 n 0008882435 00000 n 0008882501 00000 n 0008882718 00000 n 0008882940 00000 n 0008883011 00000 n 0008883092 00000 n 0008883930 00000 n 0008884265 00000 n 0008889335 00000 n 0008889806 00000 n 0008890010 00000 n 0008890081 00000 n 0008890162 00000 n 0008891002 00000 n 0008891337 00000 n 0008895442 00000 n 0008895887 00000 n 0008896091 00000 n 0008896147 00000 n 0008896356 00000 n 0008896427 00000 n 0008896508 00000 n 0008897346 00000 n 0008897681 00000 n 0008899579 00000 n 0008900067 00000 n 0008900271 00000 n 0008900337 00000 n 0008900554 00000 n 0008900776 00000 n 0008900847 00000 n 0008900928 00000 n 0008901765 00000 n 0008902100 00000 n 0008906915 00000 n 0008907360 00000 n 0008907564 00000 n 0008907620 00000 n 0008907832 00000 n 0008907903 00000 n 0008907984 00000 n 0008908822 00000 n 0008909157 00000 n 0008912636 00000 n 0008913096 00000 n 0008913300 00000 n 0008913356 00000 n 0008913573 00000 n 0008913644 00000 n 0008913725 00000 n 0008914563 00000 n 0008914898 00000 n 0008919575 00000 n 0008920060 00000 n 0008920264 00000 n 0008920335 00000 n 0008920416 00000 n 0008921253 00000 n 0008921588 00000 n 0008925598 00000 n 0008926055 00000 n 0008926259 00000 n 0008926330 00000 n 0008926411 00000 n 0008927249 00000 n 0008927584 00000 n 0008929364 00000 n 0008929824 00000 n 0008930028 00000 n 0008930094 00000 n 0008930311 00000 n 0008930533 00000 n 0008930604 00000 n 0008930685 00000 n 0008931523 00000 n 0008931858 00000 n 0008936763 00000 n 0008937248 00000 n 0008937452 00000 n 0008937523 00000 n 0008937604 00000 n 0008938442 00000 n 0008938777 00000 n 0008943229 00000 n 0008943702 00000 n 0008943906 00000 n 0008943962 00000 n 0008944165 00000 n 0008944236 00000 n 0008944317 00000 n 0008945154 00000 n 0008945489 00000 n 0008949327 00000 n 0008949815 00000 n 0008950019 00000 n 0008950085 00000 n 0008950302 00000 n 0008950522 00000 n 0008950593 00000 n 0008950674 00000 n 0008951512 00000 n 0008951847 00000 n 0008956481 00000 n 0008956954 00000 n 0008957158 00000 n 0008957214 00000 n 0008957421 00000 n 0008957492 00000 n 0008957573 00000 n 0008958411 00000 n 0008958746 00000 n 0008961451 00000 n 0008961909 00000 n 0008962113 00000 n 0008962184 00000 n 0008962265 00000 n 0008963102 00000 n 0008963437 00000 n 0008968903 00000 n 0008969362 00000 n 0008969566 00000 n 0008969632 00000 n 0008969851 00000 n 0008970075 00000 n 0008970146 00000 n 0008970227 00000 n 0008971065 00000 n 0008971400 00000 n 0008976824 00000 n 0008977295 00000 n 0008977499 00000 n 0008977570 00000 n 0008977651 00000 n 0008978489 00000 n 0008978824 00000 n 0008983145 00000 n 0008983573 00000 n 0008983777 00000 n 0008983848 00000 n 0008983929 00000 n 0008984767 00000 n 0008985102 00000 n 0008988906 00000 n 0008989392 00000 n 0008989596 00000 n 0008989667 00000 n 0008989748 00000 n 0008990586 00000 n 0008990921 00000 n 0008996828 00000 n 0008997342 00000 n 0008997546 00000 n 0008997617 00000 n 0008997698 00000 n 0008998536 00000 n 0008998871 00000 n 0009004640 00000 n 0009005126 00000 n 0009005330 00000 n 0009005401 00000 n 0009005482 00000 n 0009006319 00000 n 0009006654 00000 n 0009012615 00000 n 0009013101 00000 n 0009013305 00000 n 0009013376 00000 n 0009013457 00000 n 0009014294 00000 n 0009014629 00000 n 0009020487 00000 n 0009020972 00000 n 0009021176 00000 n 0009021247 00000 n 0009021328 00000 n 0009022165 00000 n 0009022500 00000 n 0009026788 00000 n 0009027233 00000 n 0009027437 00000 n 0009027573 00000 n 0009027789 00000 n 0009027992 00000 n 0009028202 00000 n 0009028407 00000 n 0009028614 00000 n 0009028829 00000 n 0009029038 00000 n 0009029246 00000 n 0009029459 00000 n 0009029530 00000 n 0009029611 00000 n 0009030449 00000 n 0009030784 00000 n 0009033667 00000 n 0009034127 00000 n 0009034331 00000 n 0009034397 00000 n 0009034614 00000 n 0009034836 00000 n 0009034907 00000 n 0009034988 00000 n 0009035825 00000 n 0009036160 00000 n 0009040938 00000 n 0009041423 00000 n 0009041627 00000 n 0009041698 00000 n 0009041779 00000 n 0009042618 00000 n 0009042953 00000 n 0009046968 00000 n 0009047413 00000 n 0009047617 00000 n 0009047683 00000 n 0009047884 00000 n 0009048090 00000 n 0009048161 00000 n 0009048242 00000 n 0009049081 00000 n 0009049416 00000 n 0009051165 00000 n 0009051625 00000 n 0009051829 00000 n 0009051905 00000 n 0009052116 00000 n 0009052338 00000 n 0009052560 00000 n 0009052631 00000 n 0009052712 00000 n 0009053550 00000 n 0009053885 00000 n 0009059008 00000 n 0009059522 00000 n 0009059726 00000 n 0009059797 00000 n 0009059878 00000 n 0009060715 00000 n 0009061050 00000 n 0009066742 00000 n 0009067213 00000 n 0009067417 00000 n 0009067488 00000 n 0009067569 00000 n 0009068407 00000 n 0009068742 00000 n 0009072926 00000 n 0009073399 00000 n 0009073603 00000 n 0009073809 00000 n 0009074019 00000 n 0009074232 00000 n 0009074446 00000 n 0009074652 00000 n 0009074855 00000 n 0009075063 00000 n 0009075274 00000 n 0009075486 00000 n 0009075688 00000 n 0009075890 00000 n 0009076093 00000 n 0009076296 00000 n 0009076501 00000 n 0009076704 00000 n 0009076913 00000 n 0009077116 00000 n 0009077187 00000 n 0009077268 00000 n 0009078105 00000 n 0009078440 00000 n 0009081120 00000 n 0009081620 00000 n 0009081824 00000 n 0009081895 00000 n 0009081976 00000 n 0009082814 00000 n 0009083149 00000 n 0009087171 00000 n 0009087614 00000 n 0009087818 00000 n 0009087889 00000 n 0009087970 00000 n 0009088807 00000 n 0009089142 00000 n 0009091297 00000 n 0009091782 00000 n 0009091986 00000 n 0009092057 00000 n 0009092138 00000 n 0009092975 00000 n 0009093310 00000 n 0009096224 00000 n 0009096655 00000 n 0009096859 00000 n 0009096925 00000 n 0009097132 00000 n 0009097337 00000 n 0009097408 00000 n 0009097489 00000 n 0009098326 00000 n 0009098661 00000 n 0009100348 00000 n 0009100836 00000 n 0009101040 00000 n 0009101096 00000 n 0009101313 00000 n 0009101384 00000 n 0009101465 00000 n 0009102303 00000 n 0009102638 00000 n 0009107511 00000 n 0009107996 00000 n 0009108200 00000 n 0009108271 00000 n 0009108352 00000 n 0009109189 00000 n 0009109524 00000 n 0009112373 00000 n 0009112845 00000 n 0009113049 00000 n 0009113120 00000 n 0009113201 00000 n 0009114038 00000 n 0009114373 00000 n 0009119482 00000 n 0009119970 00000 n 0009120174 00000 n 0009120240 00000 n 0009120455 00000 n 0009120675 00000 n 0009120746 00000 n 0009120827 00000 n 0009121664 00000 n 0009121999 00000 n 0009125906 00000 n 0009126377 00000 n 0009126581 00000 n 0009126652 00000 n 0009126733 00000 n 0009127570 00000 n 0009127905 00000 n 0009132125 00000 n 0009132598 00000 n 0009132802 00000 n 0009132948 00000 n 0009133154 00000 n 0009133370 00000 n 0009133577 00000 n 0009133792 00000 n 0009134000 00000 n 0009134209 00000 n 0009134416 00000 n 0009134623 00000 n 0009134836 00000 n 0009135046 00000 n 0009135117 00000 n 0009135198 00000 n 0009136036 00000 n 0009136371 00000 n 0009139210 00000 n 0009139725 00000 n 0009139929 00000 n 0009140000 00000 n 0009140081 00000 n 0009140918 00000 n 0009141253 00000 n 0009145935 00000 n 0009146408 00000 n 0009146612 00000 n 0009146678 00000 n 0009146884 00000 n 0009147087 00000 n 0009147158 00000 n 0009147239 00000 n 0009148077 00000 n 0009148412 00000 n 0009151659 00000 n 0009152059 00000 n 0009152263 00000 n 0009152334 00000 n 0009152415 00000 n 0009153252 00000 n 0009153587 00000 n 0009154748 00000 n 0009155206 00000 n 0009155410 00000 n 0009155481 00000 n 0009155562 00000 n 0009156399 00000 n 0009156734 00000 n 0009161753 00000 n 0009162226 00000 n 0009162430 00000 n 0009162496 00000 n 0009162711 00000 n 0009162931 00000 n 0009163002 00000 n 0009163083 00000 n 0009163921 00000 n 0009164256 00000 n 0009168343 00000 n 0009168828 00000 n 0009169032 00000 n 0009169103 00000 n 0009169184 00000 n 0009170021 00000 n 0009170356 00000 n 0009174547 00000 n 0009174978 00000 n 0009175182 00000 n 0009175238 00000 n 0009175435 00000 n 0009175506 00000 n 0009175587 00000 n 0009176425 00000 n 0009176760 00000 n 0009178474 00000 n 0009178934 00000 n 0009179138 00000 n 0009179194 00000 n 0009179415 00000 n 0009179486 00000 n 0009179567 00000 n 0009180405 00000 n 0009180740 00000 n 0009185385 00000 n 0009185870 00000 n 0009186074 00000 n 0009186145 00000 n 0009186226 00000 n 0009187063 00000 n 0009187398 00000 n 0009191115 00000 n 0009191531 00000 n 0009191735 00000 n 0009191841 00000 n 0009192046 00000 n 0009192251 00000 n 0009192450 00000 n 0009192653 00000 n 0009192855 00000 n 0009193055 00000 n 0009193126 00000 n 0009193207 00000 n 0009194044 00000 n 0009194379 00000 n 0009195775 00000 n 0009196263 00000 n 0009196467 00000 n 0009196533 00000 n 0009196750 00000 n 0009196976 00000 n 0009197047 00000 n 0009197128 00000 n 0009197967 00000 n 0009198302 00000 n 0009203295 00000 n 0009203768 00000 n 0009203972 00000 n 0009204058 00000 n 0009204269 00000 n 0009204480 00000 n 0009204687 00000 n 0009204897 00000 n 0009204968 00000 n 0009205049 00000 n 0009205886 00000 n 0009206221 00000 n 0009209394 00000 n 0009209882 00000 n 0009210086 00000 n 0009210152 00000 n 0009210369 00000 n 0009210595 00000 n 0009210666 00000 n 0009210747 00000 n 0009211584 00000 n 0009211919 00000 n 0009216730 00000 n 0009217203 00000 n 0009217407 00000 n 0009217513 00000 n 0009217720 00000 n 0009217931 00000 n 0009218136 00000 n 0009218348 00000 n 0009218556 00000 n 0009218762 00000 n 0009218833 00000 n 0009218914 00000 n 0009219752 00000 n 0009220087 00000 n 0009223457 00000 n 0009223945 00000 n 0009224149 00000 n 0009224205 00000 n 0009224426 00000 n 0009224497 00000 n 0009224578 00000 n 0009225416 00000 n 0009225751 00000 n 0009230559 00000 n 0009231032 00000 n 0009231236 00000 n 0009231312 00000 n 0009231518 00000 n 0009231725 00000 n 0009231934 00000 n 0009232005 00000 n 0009232086 00000 n 0009232924 00000 n 0009233259 00000 n 0009236588 00000 n 0009237076 00000 n 0009237280 00000 n 0009237346 00000 n 0009237563 00000 n 0009237789 00000 n 0009237860 00000 n 0009237941 00000 n 0009238779 00000 n 0009239114 00000 n 0009244295 00000 n 0009244768 00000 n 0009244972 00000 n 0009245058 00000 n 0009245269 00000 n 0009245480 00000 n 0009245688 00000 n 0009245899 00000 n 0009245970 00000 n 0009246051 00000 n 0009246888 00000 n 0009247223 00000 n 0009250708 00000 n 0009251196 00000 n 0009251400 00000 n 0009251456 00000 n 0009251677 00000 n 0009251748 00000 n 0009251829 00000 n 0009252666 00000 n 0009253001 00000 n 0009257062 00000 n 0009257535 00000 n 0009257739 00000 n 0009257825 00000 n 0009258036 00000 n 0009258246 00000 n 0009258454 00000 n 0009258662 00000 n 0009258733 00000 n 0009258814 00000 n 0009259651 00000 n 0009259986 00000 n 0009262040 00000 n 0009262529 00000 n 0009262733 00000 n 0009262789 00000 n 0009263006 00000 n 0009263077 00000 n 0009263158 00000 n 0009263995 00000 n 0009264330 00000 n 0009269301 00000 n 0009269786 00000 n 0009269990 00000 n 0009270061 00000 n 0009270142 00000 n 0009270980 00000 n 0009271315 00000 n 0009275574 00000 n 0009275990 00000 n 0009276194 00000 n 0009276280 00000 n 0009276476 00000 n 0009276675 00000 n 0009276877 00000 n 0009277077 00000 n 0009277148 00000 n 0009277229 00000 n 0009278067 00000 n 0009278402 00000 n 0009279753 00000 n 0009280241 00000 n 0009280445 00000 n 0009280501 00000 n 0009280718 00000 n 0009280789 00000 n 0009280870 00000 n 0009281708 00000 n 0009282043 00000 n 0009286660 00000 n 0009287133 00000 n 0009287337 00000 n 0009287393 00000 n 0009287606 00000 n 0009287677 00000 n 0009287758 00000 n 0009288596 00000 n 0009288931 00000 n 0009292274 00000 n 0009292734 00000 n 0009292938 00000 n 0009292994 00000 n 0009293211 00000 n 0009293282 00000 n 0009293363 00000 n 0009294201 00000 n 0009294536 00000 n 0009299051 00000 n 0009299550 00000 n 0009299754 00000 n 0009299825 00000 n 0009299906 00000 n 0009300744 00000 n 0009301079 00000 n 0009304857 00000 n 0009305330 00000 n 0009305534 00000 n 0009305620 00000 n 0009305821 00000 n 0009306024 00000 n 0009306225 00000 n 0009306429 00000 n 0009306500 00000 n 0009306581 00000 n 0009307418 00000 n 0009307753 00000 n 0009310530 00000 n 0009310990 00000 n 0009311194 00000 n 0009311270 00000 n 0009311497 00000 n 0009311719 00000 n 0009311940 00000 n 0009312011 00000 n 0009312092 00000 n 0009312929 00000 n 0009313264 00000 n 0009318385 00000 n 0009318899 00000 n 0009319103 00000 n 0009319174 00000 n 0009319255 00000 n 0009320093 00000 n 0009320428 00000 n 0009326029 00000 n 0009326500 00000 n 0009326704 00000 n 0009326775 00000 n 0009326856 00000 n 0009327694 00000 n 0009328029 00000 n 0009332881 00000 n 0009333354 00000 n 0009333558 00000 n 0009333654 00000 n 0009333870 00000 n 0009334090 00000 n 0009334295 00000 n 0009334499 00000 n 0009334710 00000 n 0009334781 00000 n 0009334862 00000 n 0009335699 00000 n 0009336034 00000 n 0009337988 00000 n 0009338460 00000 n 0009338664 00000 n 0009338735 00000 n 0009338816 00000 n 0009339654 00000 n 0009339989 00000 n 0009344344 00000 n 0009344817 00000 n 0009345021 00000 n 0009345097 00000 n 0009345304 00000 n 0009345506 00000 n 0009345711 00000 n 0009345782 00000 n 0009345863 00000 n 0009346700 00000 n 0009347035 00000 n 0009349967 00000 n 0009350439 00000 n 0009350643 00000 n 0009350714 00000 n 0009350795 00000 n 0009351633 00000 n 0009351968 00000 n 0009357111 00000 n 0009357584 00000 n 0009357788 00000 n 0009357844 00000 n 0009358059 00000 n 0009358130 00000 n 0009358211 00000 n 0009359050 00000 n 0009359385 00000 n 0009362715 00000 n 0009363200 00000 n 0009363404 00000 n 0009363475 00000 n 0009363556 00000 n 0009364394 00000 n 0009364729 00000 n 0009368966 00000 n 0009369396 00000 n 0009369600 00000 n 0009369656 00000 n 0009369868 00000 n 0009369939 00000 n 0009370020 00000 n 0009370858 00000 n 0009371193 00000 n 0009372482 00000 n 0009372970 00000 n 0009373174 00000 n 0009373240 00000 n 0009373486 00000 n 0009373710 00000 n 0009373781 00000 n 0009373862 00000 n 0009374700 00000 n 0009375035 00000 n 0009379560 00000 n 0009380033 00000 n 0009380237 00000 n 0009380323 00000 n 0009380526 00000 n 0009380730 00000 n 0009380935 00000 n 0009381138 00000 n 0009381209 00000 n 0009381290 00000 n 0009382128 00000 n 0009382463 00000 n 0009385828 00000 n 0009386316 00000 n 0009386520 00000 n 0009386576 00000 n 0009386793 00000 n 0009386864 00000 n 0009386945 00000 n 0009387782 00000 n 0009388117 00000 n 0009392715 00000 n 0009393188 00000 n 0009393392 00000 n 0009393448 00000 n 0009393657 00000 n 0009393728 00000 n 0009393809 00000 n 0009394648 00000 n 0009394983 00000 n 0009397415 00000 n 0009397875 00000 n 0009398079 00000 n 0009398135 00000 n 0009398351 00000 n 0009398422 00000 n 0009398503 00000 n 0009399340 00000 n 0009399675 00000 n 0009404677 00000 n 0009405191 00000 n 0009405395 00000 n 0009405466 00000 n 0009405547 00000 n 0009406384 00000 n 0009406719 00000 n 0009412128 00000 n 0009412601 00000 n 0009412805 00000 n 0009412891 00000 n 0009413094 00000 n 0009413298 00000 n 0009413502 00000 n 0009413717 00000 n 0009413788 00000 n 0009413869 00000 n 0009414706 00000 n 0009415041 00000 n 0009417946 00000 n 0009418406 00000 n 0009418610 00000 n 0009418676 00000 n 0009418895 00000 n 0009419117 00000 n 0009419188 00000 n 0009419269 00000 n 0009420108 00000 n 0009420443 00000 n 0009425779 00000 n 0009426264 00000 n 0009426468 00000 n 0009426539 00000 n 0009426620 00000 n 0009427458 00000 n 0009427793 00000 n 0009432492 00000 n 0009433006 00000 n 0009433210 00000 n 0009433281 00000 n 0009433362 00000 n 0009434200 00000 n 0009434535 00000 n 0009439162 00000 n 0009439592 00000 n 0009439796 00000 n 0009439872 00000 n 0009440069 00000 n 0009440279 00000 n 0009440491 00000 n 0009440562 00000 n 0009440643 00000 n 0009441480 00000 n 0009441815 00000 n 0009443188 00000 n 0009443676 00000 n 0009443880 00000 n 0009443936 00000 n 0009444151 00000 n 0009444222 00000 n 0009444303 00000 n 0009445142 00000 n 0009445477 00000 n 0009450418 00000 n 0009450891 00000 n 0009451095 00000 n 0009451161 00000 n 0009451371 00000 n 0009451577 00000 n 0009451648 00000 n 0009451729 00000 n 0009452566 00000 n 0009452901 00000 n 0009456654 00000 n 0009457186 00000 n 0009457390 00000 n 0009457446 00000 n 0009457663 00000 n 0009457734 00000 n 0009457815 00000 n 0009458652 00000 n 0009458987 00000 n 0009464648 00000 n 0009465162 00000 n 0009465366 00000 n 0009465437 00000 n 0009465518 00000 n 0009466357 00000 n 0009466692 00000 n 0009472409 00000 n 0009472854 00000 n 0009473058 00000 n 0009473164 00000 n 0009473372 00000 n 0009473579 00000 n 0009473790 00000 n 0009473996 00000 n 0009474204 00000 n 0009474410 00000 n 0009474481 00000 n 0009474562 00000 n 0009475399 00000 n 0009475734 00000 n 0009477492 00000 n 0009477980 00000 n 0009478184 00000 n 0009478260 00000 n 0009478477 00000 n 0009478728 00000 n 0009478952 00000 n 0009479023 00000 n 0009479104 00000 n 0009479941 00000 n 0009480276 00000 n 0009484845 00000 n 0009485340 00000 n 0009485595 00000 n 0009485799 00000 n 0009485870 00000 n 0009485951 00000 n 0009486789 00000 n 0009487124 00000 n 0009491535 00000 n 0009492008 00000 n 0009492212 00000 n 0009492338 00000 n 0009492543 00000 n 0009492751 00000 n 0009492960 00000 n 0009493171 00000 n 0009493380 00000 n 0009493585 00000 n 0009493787 00000 n 0009493991 00000 n 0009494062 00000 n 0009494143 00000 n 0009494980 00000 n 0009495315 00000 n 0009498916 00000 n 0009499318 00000 n 0009499522 00000 n 0009499608 00000 n 0009499809 00000 n 0009500016 00000 n 0009500218 00000 n 0009500418 00000 n 0009500489 00000 n 0009500570 00000 n 0009501407 00000 n 0009501742 00000 n 0009503050 00000 n 0009503496 00000 n 0009503700 00000 n 0009503766 00000 n 0009503983 00000 n 0009504205 00000 n 0009504276 00000 n 0009504357 00000 n 0009505194 00000 n 0009505529 00000 n 0009510596 00000 n 0009511081 00000 n 0009511285 00000 n 0009511356 00000 n 0009511437 00000 n 0009512275 00000 n 0009512610 00000 n 0009516882 00000 n 0009517353 00000 n 0009517557 00000 n 0009517628 00000 n 0009517709 00000 n 0009518546 00000 n 0009518881 00000 n 0009523241 00000 n 0009523686 00000 n 0009523890 00000 n 0009523946 00000 n 0009524155 00000 n 0009524226 00000 n 0009524307 00000 n 0009525144 00000 n 0009525479 00000 n 0009527160 00000 n 0009527620 00000 n 0009527824 00000 n 0009527900 00000 n 0009528126 00000 n 0009528348 00000 n 0009528570 00000 n 0009528641 00000 n 0009528722 00000 n 0009529560 00000 n 0009529895 00000 n 0009534415 00000 n 0009534900 00000 n 0009535104 00000 n 0009535175 00000 n 0009535256 00000 n 0009536093 00000 n 0009536428 00000 n 0009540897 00000 n 0009541370 00000 n 0009541574 00000 n 0009541640 00000 n 0009541855 00000 n 0009542070 00000 n 0009542141 00000 n 0009542222 00000 n 0009543059 00000 n 0009543394 00000 n 0009546157 00000 n 0009546617 00000 n 0009546821 00000 n 0009546907 00000 n 0009547133 00000 n 0009547355 00000 n 0009547577 00000 n 0009547799 00000 n 0009547870 00000 n 0009547951 00000 n 0009548789 00000 n 0009549124 00000 n 0009554116 00000 n 0009554559 00000 n 0009554763 00000 n 0009554834 00000 n 0009554915 00000 n 0009555752 00000 n 0009556087 00000 n 0009560277 00000 n 0009560762 00000 n 0009560966 00000 n 0009561037 00000 n 0009561118 00000 n 0009561955 00000 n 0009562290 00000 n 0009566967 00000 n 0009567440 00000 n 0009567644 00000 n 0009567730 00000 n 0009567943 00000 n 0009568157 00000 n 0009568371 00000 n 0009568585 00000 n 0009568656 00000 n 0009568737 00000 n 0009569575 00000 n 0009569910 00000 n 0009573443 00000 n 0009573917 00000 n 0009574121 00000 n 0009574177 00000 n 0009574394 00000 n 0009574465 00000 n 0009574546 00000 n 0009575383 00000 n 0009575718 00000 n 0009580649 00000 n 0009581148 00000 n 0009581352 00000 n 0009581423 00000 n 0009581504 00000 n 0009582341 00000 n 0009582676 00000 n 0009587230 00000 n 0009587703 00000 n 0009587907 00000 n 0009587973 00000 n 0009588176 00000 n 0009588388 00000 n 0009588459 00000 n 0009588540 00000 n 0009589377 00000 n 0009589712 00000 n 0009593435 00000 n 0009593895 00000 n 0009594099 00000 n 0009594155 00000 n 0009594370 00000 n 0009594441 00000 n 0009594522 00000 n 0009595359 00000 n 0009595694 00000 n 0009600963 00000 n 0009601448 00000 n 0009601652 00000 n 0009601723 00000 n 0009601804 00000 n 0009602641 00000 n 0009602976 00000 n 0009607326 00000 n 0009607797 00000 n 0009608001 00000 n 0009608072 00000 n 0009608153 00000 n 0009608990 00000 n 0009609325 00000 n 0009613104 00000 n 0009613577 00000 n 0009613781 00000 n 0009613837 00000 n 0009614043 00000 n 0009614114 00000 n 0009614195 00000 n 0009615033 00000 n 0009615368 00000 n 0009618419 00000 n 0009618919 00000 n 0009619123 00000 n 0009619194 00000 n 0009619275 00000 n 0009620113 00000 n 0009620448 00000 n 0009624742 00000 n 0009625215 00000 n 0009625419 00000 n 0009625485 00000 n 0009625692 00000 n 0009625896 00000 n 0009625967 00000 n 0009626048 00000 n 0009626885 00000 n 0009627220 00000 n 0009629655 00000 n 0009630158 00000 n 0009630362 00000 n 0009630418 00000 n 0009630635 00000 n 0009630706 00000 n 0009630787 00000 n 0009631624 00000 n 0009631959 00000 n 0009636748 00000 n 0009637221 00000 n 0009637425 00000 n 0009637501 00000 n 0009637712 00000 n 0009637920 00000 n 0009638123 00000 n 0009638194 00000 n 0009638275 00000 n 0009639112 00000 n 0009639447 00000 n 0009642543 00000 n 0009643003 00000 n 0009643207 00000 n 0009643263 00000 n 0009643480 00000 n 0009643551 00000 n 0009643632 00000 n 0009644470 00000 n 0009644805 00000 n 0009648206 00000 n 0009648706 00000 n 0009648910 00000 n 0009648981 00000 n 0009649062 00000 n 0009649900 00000 n 0009650235 00000 n 0009654905 00000 n 0009655378 00000 n 0009655582 00000 n 0009655638 00000 n 0009655845 00000 n 0009655916 00000 n 0009655997 00000 n 0009656835 00000 n 0009657170 00000 n 0009659117 00000 n 0009659577 00000 n 0009659781 00000 n 0009659837 00000 n 0009660054 00000 n 0009660125 00000 n 0009660206 00000 n 0009661043 00000 n 0009661378 00000 n 0009666214 00000 n 0009666728 00000 n 0009666932 00000 n 0009667003 00000 n 0009667084 00000 n 0009667921 00000 n 0009668256 00000 n 0009674137 00000 n 0009674610 00000 n 0009674814 00000 n 0009674930 00000 n 0009675136 00000 n 0009675352 00000 n 0009675555 00000 n 0009675761 00000 n 0009675964 00000 n 0009676173 00000 n 0009676391 00000 n 0009676462 00000 n 0009676543 00000 n 0009677381 00000 n 0009677716 00000 n 0009680899 00000 n 0009681399 00000 n 0009681603 00000 n 0009681674 00000 n 0009681755 00000 n 0009682593 00000 n 0009682928 00000 n 0009686856 00000 n 0009687301 00000 n 0009687505 00000 n 0009687561 00000 n 0009687765 00000 n 0009687836 00000 n 0009687917 00000 n 0009688754 00000 n 0009689089 00000 n 0009691799 00000 n 0009692259 00000 n 0009692463 00000 n 0009692519 00000 n 0009692736 00000 n 0009692807 00000 n 0009692888 00000 n 0009693726 00000 n 0009694061 00000 n 0009699197 00000 n 0009699682 00000 n 0009699886 00000 n 0009699957 00000 n 0009700038 00000 n 0009700876 00000 n 0009701211 00000 n 0009705092 00000 n 0009705577 00000 n 0009705781 00000 n 0009705852 00000 n 0009705933 00000 n 0009706770 00000 n 0009707105 00000 n 0009710865 00000 n 0009711310 00000 n 0009711514 00000 n 0009711600 00000 n 0009711804 00000 n 0009712004 00000 n 0009712216 00000 n 0009712429 00000 n 0009712500 00000 n 0009712581 00000 n 0009713418 00000 n 0009713753 00000 n 0009715690 00000 n 0009716178 00000 n 0009716382 00000 n 0009716448 00000 n 0009716665 00000 n 0009716887 00000 n 0009716958 00000 n 0009717039 00000 n 0009717879 00000 n 0009718214 00000 n 0009723036 00000 n 0009723522 00000 n 0009723726 00000 n 0009723797 00000 n 0009723878 00000 n 0009724716 00000 n 0009725051 00000 n 0009730377 00000 n 0009730862 00000 n 0009731066 00000 n 0009731137 00000 n 0009731218 00000 n 0009732055 00000 n 0009732390 00000 n 0009736724 00000 n 0009737197 00000 n 0009737401 00000 n 0009737507 00000 n 0009737709 00000 n 0009737913 00000 n 0009738130 00000 n 0009738345 00000 n 0009738564 00000 n 0009738780 00000 n 0009738851 00000 n 0009738932 00000 n 0009739770 00000 n 0009740105 00000 n 0009742929 00000 n 0009743401 00000 n 0009743605 00000 n 0009743676 00000 n 0009743757 00000 n 0009744594 00000 n 0009744929 00000 n 0009750101 00000 n 0009750589 00000 n 0009750793 00000 n 0009750859 00000 n 0009751076 00000 n 0009751298 00000 n 0009751369 00000 n 0009751450 00000 n 0009752287 00000 n 0009752622 00000 n 0009758947 00000 n 0009759461 00000 n 0009759665 00000 n 0009759736 00000 n 0009759817 00000 n 0009760654 00000 n 0009760989 00000 n 0009766229 00000 n 0009766715 00000 n 0009766919 00000 n 0009766990 00000 n 0009767071 00000 n 0009767909 00000 n 0009768244 00000 n 0009773190 00000 n 0009773690 00000 n 0009773894 00000 n 0009773965 00000 n 0009774046 00000 n 0009774884 00000 n 0009775219 00000 n 0009781033 00000 n 0009781533 00000 n 0009781737 00000 n 0009781808 00000 n 0009781889 00000 n 0009782726 00000 n 0009783061 00000 n 0009789028 00000 n 0009789501 00000 n 0009789705 00000 n 0009789841 00000 n 0009790050 00000 n 0009790256 00000 n 0009790460 00000 n 0009790665 00000 n 0009790880 00000 n 0009791086 00000 n 0009791296 00000 n 0009791503 00000 n 0009791709 00000 n 0009791780 00000 n 0009791861 00000 n 0009792699 00000 n 0009793034 00000 n 0009797057 00000 n 0009797473 00000 n 0009797677 00000 n 0009797733 00000 n 0009797935 00000 n 0009798006 00000 n 0009798087 00000 n 0009798926 00000 n 0009799261 00000 n 0009800508 00000 n 0009800980 00000 n 0009801184 00000 n 0009801255 00000 n 0009801336 00000 n 0009802174 00000 n 0009802509 00000 n 0009807983 00000 n 0009808471 00000 n 0009808675 00000 n 0009808741 00000 n 0009808958 00000 n 0009809180 00000 n 0009809251 00000 n 0009809332 00000 n 0009810171 00000 n 0009810506 00000 n 0009817014 00000 n 0009817499 00000 n 0009817703 00000 n 0009817774 00000 n 0009817855 00000 n 0009818694 00000 n 0009819029 00000 n 0009823097 00000 n 0009823597 00000 n 0009823801 00000 n 0009823872 00000 n 0009823953 00000 n 0009824790 00000 n 0009825125 00000 n 0009830689 00000 n 0009831160 00000 n 0009831364 00000 n 0009831435 00000 n 0009831516 00000 n 0009832354 00000 n 0009832689 00000 n 0009837127 00000 n 0009837572 00000 n 0009837776 00000 n 0009837942 00000 n 0009838142 00000 n 0009838342 00000 n 0009838552 00000 n 0009838767 00000 n 0009838976 00000 n 0009839186 00000 n 0009839390 00000 n 0009839595 00000 n 0009839802 00000 n 0009840009 00000 n 0009840217 00000 n 0009840427 00000 n 0009840498 00000 n 0009840579 00000 n 0009841417 00000 n 0009841752 00000 n 0009843972 00000 n 0009844460 00000 n 0009844664 00000 n 0009844720 00000 n 0009844937 00000 n 0009845008 00000 n 0009845089 00000 n 0009845926 00000 n 0009846261 00000 n 0009850914 00000 n 0009851399 00000 n 0009851603 00000 n 0009851674 00000 n 0009851755 00000 n 0009852592 00000 n 0009852927 00000 n 0009855636 00000 n 0009856108 00000 n 0009856312 00000 n 0009856383 00000 n 0009856464 00000 n 0009857301 00000 n 0009857636 00000 n 0009862835 00000 n 0009863337 00000 n 0009863541 00000 n 0009863597 00000 n 0009863814 00000 n 0009863885 00000 n 0009863966 00000 n 0009864804 00000 n 0009865139 00000 n 0009870893 00000 n 0009871393 00000 n 0009871597 00000 n 0009871668 00000 n 0009871749 00000 n 0009872587 00000 n 0009872922 00000 n 0009878661 00000 n 0009879146 00000 n 0009879350 00000 n 0009879421 00000 n 0009879502 00000 n 0009880341 00000 n 0009880676 00000 n 0009883956 00000 n 0009884401 00000 n 0009884605 00000 n 0009884661 00000 n 0009884875 00000 n 0009884946 00000 n 0009885027 00000 n 0009885865 00000 n 0009886200 00000 n 0009888487 00000 n 0009888987 00000 n 0009889191 00000 n 0009889262 00000 n 0009889343 00000 n 0009890180 00000 n 0009890515 00000 n 0009895336 00000 n 0009895809 00000 n 0009896013 00000 n 0009896099 00000 n 0009896305 00000 n 0009896510 00000 n 0009896713 00000 n 0009896916 00000 n 0009896987 00000 n 0009897068 00000 n 0009897906 00000 n 0009898241 00000 n 0009901279 00000 n 0009901739 00000 n 0009901943 00000 n 0009902019 00000 n 0009902235 00000 n 0009902457 00000 n 0009902679 00000 n 0009902750 00000 n 0009902831 00000 n 0009903669 00000 n 0009904004 00000 n 0009908897 00000 n 0009909382 00000 n 0009909586 00000 n 0009909657 00000 n 0009909738 00000 n 0009910575 00000 n 0009910910 00000 n 0009914801 00000 n 0009915217 00000 n 0009915421 00000 n 0009915537 00000 n 0009915737 00000 n 0009915939 00000 n 0009916138 00000 n 0009916336 00000 n 0009916534 00000 n 0009916739 00000 n 0009916940 00000 n 0009917011 00000 n 0009917092 00000 n 0009917930 00000 n 0009918265 00000 n 0009919688 00000 n 0009920176 00000 n 0009920380 00000 n 0009920446 00000 n 0009920657 00000 n 0009920879 00000 n 0009920950 00000 n 0009921031 00000 n 0009921871 00000 n 0009922206 00000 n 0009926196 00000 n 0009926641 00000 n 0009926845 00000 n 0009926901 00000 n 0009927099 00000 n 0009927170 00000 n 0009927251 00000 n 0009928089 00000 n 0009928424 00000 n 0009930013 00000 n 0009930501 00000 n 0009930705 00000 n 0009930771 00000 n 0009930982 00000 n 0009931204 00000 n 0009931275 00000 n 0009931356 00000 n 0009932194 00000 n 0009932529 00000 n 0009937060 00000 n 0009937505 00000 n 0009937709 00000 n 0009937795 00000 n 0009937997 00000 n 0009938199 00000 n 0009938400 00000 n 0009938606 00000 n 0009938677 00000 n 0009938758 00000 n 0009939596 00000 n 0009939931 00000 n 0009942117 00000 n 0009942605 00000 n 0009942809 00000 n 0009942865 00000 n 0009943086 00000 n 0009943157 00000 n 0009943238 00000 n 0009944076 00000 n 0009944411 00000 n 0009948419 00000 n 0009948892 00000 n 0009949096 00000 n 0009949192 00000 n 0009949403 00000 n 0009949614 00000 n 0009949819 00000 n 0009950028 00000 n 0009950236 00000 n 0009950307 00000 n 0009950388 00000 n 0009951225 00000 n 0009951560 00000 n 0009953785 00000 n 0009954259 00000 n 0009954463 00000 n 0009954519 00000 n 0009954740 00000 n 0009954811 00000 n 0009954892 00000 n 0009955730 00000 n 0009956065 00000 n 0009960401 00000 n 0009960874 00000 n 0009961078 00000 n 0009961164 00000 n 0009961372 00000 n 0009961577 00000 n 0009961781 00000 n 0009961987 00000 n 0009962058 00000 n 0009962139 00000 n 0009962977 00000 n 0009963312 00000 n 0009966892 00000 n 0009967380 00000 n 0009967584 00000 n 0009967640 00000 n 0009967861 00000 n 0009967932 00000 n 0009968013 00000 n 0009968851 00000 n 0009969186 00000 n 0009973621 00000 n 0009974052 00000 n 0009974256 00000 n 0009974332 00000 n 0009974538 00000 n 0009974746 00000 n 0009974955 00000 n 0009975026 00000 n 0009975107 00000 n 0009975944 00000 n 0009976279 00000 n 0009978009 00000 n 0009978511 00000 n 0009978715 00000 n 0009978771 00000 n 0009978992 00000 n 0009979063 00000 n 0009979144 00000 n 0009979982 00000 n 0009980317 00000 n 0009984843 00000 n 0009985288 00000 n 0009985492 00000 n 0009985628 00000 n 0009985835 00000 n 0009986046 00000 n 0009986257 00000 n 0009986464 00000 n 0009986669 00000 n 0009986880 00000 n 0009987088 00000 n 0009987302 00000 n 0009987508 00000 n 0009987579 00000 n 0009987660 00000 n 0009988497 00000 n 0009988832 00000 n 0009990936 00000 n 0009991453 00000 n 0009991657 00000 n 0009991713 00000 n 0009991934 00000 n 0009992005 00000 n 0009992086 00000 n 0009992923 00000 n 0009993258 00000 n 0009998710 00000 n 0009999183 00000 n 0009999387 00000 n 0009999523 00000 n 0009999730 00000 n 0009999941 00000 n 0010000152 00000 n 0010000359 00000 n 0010000564 00000 n 0010000775 00000 n 0010000983 00000 n 0010001198 00000 n 0010001404 00000 n 0010001475 00000 n 0010001556 00000 n 0010002395 00000 n 0010002730 00000 n 0010005303 00000 n 0010005791 00000 n 0010005995 00000 n 0010006061 00000 n 0010006288 00000 n 0010006510 00000 n 0010006581 00000 n 0010006662 00000 n 0010007500 00000 n 0010007835 00000 n 0010011894 00000 n 0010012339 00000 n 0010012543 00000 n 0010012619 00000 n 0010012833 00000 n 0010013034 00000 n 0010013245 00000 n 0010013316 00000 n 0010013397 00000 n 0010014235 00000 n 0010014570 00000 n 0010016285 00000 n 0010016773 00000 n 0010016977 00000 n 0010017043 00000 n 0010017270 00000 n 0010017492 00000 n 0010017563 00000 n 0010017644 00000 n 0010018483 00000 n 0010018818 00000 n 0010023380 00000 n 0010023825 00000 n 0010024029 00000 n 0010024105 00000 n 0010024315 00000 n 0010024520 00000 n 0010024725 00000 n 0010024796 00000 n 0010024877 00000 n 0010025715 00000 n 0010026050 00000 n 0010028262 00000 n 0010028722 00000 n 0010028926 00000 n 0010028982 00000 n 0010029199 00000 n 0010029270 00000 n 0010029351 00000 n 0010030188 00000 n 0010030523 00000 n 0010035609 00000 n 0010036094 00000 n 0010036298 00000 n 0010036369 00000 n 0010036450 00000 n 0010037288 00000 n 0010037623 00000 n 0010041879 00000 n 0010042366 00000 n 0010042570 00000 n 0010042626 00000 n 0010042832 00000 n 0010042903 00000 n 0010042984 00000 n 0010043822 00000 n 0010044157 00000 n 0010048779 00000 n 0010049195 00000 n 0010049399 00000 n 0010049455 00000 n 0010049657 00000 n 0010049728 00000 n 0010049809 00000 n 0010050647 00000 n 0010050982 00000 n 0010052229 00000 n 0010052689 00000 n 0010052893 00000 n 0010052949 00000 n 0010053166 00000 n 0010053237 00000 n 0010053318 00000 n 0010054156 00000 n 0010054491 00000 n 0010058590 00000 n 0010059063 00000 n 0010059267 00000 n 0010059323 00000 n 0010059531 00000 n 0010059602 00000 n 0010059683 00000 n 0010060522 00000 n 0010060857 00000 n 0010063072 00000 n 0010063532 00000 n 0010063736 00000 n 0010063802 00000 n 0010064017 00000 n 0010064234 00000 n 0010064305 00000 n 0010064386 00000 n 0010065224 00000 n 0010065559 00000 n 0010070400 00000 n 0010070885 00000 n 0010071089 00000 n 0010071160 00000 n 0010071241 00000 n 0010072079 00000 n 0010072414 00000 n 0010076161 00000 n 0010076634 00000 n 0010076838 00000 n 0010076914 00000 n 0010077135 00000 n 0010077341 00000 n 0010077559 00000 n 0010077630 00000 n 0010077711 00000 n 0010078549 00000 n 0010078884 00000 n 0010081466 00000 n 0010081954 00000 n 0010082158 00000 n 0010082214 00000 n 0010082460 00000 n 0010082531 00000 n 0010082612 00000 n 0010083450 00000 n 0010083785 00000 n 0010087825 00000 n 0010088256 00000 n 0010088460 00000 n 0010088546 00000 n 0010088743 00000 n 0010088937 00000 n 0010089137 00000 n 0010089334 00000 n 0010089405 00000 n 0010089486 00000 n 0010090323 00000 n 0010090658 00000 n 0010092303 00000 n 0010092806 00000 n 0010093010 00000 n 0010093066 00000 n 0010093286 00000 n 0010093357 00000 n 0010093438 00000 n 0010094276 00000 n 0010094611 00000 n 0010100224 00000 n 0010100697 00000 n 0010100901 00000 n 0010100967 00000 n 0010101175 00000 n 0010101384 00000 n 0010101455 00000 n 0010101536 00000 n 0010102375 00000 n 0010102710 00000 n 0010105155 00000 n 0010105643 00000 n 0010105847 00000 n 0010105913 00000 n 0010106130 00000 n 0010106381 00000 n 0010106452 00000 n 0010106533 00000 n 0010107370 00000 n 0010107705 00000 n 0010111879 00000 n 0010112324 00000 n 0010112528 00000 n 0010112644 00000 n 0010112853 00000 n 0010113056 00000 n 0010113262 00000 n 0010113469 00000 n 0010113682 00000 n 0010113891 00000 n 0010114097 00000 n 0010114168 00000 n 0010114249 00000 n 0010115086 00000 n 0010115421 00000 n 0010117506 00000 n 0010117966 00000 n 0010118170 00000 n 0010118226 00000 n 0010118446 00000 n 0010118517 00000 n 0010118598 00000 n 0010119437 00000 n 0010119772 00000 n 0010122855 00000 n 0010123370 00000 n 0010123574 00000 n 0010123645 00000 n 0010123726 00000 n 0010124563 00000 n 0010124898 00000 n 0010130161 00000 n 0010130634 00000 n 0010130838 00000 n 0010130894 00000 n 0010131104 00000 n 0010131175 00000 n 0010131256 00000 n 0010132092 00000 n 0010132427 00000 n 0010135134 00000 n 0010135622 00000 n 0010135826 00000 n 0010135882 00000 n 0010136099 00000 n 0010136170 00000 n 0010136251 00000 n 0010137089 00000 n 0010137424 00000 n 0010141304 00000 n 0010141720 00000 n 0010141924 00000 n 0010141980 00000 n 0010142178 00000 n 0010142249 00000 n 0010142330 00000 n 0010143168 00000 n 0010143503 00000 n 0010144767 00000 n 0010145255 00000 n 0010145459 00000 n 0010145525 00000 n 0010145740 00000 n 0010145957 00000 n 0010146028 00000 n 0010146109 00000 n 0010146946 00000 n 0010147281 00000 n 0010152319 00000 n 0010152804 00000 n 0010153008 00000 n 0010153079 00000 n 0010153160 00000 n 0010153997 00000 n 0010154332 00000 n 0010158086 00000 n 0010158531 00000 n 0010158735 00000 n 0010158821 00000 n 0010159031 00000 n 0010159237 00000 n 0010159456 00000 n 0010159672 00000 n 0010159743 00000 n 0010159824 00000 n 0010160663 00000 n 0010160998 00000 n 0010163031 00000 n 0010163519 00000 n 0010163723 00000 n 0010163789 00000 n 0010164009 00000 n 0010164233 00000 n 0010164304 00000 n 0010164385 00000 n 0010165223 00000 n 0010165558 00000 n 0010170200 00000 n 0010170645 00000 n 0010170849 00000 n 0010170905 00000 n 0010171114 00000 n 0010171185 00000 n 0010171266 00000 n 0010172103 00000 n 0010172438 00000 n 0010174457 00000 n 0010174960 00000 n 0010175164 00000 n 0010175230 00000 n 0010175447 00000 n 0010175669 00000 n 0010175740 00000 n 0010175821 00000 n 0010176660 00000 n 0010176995 00000 n 0010182583 00000 n 0010183056 00000 n 0010183260 00000 n 0010183336 00000 n 0010183551 00000 n 0010183758 00000 n 0010183973 00000 n 0010184044 00000 n 0010184125 00000 n 0010184963 00000 n 0010185298 00000 n 0010188013 00000 n 0010188501 00000 n 0010188705 00000 n 0010188761 00000 n 0010188981 00000 n 0010189052 00000 n 0010189133 00000 n 0010189971 00000 n 0010190306 00000 n 0010194907 00000 n 0010195380 00000 n 0010195584 00000 n 0010195760 00000 n 0010195965 00000 n 0010196169 00000 n 0010196373 00000 n 0010196578 00000 n 0010196786 00000 n 0010196988 00000 n 0010197192 00000 n 0010197400 00000 n 0010197609 00000 n 0010197818 00000 n 0010198022 00000 n 0010198229 00000 n 0010198432 00000 n 0010198503 00000 n 0010198584 00000 n 0010199422 00000 n 0010199757 00000 n 0010202898 00000 n 0010203386 00000 n 0010203590 00000 n 0010203656 00000 n 0010203879 00000 n 0010204096 00000 n 0010204167 00000 n 0010204248 00000 n 0010205086 00000 n 0010205421 00000 n 0010210064 00000 n 0010210535 00000 n 0010210739 00000 n 0010210810 00000 n 0010210891 00000 n 0010211728 00000 n 0010212063 00000 n 0010215954 00000 n 0010216399 00000 n 0010216603 00000 n 0010216669 00000 n 0010216876 00000 n 0010217077 00000 n 0010217148 00000 n 0010217229 00000 n 0010218066 00000 n 0010218401 00000 n 0010221003 00000 n 0010221491 00000 n 0010221695 00000 n 0010221751 00000 n 0010221971 00000 n 0010222042 00000 n 0010222123 00000 n 0010222961 00000 n 0010223296 00000 n 0010227805 00000 n 0010228278 00000 n 0010228482 00000 n 0010228638 00000 n 0010228838 00000 n 0010229038 00000 n 0010229239 00000 n 0010229437 00000 n 0010229641 00000 n 0010229849 00000 n 0010230058 00000 n 0010230267 00000 n 0010230471 00000 n 0010230677 00000 n 0010230879 00000 n 0010230950 00000 n 0010231031 00000 n 0010231869 00000 n 0010232204 00000 n 0010235720 00000 n 0010236120 00000 n 0010236324 00000 n 0010236395 00000 n 0010236476 00000 n 0010237313 00000 n 0010237648 00000 n 0010238809 00000 n 0010239297 00000 n 0010239501 00000 n 0010239557 00000 n 0010239785 00000 n 0010239856 00000 n 0010239937 00000 n 0010240775 00000 n 0010241110 00000 n 0010245620 00000 n 0010246093 00000 n 0010246297 00000 n 0010246453 00000 n 0010246657 00000 n 0010246861 00000 n 0010247066 00000 n 0010247269 00000 n 0010247474 00000 n 0010247682 00000 n 0010247890 00000 n 0010248099 00000 n 0010248303 00000 n 0010248510 00000 n 0010248714 00000 n 0010248785 00000 n 0010248866 00000 n 0010249704 00000 n 0010250039 00000 n 0010253124 00000 n 0010253624 00000 n 0010253828 00000 n 0010253899 00000 n 0010253980 00000 n 0010254820 00000 n 0010255155 00000 n 0010259212 00000 n 0010259657 00000 n 0010259861 00000 n 0010259937 00000 n 0010260137 00000 n 0010260324 00000 n 0010260516 00000 n 0010260587 00000 n 0010260668 00000 n 0010261508 00000 n 0010261843 00000 n 0010263401 00000 n 0010263889 00000 n 0010264093 00000 n 0010264149 00000 n 0010264370 00000 n 0010264441 00000 n 0010264522 00000 n 0010265360 00000 n 0010265695 00000 n 0010269861 00000 n 0010270306 00000 n 0010270510 00000 n 0010270656 00000 n 0010270857 00000 n 0010271054 00000 n 0010271259 00000 n 0010271464 00000 n 0010271663 00000 n 0010271874 00000 n 0010272082 00000 n 0010272292 00000 n 0010272501 00000 n 0010272711 00000 n 0010272782 00000 n 0010272863 00000 n 0010273701 00000 n 0010274036 00000 n 0010275902 00000 n 0010276348 00000 n 0010276552 00000 n 0010276608 00000 n 0010276822 00000 n 0010276893 00000 n 0010276974 00000 n 0010277812 00000 n 0010278147 00000 n 0010283176 00000 n 0010283676 00000 n 0010283880 00000 n 0010283951 00000 n 0010284032 00000 n 0010284871 00000 n 0010285206 00000 n 0010290764 00000 n 0010291250 00000 n 0010291454 00000 n 0010291525 00000 n 0010291606 00000 n 0010292444 00000 n 0010292779 00000 n 0010298858 00000 n 0010299344 00000 n 0010299548 00000 n 0010299619 00000 n 0010299700 00000 n 0010300538 00000 n 0010300873 00000 n 0010308275 00000 n 0010308775 00000 n 0010308979 00000 n 0010309050 00000 n 0010309131 00000 n 0010309970 00000 n 0010310305 00000 n 0010316196 00000 n 0010316641 00000 n 0010316845 00000 n 0010316991 00000 n 0010317187 00000 n 0010317383 00000 n 0010317582 00000 n 0010317780 00000 n 0010317989 00000 n 0010318194 00000 n 0010318404 00000 n 0010318612 00000 n 0010318818 00000 n 0010319025 00000 n 0010319096 00000 n 0010319177 00000 n 0010320016 00000 n 0010320351 00000 n 0010323369 00000 n 0010323869 00000 n 0010324073 00000 n 0010324144 00000 n 0010324225 00000 n 0010325064 00000 n 0010325399 00000 n 0010330222 00000 n 0010330695 00000 n 0010330899 00000 n 0010330995 00000 n 0010331195 00000 n 0010331402 00000 n 0010331603 00000 n 0010331801 00000 n 0010331999 00000 n 0010332070 00000 n 0010332151 00000 n 0010332990 00000 n 0010333325 00000 n 0010336678 00000 n 0010337166 00000 n 0010337370 00000 n 0010337426 00000 n 0010337647 00000 n 0010337718 00000 n 0010337799 00000 n 0010338637 00000 n 0010338972 00000 n 0010343461 00000 n 0010343934 00000 n 0010344138 00000 n 0010344244 00000 n 0010344455 00000 n 0010344666 00000 n 0010344871 00000 n 0010345080 00000 n 0010345288 00000 n 0010345494 00000 n 0010345565 00000 n 0010345646 00000 n 0010346483 00000 n 0010346818 00000 n 0010349771 00000 n 0010350259 00000 n 0010350523 00000 n 0010350727 00000 n 0010350793 00000 n 0010351014 00000 n 0010351085 00000 n 0010351166 00000 n 0010352004 00000 n 0010352339 00000 n 0010357100 00000 n 0010357573 00000 n 0010357777 00000 n 0010357923 00000 n 0010358130 00000 n 0010358337 00000 n 0010358548 00000 n 0010358759 00000 n 0010358964 00000 n 0010359175 00000 n 0010359383 00000 n 0010359593 00000 n 0010359805 00000 n 0010360015 00000 n 0010360086 00000 n 0010360167 00000 n 0010361007 00000 n 0010361342 00000 n 0010363711 00000 n 0010364185 00000 n 0010364389 00000 n 0010364445 00000 n 0010364666 00000 n 0010364737 00000 n 0010364818 00000 n 0010365655 00000 n 0010365990 00000 n 0010370774 00000 n 0010371259 00000 n 0010371463 00000 n 0010371534 00000 n 0010371615 00000 n 0010372453 00000 n 0010372788 00000 n 0010377263 00000 n 0010377734 00000 n 0010377938 00000 n 0010378009 00000 n 0010378090 00000 n 0010378928 00000 n 0010379263 00000 n 0010383801 00000 n 0010384301 00000 n 0010384505 00000 n 0010384576 00000 n 0010384657 00000 n 0010385495 00000 n 0010385830 00000 n 0010390984 00000 n 0010391469 00000 n 0010391673 00000 n 0010391744 00000 n 0010391825 00000 n 0010392662 00000 n 0010392997 00000 n 0010397400 00000 n 0010397902 00000 n 0010398106 00000 n 0010398292 00000 n 0010398506 00000 n 0010398717 00000 n 0010398925 00000 n 0010399138 00000 n 0010399346 00000 n 0010399551 00000 n 0010399761 00000 n 0010399968 00000 n 0010400174 00000 n 0010400380 00000 n 0010400592 00000 n 0010400800 00000 n 0010401012 00000 n 0010401223 00000 n 0010401294 00000 n 0010401375 00000 n 0010402213 00000 n 0010402548 00000 n 0010406225 00000 n 0010406685 00000 n 0010406889 00000 n 0010406945 00000 n 0010407166 00000 n 0010407237 00000 n 0010407318 00000 n 0010408157 00000 n 0010408492 00000 n 0010412696 00000 n 0010413169 00000 n 0010413373 00000 n 0010413489 00000 n 0010413701 00000 n 0010413912 00000 n 0010414122 00000 n 0010414334 00000 n 0010414544 00000 n 0010414757 00000 n 0010414968 00000 n 0010415039 00000 n 0010415120 00000 n 0010415956 00000 n 0010416291 00000 n 0010418860 00000 n 0010419320 00000 n 0010419524 00000 n 0010419580 00000 n 0010419801 00000 n 0010419872 00000 n 0010419953 00000 n 0010420791 00000 n 0010421126 00000 n 0010425520 00000 n 0010425993 00000 n 0010426197 00000 n 0010426313 00000 n 0010426526 00000 n 0010426737 00000 n 0010426948 00000 n 0010427161 00000 n 0010427372 00000 n 0010427586 00000 n 0010427798 00000 n 0010427869 00000 n 0010427950 00000 n 0010428788 00000 n 0010429123 00000 n 0010431664 00000 n 0010432124 00000 n 0010432328 00000 n 0010432394 00000 n 0010432615 00000 n 0010432837 00000 n 0010432908 00000 n 0010432989 00000 n 0010433827 00000 n 0010434162 00000 n 0010438029 00000 n 0010438514 00000 n 0010438718 00000 n 0010438789 00000 n 0010438870 00000 n 0010439707 00000 n 0010440042 00000 n 0010443133 00000 n 0010443606 00000 n 0010443810 00000 n 0010443916 00000 n 0010444127 00000 n 0010444338 00000 n 0010444546 00000 n 0010444755 00000 n 0010444964 00000 n 0010445173 00000 n 0010445244 00000 n 0010445325 00000 n 0010446164 00000 n 0010446499 00000 n 0010449170 00000 n 0010449630 00000 n 0010449834 00000 n 0010449900 00000 n 0010450121 00000 n 0010450343 00000 n 0010450414 00000 n 0010450495 00000 n 0010451334 00000 n 0010451669 00000 n 0010456086 00000 n 0010456571 00000 n 0010456775 00000 n 0010456846 00000 n 0010456927 00000 n 0010457765 00000 n 0010458100 00000 n 0010461730 00000 n 0010462218 00000 n 0010462422 00000 n 0010462528 00000 n 0010462739 00000 n 0010462950 00000 n 0010463158 00000 n 0010463369 00000 n 0010463578 00000 n 0010463787 00000 n 0010463858 00000 n 0010463939 00000 n 0010464777 00000 n 0010465112 00000 n 0010469077 00000 n 0010469565 00000 n 0010469769 00000 n 0010469825 00000 n 0010470054 00000 n 0010470125 00000 n 0010470206 00000 n 0010471044 00000 n 0010471379 00000 n 0010475470 00000 n 0010475901 00000 n 0010476105 00000 n 0010476201 00000 n 0010476404 00000 n 0010476604 00000 n 0010476809 00000 n 0010477011 00000 n 0010477213 00000 n 0010477284 00000 n 0010477365 00000 n 0010478203 00000 n 0010478538 00000 n 0010480169 00000 n 0010480657 00000 n 0010480861 00000 n 0010480917 00000 n 0010481146 00000 n 0010481217 00000 n 0010481298 00000 n 0010482135 00000 n 0010482470 00000 n 0010486802 00000 n 0010487304 00000 n 0010487508 00000 n 0010487614 00000 n 0010487823 00000 n 0010488033 00000 n 0010488244 00000 n 0010488452 00000 n 0010488660 00000 n 0010488868 00000 n 0010488939 00000 n 0010489020 00000 n 0010489857 00000 n 0010490192 00000 n 0010494218 00000 n 0010494706 00000 n 0010494910 00000 n 0010494966 00000 n 0010495186 00000 n 0010495257 00000 n 0010495338 00000 n 0010496177 00000 n 0010496512 00000 n 0010500660 00000 n 0010501105 00000 n 0010501309 00000 n 0010501365 00000 n 0010501572 00000 n 0010501643 00000 n 0010501724 00000 n 0010502561 00000 n 0010502896 00000 n 0010504581 00000 n 0010505069 00000 n 0010505273 00000 n 0010505329 00000 n 0010505549 00000 n 0010505620 00000 n 0010505701 00000 n 0010506540 00000 n 0010506875 00000 n 0010511650 00000 n 0010512123 00000 n 0010512327 00000 n 0010512383 00000 n 0010512593 00000 n 0010512664 00000 n 0010512745 00000 n 0010513585 00000 n 0010513920 00000 n 0010517580 00000 n 0010518040 00000 n 0010518244 00000 n 0010518300 00000 n 0010518520 00000 n 0010518591 00000 n 0010518672 00000 n 0010519509 00000 n 0010519844 00000 n 0010524107 00000 n 0010524592 00000 n 0010524796 00000 n 0010524867 00000 n 0010524948 00000 n 0010525786 00000 n 0010526121 00000 n 0010530549 00000 n 0010531022 00000 n 0010531226 00000 n 0010531302 00000 n 0010531510 00000 n 0010531719 00000 n 0010531925 00000 n 0010531996 00000 n 0010532077 00000 n 0010532915 00000 n 0010533250 00000 n 0010535380 00000 n 0010535840 00000 n 0010536044 00000 n 0010536100 00000 n 0010536322 00000 n 0010536393 00000 n 0010536474 00000 n 0010537311 00000 n 0010537646 00000 n 0010541807 00000 n 0010542280 00000 n 0010542484 00000 n 0010542570 00000 n 0010542782 00000 n 0010542995 00000 n 0010543205 00000 n 0010543415 00000 n 0010543486 00000 n 0010543567 00000 n 0010544405 00000 n 0010544740 00000 n 0010548380 00000 n 0010548840 00000 n 0010549044 00000 n 0010549110 00000 n 0010549331 00000 n 0010549553 00000 n 0010549624 00000 n 0010549705 00000 n 0010550543 00000 n 0010550878 00000 n 0010555080 00000 n 0010555594 00000 n 0010555798 00000 n 0010555869 00000 n 0010555950 00000 n 0010556788 00000 n 0010557123 00000 n 0010562394 00000 n 0010562908 00000 n 0010563112 00000 n 0010563183 00000 n 0010563264 00000 n 0010564101 00000 n 0010564436 00000 n 0010568612 00000 n 0010569057 00000 n 0010569261 00000 n 0010569367 00000 n 0010569578 00000 n 0010569789 00000 n 0010569997 00000 n 0010570208 00000 n 0010570417 00000 n 0010570626 00000 n 0010570697 00000 n 0010570778 00000 n 0010571616 00000 n 0010571951 00000 n 0010574113 00000 n 0010574573 00000 n 0010574777 00000 n 0010574843 00000 n 0010575064 00000 n 0010575286 00000 n 0010575357 00000 n 0010575438 00000 n 0010576276 00000 n 0010576611 00000 n 0010580843 00000 n 0010581357 00000 n 0010581561 00000 n 0010581632 00000 n 0010581713 00000 n 0010582550 00000 n 0010582885 00000 n 0010588173 00000 n 0010588687 00000 n 0010588891 00000 n 0010588962 00000 n 0010589043 00000 n 0010589880 00000 n 0010590215 00000 n 0010594421 00000 n 0010594866 00000 n 0010595070 00000 n 0010595166 00000 n 0010595377 00000 n 0010595588 00000 n 0010595796 00000 n 0010596007 00000 n 0010596216 00000 n 0010596287 00000 n 0010596368 00000 n 0010597206 00000 n 0010597541 00000 n 0010599685 00000 n 0010600201 00000 n 0010600405 00000 n 0010600461 00000 n 0010600686 00000 n 0010600757 00000 n 0010600838 00000 n 0010601676 00000 n 0010602011 00000 n 0010606567 00000 n 0010607012 00000 n 0010607216 00000 n 0010607302 00000 n 0010607516 00000 n 0010607727 00000 n 0010607933 00000 n 0010608143 00000 n 0010608214 00000 n 0010608295 00000 n 0010609132 00000 n 0010609467 00000 n 0010611273 00000 n 0010611761 00000 n 0010611965 00000 n 0010612021 00000 n 0010612243 00000 n 0010612314 00000 n 0010612395 00000 n 0010613233 00000 n 0010613568 00000 n 0010617751 00000 n 0010618224 00000 n 0010618428 00000 n 0010618504 00000 n 0010618707 00000 n 0010618915 00000 n 0010619125 00000 n 0010619196 00000 n 0010619277 00000 n 0010620114 00000 n 0010620449 00000 n 0010622546 00000 n 0010623049 00000 n 0010623253 00000 n 0010623309 00000 n 0010623521 00000 n 0010623592 00000 n 0010623673 00000 n 0010624511 00000 n 0010624846 00000 n 0010630562 00000 n 0010631062 00000 n 0010631266 00000 n 0010631337 00000 n 0010631418 00000 n 0010632256 00000 n 0010632591 00000 n 0010638208 00000 n 0010638693 00000 n 0010638897 00000 n 0010638968 00000 n 0010639049 00000 n 0010639886 00000 n 0010640221 00000 n 0010644247 00000 n 0010644663 00000 n 0010644867 00000 n 0010644963 00000 n 0010645166 00000 n 0010645364 00000 n 0010645568 00000 n 0010645770 00000 n 0010645971 00000 n 0010646042 00000 n 0010646123 00000 n 0010646961 00000 n 0010647296 00000 n 0010648672 00000 n 0010649160 00000 n 0010649364 00000 n 0010649430 00000 n 0010649641 00000 n 0010649858 00000 n 0010649929 00000 n 0010650010 00000 n 0010650849 00000 n 0010651184 00000 n 0010655735 00000 n 0010656208 00000 n 0010656412 00000 n 0010656478 00000 n 0010656684 00000 n 0010656891 00000 n 0010656962 00000 n 0010657043 00000 n 0010657881 00000 n 0010658216 00000 n 0010660978 00000 n 0010661481 00000 n 0010661685 00000 n 0010661751 00000 n 0010661962 00000 n 0010662179 00000 n 0010662250 00000 n 0010662331 00000 n 0010663169 00000 n 0010663504 00000 n 0010669243 00000 n 0010669757 00000 n 0010669961 00000 n 0010670032 00000 n 0010670113 00000 n 0010670952 00000 n 0010671287 00000 n 0010676015 00000 n 0010676488 00000 n 0010676692 00000 n 0010676758 00000 n 0010676956 00000 n 0010677158 00000 n 0010677229 00000 n 0010677310 00000 n 0010678147 00000 n 0010678482 00000 n 0010680684 00000 n 0010681172 00000 n 0010681376 00000 n 0010681442 00000 n 0010681653 00000 n 0010681870 00000 n 0010681941 00000 n 0010682022 00000 n 0010682860 00000 n 0010683195 00000 n 0010687978 00000 n 0010688463 00000 n 0010688667 00000 n 0010688738 00000 n 0010688819 00000 n 0010689657 00000 n 0010689992 00000 n 0010693976 00000 n 0010694421 00000 n 0010694625 00000 n 0010694681 00000 n 0010694882 00000 n 0010694953 00000 n 0010695034 00000 n 0010695873 00000 n 0010696208 00000 n 0010697844 00000 n 0010698332 00000 n 0010698536 00000 n 0010698602 00000 n 0010698813 00000 n 0010699030 00000 n 0010699101 00000 n 0010699182 00000 n 0010700020 00000 n 0010700355 00000 n 0010704920 00000 n 0010705393 00000 n 0010705597 00000 n 0010705663 00000 n 0010705869 00000 n 0010706071 00000 n 0010706142 00000 n 0010706223 00000 n 0010707061 00000 n 0010707396 00000 n 0010710273 00000 n 0010710733 00000 n 0010710937 00000 n 0010711003 00000 n 0010711214 00000 n 0010711431 00000 n 0010711502 00000 n 0010711583 00000 n 0010712421 00000 n 0010712756 00000 n 0010717526 00000 n 0010718026 00000 n 0010718230 00000 n 0010718301 00000 n 0010718382 00000 n 0010719220 00000 n 0010719555 00000 n 0010724744 00000 n 0010725217 00000 n 0010725421 00000 n 0010725517 00000 n 0010725723 00000 n 0010725939 00000 n 0010726143 00000 n 0010726353 00000 n 0010726564 00000 n 0010726635 00000 n 0010726716 00000 n 0010727553 00000 n 0010727888 00000 n 0010731021 00000 n 0010731481 00000 n 0010731685 00000 n 0010731751 00000 n 0010731962 00000 n 0010732179 00000 n 0010732250 00000 n 0010732331 00000 n 0010733169 00000 n 0010733504 00000 n 0010738312 00000 n 0010738797 00000 n 0010739001 00000 n 0010739072 00000 n 0010739153 00000 n 0010739992 00000 n 0010740327 00000 n 0010743685 00000 n 0010744130 00000 n 0010744334 00000 n 0010744410 00000 n 0010744611 00000 n 0010744812 00000 n 0010745013 00000 n 0010745084 00000 n 0010745165 00000 n 0010746003 00000 n 0010746338 00000 n 0010748290 00000 n 0010748778 00000 n 0010748982 00000 n 0010749038 00000 n 0010749255 00000 n 0010749326 00000 n 0010749407 00000 n 0010750244 00000 n 0010750579 00000 n 0010755211 00000 n 0010755684 00000 n 0010755888 00000 n 0010755944 00000 n 0010756151 00000 n 0010756222 00000 n 0010756303 00000 n 0010757142 00000 n 0010757477 00000 n 0010759617 00000 n 0010760105 00000 n 0010760309 00000 n 0010760385 00000 n 0010760596 00000 n 0010760813 00000 n 0010761037 00000 n 0010761108 00000 n 0010761189 00000 n 0010762026 00000 n 0010762361 00000 n 0010766974 00000 n 0010767447 00000 n 0010767651 00000 n 0010767727 00000 n 0010767930 00000 n 0010768139 00000 n 0010768346 00000 n 0010768417 00000 n 0010768498 00000 n 0010769335 00000 n 0010769670 00000 n 0010773339 00000 n 0010773842 00000 n 0010774046 00000 n 0010774112 00000 n 0010774324 00000 n 0010774548 00000 n 0010774619 00000 n 0010774700 00000 n 0010775538 00000 n 0010775873 00000 n 0010781227 00000 n 0010781700 00000 n 0010781904 00000 n 0010781980 00000 n 0010782183 00000 n 0010782388 00000 n 0010782594 00000 n 0010782665 00000 n 0010782746 00000 n 0010783585 00000 n 0010783920 00000 n 0010786883 00000 n 0010787386 00000 n 0010787590 00000 n 0010787656 00000 n 0010787867 00000 n 0010788084 00000 n 0010788155 00000 n 0010788236 00000 n 0010789073 00000 n 0010789408 00000 n 0010795126 00000 n 0010795611 00000 n 0010795815 00000 n 0010795886 00000 n 0010795967 00000 n 0010796804 00000 n 0010797139 00000 n 0010800510 00000 n 0010800955 00000 n 0010801159 00000 n 0010801245 00000 n 0010801443 00000 n 0010801645 00000 n 0010801848 00000 n 0010802055 00000 n 0010802126 00000 n 0010802207 00000 n 0010803046 00000 n 0010803381 00000 n 0010805310 00000 n 0010805798 00000 n 0010806002 00000 n 0010806068 00000 n 0010806279 00000 n 0010806496 00000 n 0010806567 00000 n 0010806648 00000 n 0010807486 00000 n 0010807821 00000 n 0010811949 00000 n 0010812380 00000 n 0010812584 00000 n 0010812640 00000 n 0010812851 00000 n 0010812922 00000 n 0010813003 00000 n 0010813840 00000 n 0010814175 00000 n 0010815852 00000 n 0010816355 00000 n 0010816559 00000 n 0010816615 00000 n 0010816836 00000 n 0010816907 00000 n 0010816988 00000 n 0010817827 00000 n 0010818162 00000 n 0010823175 00000 n 0010823648 00000 n 0010823852 00000 n 0010823968 00000 n 0010824178 00000 n 0010824389 00000 n 0010824598 00000 n 0010824804 00000 n 0010825013 00000 n 0010825220 00000 n 0010825429 00000 n 0010825500 00000 n 0010825581 00000 n 0010826419 00000 n 0010826754 00000 n 0010830246 00000 n 0010830648 00000 n 0010830852 00000 n 0010830908 00000 n 0010831108 00000 n 0010831179 00000 n 0010831260 00000 n 0010832097 00000 n 0010832432 00000 n 0010833670 00000 n 0010834173 00000 n 0010834377 00000 n 0010834443 00000 n 0010834654 00000 n 0010834871 00000 n 0010834942 00000 n 0010835023 00000 n 0010835861 00000 n 0010836196 00000 n 0010842017 00000 n 0010842517 00000 n 0010842721 00000 n 0010842792 00000 n 0010842873 00000 n 0010843711 00000 n 0010844046 00000 n 0010848664 00000 n 0010849149 00000 n 0010849353 00000 n 0010849424 00000 n 0010849505 00000 n 0010850342 00000 n 0010850677 00000 n 0010854272 00000 n 0010854717 00000 n 0010854921 00000 n 0010855047 00000 n 0010855245 00000 n 0010855443 00000 n 0010855649 00000 n 0010855855 00000 n 0010856058 00000 n 0010856265 00000 n 0010856472 00000 n 0010856682 00000 n 0010856753 00000 n 0010856834 00000 n 0010857671 00000 n 0010858006 00000 n 0010860208 00000 n 0010860696 00000 n 0010860900 00000 n 0010860956 00000 n 0010861168 00000 n 0010861239 00000 n 0010861320 00000 n 0010862158 00000 n 0010862493 00000 n 0010867992 00000 n 0010868465 00000 n 0010868669 00000 n 0010868755 00000 n 0010868959 00000 n 0010869165 00000 n 0010869371 00000 n 0010869585 00000 n 0010869656 00000 n 0010869737 00000 n 0010870575 00000 n 0010870910 00000 n 0010874728 00000 n 0010875144 00000 n 0010875348 00000 n 0010875444 00000 n 0010875645 00000 n 0010875846 00000 n 0010876055 00000 n 0010876260 00000 n 0010876465 00000 n 0010876536 00000 n 0010876617 00000 n 0010877454 00000 n 0010877789 00000 n 0010879159 00000 n 0010879647 00000 n 0010879851 00000 n 0010879917 00000 n 0010880128 00000 n 0010880345 00000 n 0010880416 00000 n 0010880497 00000 n 0010881335 00000 n 0010881670 00000 n 0010886861 00000 n 0010887334 00000 n 0010887538 00000 n 0010887614 00000 n 0010887819 00000 n 0010888022 00000 n 0010888228 00000 n 0010888299 00000 n 0010888380 00000 n 0010889218 00000 n 0010889553 00000 n 0010892486 00000 n 0010892974 00000 n 0010893178 00000 n 0010893244 00000 n 0010893455 00000 n 0010893672 00000 n 0010893743 00000 n 0010893824 00000 n 0010894661 00000 n 0010894996 00000 n 0010899213 00000 n 0010899629 00000 n 0010899833 00000 n 0010899939 00000 n 0010900137 00000 n 0010900333 00000 n 0010900530 00000 n 0010900731 00000 n 0010900940 00000 n 0010901142 00000 n 0010901213 00000 n 0010901294 00000 n 0010902132 00000 n 0010902467 00000 n 0010903855 00000 n 0010904343 00000 n 0010904547 00000 n 0010904613 00000 n 0010904824 00000 n 0010905041 00000 n 0010905112 00000 n 0010905193 00000 n 0010906030 00000 n 0010906365 00000 n 0010910533 00000 n 0010910964 00000 n 0010911168 00000 n 0010911274 00000 n 0010911472 00000 n 0010911668 00000 n 0010911871 00000 n 0010912071 00000 n 0010912283 00000 n 0010912485 00000 n 0010912556 00000 n 0010912637 00000 n 0010913475 00000 n 0010913810 00000 n 0010915716 00000 n 0010916204 00000 n 0010916408 00000 n 0010916464 00000 n 0010916676 00000 n 0010916747 00000 n 0010916828 00000 n 0010917666 00000 n 0010918001 00000 n 0010922137 00000 n 0010922553 00000 n 0010922757 00000 n 0010922833 00000 n 0010923033 00000 n 0010923234 00000 n 0010923436 00000 n 0010923507 00000 n 0010923588 00000 n 0010924426 00000 n 0010924761 00000 n 0010926078 00000 n 0010926566 00000 n 0010926770 00000 n 0010926836 00000 n 0010927047 00000 n 0010927264 00000 n 0010927335 00000 n 0010927416 00000 n 0010928253 00000 n 0010928588 00000 n 0010932761 00000 n 0010933177 00000 n 0010933381 00000 n 0010933477 00000 n 0010933675 00000 n 0010933871 00000 n 0010934068 00000 n 0010934269 00000 n 0010934478 00000 n 0010934549 00000 n 0010934630 00000 n 0010935468 00000 n 0010935803 00000 n 0010937170 00000 n 0010937673 00000 n 0010937877 00000 n 0010937943 00000 n 0010938154 00000 n 0010938371 00000 n 0010938442 00000 n 0010938523 00000 n 0010939362 00000 n 0010939697 00000 n 0010945368 00000 n 0010945882 00000 n 0010946086 00000 n 0010946157 00000 n 0010946238 00000 n 0010947076 00000 n 0010947411 00000 n 0010951972 00000 n 0010952472 00000 n 0010952676 00000 n 0010952747 00000 n 0010952828 00000 n 0010953666 00000 n 0010954001 00000 n 0010958479 00000 n 0010958924 00000 n 0010959128 00000 n 0010959254 00000 n 0010959452 00000 n 0010959648 00000 n 0010959845 00000 n 0010960046 00000 n 0010960245 00000 n 0010960446 00000 n 0010960650 00000 n 0010960853 00000 n 0010960924 00000 n 0010961005 00000 n 0010961843 00000 n 0010962178 00000 n 0010964906 00000 n 0010965394 00000 n 0010965598 00000 n 0010965664 00000 n 0010965875 00000 n 0010966092 00000 n 0010966163 00000 n 0010966244 00000 n 0010967082 00000 n 0010967417 00000 n 0010971908 00000 n 0010972353 00000 n 0010972557 00000 n 0010972633 00000 n 0010972831 00000 n 0010973029 00000 n 0010973225 00000 n 0010973296 00000 n 0010973377 00000 n 0010974214 00000 n 0010974549 00000 n 0010976605 00000 n 0010977108 00000 n 0010977312 00000 n 0010977378 00000 n 0010977589 00000 n 0010977806 00000 n 0010977877 00000 n 0010977958 00000 n 0010978796 00000 n 0010979131 00000 n 0010984325 00000 n 0010984782 00000 n 0010984986 00000 n 0010985057 00000 n 0010985138 00000 n 0010985978 00000 n 0010986313 00000 n 0010989944 00000 n 0010990417 00000 n 0010990621 00000 n 0010990717 00000 n 0010990921 00000 n 0010991123 00000 n 0010991326 00000 n 0010991533 00000 n 0010991740 00000 n 0010991811 00000 n 0010991892 00000 n 0010992730 00000 n 0010993065 00000 n 0010996139 00000 n 0010996599 00000 n 0010996803 00000 n 0010996859 00000 n 0010997076 00000 n 0010997147 00000 n 0010997228 00000 n 0010998066 00000 n 0010998401 00000 n 0011003553 00000 n 0011004039 00000 n 0011004243 00000 n 0011004314 00000 n 0011004395 00000 n 0011005234 00000 n 0011005569 00000 n 0011011308 00000 n 0011011822 00000 n 0011012026 00000 n 0011012097 00000 n 0011012178 00000 n 0011013016 00000 n 0011013351 00000 n 0011018800 00000 n 0011019324 00000 n 0011019597 00000 n 0011019801 00000 n 0011019872 00000 n 0011019953 00000 n 0011020790 00000 n 0011021125 00000 n 0011026769 00000 n 0011027257 00000 n 0011027461 00000 n 0011027527 00000 n 0011027733 00000 n 0011027932 00000 n 0011028003 00000 n 0011028084 00000 n 0011028922 00000 n 0011029257 00000 n 0011034394 00000 n 0011034810 00000 n 0011035014 00000 n 0011035080 00000 n 0011035284 00000 n 0011035481 00000 n 0011035552 00000 n 0011035633 00000 n 0011036472 00000 n 0011036807 00000 n 0011038096 00000 n 0011038556 00000 n 0011038760 00000 n 0011038826 00000 n 0011039037 00000 n 0011039254 00000 n 0011039325 00000 n 0011039406 00000 n 0011040243 00000 n 0011040578 00000 n 0011045114 00000 n 0011045585 00000 n 0011045789 00000 n 0011045860 00000 n 0011045941 00000 n 0011046779 00000 n 0011047114 00000 n 0011051442 00000 n 0011051927 00000 n 0011052131 00000 n 0011052202 00000 n 0011052283 00000 n 0011053121 00000 n 0011053456 00000 n 0011057150 00000 n 0011057664 00000 n 0011057868 00000 n 0011057939 00000 n 0011058020 00000 n 0011058858 00000 n 0011059193 00000 n 0011064022 00000 n 0011064452 00000 n 0011064656 00000 n 0011064742 00000 n 0011064943 00000 n 0011065152 00000 n 0011065355 00000 n 0011065559 00000 n 0011065630 00000 n 0011065711 00000 n 0011066548 00000 n 0011066883 00000 n 0011068238 00000 n 0011068698 00000 n 0011068902 00000 n 0011068978 00000 n 0011069189 00000 n 0011069406 00000 n 0011069628 00000 n 0011069699 00000 n 0011069780 00000 n 0011070618 00000 n 0011070953 00000 n 0011075941 00000 n 0011076426 00000 n 0011076630 00000 n 0011076701 00000 n 0011076782 00000 n 0011077620 00000 n 0011077955 00000 n 0011081945 00000 n 0011082430 00000 n 0011082634 00000 n 0011082705 00000 n 0011082786 00000 n 0011083623 00000 n 0011083958 00000 n 0011088088 00000 n 0011088533 00000 n 0011088737 00000 n 0011088813 00000 n 0011089028 00000 n 0011089228 00000 n 0011089442 00000 n 0011089513 00000 n 0011089594 00000 n 0011090432 00000 n 0011090767 00000 n 0011092597 00000 n 0011093100 00000 n 0011093304 00000 n 0011093370 00000 n 0011093581 00000 n 0011093798 00000 n 0011093869 00000 n 0011093950 00000 n 0011094788 00000 n 0011095123 00000 n 0011100955 00000 n 0011101469 00000 n 0011101673 00000 n 0011101744 00000 n 0011101825 00000 n 0011102662 00000 n 0011102997 00000 n 0011107520 00000 n 0011107993 00000 n 0011108197 00000 n 0011108293 00000 n 0011108497 00000 n 0011108703 00000 n 0011108906 00000 n 0011109113 00000 n 0011109322 00000 n 0011109393 00000 n 0011109474 00000 n 0011110311 00000 n 0011110646 00000 n 0011113923 00000 n 0011114411 00000 n 0011114615 00000 n 0011114681 00000 n 0011114893 00000 n 0011115126 00000 n 0011115197 00000 n 0011115278 00000 n 0011116115 00000 n 0011116450 00000 n 0011121066 00000 n 0011121539 00000 n 0011121743 00000 n 0011121819 00000 n 0011122025 00000 n 0011122227 00000 n 0011122426 00000 n 0011122497 00000 n 0011122578 00000 n 0011123416 00000 n 0011123751 00000 n 0011126778 00000 n 0011127238 00000 n 0011127442 00000 n 0011127498 00000 n 0011127744 00000 n 0011127815 00000 n 0011127896 00000 n 0011128733 00000 n 0011129068 00000 n 0011133496 00000 n 0011133969 00000 n 0011134173 00000 n 0011134229 00000 n 0011134430 00000 n 0011134501 00000 n 0011134582 00000 n 0011135420 00000 n 0011135755 00000 n 0011139225 00000 n 0011139627 00000 n 0011139831 00000 n 0011139907 00000 n 0011140105 00000 n 0011140305 00000 n 0011140504 00000 n 0011140575 00000 n 0011140656 00000 n 0011141495 00000 n 0011141830 00000 n 0011143109 00000 n 0011143626 00000 n 0011143830 00000 n 0011143896 00000 n 0011144116 00000 n 0011144367 00000 n 0011144438 00000 n 0011144519 00000 n 0011145356 00000 n 0011145691 00000 n 0011151224 00000 n 0011151697 00000 n 0011151901 00000 n 0011152007 00000 n 0011152215 00000 n 0011152418 00000 n 0011152627 00000 n 0011152835 00000 n 0011153041 00000 n 0011153250 00000 n 0011153321 00000 n 0011153402 00000 n 0011154239 00000 n 0011154574 00000 n 0011157189 00000 n 0011157692 00000 n 0011157896 00000 n 0011157962 00000 n 0011158177 00000 n 0011158394 00000 n 0011158465 00000 n 0011158546 00000 n 0011159383 00000 n 0011159718 00000 n 0011165363 00000 n 0011165848 00000 n 0011166052 00000 n 0011166123 00000 n 0011166204 00000 n 0011167041 00000 n 0011167376 00000 n 0011171192 00000 n 0011171637 00000 n 0011171841 00000 n 0011171917 00000 n 0011172115 00000 n 0011172319 00000 n 0011172523 00000 n 0011172594 00000 n 0011172675 00000 n 0011173512 00000 n 0011173847 00000 n 0011176042 00000 n 0011176530 00000 n 0011176734 00000 n 0011176800 00000 n 0011177011 00000 n 0011177228 00000 n 0011177299 00000 n 0011177380 00000 n 0011178217 00000 n 0011178552 00000 n 0011182834 00000 n 0011183279 00000 n 0011183483 00000 n 0011183549 00000 n 0011183747 00000 n 0011183947 00000 n 0011184018 00000 n 0011184099 00000 n 0011184937 00000 n 0011185272 00000 n 0011186927 00000 n 0011187430 00000 n 0011187634 00000 n 0011187710 00000 n 0011187927 00000 n 0011188178 00000 n 0011188395 00000 n 0011188466 00000 n 0011188547 00000 n 0011189384 00000 n 0011189719 00000 n 0011195132 00000 n 0011195646 00000 n 0011195850 00000 n 0011195921 00000 n 0011196002 00000 n 0011196840 00000 n 0011197175 00000 n 0011202342 00000 n 0011202827 00000 n 0011203031 00000 n 0011203102 00000 n 0011203183 00000 n 0011204021 00000 n 0011204356 00000 n 0011208321 00000 n 0011208766 00000 n 0011208970 00000 n 0011209126 00000 n 0011209335 00000 n 0011209544 00000 n 0011209748 00000 n 0011209951 00000 n 0011210157 00000 n 0011210359 00000 n 0011210564 00000 n 0011210771 00000 n 0011210984 00000 n 0011211193 00000 n 0011211401 00000 n 0011211472 00000 n 0011211553 00000 n 0011212391 00000 n 0011212726 00000 n 0011215252 00000 n 0011215769 00000 n 0011215973 00000 n 0011216029 00000 n 0011216249 00000 n 0011216320 00000 n 0011216401 00000 n 0011217239 00000 n 0011217574 00000 n 0011223090 00000 n 0011223563 00000 n 0011223767 00000 n 0011223863 00000 n 0011224067 00000 n 0011224274 00000 n 0011224489 00000 n 0011224691 00000 n 0011224897 00000 n 0011224968 00000 n 0011225049 00000 n 0011225887 00000 n 0011226222 00000 n 0011229229 00000 n 0011229701 00000 n 0011229905 00000 n 0011229976 00000 n 0011230057 00000 n 0011230895 00000 n 0011231230 00000 n 0011236630 00000 n 0011237103 00000 n 0011237307 00000 n 0011237373 00000 n 0011237583 00000 n 0011237803 00000 n 0011237874 00000 n 0011237955 00000 n 0011238792 00000 n 0011239127 00000 n 0011243257 00000 n 0011243728 00000 n 0011243932 00000 n 0011244003 00000 n 0011244084 00000 n 0011244921 00000 n 0011245256 00000 n 0011249240 00000 n 0011249725 00000 n 0011249929 00000 n 0011250000 00000 n 0011250081 00000 n 0011250918 00000 n 0011251253 00000 n 0011255554 00000 n 0011255999 00000 n 0011256203 00000 n 0011256319 00000 n 0011256517 00000 n 0011256716 00000 n 0011256913 00000 n 0011257123 00000 n 0011257329 00000 n 0011257548 00000 n 0011257766 00000 n 0011257837 00000 n 0011257918 00000 n 0011258755 00000 n 0011259090 00000 n 0011261192 00000 n 0011261695 00000 n 0011261899 00000 n 0011261955 00000 n 0011262167 00000 n 0011262238 00000 n 0011262319 00000 n 0011263157 00000 n 0011263492 00000 n 0011268839 00000 n 0011269353 00000 n 0011269557 00000 n 0011269628 00000 n 0011269709 00000 n 0011270546 00000 n 0011270881 00000 n 0011276060 00000 n 0011276505 00000 n 0011276709 00000 n 0011276795 00000 n 0011276996 00000 n 0011277205 00000 n 0011277408 00000 n 0011277618 00000 n 0011277689 00000 n 0011277770 00000 n 0011278608 00000 n 0011278943 00000 n 0011281024 00000 n 0011281512 00000 n 0011281716 00000 n 0011281782 00000 n 0011281993 00000 n 0011282210 00000 n 0011282281 00000 n 0011282362 00000 n 0011283201 00000 n 0011283536 00000 n 0011288361 00000 n 0011288834 00000 n 0011289038 00000 n 0011289104 00000 n 0011289310 00000 n 0011289528 00000 n 0011289599 00000 n 0011289680 00000 n 0011290518 00000 n 0011290853 00000 n 0011294383 00000 n 0011294883 00000 n 0011295087 00000 n 0011295158 00000 n 0011295239 00000 n 0011296076 00000 n 0011296411 00000 n 0011301342 00000 n 0011301827 00000 n 0011302031 00000 n 0011302102 00000 n 0011302183 00000 n 0011303022 00000 n 0011303357 00000 n 0011307049 00000 n 0011307522 00000 n 0011307726 00000 n 0011307812 00000 n 0011308018 00000 n 0011308223 00000 n 0011308426 00000 n 0011308633 00000 n 0011308704 00000 n 0011308785 00000 n 0011309622 00000 n 0011309957 00000 n 0011312474 00000 n 0011312934 00000 n 0011313138 00000 n 0011313204 00000 n 0011313429 00000 n 0011313666 00000 n 0011313737 00000 n 0011313818 00000 n 0011314655 00000 n 0011314990 00000 n 0011319442 00000 n 0011319915 00000 n 0011320119 00000 n 0011320175 00000 n 0011320380 00000 n 0011320451 00000 n 0011320532 00000 n 0011321370 00000 n 0011321705 00000 n 0011325395 00000 n 0011325811 00000 n 0011326015 00000 n 0011326081 00000 n 0011326278 00000 n 0011326473 00000 n 0011326544 00000 n 0011326625 00000 n 0011327464 00000 n 0011327799 00000 n 0011329058 00000 n 0011329547 00000 n 0011329751 00000 n 0011329807 00000 n 0011330031 00000 n 0011330102 00000 n 0011330183 00000 n 0011331021 00000 n 0011331356 00000 n 0011336579 00000 n 0011337052 00000 n 0011337256 00000 n 0011337362 00000 n 0011337572 00000 n 0011337785 00000 n 0011337997 00000 n 0011338211 00000 n 0011338425 00000 n 0011338636 00000 n 0011338707 00000 n 0011338788 00000 n 0011339625 00000 n 0011339960 00000 n 0011343308 00000 n 0011343825 00000 n 0011344029 00000 n 0011344085 00000 n 0011344310 00000 n 0011344381 00000 n 0011344462 00000 n 0011345300 00000 n 0011345635 00000 n 0011351063 00000 n 0011351508 00000 n 0011351712 00000 n 0011351818 00000 n 0011352022 00000 n 0011352224 00000 n 0011352427 00000 n 0011352629 00000 n 0011352831 00000 n 0011353034 00000 n 0011353105 00000 n 0011353186 00000 n 0011354023 00000 n 0011354358 00000 n 0011356755 00000 n 0011357243 00000 n 0011357447 00000 n 0011357503 00000 n 0011357728 00000 n 0011357799 00000 n 0011357880 00000 n 0011358717 00000 n 0011359052 00000 n 0011363891 00000 n 0011364364 00000 n 0011364568 00000 n 0011364624 00000 n 0011364832 00000 n 0011364903 00000 n 0011364984 00000 n 0011365822 00000 n 0011366157 00000 n 0011369923 00000 n 0011370325 00000 n 0011370529 00000 n 0011370615 00000 n 0011370812 00000 n 0011371008 00000 n 0011371204 00000 n 0011371401 00000 n 0011371472 00000 n 0011371553 00000 n 0011372392 00000 n 0011372727 00000 n 0011374014 00000 n 0011374502 00000 n 0011374706 00000 n 0011374762 00000 n 0011374990 00000 n 0011375061 00000 n 0011375142 00000 n 0011375980 00000 n 0011376315 00000 n 0011380524 00000 n 0011380954 00000 n 0011381158 00000 n 0011381234 00000 n 0011381442 00000 n 0011381641 00000 n 0011381845 00000 n 0011381916 00000 n 0011381997 00000 n 0011382834 00000 n 0011383169 00000 n 0011384524 00000 n 0011385012 00000 n 0011385216 00000 n 0011385282 00000 n 0011385507 00000 n 0011385709 00000 n 0011385780 00000 n 0011385861 00000 n 0011386699 00000 n 0011387034 00000 n 0011390243 00000 n 0011390757 00000 n 0011390961 00000 n 0011391032 00000 n 0011391113 00000 n 0011391950 00000 n 0011392285 00000 n 0011397026 00000 n 0011397471 00000 n 0011397675 00000 n 0011397751 00000 n 0011397947 00000 n 0011398138 00000 n 0011398333 00000 n 0011398404 00000 n 0011398485 00000 n 0011399322 00000 n 0011399657 00000 n 0011401278 00000 n 0011401781 00000 n 0011401985 00000 n 0011402041 00000 n 0011402266 00000 n 0011402337 00000 n 0011402418 00000 n 0011403255 00000 n 0011403590 00000 n 0011408773 00000 n 0011409246 00000 n 0011409450 00000 n 0011409526 00000 n 0011409728 00000 n 0011409926 00000 n 0011410124 00000 n 0011410195 00000 n 0011410276 00000 n 0011411114 00000 n 0011411449 00000 n 0011414215 00000 n 0011414715 00000 n 0011414919 00000 n 0011414990 00000 n 0011415071 00000 n 0011415908 00000 n 0011416243 00000 n 0011420141 00000 n 0011420586 00000 n 0011420790 00000 n 0011420856 00000 n 0011421047 00000 n 0011421245 00000 n 0011421316 00000 n 0011421397 00000 n 0011422234 00000 n 0011422569 00000 n 0011424388 00000 n 0011424888 00000 n 0011425092 00000 n 0011425163 00000 n 0011425244 00000 n 0011426083 00000 n 0011426418 00000 n 0011430200 00000 n 0011430645 00000 n 0011430849 00000 n 0011430945 00000 n 0011431146 00000 n 0011431357 00000 n 0011431552 00000 n 0011431746 00000 n 0011431938 00000 n 0011432009 00000 n 0011432090 00000 n 0011432927 00000 n 0011433262 00000 n 0011435378 00000 n 0011435866 00000 n 0011436070 00000 n 0011436136 00000 n 0011436361 00000 n 0011436564 00000 n 0011436635 00000 n 0011436716 00000 n 0011437553 00000 n 0011437888 00000 n 0011441053 00000 n 0011441541 00000 n 0011441745 00000 n 0011441801 00000 n 0011442022 00000 n 0011442093 00000 n 0011442174 00000 n 0011443013 00000 n 0011443348 00000 n 0011447465 00000 n 0011447910 00000 n 0011448114 00000 n 0011448250 00000 n 0011448451 00000 n 0011448648 00000 n 0011448853 00000 n 0011449058 00000 n 0011449257 00000 n 0011449465 00000 n 0011449675 00000 n 0011449887 00000 n 0011450096 00000 n 0011450167 00000 n 0011450248 00000 n 0011451086 00000 n 0011451421 00000 n 0011453273 00000 n 0011453761 00000 n 0011453965 00000 n 0011454021 00000 n 0011454242 00000 n 0011454313 00000 n 0011454394 00000 n 0011455232 00000 n 0011455567 00000 n 0011459825 00000 n 0011460270 00000 n 0011460474 00000 n 0011460590 00000 n 0011460802 00000 n 0011461013 00000 n 0011461223 00000 n 0011461435 00000 n 0011461644 00000 n 0011461857 00000 n 0011462068 00000 n 0011462139 00000 n 0011462220 00000 n 0011463058 00000 n 0011463393 00000 n 0011465503 00000 n 0011465991 00000 n 0011466195 00000 n 0011466251 00000 n 0011466472 00000 n 0011466543 00000 n 0011466624 00000 n 0011467461 00000 n 0011467796 00000 n 0011472167 00000 n 0011472640 00000 n 0011472844 00000 n 0011472960 00000 n 0011473173 00000 n 0011473384 00000 n 0011473595 00000 n 0011473808 00000 n 0011474018 00000 n 0011474232 00000 n 0011474444 00000 n 0011474515 00000 n 0011474596 00000 n 0011475433 00000 n 0011475768 00000 n 0011478144 00000 n 0011478658 00000 n 0011478862 00000 n 0011478933 00000 n 0011479014 00000 n 0011479852 00000 n 0011480187 00000 n 0011484981 00000 n 0011485426 00000 n 0011485630 00000 n 0011485706 00000 n 0011485902 00000 n 0011486093 00000 n 0011486289 00000 n 0011486360 00000 n 0011486441 00000 n 0011487279 00000 n 0011487614 00000 n 0011489244 00000 n 0011489732 00000 n 0011489936 00000 n 0011489992 00000 n 0011490215 00000 n 0011490286 00000 n 0011490367 00000 n 0011491204 00000 n 0011491539 00000 n 0011495869 00000 n 0011496314 00000 n 0011496518 00000 n 0011496594 00000 n 0011496787 00000 n 0011496984 00000 n 0011497181 00000 n 0011497252 00000 n 0011497333 00000 n 0011498170 00000 n 0011498505 00000 n 0011500618 00000 n 0011501076 00000 n 0011501280 00000 n 0011501351 00000 n 0011501432 00000 n 0011502270 00000 n 0011502605 00000 n 0011507797 00000 n 0011508226 00000 n 0011508430 00000 n 0011508501 00000 n 0011508582 00000 n 0011509420 00000 n 0011509755 00000 n 0011515234 00000 n 0011515722 00000 n 0011515926 00000 n 0011515982 00000 n 0011516198 00000 n 0011516269 00000 n 0011516350 00000 n 0011517187 00000 n 0011517522 00000 n 0011523589 00000 n 0011524075 00000 n 0011524279 00000 n 0011524350 00000 n 0011524431 00000 n 0011525268 00000 n 0011525603 00000 n 0011530519 00000 n 0011530976 00000 n 0011531180 00000 n 0011531251 00000 n 0011531332 00000 n 0011532170 00000 n 0011532505 00000 n 0011536972 00000 n 0011537458 00000 n 0011537662 00000 n 0011537733 00000 n 0011537814 00000 n 0011538652 00000 n 0011538987 00000 n 0011545166 00000 n 0011545637 00000 n 0011545841 00000 n 0011545912 00000 n 0011545993 00000 n 0011546831 00000 n 0011547166 00000 n 0011551568 00000 n 0011552053 00000 n 0011552257 00000 n 0011552328 00000 n 0011552409 00000 n 0011553247 00000 n 0011553582 00000 n 0011557892 00000 n 0011558365 00000 n 0011558569 00000 n 0011558695 00000 n 0011558902 00000 n 0011559106 00000 n 0011559314 00000 n 0011559523 00000 n 0011559725 00000 n 0011559927 00000 n 0011560131 00000 n 0011560337 00000 n 0011560408 00000 n 0011560489 00000 n 0011561326 00000 n 0011561661 00000 n 0011564232 00000 n 0011564735 00000 n 0011564939 00000 n 0011565005 00000 n 0011565225 00000 n 0011565449 00000 n 0011565520 00000 n 0011565601 00000 n 0011566438 00000 n 0011566773 00000 n 0011572244 00000 n 0011572717 00000 n 0011572921 00000 n 0011573037 00000 n 0011573244 00000 n 0011573453 00000 n 0011573656 00000 n 0011573857 00000 n 0011574062 00000 n 0011574267 00000 n 0011574473 00000 n 0011574544 00000 n 0011574625 00000 n 0011575463 00000 n 0011575798 00000 n 0011578645 00000 n 0011579117 00000 n 0011579321 00000 n 0011579392 00000 n 0011579473 00000 n 0011580310 00000 n 0011580645 00000 n 0011583667 00000 n 0011584155 00000 n 0011584359 00000 n 0011584415 00000 n 0011584635 00000 n 0011584706 00000 n 0011584787 00000 n 0011585624 00000 n 0011585959 00000 n 0011589836 00000 n 0011590309 00000 n 0011590513 00000 n 0011590619 00000 n 0011590823 00000 n 0011591027 00000 n 0011591232 00000 n 0011591436 00000 n 0011591641 00000 n 0011591847 00000 n 0011591918 00000 n 0011591999 00000 n 0011592836 00000 n 0011593171 00000 n 0011595529 00000 n 0011596017 00000 n 0011596221 00000 n 0011596277 00000 n 0011596497 00000 n 0011596568 00000 n 0011596649 00000 n 0011597487 00000 n 0011597822 00000 n 0011602338 00000 n 0011602783 00000 n 0011602987 00000 n 0011603073 00000 n 0011603272 00000 n 0011603466 00000 n 0011603663 00000 n 0011603859 00000 n 0011603930 00000 n 0011604011 00000 n 0011604848 00000 n 0011605183 00000 n 0011606916 00000 n 0011607419 00000 n 0011607623 00000 n 0011607679 00000 n 0011607904 00000 n 0011607975 00000 n 0011608056 00000 n 0011608894 00000 n 0011609229 00000 n 0011614108 00000 n 0011614581 00000 n 0011614785 00000 n 0011614861 00000 n 0011615059 00000 n 0011615263 00000 n 0011615465 00000 n 0011615536 00000 n 0011615617 00000 n 0011616457 00000 n 0011616792 00000 n 0011618901 00000 n 0011619361 00000 n 0011619565 00000 n 0011619621 00000 n 0011619850 00000 n 0011619921 00000 n 0011620002 00000 n 0011620840 00000 n 0011621175 00000 n 0011625459 00000 n 0011625932 00000 n 0011626136 00000 n 0011626222 00000 n 0011626430 00000 n 0011626636 00000 n 0011626844 00000 n 0011627051 00000 n 0011627122 00000 n 0011627203 00000 n 0011628040 00000 n 0011628375 00000 n 0011630816 00000 n 0011631318 00000 n 0011631522 00000 n 0011631578 00000 n 0011631803 00000 n 0011631874 00000 n 0011631955 00000 n 0011632793 00000 n 0011633128 00000 n 0011637958 00000 n 0011638431 00000 n 0011638635 00000 n 0011638701 00000 n 0011638915 00000 n 0011639126 00000 n 0011639197 00000 n 0011639278 00000 n 0011640116 00000 n 0011640451 00000 n 0011643342 00000 n 0011643830 00000 n 0011644034 00000 n 0011644090 00000 n 0011644315 00000 n 0011644386 00000 n 0011644467 00000 n 0011645304 00000 n 0011645639 00000 n 0011650204 00000 n 0011650677 00000 n 0011650881 00000 n 0011650947 00000 n 0011651161 00000 n 0011651367 00000 n 0011651438 00000 n 0011651519 00000 n 0011652357 00000 n 0011652692 00000 n 0011655000 00000 n 0011655488 00000 n 0011655692 00000 n 0011655748 00000 n 0011655971 00000 n 0011656042 00000 n 0011656123 00000 n 0011656961 00000 n 0011657296 00000 n 0011661350 00000 n 0011661795 00000 n 0011661999 00000 n 0011662075 00000 n 0011662274 00000 n 0011662472 00000 n 0011662667 00000 n 0011662738 00000 n 0011662819 00000 n 0011663657 00000 n 0011663992 00000 n 0011665616 00000 n 0011666104 00000 n 0011666308 00000 n 0011666364 00000 n 0011666580 00000 n 0011666651 00000 n 0011666732 00000 n 0011667569 00000 n 0011667904 00000 n 0011671883 00000 n 0011672328 00000 n 0011672532 00000 n 0011672588 00000 n 0011672789 00000 n 0011672860 00000 n 0011672941 00000 n 0011673779 00000 n 0011674114 00000 n 0011675692 00000 n 0011676208 00000 n 0011676412 00000 n 0011676468 00000 n 0011676693 00000 n 0011676764 00000 n 0011676845 00000 n 0011677683 00000 n 0011678018 00000 n 0011682391 00000 n 0011682836 00000 n 0011683040 00000 n 0011683106 00000 n 0011683314 00000 n 0011683522 00000 n 0011683593 00000 n 0011683674 00000 n 0011684512 00000 n 0011684847 00000 n 0011686589 00000 n 0011687049 00000 n 0011687253 00000 n 0011687309 00000 n 0011687538 00000 n 0011687609 00000 n 0011687690 00000 n 0011688529 00000 n 0011688864 00000 n 0011693213 00000 n 0011693712 00000 n 0011693916 00000 n 0011693987 00000 n 0011694068 00000 n 0011694906 00000 n 0011695241 00000 n 0011700252 00000 n 0011700697 00000 n 0011700901 00000 n 0011700987 00000 n 0011701195 00000 n 0011701401 00000 n 0011701605 00000 n 0011701811 00000 n 0011701882 00000 n 0011701963 00000 n 0011702802 00000 n 0011703137 00000 n 0011705555 00000 n 0011706015 00000 n 0011706219 00000 n 0011706275 00000 n 0011706491 00000 n 0011706562 00000 n 0011706643 00000 n 0011707481 00000 n 0011707816 00000 n 0011712506 00000 n 0011713008 00000 n 0011713212 00000 n 0011713278 00000 n 0011713484 00000 n 0011713690 00000 n 0011713761 00000 n 0011713842 00000 n 0011714680 00000 n 0011715015 00000 n 0011718279 00000 n 0011718767 00000 n 0011718971 00000 n 0011719027 00000 n 0011719247 00000 n 0011719318 00000 n 0011719399 00000 n 0011720236 00000 n 0011720571 00000 n 0011724741 00000 n 0011725186 00000 n 0011725390 00000 n 0011725456 00000 n 0011725653 00000 n 0011725849 00000 n 0011725920 00000 n 0011726001 00000 n 0011726838 00000 n 0011727173 00000 n 0011728841 00000 n 0011729301 00000 n 0011729505 00000 n 0011729561 00000 n 0011729781 00000 n 0011729852 00000 n 0011729933 00000 n 0011730770 00000 n 0011731105 00000 n 0011735723 00000 n 0011736208 00000 n 0011736412 00000 n 0011736483 00000 n 0011736564 00000 n 0011737402 00000 n 0011737737 00000 n 0011741810 00000 n 0011742255 00000 n 0011742459 00000 n 0011742525 00000 n 0011742722 00000 n 0011742925 00000 n 0011742996 00000 n 0011743077 00000 n 0011743914 00000 n 0011744249 00000 n 0011745940 00000 n 0011746400 00000 n 0011746604 00000 n 0011746660 00000 n 0011746880 00000 n 0011746951 00000 n 0011747032 00000 n 0011747871 00000 n 0011748206 00000 n 0011752899 00000 n 0011753372 00000 n 0011753576 00000 n 0011753632 00000 n 0011753840 00000 n 0011753911 00000 n 0011753992 00000 n 0011754831 00000 n 0011755166 00000 n 0011758450 00000 n 0011758910 00000 n 0011759114 00000 n 0011759170 00000 n 0011759391 00000 n 0011759462 00000 n 0011759543 00000 n 0011760380 00000 n 0011760715 00000 n 0011764759 00000 n 0011765205 00000 n 0011765409 00000 n 0011765465 00000 n 0011765686 00000 n 0011765757 00000 n 0011765838 00000 n 0011766676 00000 n 0011767011 00000 n 0011770356 00000 n 0011770816 00000 n 0011771020 00000 n 0011771076 00000 n 0011771291 00000 n 0011771362 00000 n 0011771443 00000 n 0011772281 00000 n 0011772616 00000 n 0011777554 00000 n 0011778054 00000 n 0011778258 00000 n 0011778329 00000 n 0011778410 00000 n 0011779247 00000 n 0011779582 00000 n 0011784521 00000 n 0011785023 00000 n 0011785227 00000 n 0011785303 00000 n 0011785506 00000 n 0011785709 00000 n 0011785916 00000 n 0011785987 00000 n 0011786068 00000 n 0011786905 00000 n 0011787240 00000 n 0011791717 00000 n 0011792119 00000 n 0011792323 00000 n 0011792389 00000 n 0011792600 00000 n 0011792799 00000 n 0011792870 00000 n 0011792951 00000 n 0011793788 00000 n 0011794123 00000 n 0011795415 00000 n 0011795904 00000 n 0011796108 00000 n 0011796164 00000 n 0011796376 00000 n 0011796447 00000 n 0011796528 00000 n 0011797366 00000 n 0011797701 00000 n 0011802506 00000 n 0011802991 00000 n 0011803195 00000 n 0011803266 00000 n 0011803347 00000 n 0011804184 00000 n 0011804519 00000 n 0011808354 00000 n 0011808856 00000 n 0011809060 00000 n 0011809176 00000 n 0011809382 00000 n 0011809589 00000 n 0011809793 00000 n 0011809999 00000 n 0011810202 00000 n 0011810407 00000 n 0011810616 00000 n 0011810687 00000 n 0011810768 00000 n 0011811606 00000 n 0011811941 00000 n 0011815193 00000 n 0011815681 00000 n 0011815885 00000 n 0011815941 00000 n 0011816158 00000 n 0011816229 00000 n 0011816310 00000 n 0011817148 00000 n 0011817483 00000 n 0011821823 00000 n 0011822296 00000 n 0011822500 00000 n 0011822566 00000 n 0011822774 00000 n 0011822984 00000 n 0011823055 00000 n 0011823136 00000 n 0011823973 00000 n 0011824308 00000 n 0011826392 00000 n 0011826852 00000 n 0011827056 00000 n 0011827112 00000 n 0011827328 00000 n 0011827399 00000 n 0011827480 00000 n 0011828317 00000 n 0011828652 00000 n 0011831501 00000 n 0011831989 00000 n 0011832193 00000 n 0011832249 00000 n 0011832469 00000 n 0011832540 00000 n 0011832621 00000 n 0011833459 00000 n 0011833794 00000 n 0011837931 00000 n 0011838376 00000 n 0011838580 00000 n 0011838646 00000 n 0011838848 00000 n 0011839054 00000 n 0011839125 00000 n 0011839206 00000 n 0011840044 00000 n 0011840379 00000 n 0011842455 00000 n 0011842915 00000 n 0011843119 00000 n 0011843175 00000 n 0011843392 00000 n 0011843463 00000 n 0011843544 00000 n 0011844381 00000 n 0011844716 00000 n 0011849267 00000 n 0011849740 00000 n 0011849944 00000 n 0011850020 00000 n 0011850227 00000 n 0011850442 00000 n 0011850648 00000 n 0011850719 00000 n 0011850800 00000 n 0011851637 00000 n 0011851972 00000 n 0011854148 00000 n 0011854636 00000 n 0011854840 00000 n 0011854896 00000 n 0011855125 00000 n 0011855196 00000 n 0011855277 00000 n 0011856114 00000 n 0011856449 00000 n 0011860661 00000 n 0011861134 00000 n 0011861338 00000 n 0011861404 00000 n 0011861612 00000 n 0011861818 00000 n 0011861889 00000 n 0011861970 00000 n 0011862807 00000 n 0011863142 00000 n 0011865852 00000 n 0011866312 00000 n 0011866516 00000 n 0011866572 00000 n 0011866789 00000 n 0011866860 00000 n 0011866941 00000 n 0011867779 00000 n 0011868114 00000 n 0011872700 00000 n 0011873202 00000 n 0011873406 00000 n 0011873502 00000 n 0011873705 00000 n 0011873911 00000 n 0011874112 00000 n 0011874314 00000 n 0011874520 00000 n 0011874591 00000 n 0011874672 00000 n 0011875510 00000 n 0011875845 00000 n 0011881062 00000 n 0011881462 00000 n 0011881666 00000 n 0011881737 00000 n 0011881818 00000 n 0011882656 00000 n 0011882991 00000 n 0011884151 00000 n 0011884597 00000 n 0011884801 00000 n 0011884857 00000 n 0011885077 00000 n 0011885148 00000 n 0011885229 00000 n 0011886067 00000 n 0011886402 00000 n 0011889795 00000 n 0011890255 00000 n 0011890459 00000 n 0011890515 00000 n 0011890736 00000 n 0011890807 00000 n 0011890888 00000 n 0011891726 00000 n 0011892061 00000 n 0011896374 00000 n 0011896876 00000 n 0011897080 00000 n 0011897186 00000 n 0011897395 00000 n 0011897601 00000 n 0011897814 00000 n 0011898027 00000 n 0011898234 00000 n 0011898437 00000 n 0011898508 00000 n 0011898589 00000 n 0011899426 00000 n 0011899761 00000 n 0011904454 00000 n 0011904856 00000 n 0011905060 00000 n 0011905146 00000 n 0011905350 00000 n 0011905548 00000 n 0011905750 00000 n 0011905955 00000 n 0011906026 00000 n 0011906107 00000 n 0011906946 00000 n 0011907281 00000 n 0011908598 00000 n 0011909058 00000 n 0011909262 00000 n 0011909318 00000 n 0011909547 00000 n 0011909618 00000 n 0011909699 00000 n 0011910537 00000 n 0011910872 00000 n 0011915612 00000 n 0011916085 00000 n 0011916289 00000 n 0011916365 00000 n 0011916575 00000 n 0011916783 00000 n 0011916991 00000 n 0011917062 00000 n 0011917143 00000 n 0011917980 00000 n 0011918315 00000 n 0011920544 00000 n 0011921004 00000 n 0011921208 00000 n 0011921294 00000 n 0011921511 00000 n 0011921736 00000 n 0011921954 00000 n 0011922175 00000 n 0011922246 00000 n 0011922327 00000 n 0011923164 00000 n 0011923499 00000 n 0011927385 00000 n 0011927845 00000 n 0011928049 00000 n 0011928105 00000 n 0011928353 00000 n 0011928424 00000 n 0011928505 00000 n 0011929344 00000 n 0011929679 00000 n 0011933801 00000 n 0011934288 00000 n 0011934492 00000 n 0011934568 00000 n 0011934789 00000 n 0011935008 00000 n 0011935230 00000 n 0011935301 00000 n 0011935382 00000 n 0011936220 00000 n 0011936555 00000 n 0011939032 00000 n 0011939520 00000 n 0011939724 00000 n 0011939790 00000 n 0011940005 00000 n 0011940232 00000 n 0011940303 00000 n 0011940384 00000 n 0011941222 00000 n 0011941557 00000 n 0011945823 00000 n 0011946268 00000 n 0011946472 00000 n 0011946538 00000 n 0011946742 00000 n 0011946953 00000 n 0011947024 00000 n 0011947105 00000 n 0011947943 00000 n 0011948278 00000 n 0011950038 00000 n 0011950526 00000 n 0011950730 00000 n 0011950786 00000 n 0011951003 00000 n 0011951074 00000 n 0011951155 00000 n 0011951993 00000 n 0011952328 00000 n 0011956720 00000 n 0011957165 00000 n 0011957369 00000 n 0011957465 00000 n 0011957662 00000 n 0011957871 00000 n 0011958069 00000 n 0011958280 00000 n 0011958477 00000 n 0011958548 00000 n 0011958629 00000 n 0011959466 00000 n 0011959801 00000 n 0011961551 00000 n 0011962054 00000 n 0011962258 00000 n 0011962314 00000 n 0011962535 00000 n 0011962606 00000 n 0011962687 00000 n 0011963525 00000 n 0011963860 00000 n 0011968547 00000 n 0011969020 00000 n 0011969224 00000 n 0011969360 00000 n 0011969571 00000 n 0011969780 00000 n 0011969990 00000 n 0011970201 00000 n 0011970412 00000 n 0011970620 00000 n 0011970828 00000 n 0011971036 00000 n 0011971243 00000 n 0011971314 00000 n 0011971395 00000 n 0011972235 00000 n 0011972570 00000 n 0011975835 00000 n 0011976237 00000 n 0011976441 00000 n 0011976507 00000 n 0011976710 00000 n 0011976910 00000 n 0011976981 00000 n 0011977062 00000 n 0011977900 00000 n 0011978235 00000 n 0011979509 00000 n 0011979997 00000 n 0011980201 00000 n 0011980257 00000 n 0011980474 00000 n 0011980545 00000 n 0011980626 00000 n 0011981463 00000 n 0011981798 00000 n 0011985703 00000 n 0011986160 00000 n 0011986364 00000 n 0011986435 00000 n 0011986516 00000 n 0011987354 00000 n 0011987689 00000 n 0011989154 00000 n 0011989614 00000 n 0011989818 00000 n 0011989874 00000 n 0011990095 00000 n 0011990166 00000 n 0011990247 00000 n 0011991085 00000 n 0011991420 00000 n 0011995869 00000 n 0011996342 00000 n 0011996546 00000 n 0011996672 00000 n 0011996883 00000 n 0011997091 00000 n 0011997304 00000 n 0011997517 00000 n 0011997725 00000 n 0011997930 00000 n 0011998134 00000 n 0011998346 00000 n 0011998417 00000 n 0011998498 00000 n 0011999335 00000 n 0011999670 00000 n 0012002557 00000 n 0012003045 00000 n 0012003249 00000 n 0012003305 00000 n 0012003526 00000 n 0012003597 00000 n 0012003678 00000 n 0012004515 00000 n 0012004850 00000 n 0012009295 00000 n 0012009768 00000 n 0012009972 00000 n 0012010088 00000 n 0012010300 00000 n 0012010511 00000 n 0012010721 00000 n 0012010933 00000 n 0012011142 00000 n 0012011352 00000 n 0012011563 00000 n 0012011634 00000 n 0012011715 00000 n 0012012552 00000 n 0012012887 00000 n 0012015179 00000 n 0012015667 00000 n 0012015871 00000 n 0012015927 00000 n 0012016148 00000 n 0012016219 00000 n 0012016300 00000 n 0012017136 00000 n 0012017471 00000 n 0012021917 00000 n 0012022390 00000 n 0012022594 00000 n 0012022710 00000 n 0012022923 00000 n 0012023134 00000 n 0012023345 00000 n 0012023558 00000 n 0012023768 00000 n 0012023979 00000 n 0012024191 00000 n 0012024262 00000 n 0012024343 00000 n 0012025180 00000 n 0012025515 00000 n 0012027812 00000 n 0012028300 00000 n 0012028504 00000 n 0012028560 00000 n 0012028780 00000 n 0012028851 00000 n 0012028932 00000 n 0012029770 00000 n 0012030105 00000 n 0012034780 00000 n 0012035253 00000 n 0012035457 00000 n 0012035513 00000 n 0012035718 00000 n 0012035789 00000 n 0012035870 00000 n 0012036707 00000 n 0012037042 00000 n 0012040282 00000 n 0012040742 00000 n 0012040946 00000 n 0012041002 00000 n 0012041220 00000 n 0012041291 00000 n 0012041372 00000 n 0012042209 00000 n 0012042544 00000 n 0012045880 00000 n 0012046340 00000 n 0012046544 00000 n 0012046600 00000 n 0012046818 00000 n 0012046889 00000 n 0012046970 00000 n 0012047809 00000 n 0012048144 00000 n 0012052610 00000 n 0012053095 00000 n 0012053299 00000 n 0012053370 00000 n 0012053451 00000 n 0012054289 00000 n 0012054624 00000 n 0012058885 00000 n 0012059315 00000 n 0012059519 00000 n 0012059615 00000 n 0012059819 00000 n 0012060024 00000 n 0012060226 00000 n 0012060434 00000 n 0012060637 00000 n 0012060708 00000 n 0012060789 00000 n 0012061626 00000 n 0012061961 00000 n 0012063362 00000 n 0012063834 00000 n 0012064038 00000 n 0012064109 00000 n 0012064190 00000 n 0012065028 00000 n 0012065363 00000 n 0012070574 00000 n 0012071062 00000 n 0012071266 00000 n 0012071322 00000 n 0012071551 00000 n 0012071622 00000 n 0012071703 00000 n 0012072540 00000 n 0012072875 00000 n 0012078718 00000 n 0012079218 00000 n 0012079422 00000 n 0012079493 00000 n 0012079574 00000 n 0012080411 00000 n 0012080746 00000 n 0012086253 00000 n 0012086726 00000 n 0012086930 00000 n 0012087006 00000 n 0012087216 00000 n 0012087424 00000 n 0012087631 00000 n 0012087702 00000 n 0012087783 00000 n 0012088620 00000 n 0012088955 00000 n 0012092830 00000 n 0012093290 00000 n 0012093494 00000 n 0012093550 00000 n 0012093768 00000 n 0012093839 00000 n 0012093920 00000 n 0012094758 00000 n 0012095093 00000 n 0012099948 00000 n 0012100434 00000 n 0012100638 00000 n 0012100709 00000 n 0012100790 00000 n 0012101627 00000 n 0012101962 00000 n 0012107521 00000 n 0012108021 00000 n 0012108225 00000 n 0012108296 00000 n 0012108377 00000 n 0012109214 00000 n 0012109549 00000 n 0012115352 00000 n 0012115866 00000 n 0012116070 00000 n 0012116141 00000 n 0012116222 00000 n 0012117060 00000 n 0012117395 00000 n 0012121927 00000 n 0012122343 00000 n 0012122547 00000 n 0012122643 00000 n 0012122850 00000 n 0012123049 00000 n 0012123254 00000 n 0012123460 00000 n 0012123665 00000 n 0012123736 00000 n 0012123817 00000 n 0012124655 00000 n 0012124990 00000 n 0012126407 00000 n 0012126867 00000 n 0012127071 00000 n 0012127127 00000 n 0012127345 00000 n 0012127416 00000 n 0012127497 00000 n 0012128334 00000 n 0012128669 00000 n 0012133123 00000 n 0012133608 00000 n 0012133812 00000 n 0012133883 00000 n 0012133964 00000 n 0012134801 00000 n 0012135136 00000 n 0012137524 00000 n 0012137998 00000 n 0012138202 00000 n 0012138258 00000 n 0012138476 00000 n 0012138547 00000 n 0012138628 00000 n 0012139467 00000 n 0012139802 00000 n 0012144386 00000 n 0012144859 00000 n 0012145063 00000 n 0012145119 00000 n 0012145328 00000 n 0012145399 00000 n 0012145480 00000 n 0012146317 00000 n 0012146652 00000 n 0012149748 00000 n 0012150251 00000 n 0012150455 00000 n 0012150511 00000 n 0012150729 00000 n 0012150800 00000 n 0012150881 00000 n 0012151719 00000 n 0012152054 00000 n 0012158355 00000 n 0012158855 00000 n 0012159059 00000 n 0012159130 00000 n 0012159211 00000 n 0012160049 00000 n 0012160384 00000 n 0012166470 00000 n 0012166970 00000 n 0012167174 00000 n 0012167245 00000 n 0012167326 00000 n 0012168163 00000 n 0012168498 00000 n 0012174082 00000 n 0012174555 00000 n 0012174759 00000 n 0012174895 00000 n 0012175105 00000 n 0012175316 00000 n 0012175526 00000 n 0012175736 00000 n 0012175942 00000 n 0012176153 00000 n 0012176365 00000 n 0012176571 00000 n 0012176780 00000 n 0012176851 00000 n 0012176932 00000 n 0012177769 00000 n 0012178104 00000 n 0012181150 00000 n 0012181653 00000 n 0012181857 00000 n 0012181913 00000 n 0012182131 00000 n 0012182202 00000 n 0012182283 00000 n 0012183121 00000 n 0012183456 00000 n 0012189464 00000 n 0012189949 00000 n 0012190153 00000 n 0012190224 00000 n 0012190305 00000 n 0012191143 00000 n 0012191478 00000 n 0012195578 00000 n 0012195994 00000 n 0012196198 00000 n 0012196254 00000 n 0012196456 00000 n 0012196527 00000 n 0012196608 00000 n 0012197445 00000 n 0012197780 00000 n 0012199054 00000 n 0012199556 00000 n 0012199760 00000 n 0012199816 00000 n 0012200034 00000 n 0012200105 00000 n 0012200186 00000 n 0012201024 00000 n 0012201359 00000 n 0012205583 00000 n 0012206056 00000 n 0012206260 00000 n 0012206316 00000 n 0012206518 00000 n 0012206589 00000 n 0012206670 00000 n 0012207507 00000 n 0012207842 00000 n 0012209854 00000 n 0012210328 00000 n 0012210532 00000 n 0012210598 00000 n 0012210816 00000 n 0012211038 00000 n 0012211109 00000 n 0012211190 00000 n 0012212027 00000 n 0012212362 00000 n 0012216797 00000 n 0012217270 00000 n 0012217474 00000 n 0012217550 00000 n 0012217761 00000 n 0012217974 00000 n 0012218188 00000 n 0012218259 00000 n 0012218340 00000 n 0012219177 00000 n 0012219512 00000 n 0012222389 00000 n 0012222835 00000 n 0012223039 00000 n 0012223095 00000 n 0012223313 00000 n 0012223384 00000 n 0012223465 00000 n 0012224304 00000 n 0012224639 00000 n 0012228446 00000 n 0012228906 00000 n 0012229110 00000 n 0012229166 00000 n 0012229384 00000 n 0012229455 00000 n 0012229536 00000 n 0012230373 00000 n 0012230708 00000 n 0012235236 00000 n 0012235721 00000 n 0012235925 00000 n 0012235996 00000 n 0012236077 00000 n 0012236915 00000 n 0012237250 00000 n 0012241874 00000 n 0012242319 00000 n 0012242523 00000 n 0012242579 00000 n 0012242776 00000 n 0012242847 00000 n 0012242928 00000 n 0012243766 00000 n 0012244101 00000 n 0012246015 00000 n 0012246475 00000 n 0012246679 00000 n 0012246735 00000 n 0012246956 00000 n 0012247027 00000 n 0012247108 00000 n 0012247946 00000 n 0012248281 00000 n 0012252616 00000 n 0012253101 00000 n 0012253305 00000 n 0012253376 00000 n 0012253457 00000 n 0012254295 00000 n 0012254630 00000 n 0012258722 00000 n 0012259167 00000 n 0012259371 00000 n 0012259487 00000 n 0012259689 00000 n 0012259896 00000 n 0012260091 00000 n 0012260295 00000 n 0012260493 00000 n 0012260699 00000 n 0012260907 00000 n 0012260978 00000 n 0012261059 00000 n 0012261897 00000 n 0012262232 00000 n 0012264000 00000 n 0012264460 00000 n 0012264664 00000 n 0012264740 00000 n 0012264955 00000 n 0012265182 00000 n 0012265405 00000 n 0012265476 00000 n 0012265557 00000 n 0012266396 00000 n 0012266731 00000 n 0012271667 00000 n 0012272152 00000 n 0012272356 00000 n 0012272427 00000 n 0012272508 00000 n 0012273345 00000 n 0012273680 00000 n 0012277835 00000 n 0012278280 00000 n 0012278484 00000 n 0012278550 00000 n 0012278754 00000 n 0012278962 00000 n 0012279033 00000 n 0012279114 00000 n 0012279952 00000 n 0012280287 00000 n 0012281855 00000 n 0012282343 00000 n 0012282547 00000 n 0012282623 00000 n 0012282838 00000 n 0012283065 00000 n 0012283288 00000 n 0012283359 00000 n 0012283440 00000 n 0012284278 00000 n 0012284613 00000 n 0012288935 00000 n 0012289408 00000 n 0012289612 00000 n 0012289688 00000 n 0012289892 00000 n 0012290100 00000 n 0012290311 00000 n 0012290382 00000 n 0012290463 00000 n 0012291302 00000 n 0012291637 00000 n 0012293605 00000 n 0012294065 00000 n 0012294269 00000 n 0012294325 00000 n 0012294546 00000 n 0012294617 00000 n 0012294698 00000 n 0012295535 00000 n 0012295870 00000 n 0012300221 00000 n 0012300694 00000 n 0012300898 00000 n 0012301034 00000 n 0012301246 00000 n 0012301459 00000 n 0012301669 00000 n 0012301880 00000 n 0012302089 00000 n 0012302299 00000 n 0012302511 00000 n 0012302721 00000 n 0012302934 00000 n 0012303005 00000 n 0012303086 00000 n 0012303925 00000 n 0012304260 00000 n 0012307399 00000 n 0012307859 00000 n 0012308063 00000 n 0012308119 00000 n 0012308340 00000 n 0012308411 00000 n 0012308492 00000 n 0012309331 00000 n 0012309666 00000 n 0012314103 00000 n 0012314576 00000 n 0012314780 00000 n 0012314916 00000 n 0012315128 00000 n 0012315341 00000 n 0012315552 00000 n 0012315762 00000 n 0012315973 00000 n 0012316186 00000 n 0012316396 00000 n 0012316607 00000 n 0012316821 00000 n 0012316892 00000 n 0012316973 00000 n 0012317810 00000 n 0012318145 00000 n 0012321062 00000 n 0012321536 00000 n 0012321740 00000 n 0012321796 00000 n 0012322017 00000 n 0012322088 00000 n 0012322169 00000 n 0012323007 00000 n 0012323342 00000 n 0012328209 00000 n 0012328694 00000 n 0012328898 00000 n 0012328969 00000 n 0012329050 00000 n 0012329888 00000 n 0012330223 00000 n 0012334410 00000 n 0012334855 00000 n 0012335059 00000 n 0012335205 00000 n 0012335412 00000 n 0012335616 00000 n 0012335819 00000 n 0012336023 00000 n 0012336231 00000 n 0012336441 00000 n 0012336649 00000 n 0012336860 00000 n 0012337071 00000 n 0012337277 00000 n 0012337348 00000 n 0012337429 00000 n 0012338266 00000 n 0012338601 00000 n 0012341480 00000 n 0012341940 00000 n 0012342144 00000 n 0012342200 00000 n 0012342420 00000 n 0012342491 00000 n 0012342572 00000 n 0012343409 00000 n 0012343744 00000 n 0012348797 00000 n 0012349282 00000 n 0012349486 00000 n 0012349557 00000 n 0012349638 00000 n 0012350475 00000 n 0012350810 00000 n 0012354993 00000 n 0012355478 00000 n 0012355682 00000 n 0012355753 00000 n 0012355834 00000 n 0012356673 00000 n 0012357008 00000 n 0012359290 00000 n 0012359750 00000 n 0012359954 00000 n 0012360020 00000 n 0012360238 00000 n 0012360462 00000 n 0012360533 00000 n 0012360614 00000 n 0012361451 00000 n 0012361786 00000 n 0012366966 00000 n 0012367480 00000 n 0012367684 00000 n 0012367755 00000 n 0012367836 00000 n 0012368674 00000 n 0012369009 00000 n 0012374148 00000 n 0012374662 00000 n 0012374866 00000 n 0012374937 00000 n 0012375018 00000 n 0012375856 00000 n 0012376191 00000 n 0012381114 00000 n 0012381559 00000 n 0012381763 00000 n 0012381909 00000 n 0012382115 00000 n 0012382331 00000 n 0012382541 00000 n 0012382748 00000 n 0012382958 00000 n 0012383166 00000 n 0012383375 00000 n 0012383588 00000 n 0012383798 00000 n 0012384000 00000 n 0012384071 00000 n 0012384152 00000 n 0012384990 00000 n 0012385325 00000 n 0012387366 00000 n 0012387826 00000 n 0012388030 00000 n 0012388106 00000 n 0012388323 00000 n 0012388548 00000 n 0012388772 00000 n 0012388843 00000 n 0012388924 00000 n 0012389762 00000 n 0012390097 00000 n 0012394458 00000 n 0012394961 00000 n 0012395165 00000 n 0012395221 00000 n 0012395437 00000 n 0012395508 00000 n 0012395589 00000 n 0012396427 00000 n 0012396762 00000 n 0012402304 00000 n 0012402806 00000 n 0012403010 00000 n 0012403096 00000 n 0012403305 00000 n 0012403514 00000 n 0012403724 00000 n 0012403931 00000 n 0012404002 00000 n 0012404083 00000 n 0012404920 00000 n 0012405255 00000 n 0012409738 00000 n 0012410241 00000 n 0012410445 00000 n 0012410501 00000 n 0012410719 00000 n 0012410790 00000 n 0012410871 00000 n 0012411709 00000 n 0012412044 00000 n 0012416932 00000 n 0012417419 00000 n 0012417623 00000 n 0012417679 00000 n 0012417882 00000 n 0012417953 00000 n 0012418034 00000 n 0012418871 00000 n 0012419206 00000 n 0012423116 00000 n 0012423604 00000 n 0012423808 00000 n 0012423884 00000 n 0012424104 00000 n 0012424328 00000 n 0012424571 00000 n 0012424642 00000 n 0012424723 00000 n 0012425561 00000 n 0012425896 00000 n 0012430197 00000 n 0012430642 00000 n 0012430846 00000 n 0012430922 00000 n 0012431130 00000 n 0012431335 00000 n 0012431542 00000 n 0012431613 00000 n 0012431694 00000 n 0012432532 00000 n 0012432867 00000 n 0012435480 00000 n 0012435940 00000 n 0012436144 00000 n 0012436210 00000 n 0012436441 00000 n 0012436667 00000 n 0012436738 00000 n 0012436819 00000 n 0012437657 00000 n 0012437992 00000 n 0012443302 00000 n 0012443802 00000 n 0012444006 00000 n 0012444077 00000 n 0012444158 00000 n 0012444996 00000 n 0012445331 00000 n 0012451241 00000 n 0012451726 00000 n 0012451930 00000 n 0012452001 00000 n 0012452082 00000 n 0012452919 00000 n 0012453254 00000 n 0012457485 00000 n 0012457958 00000 n 0012458162 00000 n 0012458308 00000 n 0012458507 00000 n 0012458712 00000 n 0012458915 00000 n 0012459123 00000 n 0012459330 00000 n 0012459529 00000 n 0012459731 00000 n 0012459937 00000 n 0012460146 00000 n 0012460352 00000 n 0012460423 00000 n 0012460504 00000 n 0012461341 00000 n 0012461676 00000 n 0012465305 00000 n 0012465765 00000 n 0012465969 00000 n 0012466045 00000 n 0012466263 00000 n 0012466497 00000 n 0012466721 00000 n 0012466792 00000 n 0012466873 00000 n 0012467711 00000 n 0012468046 00000 n 0012472998 00000 n 0012473483 00000 n 0012473687 00000 n 0012473758 00000 n 0012473839 00000 n 0012474677 00000 n 0012475012 00000 n 0012479318 00000 n 0012479791 00000 n 0012479995 00000 n 0012480071 00000 n 0012480284 00000 n 0012480498 00000 n 0012480713 00000 n 0012480784 00000 n 0012480865 00000 n 0012481702 00000 n 0012482037 00000 n 0012484867 00000 n 0012485327 00000 n 0012485531 00000 n 0012485587 00000 n 0012485805 00000 n 0012485876 00000 n 0012485957 00000 n 0012486794 00000 n 0012487129 00000 n 0012491605 00000 n 0012492090 00000 n 0012492294 00000 n 0012492365 00000 n 0012492446 00000 n 0012493283 00000 n 0012493618 00000 n 0012497817 00000 n 0012498262 00000 n 0012498466 00000 n 0012498532 00000 n 0012498734 00000 n 0012498937 00000 n 0012499008 00000 n 0012499089 00000 n 0012499926 00000 n 0012500261 00000 n 0012502077 00000 n 0012502537 00000 n 0012502741 00000 n 0012502807 00000 n 0012503025 00000 n 0012503249 00000 n 0012503320 00000 n 0012503401 00000 n 0012504240 00000 n 0012504575 00000 n 0012509630 00000 n 0012510103 00000 n 0012510307 00000 n 0012510363 00000 n 0012510570 00000 n 0012510641 00000 n 0012510722 00000 n 0012511560 00000 n 0012511895 00000 n 0012515702 00000 n 0012516162 00000 n 0012516366 00000 n 0012516422 00000 n 0012516640 00000 n 0012516711 00000 n 0012516792 00000 n 0012517629 00000 n 0012517964 00000 n 0012522935 00000 n 0012523420 00000 n 0012523624 00000 n 0012523695 00000 n 0012523776 00000 n 0012524614 00000 n 0012524949 00000 n 0012529166 00000 n 0012529637 00000 n 0012529841 00000 n 0012529912 00000 n 0012529993 00000 n 0012530831 00000 n 0012531166 00000 n 0012535619 00000 n 0012536092 00000 n 0012536296 00000 n 0012536362 00000 n 0012536565 00000 n 0012536769 00000 n 0012536840 00000 n 0012536921 00000 n 0012537758 00000 n 0012538093 00000 n 0012540872 00000 n 0012541332 00000 n 0012541536 00000 n 0012541592 00000 n 0012541810 00000 n 0012541881 00000 n 0012541962 00000 n 0012542801 00000 n 0012543136 00000 n 0012548015 00000 n 0012548500 00000 n 0012548704 00000 n 0012548775 00000 n 0012548856 00000 n 0012549693 00000 n 0012550028 00000 n 0012554783 00000 n 0012555256 00000 n 0012555460 00000 n 0012555516 00000 n 0012555723 00000 n 0012555794 00000 n 0012555875 00000 n 0012556713 00000 n 0012557048 00000 n 0012560590 00000 n 0012561050 00000 n 0012561254 00000 n 0012561320 00000 n 0012561536 00000 n 0012561758 00000 n 0012561829 00000 n 0012561910 00000 n 0012562747 00000 n 0012563082 00000 n 0012567585 00000 n 0012568058 00000 n 0012568262 00000 n 0012568408 00000 n 0012568614 00000 n 0012568822 00000 n 0012569033 00000 n 0012569245 00000 n 0012569465 00000 n 0012569670 00000 n 0012569874 00000 n 0012570078 00000 n 0012570289 00000 n 0012570497 00000 n 0012570568 00000 n 0012570649 00000 n 0012571487 00000 n 0012571822 00000 n 0012575095 00000 n 0012575555 00000 n 0012575759 00000 n 0012575825 00000 n 0012576042 00000 n 0012576265 00000 n 0012576336 00000 n 0012576417 00000 n 0012577255 00000 n 0012577590 00000 n 0012582815 00000 n 0012583329 00000 n 0012583533 00000 n 0012583604 00000 n 0012583685 00000 n 0012584523 00000 n 0012584858 00000 n 0012590572 00000 n 0012591057 00000 n 0012591261 00000 n 0012591332 00000 n 0012591413 00000 n 0012592251 00000 n 0012592586 00000 n 0012596888 00000 n 0012597333 00000 n 0012597537 00000 n 0012597593 00000 n 0012597789 00000 n 0012597860 00000 n 0012597941 00000 n 0012598779 00000 n 0012599114 00000 n 0012600804 00000 n 0012601276 00000 n 0012601480 00000 n 0012601551 00000 n 0012601632 00000 n 0012602469 00000 n 0012602804 00000 n 0012607634 00000 n 0012608107 00000 n 0012608311 00000 n 0012608367 00000 n 0012608577 00000 n 0012608648 00000 n 0012608729 00000 n 0012609567 00000 n 0012609902 00000 n 0012613242 00000 n 0012613714 00000 n 0012613918 00000 n 0012613989 00000 n 0012614070 00000 n 0012614909 00000 n 0012615244 00000 n 0012620403 00000 n 0012620832 00000 n 0012621036 00000 n 0012621107 00000 n 0012621188 00000 n 0012622025 00000 n 0012622360 00000 n 0012627787 00000 n 0012628301 00000 n 0012628505 00000 n 0012628576 00000 n 0012628657 00000 n 0012629495 00000 n 0012629830 00000 n 0012634702 00000 n 0012635202 00000 n 0012635406 00000 n 0012635477 00000 n 0012635558 00000 n 0012636397 00000 n 0012636732 00000 n 0012641240 00000 n 0012641685 00000 n 0012641889 00000 n 0012641945 00000 n 0012642149 00000 n 0012642220 00000 n 0012642301 00000 n 0012643138 00000 n 0012643473 00000 n 0012645110 00000 n 0012645770 00000 n 0012645849 00000 n 0012646915 00000 n 0012647140 00000 n 0012647352 00000 n 0012647602 00000 n 0012647857 00000 n 0012648061 00000 n 0012648132 00000 n 0012648213 00000 n 0012649281 00000 n 0012649362 00000 n 0012650430 00000 n 0012650511 00000 n 0012651348 00000 n 0012651683 00000 n 0012654729 00000 n 0012655330 00000 n 0012655650 00000 n 0012656013 00000 n 0012656362 00000 n 0012656709 00000 n 0012657080 00000 n 0012657354 00000 n 0012657606 00000 n 0012657872 00000 n 0012658142 00000 n 0012658405 00000 n 0012658613 00000 n 0012658896 00000 n 0012659214 00000 n 0012659418 00000 n 0012659489 00000 n 0012659570 00000 n 0012660408 00000 n 0012660743 00000 n 0012664040 00000 n 0012664512 00000 n 0012664767 00000 n 0012665009 00000 n 0012665264 00000 n 0012665468 00000 n 0012665539 00000 n 0012665620 00000 n 0012666458 00000 n 0012666793 00000 n 0012671173 00000 n 0012671615 00000 n 0012671819 00000 n 0012671890 00000 n 0012671971 00000 n 0012672808 00000 n 0012673143 00000 n 0012675001 00000 n 0012675168 00000 n 0012675339 00000 n 0012675511 00000 n 0012675617 00000 n 0012676212 00000 n 0012676730 00000 n 0012677653 00000 n 0012678350 00000 n 0012678623 00000 n 0012679265 00000 n 0012679653 00000 n 0012679924 00000 n 0012695425 00000 n 0012695539 00000 n 0012696078 00000 n 0012696442 00000 n 0012696718 00000 n 0012710016 00000 n 0012710130 00000 n 0012731584 00000 n 0012731730 00000 n 0012731845 00000 n 0012732367 00000 n 0012732571 00000 n 0012732999 00000 n 0012733657 00000 n 0012733749 00000 n 0012734401 00000 n 0012734634 00000 n 0012734798 00000 n 0012734902 00000 n 0012734958 00000 n 0012735778 00000 n 0012736390 00000 n 0012736967 00000 n 0012737141 00000 n 0012737312 00000 n 0012738201 00000 n 0012738919 00000 n 0012739288 00000 n 0012739672 00000 n 0012739953 00000 n 0012748592 00000 n 0012748699 00000 n 0012748869 00000 n 0012749047 00000 n 0012749818 00000 n 0012750415 00000 n 0012750689 00000 n 0012750922 00000 n 0012751588 00000 n 0012751865 00000 n 0012769442 00000 n 0012769582 00000 n 0012788544 00000 n 0012788682 00000 n 0012788856 00000 n 0012789381 00000 n 0012789839 00000 n 0012790117 00000 n 0012803143 00000 n 0012803268 00000 n 0012803439 00000 n 0012804105 00000 n 0012804657 00000 n 0012804825 00000 n 0012805289 00000 n 0012805728 00000 n 0012805909 00000 n 0012806137 00000 n 0012806436 00000 n 0012806693 00000 n 0012807437 00000 n 0012807530 00000 n 0012807705 00000 n 0012808063 00000 n 0012808430 00000 n 0012808663 00000 n 0012808896 00000 n 0012808969 00000 n 0012827595 00000 n 0012827659 00000 n 0012827719 00000 n 0012827781 00000 n 0012827843 00000 n 0012827905 00000 n 0012827966 00000 n 0012828027 00000 n 0012828090 00000 n 0012828153 00000 n 0012828216 00000 n 0012828279 00000 n 0012828342 00000 n 0012828405 00000 n 0012828471 00000 n 0012828537 00000 n 0012828603 00000 n 0012828664 00000 n 0012828725 00000 n 0012828791 00000 n 0012828857 00000 n 0012828924 00000 n 0012828991 00000 n 0012829058 00000 n 0012829125 00000 n 0012829192 00000 n 0012829259 00000 n 0012829326 00000 n 0012829393 00000 n 0012829459 00000 n 0012829526 00000 n 0012829593 00000 n 0012829660 00000 n 0012829722 00000 n 0012829789 00000 n 0012829856 00000 n 0012829918 00000 n 0012829980 00000 n 0012830047 00000 n 0012830114 00000 n 0012830181 00000 n 0012830248 00000 n 0012830315 00000 n 0012830382 00000 n 0012830446 00000 n 0012830513 00000 n 0012830579 00000 n 0012830645 00000 n 0012830712 00000 n 0012830779 00000 n 0012830846 00000 n 0012830908 00000 n 0012830970 00000 n 0012831034 00000 n 0012831098 00000 n 0012831165 00000 n 0012831232 00000 n 0012831299 00000 n 0012831363 00000 n 0012831427 00000 n 0012831491 00000 n 0012831558 00000 n 0012831625 00000 n 0012831692 00000 n 0012831759 00000 n 0012831825 00000 n 0012831891 00000 n 0012831958 00000 n 0012832025 00000 n 0012832092 00000 n 0012832159 00000 n 0012832226 00000 n 0012832293 00000 n 0012832355 00000 n 0012832417 00000 n 0012832484 00000 n 0012832551 00000 n 0012832618 00000 n 0012832680 00000 n 0012832747 00000 n 0012832814 00000 n 0012832876 00000 n 0012832938 00000 n 0012833002 00000 n 0012833066 00000 n 0012833130 00000 n 0012833194 00000 n 0012833258 00000 n 0012833322 00000 n 0012833384 00000 n 0012833448 00000 n 0012833512 00000 n 0012833574 00000 n 0012833638 00000 n 0012833702 00000 n 0012833766 00000 n 0012833828 00000 n 0012833892 00000 n 0012833956 00000 n 0012834020 00000 n 0012834082 00000 n 0012834146 00000 n 0012834210 00000 n 0012834274 00000 n 0012834338 00000 n 0012834402 00000 n 0012834464 00000 n 0012834528 00000 n 0012834592 00000 n 0012834656 00000 n 0012834723 00000 n 0012834790 00000 n 0012834857 00000 n 0012834924 00000 n 0012834986 00000 n 0012835050 00000 n 0012835114 00000 n 0012835178 00000 n 0012835245 00000 n 0012835309 00000 n 0012835373 00000 n 0012835440 00000 n 0012835507 00000 n 0012835574 00000 n 0012835636 00000 n 0012835700 00000 n 0012835764 00000 n 0012835828 00000 n 0012835890 00000 n 0012835954 00000 n 0012836018 00000 n 0012836082 00000 n 0012836146 00000 n 0012836208 00000 n 0012836272 00000 n 0012836339 00000 n 0012836403 00000 n 0012836467 00000 n 0012836529 00000 n 0012836593 00000 n 0012836655 00000 n 0012836719 00000 n 0012836783 00000 n 0012836845 00000 n 0012836908 00000 n 0012836973 00000 n 0012837038 00000 n 0012837103 00000 n 0012837166 00000 n 0012837231 00000 n 0012837296 00000 n 0012837359 00000 n 0012837424 00000 n 0012837487 00000 n 0012837552 00000 n 0012837617 00000 n 0012837682 00000 n 0012837747 00000 n 0012837812 00000 n 0012837877 00000 n 0012837940 00000 n 0012838005 00000 n 0012838070 00000 n 0012838135 00000 n 0012838198 00000 n 0012838263 00000 n 0012838328 00000 n 0012838393 00000 n 0012838461 00000 n 0012838526 00000 n 0012838591 00000 n 0012838656 00000 n 0012838724 00000 n 0012838792 00000 n 0012838855 00000 n 0012838920 00000 n 0012838983 00000 n 0012839046 00000 n 0012839111 00000 n 0012839176 00000 n 0012839241 00000 n 0012839304 00000 n 0012839367 00000 n 0012839432 00000 n 0012839495 00000 n 0012839558 00000 n 0012839623 00000 n 0012839686 00000 n 0012839749 00000 n 0012839814 00000 n 0012839877 00000 n 0012839940 00000 n 0012840005 00000 n 0012840068 00000 n 0012840131 00000 n 0012840196 00000 n 0012840264 00000 n 0012840332 00000 n 0012840397 00000 n 0012840460 00000 n 0012840523 00000 n 0012840588 00000 n 0012840656 00000 n 0012840724 00000 n 0012840787 00000 n 0012840850 00000 n 0012840915 00000 n 0012840978 00000 n 0012841041 00000 n 0012841106 00000 n 0012841169 00000 n 0012841232 00000 n 0012841297 00000 n 0012841360 00000 n 0012841423 00000 n 0012841488 00000 n 0012841551 00000 n 0012841614 00000 n 0012841679 00000 n 0012841742 00000 n 0012841805 00000 n 0012841870 00000 n 0012841933 00000 n 0012841996 00000 n 0012842061 00000 n 0012842124 00000 n 0012842187 00000 n 0012842252 00000 n 0012842315 00000 n 0012842378 00000 n 0012842443 00000 n 0012842506 00000 n 0012842569 00000 n 0012842634 00000 n 0012842697 00000 n 0012842760 00000 n 0012842825 00000 n 0012842888 00000 n 0012842951 00000 n 0012843016 00000 n 0012843079 00000 n 0012843142 00000 n 0012843207 00000 n 0012843270 00000 n 0012843333 00000 n 0012843398 00000 n 0012843461 00000 n 0012843524 00000 n 0012843589 00000 n 0012843652 00000 n 0012843715 00000 n 0012843780 00000 n 0012843843 00000 n 0012843906 00000 n 0012843971 00000 n 0012844034 00000 n 0012844097 00000 n 0012844162 00000 n 0012844225 00000 n 0012844288 00000 n 0012844353 00000 n 0012844416 00000 n 0012844479 00000 n 0012844544 00000 n 0012844607 00000 n 0012844670 00000 n 0012844735 00000 n 0012844798 00000 n 0012844861 00000 n 0012844926 00000 n 0012844989 00000 n 0012845052 00000 n 0012845117 00000 n 0012845180 00000 n 0012845243 00000 n 0012845308 00000 n 0012845371 00000 n 0012845434 00000 n 0012845499 00000 n 0012845562 00000 n 0012845625 00000 n 0012845690 00000 n 0012845753 00000 n 0012845816 00000 n 0012845881 00000 n 0012845944 00000 n 0012846007 00000 n 0012846072 00000 n 0012846135 00000 n 0012846198 00000 n 0012846263 00000 n 0012846331 00000 n 0012846394 00000 n 0012846457 00000 n 0012846522 00000 n 0012846585 00000 n 0012846648 00000 n 0012846713 00000 n 0012846776 00000 n 0012846839 00000 n 0012846904 00000 n 0012846967 00000 n 0012847030 00000 n 0012847095 00000 n 0012847158 00000 n 0012847221 00000 n 0012847286 00000 n 0012847349 00000 n 0012847412 00000 n 0012847477 00000 n 0012847540 00000 n 0012847603 00000 n 0012847668 00000 n 0012847731 00000 n 0012847794 00000 n 0012847859 00000 n 0012847922 00000 n 0012847985 00000 n 0012848050 00000 n 0012848113 00000 n 0012848176 00000 n 0012848241 00000 n 0012848304 00000 n 0012848367 00000 n 0012848432 00000 n 0012848495 00000 n 0012848558 00000 n 0012848623 00000 n 0012848686 00000 n 0012848749 00000 n 0012848814 00000 n 0012848881 00000 n 0012848946 00000 n 0012849014 00000 n 0012849077 00000 n 0012849140 00000 n 0012849205 00000 n 0012849268 00000 n 0012849331 00000 n 0012849396 00000 n 0012849459 00000 n 0012849522 00000 n 0012849587 00000 n 0012849650 00000 n 0012849713 00000 n 0012849778 00000 n 0012849841 00000 n 0012849904 00000 n 0012849969 00000 n 0012850032 00000 n 0012850095 00000 n 0012850160 00000 n 0012850228 00000 n 0012850296 00000 n 0012850359 00000 n 0012850422 00000 n 0012850487 00000 n 0012850550 00000 n 0012850613 00000 n 0012850678 00000 n 0012850741 00000 n 0012850804 00000 n 0012850869 00000 n 0012850932 00000 n 0012850995 00000 n 0012851060 00000 n 0012851125 00000 n 0012851190 00000 n 0012851253 00000 n 0012851316 00000 n 0012851381 00000 n 0012851449 00000 n 0012851516 00000 n 0012851579 00000 n 0012851642 00000 n 0012851707 00000 n 0012851770 00000 n 0012851833 00000 n 0012851898 00000 n 0012851961 00000 n 0012852024 00000 n 0012852089 00000 n 0012852152 00000 n 0012852215 00000 n 0012852280 00000 n 0012852343 00000 n 0012852406 00000 n 0012852471 00000 n 0012852539 00000 n 0012852602 00000 n 0012852665 00000 n 0012852730 00000 n 0012852793 00000 n 0012852856 00000 n 0012852921 00000 n 0012852984 00000 n 0012853047 00000 n 0012853112 00000 n 0012853175 00000 n 0012853238 00000 n 0012853303 00000 n 0012853366 00000 n 0012853429 00000 n 0012853494 00000 n 0012853557 00000 n 0012853620 00000 n 0012853685 00000 n 0012853748 00000 n 0012853811 00000 n 0012853876 00000 n 0012853939 00000 n 0012854002 00000 n 0012854067 00000 n 0012854130 00000 n 0012854193 00000 n 0012854258 00000 n 0012854321 00000 n 0012854384 00000 n 0012854449 00000 n 0012854512 00000 n 0012854575 00000 n 0012854640 00000 n 0012854703 00000 n 0012854766 00000 n 0012854831 00000 n 0012854894 00000 n 0012854957 00000 n 0012855022 00000 n 0012855085 00000 n 0012855148 00000 n 0012855213 00000 n 0012855276 00000 n 0012855339 00000 n 0012855404 00000 n 0012855467 00000 n 0012855530 00000 n 0012855595 00000 n 0012855658 00000 n 0012855721 00000 n 0012855786 00000 n 0012855849 00000 n 0012855912 00000 n 0012855977 00000 n 0012856040 00000 n 0012856103 00000 n 0012856168 00000 n 0012856231 00000 n 0012856294 00000 n 0012856359 00000 n 0012856422 00000 n 0012856485 00000 n 0012856550 00000 n 0012856613 00000 n 0012856676 00000 n 0012856741 00000 n 0012856804 00000 n 0012856867 00000 n 0012856932 00000 n 0012857000 00000 n 0012857068 00000 n 0012857131 00000 n 0012857194 00000 n 0012857259 00000 n 0012857327 00000 n 0012857390 00000 n 0012857453 00000 n 0012857518 00000 n 0012857581 00000 n 0012857644 00000 n 0012857709 00000 n 0012857772 00000 n 0012857835 00000 n 0012857900 00000 n 0012857963 00000 n 0012858026 00000 n 0012858091 00000 n 0012858154 00000 n 0012858217 00000 n 0012858282 00000 n 0012858345 00000 n 0012858408 00000 n 0012858473 00000 n 0012858536 00000 n 0012858599 00000 n 0012858664 00000 n 0012858727 00000 n 0012858790 00000 n 0012858855 00000 n 0012858918 00000 n 0012858981 00000 n 0012859046 00000 n 0012859114 00000 n 0012859177 00000 n 0012859240 00000 n 0012859305 00000 n 0012859368 00000 n 0012859431 00000 n 0012859496 00000 n 0012859559 00000 n 0012859622 00000 n 0012859687 00000 n 0012859750 00000 n 0012859813 00000 n 0012859878 00000 n 0012859941 00000 n 0012860004 00000 n 0012860069 00000 n 0012860132 00000 n 0012860195 00000 n 0012860258 00000 n 0012860321 00000 n 0012860384 00000 n 0012860449 00000 n 0012860512 00000 n 0012860575 00000 n 0012860640 00000 n 0012860703 00000 n 0012860766 00000 n 0012860831 00000 n 0012860894 00000 n 0012860957 00000 n 0012861022 00000 n 0012861085 00000 n 0012861148 00000 n 0012861213 00000 n 0012861276 00000 n 0012861339 00000 n 0012861404 00000 n 0012861467 00000 n 0012861530 00000 n 0012861595 00000 n 0012861658 00000 n 0012861721 00000 n 0012861786 00000 n 0012861849 00000 n 0012861912 00000 n 0012861977 00000 n 0012862040 00000 n 0012862103 00000 n 0012862168 00000 n 0012862231 00000 n 0012862294 00000 n 0012862359 00000 n 0012862422 00000 n 0012862485 00000 n 0012862550 00000 n 0012862613 00000 n 0012862676 00000 n 0012862741 00000 n 0012862804 00000 n 0012862867 00000 n 0012862932 00000 n 0012862995 00000 n 0012863058 00000 n 0012863123 00000 n 0012863186 00000 n 0012863249 00000 n 0012863314 00000 n 0012863377 00000 n 0012863440 00000 n 0012863505 00000 n 0012863573 00000 n 0012863636 00000 n 0012863699 00000 n 0012863764 00000 n 0012863827 00000 n 0012863890 00000 n 0012863955 00000 n 0012864018 00000 n 0012864081 00000 n 0012864146 00000 n 0012864209 00000 n 0012864272 00000 n 0012864337 00000 n 0012864400 00000 n 0012864463 00000 n 0012864528 00000 n 0012864591 00000 n 0012864654 00000 n 0012864719 00000 n 0012864786 00000 n 0012864853 00000 n 0012864921 00000 n 0012864989 00000 n 0012865052 00000 n 0012865115 00000 n 0012865180 00000 n 0012865243 00000 n 0012865306 00000 n 0012865371 00000 n 0012865434 00000 n 0012865497 00000 n 0012865562 00000 n 0012865629 00000 n 0012865696 00000 n 0012865764 00000 n 0012865832 00000 n 0012865900 00000 n 0012865963 00000 n 0012866026 00000 n 0012866091 00000 n 0012866154 00000 n 0012866217 00000 n 0012866282 00000 n 0012866345 00000 n 0012866408 00000 n 0012866473 00000 n 0012866536 00000 n 0012866599 00000 n 0012866664 00000 n 0012866727 00000 n 0012866790 00000 n 0012866855 00000 n 0012866918 00000 n 0012866981 00000 n 0012867046 00000 n 0012867109 00000 n 0012867172 00000 n 0012867237 00000 n 0012867300 00000 n 0012867363 00000 n 0012867428 00000 n 0012867491 00000 n 0012867554 00000 n 0012867619 00000 n 0012867682 00000 n 0012867745 00000 n 0012867808 00000 n 0012867871 00000 n 0012867934 00000 n 0012867999 00000 n 0012868062 00000 n 0012868125 00000 n 0012868190 00000 n 0012868253 00000 n 0012868316 00000 n 0012868381 00000 n 0012868444 00000 n 0012868507 00000 n 0012868572 00000 n 0012868635 00000 n 0012868698 00000 n 0012868763 00000 n 0012868826 00000 n 0012868889 00000 n 0012868954 00000 n 0012869017 00000 n 0012869080 00000 n 0012869145 00000 n 0012869208 00000 n 0012869271 00000 n 0012869336 00000 n 0012869399 00000 n 0012869462 00000 n 0012869527 00000 n 0012869590 00000 n 0012869653 00000 n 0012869718 00000 n 0012869781 00000 n 0012869844 00000 n 0012869909 00000 n 0012869972 00000 n 0012870035 00000 n 0012870100 00000 n 0012870163 00000 n 0012870226 00000 n 0012870291 00000 n 0012870354 00000 n 0012870417 00000 n 0012870482 00000 n 0012870545 00000 n 0012870608 00000 n 0012870673 00000 n 0012870736 00000 n 0012870799 00000 n 0012870864 00000 n 0012870927 00000 n 0012870990 00000 n 0012871055 00000 n 0012871118 00000 n 0012871181 00000 n 0012871246 00000 n 0012871309 00000 n 0012871372 00000 n 0012871437 00000 n 0012871500 00000 n 0012871563 00000 n 0012871628 00000 n 0012871691 00000 n 0012871754 00000 n 0012871819 00000 n 0012871882 00000 n 0012871945 00000 n 0012872010 00000 n 0012872073 00000 n 0012872136 00000 n 0012872201 00000 n 0012872264 00000 n 0012872327 00000 n 0012872392 00000 n 0012872455 00000 n 0012872518 00000 n 0012872583 00000 n 0012872646 00000 n 0012872709 00000 n 0012872774 00000 n 0012872837 00000 n 0012872900 00000 n 0012872965 00000 n 0012873028 00000 n 0012873091 00000 n 0012873156 00000 n 0012873219 00000 n 0012873282 00000 n 0012873347 00000 n 0012873410 00000 n 0012873473 00000 n 0012873538 00000 n 0012873601 00000 n 0012873664 00000 n 0012873729 00000 n 0012873792 00000 n 0012873855 00000 n 0012873920 00000 n 0012873983 00000 n 0012874046 00000 n 0012874111 00000 n 0012874174 00000 n 0012874237 00000 n 0012874302 00000 n 0012874365 00000 n 0012874428 00000 n 0012874493 00000 n 0012874556 00000 n 0012874619 00000 n 0012874684 00000 n 0012874747 00000 n 0012874810 00000 n 0012874875 00000 n 0012874938 00000 n 0012875001 00000 n 0012875066 00000 n 0012875131 00000 n 0012875194 00000 n 0012875257 00000 n 0012875322 00000 n 0012875385 00000 n 0012875448 00000 n 0012875513 00000 n 0012875581 00000 n 0012875644 00000 n 0012875707 00000 n 0012875772 00000 n 0012875835 00000 n 0012875898 00000 n 0012875963 00000 n 0012876026 00000 n 0012876089 00000 n 0012876154 00000 n 0012876217 00000 n 0012876280 00000 n 0012876345 00000 n 0012876408 00000 n 0012876471 00000 n 0012876536 00000 n 0012876599 00000 n 0012876662 00000 n 0012876727 00000 n 0012876790 00000 n 0012876853 00000 n 0012876918 00000 n 0012876981 00000 n 0012877044 00000 n 0012877109 00000 n 0012877172 00000 n 0012877235 00000 n 0012877300 00000 n 0012877363 00000 n 0012877426 00000 n 0012877491 00000 n 0012877554 00000 n 0012877617 00000 n 0012877682 00000 n 0012877745 00000 n 0012877808 00000 n 0012877873 00000 n 0012877936 00000 n 0012877999 00000 n 0012878064 00000 n 0012878127 00000 n 0012878190 00000 n 0012878255 00000 n 0012878318 00000 n 0012878381 00000 n 0012878446 00000 n 0012878509 00000 n 0012878572 00000 n 0012878637 00000 n 0012878700 00000 n 0012878763 00000 n 0012878828 00000 n 0012878891 00000 n 0012878954 00000 n 0012879019 00000 n 0012879082 00000 n 0012879145 00000 n 0012879210 00000 n 0012879273 00000 n 0012879336 00000 n 0012879401 00000 n 0012879464 00000 n 0012879527 00000 n 0012879592 00000 n 0012879655 00000 n 0012879718 00000 n 0012879783 00000 n 0012879851 00000 n 0012879914 00000 n 0012879977 00000 n 0012880042 00000 n 0012880110 00000 n 0012880177 00000 n 0012880245 00000 n 0012880308 00000 n 0012880371 00000 n 0012880436 00000 n 0012880503 00000 n 0012880570 00000 n 0012880633 00000 n 0012880701 00000 n 0012880764 00000 n 0012880832 00000 n 0012880900 00000 n 0012880963 00000 n 0012881026 00000 n 0012881091 00000 n 0012881154 00000 n 0012881217 00000 n 0012881282 00000 n 0012881345 00000 n 0012881408 00000 n 0012881473 00000 n 0012881536 00000 n 0012881599 00000 n 0012881664 00000 n 0012881727 00000 n 0012881790 00000 n 0012881855 00000 n 0012881918 00000 n 0012881981 00000 n 0012882046 00000 n 0012882109 00000 n 0012882172 00000 n 0012882237 00000 n 0012882300 00000 n 0012882363 00000 n 0012882428 00000 n 0012882491 00000 n 0012882554 00000 n 0012882619 00000 n 0012882682 00000 n 0012882745 00000 n 0012882810 00000 n 0012882873 00000 n 0012882936 00000 n 0012883001 00000 n 0012883064 00000 n 0012883127 00000 n 0012883192 00000 n 0012883255 00000 n 0012883318 00000 n 0012883383 00000 n 0012883446 00000 n 0012883509 00000 n 0012883574 00000 n 0012883637 00000 n 0012883700 00000 n 0012883765 00000 n 0012883828 00000 n 0012883891 00000 n 0012883956 00000 n 0012884024 00000 n 0012884092 00000 n 0012884160 00000 n 0012884223 00000 n 0012884286 00000 n 0012884351 00000 n 0012884414 00000 n 0012884477 00000 n 0012884542 00000 n 0012884605 00000 n 0012884668 00000 n 0012884733 00000 n 0012884796 00000 n 0012884859 00000 n 0012884924 00000 n 0012884987 00000 n 0012885050 00000 n 0012885115 00000 n 0012885178 00000 n 0012885241 00000 n 0012885306 00000 n 0012885369 00000 n 0012885432 00000 n 0012885497 00000 n 0012885560 00000 n 0012885623 00000 n 0012885688 00000 n 0012885751 00000 n 0012885814 00000 n 0012885879 00000 n 0012885942 00000 n 0012886005 00000 n 0012886070 00000 n 0012886133 00000 n 0012886196 00000 n 0012886261 00000 n 0012886324 00000 n 0012886387 00000 n 0012886452 00000 n 0012886515 00000 n 0012886578 00000 n 0012886643 00000 n 0012886706 00000 n 0012886769 00000 n 0012886834 00000 n 0012886897 00000 n 0012886960 00000 n 0012887025 00000 n 0012887088 00000 n 0012887151 00000 n 0012887216 00000 n 0012887279 00000 n 0012887342 00000 n 0012887407 00000 n 0012887470 00000 n 0012887533 00000 n 0012887598 00000 n 0012887661 00000 n 0012887724 00000 n 0012887789 00000 n 0012887852 00000 n 0012887915 00000 n 0012887980 00000 n 0012888043 00000 n 0012888106 00000 n 0012888171 00000 n 0012888234 00000 n 0012888297 00000 n 0012888362 00000 n 0012888425 00000 n 0012888488 00000 n 0012888553 00000 n 0012888616 00000 n 0012888679 00000 n 0012888744 00000 n 0012888807 00000 n 0012888870 00000 n 0012888935 00000 n 0012888998 00000 n 0012889061 00000 n 0012889126 00000 n 0012889189 00000 n 0012889252 00000 n 0012889317 00000 n 0012889380 00000 n 0012889443 00000 n 0012889508 00000 n 0012889576 00000 n 0012889639 00000 n 0012889702 00000 n 0012889767 00000 n 0012889830 00000 n 0012889893 00000 n 0012889958 00000 n 0012890021 00000 n 0012890084 00000 n 0012890149 00000 n 0012890212 00000 n 0012890275 00000 n 0012890340 00000 n 0012890403 00000 n 0012890466 00000 n 0012890531 00000 n 0012890599 00000 n 0012890662 00000 n 0012890725 00000 n 0012890790 00000 n 0012890857 00000 n 0012890924 00000 n 0012890992 00000 n 0012891060 00000 n 0012891128 00000 n 0012891191 00000 n 0012891254 00000 n 0012891319 00000 n 0012891384 00000 n 0012891447 00000 n 0012891510 00000 n 0012891575 00000 n 0012891643 00000 n 0012891706 00000 n 0012891769 00000 n 0012891834 00000 n 0012891902 00000 n 0012891965 00000 n 0012892028 00000 n 0012892093 00000 n 0012892156 00000 n 0012892219 00000 n 0012892284 00000 n 0012892347 00000 n 0012892410 00000 n 0012892475 00000 n 0012892538 00000 n 0012892601 00000 n 0012892666 00000 n 0012892729 00000 n 0012892792 00000 n 0012892857 00000 n 0012892920 00000 n 0012892983 00000 n 0012893048 00000 n 0012893111 00000 n 0012893174 00000 n 0012893239 00000 n 0012893302 00000 n 0012893365 00000 n 0012893430 00000 n 0012893498 00000 n 0012893561 00000 n 0012893624 00000 n 0012893689 00000 n 0012893757 00000 n 0012893820 00000 n 0012893883 00000 n 0012893948 00000 n 0012894016 00000 n 0012894079 00000 n 0012894142 00000 n 0012894207 00000 n 0012894270 00000 n 0012894333 00000 n 0012894398 00000 n 0012894461 00000 n 0012894524 00000 n 0012894589 00000 n 0012894657 00000 n 0012894720 00000 n 0012894783 00000 n 0012894848 00000 n 0012894916 00000 n 0012894979 00000 n 0012895042 00000 n 0012895107 00000 n 0012895170 00000 n 0012895233 00000 n 0012895298 00000 n 0012895361 00000 n 0012895429 00000 n 0012895497 00000 n 0012895560 00000 n 0012895623 00000 n 0012895688 00000 n 0012895751 00000 n 0012895814 00000 n 0012895879 00000 n 0012895942 00000 n 0012896010 00000 n 0012896078 00000 n 0012896141 00000 n 0012896204 00000 n 0012896269 00000 n 0012896337 00000 n 0012896400 00000 n 0012896463 00000 n 0012896528 00000 n 0012896596 00000 n 0012896659 00000 n 0012896722 00000 n 0012896787 00000 n 0012896850 00000 n 0012896913 00000 n 0012896978 00000 n 0012897045 00000 n 0012897108 00000 n 0012897176 00000 n 0012897244 00000 n 0012897307 00000 n 0012897370 00000 n 0012897435 00000 n 0012897503 00000 n 0012897566 00000 n 0012897629 00000 n 0012897694 00000 n 0012897757 00000 n 0012897820 00000 n 0012897885 00000 n 0012897953 00000 n 0012898016 00000 n 0012898079 00000 n 0012898144 00000 n 0012898212 00000 n 0012898275 00000 n 0012898338 00000 n 0012898403 00000 n 0012898471 00000 n 0012898534 00000 n 0012898597 00000 n 0012898662 00000 n 0012898730 00000 n 0012898793 00000 n 0012898856 00000 n 0012898921 00000 n 0012898984 00000 n 0012899047 00000 n 0012899112 00000 n 0012899175 00000 n 0012899238 00000 n 0012899303 00000 n 0012899366 00000 n 0012899429 00000 n 0012899494 00000 n 0012899557 00000 n 0012899620 00000 n 0012899685 00000 n 0012899748 00000 n 0012899811 00000 n 0012899876 00000 n 0012899939 00000 n 0012900002 00000 n 0012900067 00000 n 0012900130 00000 n 0012900193 00000 n 0012900258 00000 n 0012900325 00000 n 0012900388 00000 n 0012900456 00000 n 0012900524 00000 n 0012900592 00000 n 0012900655 00000 n 0012900718 00000 n 0012900783 00000 n 0012900846 00000 n 0012900909 00000 n 0012900974 00000 n 0012901037 00000 n 0012901100 00000 n 0012901165 00000 n 0012901228 00000 n 0012901291 00000 n 0012901356 00000 n 0012901424 00000 n 0012901487 00000 n 0012901550 00000 n 0012901615 00000 n 0012901678 00000 n 0012901741 00000 n 0012901806 00000 n 0012901869 00000 n 0012901932 00000 n 0012901997 00000 n 0012902060 00000 n 0012902123 00000 n 0012902188 00000 n 0012902251 00000 n 0012902314 00000 n 0012902379 00000 n 0012902442 00000 n 0012902505 00000 n 0012902570 00000 n 0012902633 00000 n 0012902696 00000 n 0012902761 00000 n 0012902824 00000 n 0012902887 00000 n 0012902952 00000 n 0012903015 00000 n 0012903078 00000 n 0012903143 00000 n 0012903206 00000 n 0012903269 00000 n 0012903334 00000 n 0012903397 00000 n 0012903460 00000 n 0012903525 00000 n 0012903588 00000 n 0012903651 00000 n 0012903716 00000 n 0012903779 00000 n 0012903842 00000 n 0012903907 00000 n 0012903975 00000 n 0012904038 00000 n 0012904101 00000 n 0012904166 00000 n 0012904229 00000 n 0012904292 00000 n 0012904357 00000 n 0012904421 00000 n 0012904485 00000 n 0012904551 00000 n 0012904615 00000 n 0012904679 00000 n 0012904745 00000 n 0012904809 00000 n 0012904873 00000 n 0012904939 00000 n 0012905003 00000 n 0012905067 00000 n 0012905133 00000 n 0012905197 00000 n 0012905261 00000 n 0012905327 00000 n 0012905391 00000 n 0012905455 00000 n 0012905521 00000 n 0012905585 00000 n 0012905649 00000 n 0012905715 00000 n 0012905779 00000 n 0012905843 00000 n 0012905909 00000 n 0012905973 00000 n 0012906037 00000 n 0012906103 00000 n 0012906172 00000 n 0012906236 00000 n 0012906300 00000 n 0012906366 00000 n 0012906430 00000 n 0012906494 00000 n 0012906560 00000 n 0012906624 00000 n 0012906688 00000 n 0012906754 00000 n 0012906818 00000 n 0012906882 00000 n 0012906946 00000 n 0012907012 00000 n 0012907076 00000 n 0012907140 00000 n 0012907204 00000 n 0012907270 00000 n 0012907339 00000 n 0012907403 00000 n 0012907467 00000 n 0012907533 00000 n 0012907597 00000 n 0012907661 00000 n 0012907725 00000 n 0012907789 00000 n 0012907853 00000 n 0012907919 00000 n 0012907988 00000 n 0012908052 00000 n 0012908116 00000 n 0012908182 00000 n 0012908246 00000 n 0012908310 00000 n 0012908376 00000 n 0012908440 00000 n 0012908504 00000 n 0012908570 00000 n 0012908634 00000 n 0012908698 00000 n 0012908764 00000 n 0012908833 00000 n 0012908902 00000 n 0012908966 00000 n 0012909030 00000 n 0012909096 00000 n 0012909165 00000 n 0012909229 00000 n 0012909293 00000 n 0012909359 00000 n 0012909423 00000 n 0012909487 00000 n 0012909553 00000 n 0012909617 00000 n 0012909681 00000 n 0012909747 00000 n 0012909811 00000 n 0012909875 00000 n 0012909941 00000 n 0012910005 00000 n 0012910069 00000 n 0012910135 00000 n 0012910199 00000 n 0012910263 00000 n 0012910329 00000 n 0012910393 00000 n 0012910457 00000 n 0012910523 00000 n 0012910587 00000 n 0012910651 00000 n 0012910715 00000 n 0012910781 00000 n 0012910845 00000 n 0012910909 00000 n 0012910975 00000 n 0012911039 00000 n 0012911103 00000 n 0012911169 00000 n 0012911233 00000 n 0012911297 00000 n 0012911363 00000 n 0012911427 00000 n 0012911491 00000 n 0012911557 00000 n 0012911621 00000 n 0012911685 00000 n 0012911751 00000 n 0012911815 00000 n 0012911879 00000 n 0012911945 00000 n 0012912013 00000 n 0012912077 00000 n 0012912141 00000 n 0012912207 00000 n 0012912271 00000 n 0012912335 00000 n 0012912401 00000 n 0012912465 00000 n 0012912529 00000 n 0012912595 00000 n 0012912659 00000 n 0012912723 00000 n 0012912789 00000 n 0012912853 00000 n 0012912917 00000 n 0012912983 00000 n 0012913047 00000 n 0012913111 00000 n 0012913177 00000 n 0012913241 00000 n 0012913305 00000 n 0012913369 00000 n 0012913433 00000 n 0012913497 00000 n 0012913563 00000 n 0012913632 00000 n 0012913701 00000 n 0012913765 00000 n 0012913829 00000 n 0012913895 00000 n 0012913959 00000 n 0012914023 00000 n 0012914089 00000 n 0012914153 00000 n 0012914217 00000 n 0012914283 00000 n 0012914347 00000 n 0012914411 00000 n 0012914477 00000 n 0012914541 00000 n 0012914605 00000 n 0012914671 00000 n 0012914739 00000 n 0012914808 00000 n 0012914872 00000 n 0012914936 00000 n 0012915000 00000 n 0012915066 00000 n 0012915130 00000 n 0012915194 00000 n 0012915260 00000 n 0012915324 00000 n 0012915388 00000 n 0012915454 00000 n 0012915518 00000 n 0012915582 00000 n 0012915648 00000 n 0012915712 00000 n 0012915776 00000 n 0012915842 00000 n 0012915906 00000 n 0012915970 00000 n 0012916036 00000 n 0012916100 00000 n 0012916164 00000 n 0012916230 00000 n 0012916294 00000 n 0012916358 00000 n 0012916424 00000 n 0012916488 00000 n 0012916552 00000 n 0012916618 00000 n 0012916682 00000 n 0012916746 00000 n 0012916812 00000 n 0012916876 00000 n 0012916940 00000 n 0012917006 00000 n 0012917070 00000 n 0012917134 00000 n 0012917200 00000 n 0012917264 00000 n 0012917328 00000 n 0012917394 00000 n 0012917458 00000 n 0012917522 00000 n 0012917588 00000 n 0012917657 00000 n 0012917721 00000 n 0012917785 00000 n 0012917851 00000 n 0012917915 00000 n 0012917979 00000 n 0012918045 00000 n 0012918109 00000 n 0012918173 00000 n 0012918239 00000 n 0012918303 00000 n 0012918367 00000 n 0012918433 00000 n 0012918497 00000 n 0012918561 00000 n 0012918627 00000 n 0012918691 00000 n 0012918755 00000 n 0012918821 00000 n 0012918885 00000 n 0012918949 00000 n 0012919015 00000 n 0012919079 00000 n 0012919143 00000 n 0012919209 00000 n 0012919273 00000 n 0012919337 00000 n 0012919403 00000 n 0012919467 00000 n 0012919531 00000 n 0012919597 00000 n 0012919663 00000 n 0012919727 00000 n 0012919791 00000 n 0012919857 00000 n 0012919921 00000 n 0012919985 00000 n 0012920051 00000 n 0012920115 00000 n 0012920179 00000 n 0012920245 00000 n 0012920309 00000 n 0012920373 00000 n 0012920439 00000 n 0012920503 00000 n 0012920567 00000 n 0012920633 00000 n 0012920697 00000 n 0012920761 00000 n 0012920827 00000 n 0012920896 00000 n 0012920965 00000 n 0012921032 00000 n 0012921099 00000 n 0012921163 00000 n 0012921227 00000 n 0012921293 00000 n 0012921357 00000 n 0012921421 00000 n 0012921487 00000 n 0012921551 00000 n 0012921615 00000 n 0012921681 00000 n 0012921745 00000 n 0012921809 00000 n 0012921875 00000 n 0012921943 00000 n 0012922007 00000 n 0012922071 00000 n 0012922137 00000 n 0012922201 00000 n 0012922265 00000 n 0012922331 00000 n 0012922395 00000 n 0012922459 00000 n 0012922525 00000 n 0012922589 00000 n 0012922653 00000 n 0012922719 00000 n 0012922783 00000 n 0012922847 00000 n 0012922913 00000 n 0012922977 00000 n 0012923041 00000 n 0012923107 00000 n 0012923171 00000 n 0012923235 00000 n 0012923301 00000 n 0012923365 00000 n 0012923429 00000 n 0012923495 00000 n 0012923559 00000 n 0012923623 00000 n 0012923689 00000 n 0012923753 00000 n 0012923817 00000 n 0012923883 00000 n 0012923947 00000 n 0012924011 00000 n 0012924077 00000 n 0012924141 00000 n 0012924205 00000 n 0012924271 00000 n 0012924335 00000 n 0012924399 00000 n 0012924465 00000 n 0012924529 00000 n 0012924593 00000 n 0012924659 00000 n 0012924723 00000 n 0012924787 00000 n 0012924851 00000 n 0012924915 00000 n 0012924979 00000 n 0012925043 00000 n 0012925107 00000 n 0012925171 00000 n 0012925237 00000 n 0012925301 00000 n 0012925365 00000 n 0012925431 00000 n 0012925495 00000 n 0012925559 00000 n 0012925625 00000 n 0012925694 00000 n 0012925763 00000 n 0012925832 00000 n 0012925896 00000 n 0012925960 00000 n 0012926026 00000 n 0012926095 00000 n 0012926159 00000 n 0012926225 00000 n 0012926291 00000 n 0012926355 00000 n 0012926419 00000 n 0012926485 00000 n 0012926549 00000 n 0012926613 00000 n 0012926679 00000 n 0012926743 00000 n 0012926807 00000 n 0012926873 00000 n 0012926937 00000 n 0012927001 00000 n 0012927067 00000 n 0012927131 00000 n 0012927195 00000 n 0012927261 00000 n 0012927325 00000 n 0012927389 00000 n 0012927455 00000 n 0012927519 00000 n 0012927583 00000 n 0012927649 00000 n 0012927713 00000 n 0012927777 00000 n 0012927843 00000 n 0012927907 00000 n 0012927971 00000 n 0012928037 00000 n 0012928101 00000 n 0012928165 00000 n 0012928231 00000 n 0012928295 00000 n 0012928359 00000 n 0012928425 00000 n 0012928489 00000 n 0012928553 00000 n 0012928619 00000 n 0012928683 00000 n 0012928747 00000 n 0012928813 00000 n 0012928877 00000 n 0012928941 00000 n 0012929007 00000 n 0012929076 00000 n 0012929140 00000 n 0012929204 00000 n 0012929270 00000 n 0012929334 00000 n 0012929398 00000 n 0012929464 00000 n 0012929528 00000 n 0012929592 00000 n 0012929658 00000 n 0012929722 00000 n 0012929786 00000 n 0012929852 00000 n 0012929916 00000 n 0012929980 00000 n 0012930046 00000 n 0012930110 00000 n 0012930174 00000 n 0012930240 00000 n 0012930304 00000 n 0012930368 00000 n 0012930434 00000 n 0012930498 00000 n 0012930562 00000 n 0012930628 00000 n 0012930692 00000 n 0012930756 00000 n 0012930822 00000 n 0012930888 00000 n 0012930952 00000 n 0012931016 00000 n 0012931080 00000 n 0012931146 00000 n 0012931210 00000 n 0012931274 00000 n 0012931340 00000 n 0012931404 00000 n 0012931468 00000 n 0012931534 00000 n 0012931598 00000 n 0012931662 00000 n 0012931728 00000 n 0012931792 00000 n 0012931856 00000 n 0012931922 00000 n 0012931986 00000 n 0012932050 00000 n 0012932116 00000 n 0012932180 00000 n 0012932244 00000 n 0012932310 00000 n 0012932374 00000 n 0012932438 00000 n 0012932504 00000 n 0012932568 00000 n 0012932632 00000 n 0012932698 00000 n 0012932762 00000 n 0012932826 00000 n 0012932892 00000 n 0012932956 00000 n 0012933020 00000 n 0012933086 00000 n 0012933150 00000 n 0012933214 00000 n 0012933280 00000 n 0012933348 00000 n 0012933417 00000 n 0012933486 00000 n 0012933555 00000 n 0012933619 00000 n 0012933683 00000 n 0012933749 00000 n 0012933813 00000 n 0012933877 00000 n 0012933943 00000 n 0012934011 00000 n 0012934080 00000 n 0012934149 00000 n 0012934218 00000 n 0012934282 00000 n 0012934346 00000 n 0012934412 00000 n 0012934476 00000 n 0012934540 00000 n 0012934606 00000 n 0012934674 00000 n 0012934743 00000 n 0012934812 00000 n 0012934881 00000 n 0012934945 00000 n 0012935009 00000 n 0012935075 00000 n 0012935139 00000 n 0012935203 00000 n 0012935269 00000 n 0012935333 00000 n 0012935397 00000 n 0012935463 00000 n 0012935532 00000 n 0012935601 00000 n 0012935665 00000 n 0012935729 00000 n 0012935795 00000 n 0012935859 00000 n 0012935923 00000 n 0012935989 00000 n 0012936053 00000 n 0012936117 00000 n 0012936183 00000 n 0012936247 00000 n 0012936311 00000 n 0012936377 00000 n 0012936441 00000 n 0012936505 00000 n 0012936571 00000 n 0012936635 00000 n 0012936699 00000 n 0012936765 00000 n 0012936829 00000 n 0012936893 00000 n 0012936959 00000 n 0012937023 00000 n 0012937087 00000 n 0012937153 00000 n 0012937217 00000 n 0012937281 00000 n 0012937347 00000 n 0012937411 00000 n 0012937475 00000 n 0012937541 00000 n 0012937605 00000 n 0012937669 00000 n 0012937735 00000 n 0012937799 00000 n 0012937863 00000 n 0012937929 00000 n 0012937993 00000 n 0012938057 00000 n 0012938123 00000 n 0012938187 00000 n 0012938251 00000 n 0012938317 00000 n 0012938381 00000 n 0012938445 00000 n 0012938511 00000 n 0012938575 00000 n 0012938639 00000 n 0012938705 00000 n 0012938769 00000 n 0012938833 00000 n 0012938899 00000 n 0012938963 00000 n 0012939027 00000 n 0012939093 00000 n 0012939162 00000 n 0012939226 00000 n 0012939290 00000 n 0012939356 00000 n 0012939422 00000 n 0012939486 00000 n 0012939550 00000 n 0012939616 00000 n 0012939684 00000 n 0012939753 00000 n 0012939819 00000 n 0012939883 00000 n 0012939949 00000 n 0012940013 00000 n 0012940077 00000 n 0012940143 00000 n 0012940207 00000 n 0012940271 00000 n 0012940337 00000 n 0012940401 00000 n 0012940465 00000 n 0012940531 00000 n 0012940595 00000 n 0012940659 00000 n 0012940725 00000 n 0012940789 00000 n 0012940853 00000 n 0012940919 00000 n 0012940983 00000 n 0012941047 00000 n 0012941113 00000 n 0012941177 00000 n 0012941241 00000 n 0012941307 00000 n 0012941371 00000 n 0012941435 00000 n 0012941501 00000 n 0012941565 00000 n 0012941629 00000 n 0012941695 00000 n 0012941759 00000 n 0012941823 00000 n 0012941889 00000 n 0012941953 00000 n 0012942017 00000 n 0012942083 00000 n 0012942147 00000 n 0012942211 00000 n 0012942277 00000 n 0012942341 00000 n 0012942405 00000 n 0012942471 00000 n 0012942535 00000 n 0012942599 00000 n 0012942665 00000 n 0012942729 00000 n 0012942793 00000 n 0012942859 00000 n 0012942923 00000 n 0012942987 00000 n 0012943053 00000 n 0012943117 00000 n 0012943181 00000 n 0012943247 00000 n 0012943313 00000 n 0012943382 00000 n 0012943446 00000 n 0012943510 00000 n 0012943576 00000 n 0012943640 00000 n 0012943704 00000 n 0012943770 00000 n 0012943834 00000 n 0012943898 00000 n 0012943964 00000 n 0012944028 00000 n 0012944092 00000 n 0012944158 00000 n 0012944222 00000 n 0012944286 00000 n 0012944352 00000 n 0012944416 00000 n 0012944480 00000 n 0012944546 00000 n 0012944610 00000 n 0012944674 00000 n 0012944740 00000 n 0012944804 00000 n 0012944868 00000 n 0012944934 00000 n 0012944998 00000 n 0012945062 00000 n 0012945128 00000 n 0012945192 00000 n 0012945256 00000 n 0012945322 00000 n 0012945386 00000 n 0012945450 00000 n 0012945516 00000 n 0012945585 00000 n 0012945654 00000 n 0012945718 00000 n 0012945782 00000 n 0012945848 00000 n 0012945912 00000 n 0012945976 00000 n 0012946042 00000 n 0012946110 00000 n 0012946178 00000 n 0012946247 00000 n 0012946316 00000 n 0012946385 00000 n 0012946449 00000 n 0012946513 00000 n 0012946579 00000 n 0012946643 00000 n 0012946707 00000 n 0012946773 00000 n 0012946837 00000 n 0012946901 00000 n 0012946967 00000 n 0012947031 00000 n 0012947095 00000 n 0012947161 00000 n 0012947227 00000 n 0012947291 00000 n 0012947355 00000 n 0012947421 00000 n 0012947485 00000 n 0012947549 00000 n 0012947615 00000 n 0012947679 00000 n 0012947743 00000 n 0012947809 00000 n 0012947873 00000 n 0012947937 00000 n 0012948003 00000 n 0012948067 00000 n 0012948131 00000 n 0012948197 00000 n 0012948261 00000 n 0012948325 00000 n 0012948391 00000 n 0012948455 00000 n 0012948519 00000 n 0012948585 00000 n 0012948649 00000 n 0012948713 00000 n 0012948779 00000 n 0012948843 00000 n 0012948907 00000 n 0012948973 00000 n 0012949042 00000 n 0012949106 00000 n 0012949170 00000 n 0012949236 00000 n 0012949300 00000 n 0012949364 00000 n 0012949430 00000 n 0012949498 00000 n 0012949566 00000 n 0012949635 00000 n 0012949704 00000 n 0012949768 00000 n 0012949832 00000 n 0012949898 00000 n 0012949967 00000 n 0012950031 00000 n 0012950095 00000 n 0012950161 00000 n 0012950225 00000 n 0012950289 00000 n 0012950355 00000 n 0012950419 00000 n 0012950483 00000 n 0012950549 00000 n 0012950613 00000 n 0012950677 00000 n 0012950743 00000 n 0012950812 00000 n 0012950876 00000 n 0012950940 00000 n 0012951006 00000 n 0012951070 00000 n 0012951134 00000 n 0012951200 00000 n 0012951264 00000 n 0012951328 00000 n 0012951394 00000 n 0012951458 00000 n 0012951522 00000 n 0012951588 00000 n 0012951652 00000 n 0012951716 00000 n 0012951782 00000 n 0012951846 00000 n 0012951910 00000 n 0012951976 00000 n 0012952040 00000 n 0012952104 00000 n 0012952170 00000 n 0012952234 00000 n 0012952298 00000 n 0012952364 00000 n 0012952428 00000 n 0012952492 00000 n 0012952558 00000 n 0012952624 00000 n 0012952690 00000 n 0012952754 00000 n 0012952818 00000 n 0012952884 00000 n 0012952948 00000 n 0012953012 00000 n 0012953078 00000 n 0012953142 00000 n 0012953206 00000 n 0012953272 00000 n 0012953340 00000 n 0012953409 00000 n 0012953473 00000 n 0012953537 00000 n 0012953603 00000 n 0012953672 00000 n 0012953741 00000 n 0012953810 00000 n 0012953879 00000 n 0012953943 00000 n 0012954007 00000 n 0012954071 00000 n 0012954137 00000 n 0012954206 00000 n 0012954270 00000 n 0012954334 00000 n 0012954400 00000 n 0012954469 00000 n 0012954533 00000 n 0012954597 00000 n 0012954663 00000 n 0012954727 00000 n 0012954791 00000 n 0012954857 00000 n 0012954925 00000 n 0012954994 00000 n 0012955063 00000 n 0012955132 00000 n 0012955201 00000 n 0012955265 00000 n 0012955329 00000 n 0012955395 00000 n 0012955459 00000 n 0012955523 00000 n 0012955589 00000 n 0012955653 00000 n 0012955717 00000 n 0012955783 00000 n 0012955847 00000 n 0012955911 00000 n 0012955977 00000 n 0012956041 00000 n 0012956105 00000 n 0012956171 00000 n 0012956235 00000 n 0012956299 00000 n 0012956365 00000 n 0012956429 00000 n 0012956493 00000 n 0012956559 00000 n 0012956628 00000 n 0012956692 00000 n 0012956756 00000 n 0012956822 00000 n 0012956891 00000 n 0012956955 00000 n 0012957019 00000 n 0012957085 00000 n 0012957149 00000 n 0012957213 00000 n 0012957279 00000 n 0012957343 00000 n 0012957407 00000 n 0012957473 00000 n 0012957537 00000 n 0012957601 00000 n 0012957667 00000 n 0012957731 00000 n 0012957795 00000 n 0012957861 00000 n 0012957925 00000 n 0012957989 00000 n 0012958055 00000 n 0012958119 00000 n 0012958183 00000 n 0012958249 00000 n 0012958313 00000 n 0012958377 00000 n 0012958443 00000 n 0012958507 00000 n 0012958571 00000 n 0012958637 00000 n 0012958705 00000 n 0012958774 00000 n 0012958843 00000 n 0012958912 00000 n 0012958976 00000 n 0012959040 00000 n 0012959106 00000 n 0012959170 00000 n 0012959234 00000 n 0012959300 00000 n 0012959364 00000 n 0012959428 00000 n 0012959494 00000 n 0012959558 00000 n 0012959622 00000 n 0012959688 00000 n 0012959752 00000 n 0012959816 00000 n 0012959882 00000 n 0012959946 00000 n 0012960010 00000 n 0012960076 00000 n 0012960140 00000 n 0012960204 00000 n 0012960270 00000 n 0012960334 00000 n 0012960398 00000 n 0012960464 00000 n 0012960528 00000 n 0012960592 00000 n 0012960658 00000 n 0012960722 00000 n 0012960786 00000 n 0012960852 00000 n 0012960916 00000 n 0012960980 00000 n 0012961046 00000 n 0012961110 00000 n 0012961174 00000 n 0012961240 00000 n 0012961304 00000 n 0012961368 00000 n 0012961434 00000 n 0012961498 00000 n 0012961562 00000 n 0012961628 00000 n 0012961692 00000 n 0012961756 00000 n 0012961822 00000 n 0012961886 00000 n 0012961950 00000 n 0012962016 00000 n 0012962080 00000 n 0012962144 00000 n 0012962210 00000 n 0012962274 00000 n 0012962338 00000 n 0012962404 00000 n 0012962468 00000 n 0012962532 00000 n 0012962598 00000 n 0012962662 00000 n 0012962726 00000 n 0012962792 00000 n 0012962856 00000 n 0012962920 00000 n 0012962986 00000 n 0012963050 00000 n 0012963114 00000 n 0012963180 00000 n 0012963248 00000 n 0012963317 00000 n 0012963381 00000 n 0012963447 00000 n 0012963513 00000 n 0012963577 00000 n 0012963641 00000 n 0012963707 00000 n 0012963771 00000 n 0012963835 00000 n 0012963901 00000 n 0012963965 00000 n 0012964029 00000 n 0012964095 00000 n 0012964159 00000 n 0012964223 00000 n 0012964289 00000 n 0012964358 00000 n 0012964427 00000 n 0012964491 00000 n 0012964555 00000 n 0012964621 00000 n 0012964685 00000 n 0012964749 00000 n 0012964815 00000 n 0012964879 00000 n 0012964943 00000 n 0012965009 00000 n 0012965073 00000 n 0012965137 00000 n 0012965203 00000 n 0012965267 00000 n 0012965331 00000 n 0012965397 00000 n 0012965461 00000 n 0012965525 00000 n 0012965591 00000 n 0012965655 00000 n 0012965719 00000 n 0012965785 00000 n 0012965849 00000 n 0012965913 00000 n 0012965979 00000 n 0012966043 00000 n 0012966107 00000 n 0012966173 00000 n 0012966237 00000 n 0012966301 00000 n 0012966367 00000 n 0012966431 00000 n 0012966495 00000 n 0012966561 00000 n 0012966625 00000 n 0012966689 00000 n 0012966755 00000 n 0012966819 00000 n 0012966883 00000 n 0012966949 00000 n 0012967013 00000 n 0012967077 00000 n 0012967143 00000 n 0012967207 00000 n 0012967271 00000 n 0012967337 00000 n 0012967401 00000 n 0012967465 00000 n 0012967531 00000 n 0012967595 00000 n 0012967659 00000 n 0012967725 00000 n 0012967789 00000 n 0012967853 00000 n 0012967919 00000 n 0012967983 00000 n 0012968047 00000 n 0012968113 00000 n 0012968177 00000 n 0012968241 00000 n 0012968307 00000 n 0012968371 00000 n 0012968435 00000 n 0012968501 00000 n 0012968565 00000 n 0012968629 00000 n 0012968695 00000 n 0012968759 00000 n 0012968823 00000 n 0012968889 00000 n 0012968953 00000 n 0012969017 00000 n 0012969083 00000 n 0012969147 00000 n 0012969211 00000 n 0012969277 00000 n 0012969341 00000 n 0012969405 00000 n 0012969471 00000 n 0012969535 00000 n 0012969599 00000 n 0012969665 00000 n 0012969729 00000 n 0012969793 00000 n 0012969859 00000 n 0012969923 00000 n 0012969987 00000 n 0012970053 00000 n 0012970117 00000 n 0012970181 00000 n 0012970247 00000 n 0012970311 00000 n 0012970375 00000 n 0012970441 00000 n 0012970505 00000 n 0012970569 00000 n 0012970635 00000 n 0012970699 00000 n 0012970763 00000 n 0012970829 00000 n 0012970893 00000 n 0012970957 00000 n 0012971023 00000 n 0012971087 00000 n 0012971151 00000 n 0012971217 00000 n 0012971281 00000 n 0012971345 00000 n 0012971411 00000 n 0012971475 00000 n 0012971539 00000 n 0012971605 00000 n 0012971669 00000 n 0012971733 00000 n 0012971799 00000 n 0012971863 00000 n 0012971927 00000 n 0012971993 00000 n 0012972057 00000 n 0012972121 00000 n 0012972187 00000 n 0012972251 00000 n 0012972315 00000 n 0012972381 00000 n 0012972445 00000 n 0012972509 00000 n 0012972575 00000 n 0012972644 00000 n 0012972713 00000 n 0012972777 00000 n 0012972841 00000 n 0012972907 00000 n 0012972971 00000 n 0012973035 00000 n 0012973101 00000 n 0012973165 00000 n 0012973229 00000 n 0012973295 00000 n 0012973359 00000 n 0012973423 00000 n 0012973489 00000 n 0012973553 00000 n 0012973617 00000 n 0012973683 00000 n 0012973747 00000 n 0012973811 00000 n 0012973877 00000 n 0012973941 00000 n 0012974005 00000 n 0012974071 00000 n 0012974135 00000 n 0012974199 00000 n 0012974265 00000 n 0012974329 00000 n 0012974393 00000 n 0012974459 00000 n 0012974523 00000 n 0012974587 00000 n 0012974653 00000 n 0012974717 00000 n 0012974781 00000 n 0012974847 00000 n 0012974911 00000 n 0012974975 00000 n 0012975041 00000 n 0012975105 00000 n 0012975169 00000 n 0012975235 00000 n 0012975302 00000 n 0012975366 00000 n 0012975430 00000 n 0012975496 00000 n 0012975560 00000 n 0012975624 00000 n 0012975690 00000 n 0012975754 00000 n 0012975818 00000 n 0012975884 00000 n 0012975948 00000 n 0012976012 00000 n 0012976078 00000 n 0012976142 00000 n 0012976206 00000 n 0012976272 00000 n 0012976336 00000 n 0012976400 00000 n 0012976466 00000 n 0012976530 00000 n 0012976594 00000 n 0012976660 00000 n 0012976724 00000 n 0012976788 00000 n 0012976854 00000 n 0012976918 00000 n 0012976982 00000 n 0012977048 00000 n 0012977112 00000 n 0012977176 00000 n 0012977242 00000 n 0012977310 00000 n 0012977379 00000 n 0012977443 00000 n 0012977509 00000 n 0012977575 00000 n 0012977639 00000 n 0012977703 00000 n 0012977769 00000 n 0012977833 00000 n 0012977897 00000 n 0012977963 00000 n 0012978027 00000 n 0012978091 00000 n 0012978157 00000 n 0012978221 00000 n 0012978285 00000 n 0012978351 00000 n 0012978415 00000 n 0012978479 00000 n 0012978545 00000 n 0012978609 00000 n 0012978673 00000 n 0012978739 00000 n 0012978803 00000 n 0012978867 00000 n 0012978933 00000 n 0012978997 00000 n 0012979061 00000 n 0012979127 00000 n 0012979195 00000 n 0012979263 00000 n 0012979331 00000 n 0012979395 00000 n 0012979464 00000 n 0012979533 00000 n 0012979597 00000 n 0012979661 00000 n 0012979727 00000 n 0012979791 00000 n 0012979855 00000 n 0012979921 00000 n 0012979985 00000 n 0012980049 00000 n 0012980113 00000 n 0012980177 00000 n 0012980241 00000 n 0012980307 00000 n 0012980371 00000 n 0012980435 00000 n 0012980501 00000 n 0012980565 00000 n 0012980629 00000 n 0012980695 00000 n 0012980759 00000 n 0012980823 00000 n 0012980889 00000 n 0012980953 00000 n 0012981017 00000 n 0012981083 00000 n 0012981147 00000 n 0012981211 00000 n 0012981275 00000 n 0012981343 00000 n 0012981411 00000 n 0012981480 00000 n 0012981548 00000 n 0012981616 00000 n 0012981680 00000 n 0012981744 00000 n 0012981810 00000 n 0012981874 00000 n 0012981938 00000 n 0012982004 00000 n 0012982068 00000 n 0012982132 00000 n 0012982198 00000 n 0012982262 00000 n 0012982326 00000 n 0012982392 00000 n 0012982456 00000 n 0012982520 00000 n 0012982586 00000 n 0012982650 00000 n 0012982714 00000 n 0012982780 00000 n 0012982844 00000 n 0012982908 00000 n 0012982974 00000 n 0012983038 00000 n 0012983102 00000 n 0012983168 00000 n 0012983232 00000 n 0012983296 00000 n 0012983362 00000 n 0012983426 00000 n 0012983490 00000 n 0012983556 00000 n 0012983620 00000 n 0012983684 00000 n 0012983750 00000 n 0012983814 00000 n 0012983878 00000 n 0012983944 00000 n 0012984008 00000 n 0012984072 00000 n 0012984138 00000 n 0012984202 00000 n 0012984266 00000 n 0012984332 00000 n 0012984396 00000 n 0012984460 00000 n 0012984526 00000 n 0012984590 00000 n 0012984654 00000 n 0012984720 00000 n 0012984784 00000 n 0012984848 00000 n 0012984914 00000 n 0012984978 00000 n 0012985042 00000 n 0012985108 00000 n 0012985172 00000 n 0012985236 00000 n 0012985302 00000 n 0012985366 00000 n 0012985430 00000 n 0012985496 00000 n 0012985560 00000 n 0012985624 00000 n 0012985690 00000 n 0012985754 00000 n 0012985818 00000 n 0012985884 00000 n 0012985953 00000 n 0012986017 00000 n 0012986081 00000 n 0012986147 00000 n 0012986211 00000 n 0012986275 00000 n 0012986341 00000 n 0012986405 00000 n 0012986469 00000 n 0012986535 00000 n 0012986599 00000 n 0012986663 00000 n 0012986729 00000 n 0012986793 00000 n 0012986857 00000 n 0012986923 00000 n 0012986991 00000 n 0012987059 00000 n 0012987128 00000 n 0012987192 00000 n 0012987261 00000 n 0012987330 00000 n 0012987399 00000 n 0012987468 00000 n 0012987532 00000 n 0012987596 00000 n 0012987662 00000 n 0012987726 00000 n 0012987790 00000 n 0012987856 00000 n 0012987920 00000 n 0012987984 00000 n 0012988050 00000 n 0012988114 00000 n 0012988178 00000 n 0012988244 00000 n 0012988308 00000 n 0012988372 00000 n 0012988438 00000 n 0012988502 00000 n 0012988566 00000 n 0012988632 00000 n 0012988696 00000 n 0012988760 00000 n 0012988826 00000 n 0012988890 00000 n 0012988954 00000 n 0012989020 00000 n 0012989084 00000 n 0012989148 00000 n 0012989214 00000 n 0012989278 00000 n 0012989342 00000 n 0012989408 00000 n 0012989472 00000 n 0012989536 00000 n 0012989602 00000 n 0012989666 00000 n 0012989730 00000 n 0012989796 00000 n 0012989860 00000 n 0012989924 00000 n 0012989990 00000 n 0012990054 00000 n 0012990118 00000 n 0012990184 00000 n 0012990248 00000 n 0012990314 00000 n 0012990380 00000 n 0012990446 00000 n 0012990510 00000 n 0012990574 00000 n 0012990640 00000 n 0012990708 00000 n 0012990774 00000 n 0012990840 00000 n 0012990904 00000 n 0012990968 00000 n 0012991034 00000 n 0012991098 00000 n 0012991162 00000 n 0012991228 00000 n 0012991292 00000 n 0012991356 00000 n 0012991422 00000 n 0012991486 00000 n 0012991550 00000 n 0012991616 00000 n 0012991680 00000 n 0012991744 00000 n 0012991810 00000 n 0012991874 00000 n 0012991938 00000 n 0012992004 00000 n 0012992068 00000 n 0012992132 00000 n 0012992198 00000 n 0012992262 00000 n 0012992326 00000 n 0012992392 00000 n 0012992456 00000 n 0012992520 00000 n 0012992586 00000 n 0012992650 00000 n 0012992714 00000 n 0012992780 00000 n 0012992844 00000 n 0012992908 00000 n 0012992974 00000 n 0012993043 00000 n 0012993112 00000 n 0012993176 00000 n 0012993240 00000 n 0012993306 00000 n 0012993370 00000 n 0012993434 00000 n 0012993500 00000 n 0012993564 00000 n 0012993628 00000 n 0012993694 00000 n 0012993758 00000 n 0012993822 00000 n 0012993888 00000 n 0012993952 00000 n 0012994016 00000 n 0012994082 00000 n 0012994151 00000 n 0012994215 00000 n 0012994279 00000 n 0012994345 00000 n 0012994409 00000 n 0012994473 00000 n 0012994539 00000 n 0012994607 00000 n 0012994675 00000 n 0012994743 00000 n 0012994811 00000 n 0012994879 00000 n 0012994948 00000 n 0012995017 00000 n 0012995085 00000 n 0012995149 00000 n 0012995213 00000 n 0012995279 00000 n 0012995343 00000 n 0012995407 00000 n 0012995471 00000 n 0012995535 00000 n 0012995601 00000 n 0012995667 00000 n 0012995733 00000 n 0012995797 00000 n 0012995863 00000 n 0012995932 00000 n 0012996001 00000 n 0012996065 00000 n 0012996129 00000 n 0012996195 00000 n 0012996264 00000 n 0012996330 00000 n 0012996396 00000 n 0012996462 00000 n 0012996526 00000 n 0012996590 00000 n 0012996656 00000 n 0012996725 00000 n 0012996789 00000 n 0012996877 00000 n 0012997045 00000 n 0012997215 00000 n 0012997386 00000 n 0012997558 00000 n 0012997730 00000 n 0012997899 00000 n 0012998073 00000 n 0012998253 00000 n 0012998436 00000 n 0012998617 00000 n 0012998793 00000 n 0012998971 00000 n 0012999147 00000 n 0012999322 00000 n 0012999499 00000 n 0012999681 00000 n 0012999854 00000 n 0013000027 00000 n 0013000202 00000 n 0013000378 00000 n 0013000551 00000 n 0013000728 00000 n 0013000904 00000 n 0013001078 00000 n 0013001249 00000 n 0013001419 00000 n 0013001589 00000 n 0013001767 00000 n 0013001941 00000 n 0013002115 00000 n 0013002289 00000 n 0013002466 00000 n 0013002640 00000 n 0013002810 00000 n 0013002985 00000 n 0013003167 00000 n 0013003350 00000 n 0013003528 00000 n 0013003710 00000 n 0013003886 00000 n 0013004069 00000 n 0013004251 00000 n 0013004431 00000 n 0013004606 00000 n 0013004786 00000 n 0013004965 00000 n 0013005143 00000 n 0013005315 00000 n 0013005487 00000 n 0013005659 00000 n 0013005832 00000 n 0013006009 00000 n 0013006177 00000 n 0013006346 00000 n 0013006525 00000 n 0013006700 00000 n 0013006877 00000 n 0013007056 00000 n 0013007235 00000 n 0013007415 00000 n 0013007599 00000 n 0013007773 00000 n 0013007947 00000 n 0013008122 00000 n 0013008301 00000 n 0013008473 00000 n 0013008646 00000 n 0013008835 00000 n 0013009019 00000 n 0013009197 00000 n 0013009375 00000 n 0013009552 00000 n 0013009732 00000 n 0013009913 00000 n 0013010088 00000 n 0013010271 00000 n 0013010457 00000 n 0013010647 00000 n 0013010825 00000 n 0013011005 00000 n 0013011182 00000 n 0013011359 00000 n 0013011535 00000 n 0013011714 00000 n 0013011898 00000 n 0013012077 00000 n 0013012257 00000 n 0013012434 00000 n 0013012611 00000 n 0013012781 00000 n 0013012955 00000 n 0013013128 00000 n 0013013299 00000 n 0013013480 00000 n 0013013654 00000 n 0013013832 00000 n 0013014003 00000 n 0013014175 00000 n 0013014372 00000 n 0013014550 00000 n 0013014734 00000 n 0013014909 00000 n 0013015085 00000 n 0013015268 00000 n 0013015449 00000 n 0013015620 00000 n 0013015803 00000 n 0013015986 00000 n 0013016160 00000 n 0013016337 00000 n 0013016511 00000 n 0013016690 00000 n 0013016861 00000 n 0013017039 00000 n 0013017219 00000 n 0013017393 00000 n 0013017572 00000 n 0013017747 00000 n 0013017923 00000 n 0013018097 00000 n 0013018275 00000 n 0013018453 00000 n 0013018628 00000 n 0013018808 00000 n 0013018986 00000 n 0013019164 00000 n 0013019341 00000 n 0013019526 00000 n 0013019702 00000 n 0013019874 00000 n 0013020048 00000 n 0013020220 00000 n 0013020393 00000 n 0013020565 00000 n 0013020752 00000 n 0013020932 00000 n 0013021110 00000 n 0013021285 00000 n 0013021475 00000 n 0013021660 00000 n 0013021838 00000 n 0013022016 00000 n 0013022193 00000 n 0013022373 00000 n 0013022548 00000 n 0013022726 00000 n 0013022906 00000 n 0013023083 00000 n 0013023259 00000 n 0013023436 00000 n 0013023613 00000 n 0013023787 00000 n 0013023961 00000 n 0013024143 00000 n 0013024318 00000 n 0013024497 00000 n 0013024678 00000 n 0013024863 00000 n 0013025046 00000 n 0013025230 00000 n 0013025412 00000 n 0013025583 00000 n 0013025755 00000 n 0013025937 00000 n 0013026124 00000 n 0013026299 00000 n 0013026468 00000 n 0013026648 00000 n 0013026831 00000 n 0013027009 00000 n 0013027191 00000 n 0013027372 00000 n 0013027547 00000 n 0013027727 00000 n 0013027907 00000 n 0013028075 00000 n 0013028244 00000 n 0013028424 00000 n 0013028599 00000 n 0013028784 00000 n 0013028960 00000 n 0013029141 00000 n 0013029319 00000 n 0013029500 00000 n 0013029674 00000 n 0013029841 00000 n 0013030014 00000 n 0013030192 00000 n 0013030361 00000 n 0013030531 00000 n 0013030714 00000 n 0013030895 00000 n 0013031074 00000 n 0013031250 00000 n 0013031430 00000 n 0013031607 00000 n 0013031788 00000 n 0013031970 00000 n 0013032146 00000 n 0013032325 00000 n 0013032502 00000 n 0013032681 00000 n 0013032854 00000 n 0013033029 00000 n 0013033206 00000 n 0013033383 00000 n 0013033561 00000 n 0013033733 00000 n 0013033905 00000 n 0013034078 00000 n 0013034250 00000 n 0013034423 00000 n 0013034592 00000 n 0013034771 00000 n 0013034947 00000 n 0013035138 00000 n 0013035324 00000 n 0013035509 00000 n 0013035690 00000 n 0013035876 00000 n 0013036061 00000 n 0013036239 00000 n 0013036420 00000 n 0013036606 00000 n 0013036786 00000 n 0013036962 00000 n 0013037133 00000 n 0013037312 00000 n 0013037482 00000 n 0013037659 00000 n 0013037837 00000 n 0013038008 00000 n 0013038195 00000 n 0013038374 00000 n 0013038556 00000 n 0013038732 00000 n 0013038908 00000 n 0013039080 00000 n 0013039255 00000 n 0013039433 00000 n 0013039612 00000 n 0013039792 00000 n 0013039962 00000 n 0013040135 00000 n 0013040316 00000 n 0013040504 00000 n 0013040688 00000 n 0013040872 00000 n 0013041056 00000 n 0013041232 00000 n 0013041404 00000 n 0013041579 00000 n 0013041753 00000 n 0013041928 00000 n 0013042100 00000 n 0013042277 00000 n 0013042449 00000 n 0013042621 00000 n 0013042794 00000 n 0013042968 00000 n 0013043143 00000 n 0013043322 00000 n 0013043502 00000 n 0013043677 00000 n 0013043855 00000 n 0013044037 00000 n 0013044214 00000 n 0013044390 00000 n 0013044565 00000 n 0013044742 00000 n 0013044920 00000 n 0013045095 00000 n 0013045270 00000 n 0013045449 00000 n 0013045625 00000 n 0013045801 00000 n 0013045974 00000 n 0013046150 00000 n 0013046328 00000 n 0013046501 00000 n 0013046685 00000 n 0013046857 00000 n 0013047026 00000 n 0013047201 00000 n 0013047375 00000 n 0013047545 00000 n 0013047717 00000 n 0013047897 00000 n 0013048081 00000 n 0013048269 00000 n 0013048445 00000 n 0013048621 00000 n 0013048791 00000 n 0013048962 00000 n 0013049135 00000 n 0013049313 00000 n 0013049484 00000 n 0013049664 00000 n 0013049835 00000 n 0013050012 00000 n 0013050185 00000 n 0013050355 00000 n 0013050525 00000 n 0013050708 00000 n 0013050888 00000 n 0013051059 00000 n 0013051236 00000 n 0013051408 00000 n 0013051591 00000 n 0013051767 00000 n 0013051941 00000 n 0013052115 00000 n 0013052296 00000 n 0013052478 00000 n 0013052658 00000 n 0013052828 00000 n 0013053000 00000 n 0013053182 00000 n 0013053358 00000 n 0013053533 00000 n 0013053708 00000 n 0013053879 00000 n 0013054049 00000 n 0013054227 00000 n 0013054399 00000 n 0013054584 00000 n 0013054755 00000 n 0013054932 00000 n 0013055110 00000 n 0013055281 00000 n 0013055454 00000 n 0013055632 00000 n 0013055803 00000 n 0013055974 00000 n 0013056150 00000 n 0013056322 00000 n 0013056488 00000 n 0013056667 00000 n 0013056849 00000 n 0013057030 00000 n 0013057212 00000 n 0013057386 00000 n 0013057557 00000 n 0013057734 00000 n 0013057907 00000 n 0013058079 00000 n 0013058260 00000 n 0013058438 00000 n 0013058626 00000 n 0013058818 00000 n 0013058996 00000 n 0013059183 00000 n 0013059358 00000 n 0013059531 00000 n 0013059710 00000 n 0013059881 00000 n 0013060054 00000 n 0013060229 00000 n 0013060406 00000 n 0013060578 00000 n 0013060753 00000 n 0013060934 00000 n 0013061108 00000 n 0013061283 00000 n 0013061456 00000 n 0013061626 00000 n 0013061795 00000 n 0013061965 00000 n 0013062133 00000 n 0013062321 00000 n 0013062504 00000 n 0013062686 00000 n 0013062860 00000 n 0013063034 00000 n 0013063214 00000 n 0013063389 00000 n 0013063572 00000 n 0013063744 00000 n 0013063915 00000 n 0013064091 00000 n 0013064268 00000 n 0013064450 00000 n 0013064627 00000 n 0013064805 00000 n 0013064981 00000 n 0013065157 00000 n 0013065331 00000 n 0013065504 00000 n 0013065674 00000 n 0013065845 00000 n 0013066015 00000 n 0013066193 00000 n 0013066373 00000 n 0013066545 00000 n 0013066724 00000 n 0013066901 00000 n 0013067073 00000 n 0013067247 00000 n 0013067420 00000 n 0013067597 00000 n 0013067775 00000 n 0013067949 00000 n 0013068123 00000 n 0013068294 00000 n 0013068473 00000 n 0013068653 00000 n 0013068821 00000 n 0013068990 00000 n 0013069167 00000 n 0013069337 00000 n 0013069508 00000 n 0013069686 00000 n 0013069864 00000 n 0013070043 00000 n 0013070212 00000 n 0013070392 00000 n 0013070562 00000 n 0013070744 00000 n 0013070915 00000 n 0013071091 00000 n 0013071261 00000 n 0013071435 00000 n 0013071606 00000 n 0013071776 00000 n 0013071956 00000 n 0013072136 00000 n 0013072302 00000 n 0013072478 00000 n 0013072654 00000 n 0013072832 00000 n 0013073011 00000 n 0013073187 00000 n 0013073363 00000 n 0013073540 00000 n 0013073719 00000 n 0013073895 00000 n 0013074074 00000 n 0013074253 00000 n 0013074432 00000 n 0013074608 00000 n 0013074785 00000 n 0013074967 00000 n 0013075142 00000 n 0013075318 00000 n 0013075492 00000 n 0013075664 00000 n 0013075837 00000 n 0013076023 00000 n 0013076214 00000 n 0013076393 00000 n 0013076572 00000 n 0013076746 00000 n 0013076921 00000 n 0013077105 00000 n 0013077297 00000 n 0013077485 00000 n 0013077663 00000 n 0013077845 00000 n 0013078025 00000 n 0013078210 00000 n 0013078390 00000 n 0013078571 00000 n 0013078743 00000 n 0013078915 00000 n 0013079088 00000 n 0013079261 00000 n 0013079434 00000 n 0013079606 00000 n 0013079778 00000 n 0013079951 00000 n 0013080123 00000 n 0013080298 00000 n 0013080475 00000 n 0013080652 00000 n 0013080824 00000 n 0013081001 00000 n 0013081182 00000 n 0013081354 00000 n 0013081540 00000 n 0013081723 00000 n 0013081909 00000 n 0013082084 00000 n 0013082266 00000 n 0013082445 00000 n 0013082635 00000 n 0013082817 00000 n 0013083000 00000 n 0013083181 00000 n 0013083359 00000 n 0013083538 00000 n 0013083722 00000 n 0013083905 00000 n 0013084077 00000 n 0013084253 00000 n 0013084433 00000 n 0013084612 00000 n 0013084787 00000 n 0013084972 00000 n 0013085151 00000 n 0013085328 00000 n 0013085503 00000 n 0013085679 00000 n 0013085857 00000 n 0013086036 00000 n 0013086222 00000 n 0013086393 00000 n 0013086571 00000 n 0013086751 00000 n 0013086927 00000 n 0013087116 00000 n 0013087290 00000 n 0013087467 00000 n 0013087653 00000 n 0013087827 00000 n 0013088005 00000 n 0013088199 00000 n 0013088376 00000 n 0013088556 00000 n 0013088739 00000 n 0013088923 00000 n 0013089107 00000 n 0013089288 00000 n 0013089463 00000 n 0013089642 00000 n 0013089822 00000 n 0013090002 00000 n 0013090174 00000 n 0013090349 00000 n 0013090536 00000 n 0013090722 00000 n 0013090897 00000 n 0013091080 00000 n 0013091271 00000 n 0013091451 00000 n 0013091626 00000 n 0013091802 00000 n 0013091973 00000 n 0013092150 00000 n 0013092324 00000 n 0013092498 00000 n 0013092673 00000 n 0013092856 00000 n 0013093038 00000 n 0013093217 00000 n 0013093402 00000 n 0013093580 00000 n 0013093757 00000 n 0013093945 00000 n 0013094118 00000 n 0013094293 00000 n 0013094469 00000 n 0013094641 00000 n 0013094819 00000 n 0013094990 00000 n 0013095176 00000 n 0013095350 00000 n 0013095524 00000 n 0013095700 00000 n 0013095875 00000 n 0013096051 00000 n 0013096228 00000 n 0013096397 00000 n 0013096577 00000 n 0013096751 00000 n 0013096916 00000 n 0013097088 00000 n 0013097265 00000 n 0013097437 00000 n 0013097614 00000 n 0013097792 00000 n 0013097971 00000 n 0013098148 00000 n 0013098324 00000 n 0013098503 00000 n 0013098681 00000 n 0013098862 00000 n 0013099039 00000 n 0013099217 00000 n 0013099394 00000 n 0013099571 00000 n 0013099747 00000 n 0013099928 00000 n 0013100102 00000 n 0013100281 00000 n 0013100459 00000 n 0013100638 00000 n 0013100815 00000 n 0013100998 00000 n 0013101174 00000 n 0013101351 00000 n 0013101531 00000 n 0013101711 00000 n 0013101887 00000 n 0013102072 00000 n 0013102251 00000 n 0013102428 00000 n 0013102606 00000 n 0013102776 00000 n 0013102950 00000 n 0013103125 00000 n 0013103308 00000 n 0013103484 00000 n 0013103659 00000 n 0013103840 00000 n 0013104015 00000 n 0013104191 00000 n 0013104372 00000 n 0013104558 00000 n 0013104736 00000 n 0013104917 00000 n 0013105088 00000 n 0013105258 00000 n 0013105433 00000 n 0013105615 00000 n 0013105789 00000 n 0013105966 00000 n 0013106150 00000 n 0013106329 00000 n 0013106500 00000 n 0013106671 00000 n 0013106856 00000 n 0013107041 00000 n 0013107215 00000 n 0013107391 00000 n 0013107574 00000 n 0013107745 00000 n 0013107916 00000 n 0013108088 00000 n 0013108254 00000 n 0013108420 00000 n 0013108590 00000 n 0013108768 00000 n 0013108946 00000 n 0013109125 00000 n 0013109295 00000 n 0013109466 00000 n 0013109640 00000 n 0013109810 00000 n 0013109985 00000 n 0013110161 00000 n 0013110338 00000 n 0013110504 00000 n 0013110682 00000 n 0013110863 00000 n 0013111039 00000 n 0013111213 00000 n 0013111390 00000 n 0013111568 00000 n 0013111750 00000 n 0013111932 00000 n 0013112114 00000 n 0013112289 00000 n 0013112463 00000 n 0013112643 00000 n 0013112819 00000 n 0013112998 00000 n 0013113172 00000 n 0013113357 00000 n 0013113533 00000 n 0013113715 00000 n 0013113890 00000 n 0013114068 00000 n 0013114240 00000 n 0013114417 00000 n 0013114597 00000 n 0013114777 00000 n 0013114959 00000 n 0013115152 00000 n 0013115334 00000 n 0013115507 00000 n 0013115686 00000 n 0013115855 00000 n 0013116031 00000 n 0013116212 00000 n 0013116394 00000 n 0013116568 00000 n 0013116750 00000 n 0013116927 00000 n 0013117101 00000 n 0013117278 00000 n 0013117449 00000 n 0013117621 00000 n 0013117795 00000 n 0013117973 00000 n 0013118144 00000 n 0013118324 00000 n 0013118504 00000 n 0013118676 00000 n 0013118855 00000 n 0013119034 00000 n 0013119081 00000 n 0013119529 00000 n 0013122905 00000 n 0013126231 00000 n 0013129556 00000 n 0013132879 00000 n 0013136202 00000 n 0013139523 00000 n 0013142847 00000 n 0013146135 00000 n 0013149398 00000 n 0013152656 00000 n 0013155901 00000 n 0013159007 00000 n 0013160607 00000 n 0013162206 00000 n 0013163812 00000 n 0013165421 00000 n 0013167026 00000 n 0013168633 00000 n 0013170240 00000 n 0013171828 00000 n 0013173375 00000 n 0013174922 00000 n 0013176468 00000 n 0013177999 00000 n 0013179795 00000 n 0013181660 00000 n 0013183699 00000 n 0013185662 00000 n 0013188039 00000 n 0013190605 00000 n 0013192873 00000 n 0013194752 00000 n 0013196802 00000 n 0013198699 00000 n 0013200453 00000 n 0013202132 00000 n 0013204109 00000 n 0013206265 00000 n 0013208351 00000 n 0013210943 00000 n 0013235058 00000 n 0013235789 00000 n 0013235836 00000 n 0013235883 00000 n 0013235935 00000 n 0013235987 00000 n 0013236039 00000 n 0013236091 00000 n 0013236140 00000 n 0013236189 00000 n 0013236239 00000 n 0013236287 00000 n 0013236335 00000 n 0013236383 00000 n 0013236431 00000 n 0013236479 00000 n 0013236527 00000 n 0013236575 00000 n 0013236623 00000 n 0013236671 00000 n 0013236719 00000 n 0013236767 00000 n 0013236815 00000 n 0013236863 00000 n 0013236911 00000 n 0013236959 00000 n 0013237007 00000 n 0013237055 00000 n 0013237103 00000 n 0013237151 00000 n 0013237199 00000 n 0013237247 00000 n 0013237295 00000 n 0013237343 00000 n 0013237391 00000 n 0013237439 00000 n 0013237487 00000 n 0013237535 00000 n 0013237583 00000 n 0013237631 00000 n 0013237679 00000 n 0013237727 00000 n 0013237775 00000 n 0013237823 00000 n 0013237871 00000 n 0013237919 00000 n 0013237967 00000 n 0013238015 00000 n 0013238063 00000 n 0013238111 00000 n 0013238159 00000 n 0013238207 00000 n 0013238255 00000 n 0013238303 00000 n 0013238351 00000 n 0013238399 00000 n 0013238447 00000 n 0013238495 00000 n 0013238543 00000 n 0013238591 00000 n 0013238639 00000 n 0013238687 00000 n 0013238735 00000 n 0013238783 00000 n 0013238831 00000 n 0013238879 00000 n 0013238927 00000 n 0013238975 00000 n 0013239023 00000 n 0013239071 00000 n 0013239119 00000 n 0013239167 00000 n 0013239215 00000 n 0013239263 00000 n 0013239311 00000 n 0013239359 00000 n 0013239407 00000 n 0013239455 00000 n 0013239503 00000 n 0013239551 00000 n 0013239599 00000 n 0013239647 00000 n 0013239695 00000 n 0013239743 00000 n 0013239791 00000 n 0013239839 00000 n 0013239887 00000 n 0013239935 00000 n 0013239983 00000 n 0013240031 00000 n 0013240079 00000 n 0013240127 00000 n 0013240175 00000 n 0013240223 00000 n 0013240271 00000 n 0013240319 00000 n 0013240367 00000 n 0013240415 00000 n 0013240463 00000 n 0013240511 00000 n 0013240559 00000 n 0013240607 00000 n 0013240655 00000 n 0013240703 00000 n 0013240751 00000 n 0013240799 00000 n 0013240847 00000 n 0013240895 00000 n 0013240943 00000 n 0013240991 00000 n 0013241039 00000 n 0013241087 00000 n 0013241135 00000 n 0013241183 00000 n 0013241231 00000 n 0013241279 00000 n 0013241327 00000 n 0013241375 00000 n 0013241423 00000 n 0013241471 00000 n 0013241519 00000 n 0013241567 00000 n 0013241615 00000 n 0013241663 00000 n 0013241711 00000 n 0013241759 00000 n 0013241807 00000 n 0013241855 00000 n 0013241903 00000 n 0013241951 00000 n 0013241999 00000 n 0013242047 00000 n 0013242095 00000 n 0013242143 00000 n 0013242191 00000 n 0013242239 00000 n 0013242287 00000 n 0013242335 00000 n 0013242383 00000 n 0013242431 00000 n 0013242479 00000 n 0013242527 00000 n 0013242575 00000 n 0013242623 00000 n 0013242671 00000 n 0013242719 00000 n 0013242767 00000 n 0013242815 00000 n 0013242863 00000 n 0013242911 00000 n 0013242959 00000 n 0013243007 00000 n 0013243055 00000 n 0013243103 00000 n 0013243151 00000 n 0013243199 00000 n 0013243247 00000 n 0013243295 00000 n 0013243343 00000 n 0013243391 00000 n 0013243439 00000 n 0013243487 00000 n 0013243535 00000 n 0013243583 00000 n 0013243631 00000 n 0013243679 00000 n 0013243727 00000 n 0013243775 00000 n 0013243823 00000 n 0013243871 00000 n 0013243919 00000 n 0013243967 00000 n 0013244015 00000 n 0013244063 00000 n 0013244111 00000 n 0013244159 00000 n 0013244207 00000 n 0013244255 00000 n 0013244303 00000 n 0013244351 00000 n 0013244399 00000 n 0013244447 00000 n 0013244495 00000 n 0013244543 00000 n 0013244591 00000 n 0013244639 00000 n 0013244687 00000 n 0013244735 00000 n 0013244783 00000 n 0013244831 00000 n 0013244879 00000 n 0013244927 00000 n 0013244975 00000 n 0013245023 00000 n 0013245071 00000 n 0013245119 00000 n 0013245167 00000 n 0013245215 00000 n 0013245263 00000 n 0013245311 00000 n 0013245359 00000 n 0013245407 00000 n 0013245455 00000 n 0013245503 00000 n 0013245551 00000 n 0013245599 00000 n 0013245647 00000 n 0013245695 00000 n 0013245743 00000 n 0013245791 00000 n 0013245839 00000 n 0013245887 00000 n 0013245935 00000 n 0013245983 00000 n 0013246031 00000 n 0013246079 00000 n 0013246127 00000 n 0013246175 00000 n 0013246223 00000 n 0013246271 00000 n 0013246319 00000 n 0013246367 00000 n 0013246415 00000 n 0013246463 00000 n 0013246511 00000 n 0013246559 00000 n 0013246607 00000 n 0013246655 00000 n 0013246703 00000 n 0013246751 00000 n 0013246799 00000 n 0013246847 00000 n 0013246895 00000 n 0013246943 00000 n 0013246991 00000 n 0013247039 00000 n 0013247087 00000 n 0013247135 00000 n 0013247183 00000 n 0013247231 00000 n 0013247279 00000 n 0013247327 00000 n 0013247375 00000 n 0013247423 00000 n 0013247471 00000 n 0013247519 00000 n 0013247567 00000 n 0013247615 00000 n 0013247663 00000 n 0013247711 00000 n 0013247759 00000 n 0013247807 00000 n 0013247855 00000 n 0013247903 00000 n 0013247951 00000 n 0013247999 00000 n 0013248047 00000 n 0013248095 00000 n 0013248143 00000 n 0013248191 00000 n 0013248239 00000 n 0013248287 00000 n 0013248335 00000 n 0013248383 00000 n 0013248431 00000 n 0013248479 00000 n 0013248527 00000 n 0013248575 00000 n 0013248623 00000 n 0013248671 00000 n 0013248719 00000 n 0013248767 00000 n 0013248815 00000 n 0013248863 00000 n 0013248911 00000 n 0013248959 00000 n 0013249007 00000 n 0013249055 00000 n 0013249103 00000 n 0013249151 00000 n 0013249199 00000 n 0013249247 00000 n 0013249295 00000 n 0013249343 00000 n 0013249391 00000 n 0013249439 00000 n 0013249487 00000 n 0013249535 00000 n 0013249583 00000 n 0013249631 00000 n 0013249679 00000 n 0013249727 00000 n 0013249775 00000 n 0013249823 00000 n 0013249871 00000 n 0013249919 00000 n 0013249967 00000 n 0013250015 00000 n 0013250063 00000 n 0013250111 00000 n 0013250159 00000 n 0013250207 00000 n 0013250255 00000 n 0013250303 00000 n 0013250351 00000 n 0013250399 00000 n 0013250447 00000 n 0013250495 00000 n 0013250543 00000 n 0013250591 00000 n 0013250639 00000 n 0013250687 00000 n 0013250735 00000 n 0013250783 00000 n 0013250831 00000 n 0013250879 00000 n 0013250927 00000 n 0013250975 00000 n 0013251023 00000 n 0013251071 00000 n 0013251119 00000 n 0013251167 00000 n 0013251216 00000 n 0013251265 00000 n 0013251314 00000 n 0013251363 00000 n 0013251412 00000 n 0013251461 00000 n 0013251510 00000 n 0013251559 00000 n 0013251608 00000 n 0013251657 00000 n 0013251706 00000 n 0013251755 00000 n 0013251804 00000 n 0013251853 00000 n 0013251902 00000 n 0013251951 00000 n 0013252000 00000 n 0013252049 00000 n 0013252098 00000 n 0013252147 00000 n 0013252196 00000 n 0013252245 00000 n 0013252294 00000 n 0013252343 00000 n 0013252392 00000 n 0013252441 00000 n 0013252490 00000 n 0013252539 00000 n 0013252588 00000 n 0013252637 00000 n 0013252686 00000 n 0013252735 00000 n 0013252784 00000 n 0013252833 00000 n 0013252882 00000 n 0013252931 00000 n 0013252980 00000 n 0013253029 00000 n 0013253078 00000 n 0013253127 00000 n 0013253176 00000 n 0013253225 00000 n 0013253274 00000 n 0013253323 00000 n 0013253372 00000 n 0013253421 00000 n 0013253470 00000 n 0013253519 00000 n 0013253568 00000 n 0013253617 00000 n 0013253666 00000 n 0013253715 00000 n 0013253764 00000 n 0013253813 00000 n 0013253862 00000 n 0013253911 00000 n 0013253960 00000 n 0013254009 00000 n 0013254058 00000 n 0013254107 00000 n 0013254156 00000 n 0013254205 00000 n 0013254254 00000 n 0013254303 00000 n 0013254352 00000 n 0013254401 00000 n 0013254450 00000 n 0013254499 00000 n 0013254548 00000 n 0013254597 00000 n 0013254646 00000 n 0013254695 00000 n 0013254744 00000 n 0013254793 00000 n 0013254842 00000 n 0013254891 00000 n 0013254940 00000 n 0013254989 00000 n 0013255038 00000 n 0013255087 00000 n 0013255136 00000 n 0013255185 00000 n 0013255234 00000 n 0013255283 00000 n 0013255332 00000 n 0013255381 00000 n 0013255430 00000 n 0013255479 00000 n 0013255528 00000 n 0013255577 00000 n 0013255626 00000 n 0013255675 00000 n 0013255724 00000 n 0013255773 00000 n 0013255822 00000 n 0013255871 00000 n 0013255920 00000 n 0013255969 00000 n 0013256018 00000 n 0013256067 00000 n 0013256116 00000 n 0013256165 00000 n 0013256214 00000 n 0013256263 00000 n 0013256312 00000 n 0013256361 00000 n 0013256410 00000 n 0013256459 00000 n 0013256508 00000 n 0013256557 00000 n 0013256606 00000 n 0013256655 00000 n 0013256704 00000 n 0013256753 00000 n 0013256802 00000 n 0013256851 00000 n 0013256900 00000 n 0013256949 00000 n 0013256998 00000 n 0013257047 00000 n 0013257096 00000 n 0013257145 00000 n 0013257194 00000 n 0013257243 00000 n 0013257292 00000 n 0013257341 00000 n 0013257390 00000 n 0013257439 00000 n 0013257488 00000 n 0013257537 00000 n 0013257586 00000 n 0013257635 00000 n 0013257684 00000 n 0013257733 00000 n 0013257782 00000 n 0013257831 00000 n 0013257880 00000 n 0013257929 00000 n 0013257978 00000 n 0013258027 00000 n 0013258076 00000 n 0013258125 00000 n 0013258174 00000 n 0013258223 00000 n 0013258272 00000 n 0013258321 00000 n 0013258370 00000 n 0013258419 00000 n 0013258468 00000 n 0013258517 00000 n 0013258566 00000 n 0013258615 00000 n 0013258664 00000 n 0013258713 00000 n 0013258762 00000 n 0013258811 00000 n 0013258860 00000 n 0013258909 00000 n 0013258958 00000 n 0013259007 00000 n 0013259056 00000 n 0013259105 00000 n 0013259154 00000 n 0013259203 00000 n 0013259252 00000 n 0013259301 00000 n 0013259350 00000 n 0013259399 00000 n 0013259448 00000 n 0013259497 00000 n 0013259546 00000 n 0013259595 00000 n 0013259644 00000 n 0013259693 00000 n 0013259742 00000 n 0013259791 00000 n 0013259840 00000 n 0013259889 00000 n 0013259938 00000 n 0013259987 00000 n 0013260036 00000 n 0013260085 00000 n 0013260134 00000 n 0013260183 00000 n 0013260232 00000 n 0013260281 00000 n 0013260330 00000 n 0013260379 00000 n 0013260428 00000 n 0013260477 00000 n 0013260526 00000 n 0013260575 00000 n 0013260624 00000 n 0013260673 00000 n 0013260722 00000 n 0013260771 00000 n 0013260820 00000 n 0013260869 00000 n 0013260918 00000 n 0013260967 00000 n 0013261016 00000 n 0013261065 00000 n 0013261114 00000 n 0013261163 00000 n 0013261212 00000 n 0013261261 00000 n 0013261310 00000 n 0013261359 00000 n 0013261408 00000 n 0013261457 00000 n 0013261506 00000 n 0013261555 00000 n 0013261604 00000 n 0013261653 00000 n 0013261702 00000 n 0013261751 00000 n 0013261800 00000 n 0013261849 00000 n 0013261898 00000 n 0013261947 00000 n 0013261996 00000 n 0013262045 00000 n 0013262094 00000 n 0013262143 00000 n 0013262192 00000 n 0013262241 00000 n 0013262290 00000 n 0013262339 00000 n 0013262388 00000 n 0013262437 00000 n 0013262486 00000 n 0013262535 00000 n 0013262584 00000 n 0013262633 00000 n 0013262682 00000 n 0013262731 00000 n 0013262780 00000 n 0013262829 00000 n 0013262878 00000 n 0013262927 00000 n 0013262976 00000 n 0013263025 00000 n 0013263074 00000 n 0013263123 00000 n 0013263172 00000 n 0013263221 00000 n 0013263270 00000 n 0013263319 00000 n 0013263368 00000 n 0013263417 00000 n 0013263466 00000 n 0013263515 00000 n 0013263564 00000 n 0013263613 00000 n 0013263662 00000 n 0013263711 00000 n 0013263760 00000 n 0013263809 00000 n 0013263858 00000 n 0013263907 00000 n 0013263956 00000 n 0013264005 00000 n 0013264054 00000 n 0013264103 00000 n 0013264152 00000 n 0013264201 00000 n 0013264250 00000 n 0013264299 00000 n 0013264348 00000 n 0013264397 00000 n 0013264446 00000 n 0013264495 00000 n 0013264544 00000 n 0013264593 00000 n 0013264642 00000 n 0013264691 00000 n 0013264740 00000 n 0013264789 00000 n 0013264838 00000 n 0013264887 00000 n 0013264936 00000 n 0013264985 00000 n 0013265034 00000 n 0013265083 00000 n 0013265132 00000 n 0013265181 00000 n 0013265230 00000 n 0013265279 00000 n 0013265328 00000 n 0013265377 00000 n 0013265426 00000 n 0013265475 00000 n 0013265524 00000 n 0013265573 00000 n 0013265622 00000 n 0013265671 00000 n 0013265720 00000 n 0013265769 00000 n 0013265818 00000 n 0013265867 00000 n 0013265916 00000 n 0013265965 00000 n 0013266014 00000 n 0013266063 00000 n 0013266112 00000 n 0013266161 00000 n 0013266210 00000 n 0013266259 00000 n 0013266308 00000 n 0013266357 00000 n 0013266406 00000 n 0013266455 00000 n 0013266504 00000 n 0013266553 00000 n 0013266602 00000 n 0013266651 00000 n 0013266700 00000 n 0013266749 00000 n 0013266798 00000 n 0013266847 00000 n 0013266896 00000 n 0013266945 00000 n 0013266994 00000 n 0013267043 00000 n 0013267092 00000 n 0013267141 00000 n 0013267190 00000 n 0013267239 00000 n 0013267288 00000 n 0013267337 00000 n 0013267386 00000 n 0013267435 00000 n 0013267484 00000 n 0013267533 00000 n 0013267582 00000 n 0013267631 00000 n 0013267680 00000 n 0013267729 00000 n 0013267778 00000 n 0013267827 00000 n 0013267876 00000 n 0013267925 00000 n 0013267974 00000 n 0013268023 00000 n 0013268072 00000 n 0013268121 00000 n 0013268170 00000 n 0013268219 00000 n 0013268268 00000 n 0013268317 00000 n 0013268366 00000 n 0013268415 00000 n 0013268464 00000 n 0013268513 00000 n 0013268562 00000 n 0013268611 00000 n 0013268660 00000 n 0013268709 00000 n 0013268758 00000 n 0013268807 00000 n 0013268856 00000 n 0013268905 00000 n 0013268954 00000 n 0013269003 00000 n 0013269052 00000 n 0013269101 00000 n 0013269150 00000 n 0013269199 00000 n 0013269248 00000 n 0013269297 00000 n 0013269346 00000 n 0013269395 00000 n 0013269444 00000 n 0013269493 00000 n 0013269542 00000 n 0013269591 00000 n 0013269640 00000 n 0013269689 00000 n 0013269738 00000 n 0013269787 00000 n 0013269836 00000 n 0013269885 00000 n 0013269934 00000 n 0013269983 00000 n 0013270032 00000 n 0013270081 00000 n 0013270130 00000 n 0013270179 00000 n 0013270228 00000 n 0013270277 00000 n 0013270326 00000 n 0013270375 00000 n 0013270424 00000 n 0013270473 00000 n 0013270522 00000 n 0013270571 00000 n 0013270620 00000 n 0013270669 00000 n 0013270718 00000 n 0013270767 00000 n 0013270816 00000 n 0013270865 00000 n 0013270914 00000 n 0013270963 00000 n 0013271012 00000 n 0013271061 00000 n 0013271110 00000 n 0013271159 00000 n 0013271208 00000 n 0013271257 00000 n 0013271306 00000 n 0013271355 00000 n 0013271404 00000 n 0013271453 00000 n 0013271502 00000 n 0013271551 00000 n 0013271600 00000 n trailer << /Size 24978 >> startxref 192 %%EOF

]HL=:J6Lr{>Ϳ*5$-b}5,(OD[OO-H&kmCuZխИ,ɽ-Z4qm jzyFqɅߩy崇ĤneqOhFL CFHWv`}h.V|hG6S)OypIv%ӤXIZ챯GjxϢG,y{*.@,$[m2 '(=4azFPe\3yrĤv?e?8T܀G=GIϿGxY.U2`,Bu+|AdW" *V*al ЩŅ u!3Š"@ TWdOr%><*CB jdFo{r6[)_(,Z𛮫KrH%KzEkqL'զ&pYs94#2%j Kk=VK%jaYZ0/hē-uhoNxd9Epm -"+Ќ9#L7.rݐ$ioH0~ C"BDTb3aL`&:)6qR SP ā(W?brDdr*^Y8k,APG[=:@ c*)E$C*2 D$"a`Ga[Eןef ĦpuŔgȴ2lQ5ZHŜDٴBj8f7eD+B$UWńDjW*N,*87b6oў,iUƂpf7 ?&먯E܂DLT'M%UR9Aߐufp"58!Y pʴ-8fXXj8VSp"U8#rXxN_YecXģMbE&0bkU3N{8<'VApb =pb3x=N,Vc8m,}鉧'i{.Hyw$Rl=R=RliuRm)6Rl )H1 c ٗAVQAe%-M΂LNJ[΁MMMMl VAbguPoTxwlݝbJQPͨ=tFUGͨHA3*s>fFU{: UZT~w)fOGriI9P՚ֳZ#M+<ɳZxVk lG)HUI)Zà"Lh"*8gTA"g~k6@juPjC@#QqT,xtģ@u QTHTԠj>P9bSPGQP{hnPMT|dT+΁DETl}:1R3R5R7R!홨x&*[l3QLT<{^|xx8b}zzz)߫~xx80zlj&vobWy%Fu&@R`ӟ9o|b }ŗI_] :(5rapOH}L޶rAmz}E3z>C}@3y0cE?rC:(=(B[ {A7=r?~;. %.=q3v6յE0~#hp )F|Z:Ұ-F M/(߇~npĚyGw*-3;˒|y%< ODv -@xϦ$l4 E;le+NiE]9]4`xU,lz]!LȽUFZ:??CCV1oX¥A&ՙ>Cu\1K-0P&| Zpr;)X Bw ̭(Hlm]b4'ޕMGբ'o8;|IxE1-H=sp[ y EF4X{8$wbDc/_oC1;|e'}&3lgDpK.*CO f%qTq}<D1p^RlKR#BC/&-Ś}T9#lzзg, X}Tw,+U"!9(RqyeT$\=x;-YMQIL@߀4 nqp- }Q51)u/d/%\=byWkcrb#v˦#0xG=9ѫv%ۢX/ r^,˟ ۇ/\/yg^yo0u|܆nҡ|6M[?Pey4lw0fONd ~藷ƾezŽSɒN2oK7M5mSG%*66d߶_P endstream endobj 15326 0 obj << /Annots 15328 0 R /BleedBox [0 0 612 792] /Contents [15335 0 R 15331 0 R 15332 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34377 15333 0 R >> >> /Type /Page >> endobj 15327 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15328 0 obj [15327 0 R 15329 0 R 15330 0 R 15334 0 R] endobj 15329 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 675.15 122.692 686.15] /Subtype /Link /Type /Annot >> endobj 15330 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 675.15 160.653 686.15] /Subtype /Link /Type /Annot >> endobj 15331 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15332 0 obj << /Length 19 >> stream q /Iabc34377 Do Q endstream endobj 15333 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34378 20830 0 R /Gabc34379 20835 0 R >> /Font << /Fabc34380 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}@ endstream endobj 15334 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1387) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15335 0 obj << /Filter /FlateDecode /Length 4002 >> stream x\I Wj:6@99L0!)j]{Ue|~$_!۔iUxI?~Ow;)5}>j"\V~;IJ|9o oy?~چi]LGm2~(;|p}1}kbSI*H6#(Cm #+߀tb1O6+Lq^AR! }3,+Aܿw8>M`}Kc!_i)xv ̐O\,YZtYMZVA!Md&*N"W,|i;3F Ji+\}&6S]SGaYi` ]L(  ĵ*7m)A`Vh HߞL<؀*S7 ٸItŕՌ8I$KWIҪBF *tu\fZ[G5T4ɚfyMqő|ڲe)1˫jN1+qMBMߠI0=]U coDZ\/jւ+^`It11}'qUʒؼVd*Cٿ2 O^<{bzބsShP م7.%5d.hO͋aiy/5QO R4+x_V Pt Ë^غ*&wbP`C2P d p 0vo0 ڬjp l9.D)EaZʞ ª,.XIQ g qRf7!K\to;ACFKL.Ш,Nސ5ٲcaqp` f}Y'"]OGLUiB1K SS0=7}Ǧc= 2[Q.zr'b'J⑧A _@z%:v~^i..vГEe1vY#d5u4/AS /ū| d|AI]iO+/"DydJc_sH%|Icêni#1kyI.LZan^"=l+> s,eʤXv8]Ѳ.6^p9/313#3*kMg#4'.b Fq6j#J)TMȯ!R䳃ZeM9ɼN9BGzKK!#Vs'Z0FGNR=bι] Qz/^7^;3f(X`%m8L4%6` D|IY%b kuO>z9n%杚KY f-Ͳ |!߆wBq?EGuA^1AI6"W_Jr^UuU[`?)[QN0h:8Z4aPvҸ!BƲn11lcQ˸DP@EF1N\f$O'Nұ=֛/vEFV9}pH3I:~pU^p *wo*Tqfݦ |bZicBD[|z-$a\WxZ]ݬHWH$k+uvkR&qi)T'#t{ 1RzqK󧦪zy]1+4WEH1ФÞF$# I3 nZz|{d~ G%u0AONyEҠX5;7*K TO*D2nkMߪ%$aB$rL$rLbҁML->~L^& lm6 n W!@RIŤ50/+6E4G{.{Iҗk.,?ZDKZVblAةBvu |zU0⇃i#UghED r" `Bϕ:dp4'F#^BvK:Xr.gEk6}[fFƤGDrIZi=0vmjttJL-*rL^h8,b6Dzh #@UJj rӧPPn߿~.݅H Z Nwpħ!rwgPOyF^J5mIlL?g%޾6Nau+{rDPeE=b+kfgGzAKHJ욗r6ee;X6 E.=MN9D7\^\?GqVe۔}'}\e{}xr;vdzmrӯbX!Zw!:T2fmOa͘,[eoR]{e<8qo̩ ~s8Z/ >ez[mMmW_P69 nz Ms_'Ⱦml+~I.[G@(1zi:bxQcꢼ +#Y|4XTjLScuy2#9\Ӣ iAnʴs똸 .-Ӝ;̧^]? h-Kb m4܄&i&cWHLH,v".6*՘=A@d֋ IqQY L$G.IaΠҖW*_kMJu+Y1#Fz(_T|*W*-74]ZGճxu>Q>)eG]ZYLآwTŗikZc?9#ϙ($Z`͊ =g bk##GJ# 3ZӚLj&.ff#1G_p.R[ik3mﲔxdj#d4H:L`qs[랖L)'^YkuiUJ  J3=ږ )`>OEg6dzOOn<9Z8'TV2O,]d.om\#&Y=`\J. *D,B4^C4y/R8ERy"ű@%ca2RKՒ߅](KBq;|훗߳Dx|Z4IU&΅?m{ RXjuzgt.s ]Xy]{,^UuY"/GMZ+x>*GϦ~7+b٘E%<"#s٦(F1ѝXgZX\`M@=X endstream endobj 15336 0 obj << /Annots [15337 0 R 15341 0 R] /BleedBox [0 0 612 792] /Contents [15342 0 R 15338 0 R 15339 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34396 15340 0 R >> >> /Type /Page >> endobj 15337 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15338 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15339 0 obj << /Length 19 >> stream q /Iabc34396 Do Q endstream endobj 15340 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34397 20830 0 R /Gabc34398 20835 0 R >> /Font << /Fabc34399 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶXN endstream endobj 15341 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1388) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15342 0 obj << /Filter /FlateDecode /Length 4106 >> stream x\Ɏ#+l@i PZ| ڞ1c)㞆Jb&3 FXȜE-+$|u)^/Z~nJi%W'Q*|KF*w<" oYq)(o8J)T_K5hKN,Z"G')=a8}6X^/oaVIUIRCGaNH)@8s }h#u#IoG*GGB!qlx6,dXVLTcUH&˃p2qh"Ehg.D1 鏠@ת")R XW kIKsǫt4e2 L יloq,JU*tT6,^~XOW0yVj|Z4rZfiky*^h+BCaEB5ٔm=b]"u|0F{+oqPTBg \[TL+eGjq5>U4BW7NiM`I<|ٹ9"1*ٶ,R*V2˜=Θ(;( 녺Qr/;˦8PbP^ǵe;rʃe)e+K+ȳnX1S+,ҷ~q1K<=v|lz˃)]Q~Ԛh}s[" =˨0 %5Z&\dQkGz1`i!y-XaRfI},bL{!nfȑTE0 _3_"~!J 1 a?E:h ~a`';|| Rr`GL)?6p 0;鲞BM {HN4GMj}S+P]ak'сSPѮM$rujJ_WGt9l0*;T !];+Iux\gakK}w.4ph L*1WPE!l&C 1g}y\wS3罚Ԟ@c*.>8&JR8H*@^kiDX*ݧx>Vl+rC3]l>2 ު5*TR-F'k#,sxdb]5պ]C} =7AwݍRj=#AaQqjQTffnLxVdd[2 p \PjFbՎ(xan !~xa4VŽWі7ҷF<7d=wlBwPA)( bǝftޞ|/celP󖼿c-)VD{Pu2itdǤKylk>W5PE2WYw4c,5?0hl]`;)M'q"xl!jxWS!M?A~+wԽӧI&Yv!M,kGKlOm\!](K7 {>Wr\`琉Pmnswҩ"q+G$!t }"6_&̺vf3+lw>wwfmA.C)C݉w>^j-:ob Ӕ\uMœ70`arð3 ۑ-ћ+UqqX>0G;f0jaw#?놹"P\Zve;q nU7! 1/s֟iz͠)@6/Z-(͑ t҆0;%w>17qvB|+[WQpn[c:A)o_]Sp=5|џ!j@lA읳4!~,4-zy/UR-;`k51(%[P+"xUCt$/Yύ;K@nt5soR0e'ú 1jz}woc":Op q_C$edgm%|blunݛ\Pt_@0I Xxp`ja6X~7˧@ vPU lZe4U~$᭣s6Djnr˄,z%y U\_3 nM$^ ։8:HP:ӳoК&Xg87u˓sMU$h?MLv=˿utu~s͟ۉ~}c.%#ylpG1A:mI t!u˨ }9%+F˪ϥ!%r&os(8 ;1u}0C*r?] k<  Ne:ە 8@o4 u\ .i{sޙǝScL!2yWg,E> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34415 15349 0 R >> >> /Type /Page >> endobj 15344 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15345 0 obj [15344 0 R 15346 0 R 15350 0 R] endobj 15346 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 606.1 145.4837 617.1] /Subtype /Link /Type /Annot >> endobj 15347 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15348 0 obj << /Length 19 >> stream q /Iabc34415 Do Q endstream endobj 15349 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34416 20830 0 R /Gabc34417 20835 0 R >> /Font << /Fabc34418 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkEŐL$E d_ )2̼d9 M \09 `XI*dzQUh^@wP".*x P@E ۾{w$R endstream endobj 15350 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1389) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15351 0 obj << /Filter /FlateDecode /Length 1629 >> stream xڭWKkGa~Xj 9,`r# X|U==Z9LUW}6蕫ӏϺAK,/_ߟVO19ӝ1.t;07`}iL'r;U+JG(~I܃/N:h;0cp0pjB'dm]{MrQK-}mgoi63Yzn4T-Hqى(oNb%й,;> 7^?KE[Qr=.R f~\7A]#'\lw{/SY}5DKx|Aj_椉I鏐(ȳ-&vykuPo>v>!=<>w?ߖ9mm&ghP`ǃYN̷4P(X=9V# _Ct4z?7(ps<~ē(8!wJb$^.=hi*#Zc\Dz-jcɷ6z m)s팹$~*CJ#Q$ "Nxnv% 6<,N#] Ois>ȜT99I $+jIw~0:ȢU6hF0G~{ƴs5:-05L)c3Hm(hbPFv8̛\] Bdr|sBau 2[!Eej~4"'Z#OԵd5!&uXct\`K8^zmD0͈4 pIm3hCNQne4k?hFmUd)ZĄF})5gUU[z[#/}58#[` eLyn@&em5VmSպSc;%[U7%іg*|m1uMuS17)g[r''[7`QTo%IBPqͣ8uDI4579ʪXiҨiENjYjxLe,xUm9*'%ƌV*Cx92bȺxQc=HƘڌ[rm^k 麺(n&IgG2@wq}RBθ{ٟx=&VxOnNN(YK۳*pE` I\x<䇉^< K mFRj+D#em{$ѓt󸐡ԏ(ԅSӔ!lWa9҈z_]K= |05 !C 6 endstream endobj 15352 0 obj << /Annots 15354 0 R /BleedBox [0 0 612 792] /Contents [15360 0 R 15356 0 R 15357 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34434 15358 0 R >> >> /Type /Page >> endobj 15353 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15354 0 obj [15353 0 R 15355 0 R 15359 0 R] endobj 15355 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 360.025 137.278 371.025] /Subtype /Link /Type /Annot >> endobj 15356 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15357 0 obj << /Length 19 >> stream q /Iabc34434 Do Q endstream endobj 15358 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34435 20830 0 R /Gabc34436 20835 0 R >> /Font << /Fabc34437 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuDU|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYc#x#5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15360 0 obj << /Filter /FlateDecode /Length 4560 >> stream x,|j{0$ӗ}Njd03!*$x & N~6:78UݜM}wӷt%%Lަu2aLe (q vu g)c,"¬ gqkh e/4tgZڨ9.q! %>;r4@s fc:1L#M 6HH"<R6#h(73 sEh1aRiH (<ٖ  CfOlY7@=`E,"9i8j\Wuꕭ!8;U:DUه-;-3,MZyS!3TD_ b EKU5X$Z%FvcooP#)3X |> qEC_e۹n7ϱZӴ^ >yJXCZR59jB"7YUiU.!WEG:ل V%n^U;W`<ą_*~*wche=E^TX5mŽwn9'qi^Ĥ$6I˜Mء>yD4++jXl EjֽVgY;njl=u bV #jСb4ч`5\*q*n(?d,b}ԭPf܇j }V6+:$O"DjhuJ8Dl"yS Q$KȌ**Ӄvx'Yn꺻ӷ}ozs#j1L1eTCaǡ;Ww*W5F V#".+^MQJI n`#{G)o|T}vj0r6^UWw a*Ju9,悫#Dg#wj +52B`q7rGoi27[oS'skdF}~Z̨OgQ4}>ٻ's>E̡>! }2O~wUJ*ݧF-UTToJfT+dނfdo!pAH葹i=uµ%ꐹݡC[ߣ(^iJ |#$#t!pG%դm*fƼ)xLD!甏r\n'=H>wI~.aɥUf8\hH XX0Q$3Ɠ:SJE,@^Hc\\8!3q}q(w1s]ٹ}&#P>r0YDīJ0("BOx&lLMO -lPvW Jx}(jT)eC U P6 ʨ@Z:+͢ X6k )pTdjDd}pV9sMf;LY7}9ʚߚ0X Cƴ !yRXݾ_BB-Cؙ07F4"nSG$x0U^,^zJ2s|ď8p՘ssN,%rou^BT (X8I qjo01Ah¬LpPND̝r,l46~ߏS%T0 V.^#2mLTQ' a5 fĀ@T1M4Ma̪Mӽ",P؄BzSeXn\ل'"hv\-=R+?`y oōT@*lۇqk2% j#>!#m60?@KME"$Gbwn~VC̾/r#jR*0+5Ȣ`fزM]8'ɬ=sHKtaJo[} w6DO\@.>DZ_|w{.Y1.VvV\7KF(&B0dğ{8dXdnLE)PEZRg+ }z$6ar킍͖l67>@lg^q9ȨA\M+ f(0sFGJx &qe&ŅgIl2y}smegҾL:ؕz|] Qt`FC[Owl[ҹ9n^6mۢw=.V$pe=zMq:ԆÌȇ\ݒpf /LSSeMPwt!O 1;;S W*m;b?r0~EEx6 +bhj:-Jm@iͻ< Dv-]N[2ft7"?}yne*u^/9@T1<ʩi>ӊ♑0@y^P8dίC$^xLɮXΏxZ՚ 6"09_4ԙDXPP2gy0<,? {[!<-H뼋3}Q1Vlԫ@\x"kk:)%s4~ wQno!|קTmBw$0:P^?(.NԔNIOW)D vNJ22Z " ii_k/)E-eGX- #ﭦx}lXz"QELF:f؆R!IVRXzѴ{hfއf9qM&GKOE$bShC ӒԻ|\.ʏb_ͯiz~Ӂ~^ظe@ ,Wuv^wuϔా-dKU .! EܳK/rvY/6ݡ On.r믕8o#`Xqbj,hGH3/ lB/].ڴ7ɭO;,؂^.n2ohAD-!X]1xoO>ߠ~/eKAMbXd+zCCeU~͹]|> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34453 15365 0 R >> >> /Type /Page >> endobj 15362 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15363 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15364 0 obj << /Length 19 >> stream q /Iabc34453 Do Q endstream endobj 15365 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34454 20830 0 R /Gabc34455 20835 0 R >> /Font << /Fabc34456 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15367 0 obj << /Filter /FlateDecode /Length 3632 >> stream xI,^BgCڥiCn9&C[VWo&~*O߾Wو/iHtxߟZܦ ^%Vi^Ehp?*bP*ݟ=Dzwjk`9)e.SI[X4AG<2 \5MO;<RwOOHҊ׿] oC8n=@}Pe֩w A$;Q P7"auQ'~NIro*&;]8$ NP$ FMv4`YAg~ɦLmSEqG3??kt#_BR h|q xg,`p )2jE, fafL7{;+\ DJ@)*Bh!:.wӜer) f(YHXyu8H3"D}|lиݔ'VlZ~.sH &ۓ|bM m۱CQ>(E XP11i=2?moū|K#U*"y̰]U7sȈq?Kn^*br8vӚert$pj~$fGo*n&8cU״K.U:l:2)Gwb%~KT6.J ![i8E ] l#EN#cDGM"ߔ.8Ir ܠ[u]E""u3ťt9+v-p;͡Ej8rqe:جugFW} }aK!E J ;7`h9ItqWdk%$ͨW]L.qZz[ f/X9UXa lnLRb>):p9HA% A{áw>`n«|kt Lj͎^J5 I0OA8[_:H2EhaTC=)TmOnI.5KYDB(AT4c9Fc9Obd 1F9‡R0B] + 5699Y6Uv. Zິ l >A_#c ci.6uPS c7[)|S&ғ#Sgub,F.n1b=4nTfΗdugBՔ /nHzD.etvT^B5[FԪ;^Ch?NN9ewjqs"RY_ }]j:w+_Zj_+#B(%JnPedЋ"0e^w,WH\涌Ð..ǒ.EFr z+?+;U?z9ԓݝ iW)=.|9=~Һ?Ӳd,.TJbBvɨ?0 d@_xf U#Veۜ.vGpF GD Q: 5[pݿ"lN)A|;#~sh/Pp e&KEAzm˛ ]G,?ԾZ qu`o7Dgk܃_IiX2tH5hvDz"(Rꭰu>DzPٌe qeFEf!+Ou2*'S2u`/g qkk5p::Xw.$ R$Rhf]y30{y5#3r"/m)]Sݾ)=:)xU,INO`bԲ+Uߴd2BF&C K7-zK۹OSzEX5$ٸH,kO>פrA*Oy42y2*#jzꮻY͢N n!Lx!kw)oe#svsH kҸVZCݯk`kָ4a|M*_#x#hKDТ En5 eæ<H  \'P>k# H]<T@+%ɯˬ)q-ch0rf&{n15SGvKyejOdD=4-lmcFXbSF9-g&/QSjf]b[6Tk3) xg9΍*L OTV\F{\{'ޅ[[[ɉ~@s.Elng8 ~ҕ󑜰(%\)]$ ]3-go9Kׁ:>1xH>wf͌h<7>.CJ̀i 4Kz<}rLyoIPM !8I}Wφ@Y@շ+@Ȩ_i#=Q9 KB< 13~rŒ AM!YL Uȫi%WIy.'Yj ,UjYGPzd#o3$أ2p.P> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34472 15379 0 R >> >> /Type /Page >> endobj 15369 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15370 0 obj [15369 0 R 15371 0 R 15372 0 R 15373 0 R 15374 0 R 15375 0 R 15376 0 R 15380 0 R] endobj 15371 0 obj << /A << /D (unique_243) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 193.2457 686.7] /Subtype /Link /Type /Annot >> endobj 15372 0 obj << /A << /D (unique_258) /S /GoTo >> /Border [0 0 0] /Contents (delete_hw_axi_txn) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 193.2732 670.5] /Subtype /Link /Type /Annot >> endobj 15373 0 obj << /A << /D (unique_270) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axis) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 162.7152 654.3] /Subtype /Link /Type /Annot >> endobj 15374 0 obj << /A << /D (unique_269) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_axi_txns) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 183.3237 638.1] /Subtype /Link /Type /Annot >> endobj 15375 0 obj << /A << /D (unique_307) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 176.4817 621.9] /Subtype /Link /Type /Annot >> endobj 15376 0 obj << /A << /D (unique_331) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_axi) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 166.6697 605.7] /Subtype /Link /Type /Annot >> endobj 15377 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15378 0 obj << /Length 19 >> stream q /Iabc34472 Do Q endstream endobj 15379 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34473 20830 0 R /Gabc34474 20835 0 R >> /Font << /Fabc34475 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 15380 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1392) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15381 0 obj << /Filter /FlateDecode /Length 1311 >> stream xڭXj,7+n-{Y7qE!u=}M[*=Nz[eǬAKCo?ᬿV>-rr\ xt;}(qθSw`o? Ʀ-@;퀄£|i/ (N9K֔=@r"cw}u"}V%FN6̪C JGlşϹ*u)PZm*ӶGʕ?'O*Q!DH=*g[dJO~+%D*\~qY:qtc*=9jIWq˶aN^* $YI]fWu h76ɶe..` VDY"*6U\cNtͳMcVJh 6D4i%ަfSz#]@Df{*@i> (hEa Dut=.X݋H]8J 3Qt*Q8J>D׷Dk4ጓEqkrfSvJC01 l6/8̥%HC| 7Th#_꯬>*O8+"WTq8i'KUɉ(=l(oEzj}}Ύֈݵ7TEHp8y`+|z'## rl`l8̰䨫Bofc4ljc<%Es׆P[8 cOԴYXOZJۛ(`>lj p5"e_4|Ĥ'7O9|ρ=#7م!C_P endstream endobj 15382 0 obj << /Annots 15384 0 R /BleedBox [0 0 612 792] /Contents [15391 0 R 15387 0 R 15388 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34491 15389 0 R >> >> /Type /Page >> endobj 15383 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15384 0 obj [15383 0 R 15385 0 R 15386 0 R 15390 0 R] endobj 15385 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 367.325 122.692 378.325] /Subtype /Link /Type /Annot >> endobj 15386 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [128.005 367.325 175.283 378.325] /Subtype /Link /Type /Annot >> endobj 15387 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15388 0 obj << /Length 19 >> stream q /Iabc34491 Do Q endstream endobj 15389 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34492 20830 0 R /Gabc34493 20835 0 R >> /Font << /Fabc34494 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7 |:m֯޽:H9oRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭf^?$jQ<<9C99 3/s#9p!gf \09 `FcU$!īEWmGyݝC.;p94C~j|=B/}>Z endstream endobj 15390 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1393) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15391 0 obj << /Filter /FlateDecode /Length 4908 >> stream x\K9W<@ P@eysLbQ}鿿H,z/۰2Pɏz~͋__YL7uz YQ9/OUr}r*>*ֻ\=w-'"WBOPQSE}+~фva;)/oLipL[?÷K(gB?gSvԓӲ%L,syE3G~6]JzL3,ӒA _Dᣏh]7?S, ](I`Nq?n{@ =HPDXfp!fTJqJ&.KrOGh;Zm]B7lb֨7s>Sa̓t^iVbeHڲ@И>"[mhF{esa4"L-oI"0^OJωIYN\Tw'MM6@`ᷱNG&5_ޏbSLеh,Tzc谨7yb#|Po럪ނȂrS-SJ{Ҡ BG*h˴h+}M{yXrI/3 X Ō#CKӜD3Mlz~v\皌0*Ǝl[<[Dz깷E}}֏?Qh0P{|y}q0L}~3gmMA*L|F`17Gح~P7 ] ߘ(ZӞ^4V}wa3.4ܡ06*wwXY}]a`j̫As<+َ85ŏhu] hKgbĩotW">yA.HzQ!kl[7iiPd~Wx&0]hPL,)Ae ]eQVEFU5 }%Q6ߊ^G!a#13JӗTkqR× tFAcǭ XOA۹ n2فU\V JB5zM&z-Ԣv0_ `P- ~Pb@ܭVMFQoi7S[tLx sف~JÄ&ce&X̶8K)KG-9oL k[o V[!lFQU]^K3+?w; ?럶V7}`H T8s_bNjiDpEK!%RH$13 diLUTj-ȸY ҦGԽZg=6{d'Y(FA*}+Հ]9VEJ:w+I]E  u X㽡աƭ:8jMy6;8mv8T5 @, n>`4;XXk]dVd"MUن 7muy^d(wpR`fNvNn 'p:6p[ɭn(('pR#GIup':8Z->Njc?'5N'ct:~N`t8֣ӟp[{O@ w֖H?fR= ȂerJ+P9LG Ɲ4I"<g[ ; &n5iC)T*t_ag)8̓CۇN7Torh}:4f6OiIAck?On|f};ݔuQ{{J+Wxb֡$rSiT<=rˣJ#IfPG;;F&C㸡kj/vv8:<.vτ+>&7'>3[Oil?D%NF;FUuv΃vGmKK~[郓:`( Y,BUdT'N/]zʷ-!Jٞ.^[HT"a;uU&[%ju{}T"t@,QʏPkxAF>Ht?!N ^2k֐t]8|:A|yh8zO}M<stP]iS0VܪkVz[࢜`~,xv: S|l7U&=iQ6\hI"z#& Qs9IJ!+d9༊ V֌ l(ȨmsObI`~O"(+cE>uنB"y S^ ljiG 7/:s: c{Zy?SKGKz C̃s/h&sxgCg'8cޜ]J'[ۢ1aFco r1q00ƍH#|'8ޭ愉싳a:KQAMjDee+abDU$0 2+׬~"E0q ~[]vnhhk;72>NSvuZkW[7vvyU'Jvri6 _{JH\}O-+'qmyUD[|A0`Z]{BmȞ: ὭᑁxOi9V̒n.AaRƓO>ݤ!BRÕKgh/)哱-l^k!'ڞL(I>LɾWFdgƙ5|_ɒŽV~=,W+nJ8pݺ7=;^^vx?E/O./?okV;c>Ȉ}uC!A u+7ͺh-NVeS]BfYiwh?l9zy*TΦe`)n vXAmgp5ۜm4w}I9U~ЄWGMʥbs4 )i?].Bc,L !(Y~SO\Pж ? D[<t{ %4AWlw~fJ`Cs! XN m)ؗ\m%wKWkOL'zS$2-;=4Cğ; ':-Ke<2+r{(},s$O;.)B CY)&ݳpC O|EȌ[uBG9A;`8; q>O*.i6C YVl +5XQw%DIXR{z]@߭G {Χ|K-h)璖KdruuiJVJ'$8'gk2쏔H \baZ\ | \)%N|+z'$ʼn ኤ HKQUv%\ _#gܐd? QC%?HHiVD¬TR++|8}ϭ*vDL@d}%Sڍ;W U*l#XBFB{;TiKvfoNY}| ˈMjmv^y^`Վ՜w~U{)WEkk' `C} eIg׬wwh{8J$|dWw9Ay'Z)z +.k|z¸'l9_vV+2G35lû.MuL̟\EaFQ&r*o,0ܽfE'x{\U9t*T#uc [+Cصdz\z// yp'zUQaJy?5΁8?ӣA/T /޾=܃<~ endstream endobj 15392 0 obj << /Annots 15394 0 R /BleedBox [0 0 612 792] /Contents [15403 0 R 15399 0 R 15400 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34510 15401 0 R >> >> /Type /Page >> endobj 15393 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15394 0 obj [15393 0 R 15395 0 R 15396 0 R 15397 0 R 15398 0 R 15402 0 R] endobj 15395 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 271.1115 195.1267 282.1115] /Subtype /Link /Type /Annot >> endobj 15396 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 254.9115 192.6352 265.9115] /Subtype /Link /Type /Annot >> endobj 15397 0 obj << /A << /D (unique_272) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ddrmcs) /M (D:20211013063105-08'00') /Rect [104.1732 238.7115 179.7542 249.7115] /Subtype /Link /Type /Annot >> endobj 15398 0 obj << /A << /D (unique_308) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_ddrmc) /M (D:20211013063105-08'00') /Rect [104.1732 222.5115 193.5207 233.5115] /Subtype /Link /Type /Annot >> endobj 15399 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15400 0 obj << /Length 19 >> stream q /Iabc34510 Do Q endstream endobj 15401 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34511 20830 0 R /Gabc34512 20835 0 R >> /Font << /Fabc34513 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVQ`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ ^ endstream endobj 15402 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1394) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15403 0 obj << /Filter /FlateDecode /Length 3088 >> stream xڵn$ί@W/@^$9ᓒqHf.kuԒ"߾URKwxWYN&S]5[kâZ-^yW:$YGNFEA>X"\XN_{Q^rV^qJ :Ha>\x#UJ80a\tZoXn h eO F~8CRy\_AhD^7[}y_0=خ˚k!O#b5gg8b,)?A !k vKuaclLHG3m̃\Ѷ/(tnn9ů) 8ewck$FBhKb.5Y]r@`_Q!e<dO9N1aJm9wwȷAu^iiwfץIۇj}$&-:=*vfR5 (T%-sɄe }e 6.N0#[N p Ȗ;Z!d(\#v-):UCѰa7KcF ϧ`m(lc})r굙\bF"O>MњM%0 HNE+b P;]v}|8ɏ{;`01hQR 81׶8]}WԀ`(hGDW ֕|J؎].-:b< `CH7G~ yTM_mߣx_kJGa3HOfFBbR _U|ѫ"z?,ݎURKǠ :`‰A}7QzdUܓ:Ç_^7f玘_L6/9N\|] FN| hdR<7#)x7uE e)l9I|Rsc+ ~ypTmz#r_VݼW - !w iv Zh3]Pcr=(6/gsWf(S`.sb1®W Ғd:ƣ8>)U),>!Μ-4|18mu`V߫ -6۬f(eYի|O#U"}z % ?|.,r1X v[Nt|qrjg|!'AfʌvEyb̲1)v'$6 ~ yT 6>4 f7mT )O1;n良Bojsh̭7PV ھӖk3@pMANɿ߷]n:PɆyBA JeCſ-~l`8#| AG/A'#lp8*Ę=}S`sri!{{'ˢ+Ok;b~%S(pQńŅ-͇*E@ȸ >s2xc F /8Qam2RXrsqX#/?b F-n wO~{TNmgYS\O{MLj \x@؁"2'|! $gayf\ߋ3W-JT6I&OjeS7li5U~QS״ȠqŖa HM=>z2Mj@KY!aW4Ŀ&* n" [tx\xD@zF\}&`P62Ã^Ń]yLUxV{[hW`S^ h!Fǣ2 TGM.iLj#~.c'S!%8pn̰D)s?gKߣew"zM8?:f/a;ʔ(S9?ln7AVS9΃&B. ^vV|F.`<.t!U$x%/=@nTL+FJ.+?ԯI3~b~~ V:K=5]v2w>|Zʉ*ҬQ?rj`\r>+/tyWs1tҔZ3i(ah f,d(PQ3\e#\<&& Bf5}s*8n 3:$Y\]wlݴsaޙO5ᵊ%9&\ "X*ӎtS7I|DxSa˕ tVc?gPzd#GY_4P4Ns endstream endobj 15404 0 obj << /Annots 15406 0 R /BleedBox [0 0 612 792] /Contents [15413 0 R 15409 0 R 15410 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34529 15411 0 R >> >> /Type /Page >> endobj 15405 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15406 0 obj [15405 0 R 15407 0 R 15408 0 R 15412 0 R] endobj 15407 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 381.625 122.692 392.625] /Subtype /Link /Type /Annot >> endobj 15408 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [128.005 381.625 175.283 392.625] /Subtype /Link /Type /Annot >> endobj 15409 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15410 0 obj << /Length 19 >> stream q /Iabc34529 Do Q endstream endobj 15411 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34530 20830 0 R /Gabc34531 20835 0 R >> /Font << /Fabc34532 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IVL_%ee8>϶fEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 15412 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1395) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15413 0 obj << /Filter /FlateDecode /Length 4726 >> stream x\Ko$9rWyL@Ru 0^ aSd2+RI-vTI&3/ds>Iÿ~N^RglJӿ׫~u~N>&7=L:d5\\W^{%WIMϵx`+`R_/O;?y ڷ #5L տNzK[zθ,LEYhe&3ąy9Pc,\j.p?=zB?ywLkEx^Ζi4:|Uic Ev h,o c0hܲt.;T,_x8VL,BSd!s#=P;eP<`#l !20oa8'1Z`d`p|KLn^|0}j?~HJ9MXq鷿L_bS0VGs~]0hgahQe < jjc__`4`H BO?*g 4i"XVmaΦ5RnkŷS{./YDcyMg^g  Zv!]Õ 8gh/FB[*_DHTGc^' yV&|+ '/X0fڛArD$E>u.,W: fć,(BddeBE{ `>s+NrjZem^\ם*2 Ů6a{p2Z5KͯU(ԍ™nU8ӭQ gQ8-࿮x_,Y6-Pꝺv3l<_O/2sĢLDOzԋ]^f |4V[ 5CS F&r # _3 Y@ lEԺ=b-П !  ;_ʰ}^}yIaNhb3гRH|m9sp.->XkGD=Kz>G龷]}O{e=i?v{jDY,@jͮՑч?PmWO34Z*BzԹ}Sﱇo9U"rCTG0"ܪxQ9aIxMNlq+_}aw8o*(ܡ6 w;4zs~FӨ,>Ki=_WxXWNϏM?(Yzt'ݾ?5~zz'G.b[4auczC}@ $FPBxEз9h"OvA ,#U^4 CΘ(D $Piv$DIAc~R%Z V[0'"񨡎v U7ÔO&ڱ`g-huh!Jl*2S^I^Z3 Tc]h Z-h@H[Tţvc>N׉PE.n^dh:&̰H%.sG5{BS@-V[!V*+}wy/Mi/RܙʒCo0B-Yc۷zd8[/V\TFlȜ՘0'q)]RcIk7'`$ORkƎ{P6=:KݳucGf}b(1 QE@Jv+ R 8u7ЪpNJiW"B0P]c`PFprjMdM6v8U5@,  ,,l`aw`Q]:1P&mUO+b5SIak9Ȏ{]+NJ,ݴ8ɮd=N pk85G443:8M'28OZ->Njc?'5N'st돈:> 'u0; pRO8魽?';VGՁiKOH72 TVbqK| H=H~鋳^4Ppƽ`RFPV܇>OիBvR'9 '#nv n%g nrn.JORMiOdSbw?~҉1٥K~.e5ݬfY0 q%ɐvgSq]rvҕNAZ&|gG%u~njV'K!iK\z4)۫q)j;fgs}w!KϗM%}#@]+r0MQ>fg:-! or-Gqv e"/mrAϓhŶ6t:MC< |R4[ DBG9;p=\eN?𸓲oUFZ]@P5`VyqXR{Zz[U7a|׆T|Mex98Y|Cs1RMo|q*uFT?@:Z)x{䳊|9B11=Z\hv 'Yg=S}uw QJYJ( nɢ{F},J0t3M%9t-w='Nr.*mwHLCHaٝ^ 08zauG/{Џ>_ڋqR$Z g޶`:gJ# ~cFM)%L(?<.Cs2z3k/ QWt"+*r/*F> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34548 15425 0 R >> >> /Type /Page >> endobj 15415 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15416 0 obj [15415 0 R 15417 0 R 15418 0 R 15419 0 R 15420 0 R 15421 0 R 15422 0 R 15426 0 R] endobj 15417 0 obj << /A << /D (unique_237) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 246.5693 182.4987 257.5693] /Subtype /Link /Type /Annot >> endobj 15418 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 230.3693 195.1267 241.3693] /Subtype /Link /Type /Annot >> endobj 15419 0 obj << /A << /D (unique_277) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_migs) /M (D:20211013063105-08'00') /Rect [104.1732 214.1692 166.5377 225.1692] /Subtype /Link /Type /Annot >> endobj 15420 0 obj << /A << /D (unique_44) /S /GoTo >> /Border [0 0 0] /Contents (implement_mig_cores) /M (D:20211013063105-08'00') /Rect [104.1732 197.9692 208.5357 208.9692] /Subtype /Link /Type /Annot >> endobj 15421 0 obj << /A << /D (unique_311) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_mig) /M (D:20211013063105-08'00') /Rect [104.1732 181.7693 180.3042 192.7693] /Subtype /Link /Type /Annot >> endobj 15422 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 165.5693 165.4707 176.5693] /Subtype /Link /Type /Annot >> endobj 15423 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15424 0 obj << /Length 19 >> stream q /Iabc34548 Do Q endstream endobj 15425 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34549 20830 0 R /Gabc34550 20835 0 R >> /Font << /Fabc34551 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7HT ЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15427 0 obj << /Filter /FlateDecode /Length 3285 >> stream xڵZKv@nI?p%%Ywgzz?𐊕?ve6xI/ӫۋ{F~ϺvhtYr NVEG_☈QE뿞V:-ֵJ,+(I'.h<6G|XVٝ$. B !q%&u"9uQd]v(S?v X\5 YPCg{Wi &jӈ!T-2^&vŦpeHaup.eABU(D /~%x8ɹ4¹gao  |$[#6p-`*%4MCc\OP=u9D/i{d\T2aDMFU]Nuvcqpx_" Ugf]5n^-unΠcfZ{Bs⾩66+Y-'x p:VvVwe\J UNby^iXư[%{tp~LކT.+)l\J-Vi!e|Mћ80!EF=z}!y? mMa-?U@ c,jGz.)y][_ݫf@4P evHcpG!6M+mWR 8ȯR jWAՎqOXCF/Oז(NV`G"-C+Q`bآ!v2_&U5l[M*=y )ez.:^h ~IN>wFnapG7)+D;ŏKÈ7 ##KEn#n)x7Ռ,c3l9mI_0olx܏vl6)c>}( 95*tCnG;ݏaQ،;ćq#wSfG8-u_CEHa+Id%d卨:ǣ4Uq9gNȞ:@A~@I0eUUU}UaTUGUmgUoCCo@42E@@S LTKf /jVt,UNKlmRݍpr[{dfpqj`Uy\OMM3VdQ{bZ4X gh(1F,= vi|JLvld^nnm}8^kܖk'ߍSXb.j2P527eI5*Z L`G3].XIWIঃ\ՈsGj.7*G.z3{77j~ԼJz`S{2fB1Д'tZgitJߎ97.6/k}8"$Jj2B&αӜf_ \9o bA2Kt1{93|=t^o|>@Q _⃅ Ù JrSM{0>ںecsJ8vfۍ^7[eʧˊ.gG۠I6I+60ƧMhʷf`wkYY9bͻR~2F"n% bH6v>,'DHF}sBג5}KM4*gPVŐLaOQFhjK1*G`L=AOO#".^F1Z,P L׍>R ǫ7't jY⭦` .Pqл::aV)2ЇW8~] 0k&/~[p_ڼ"+ $A(ΌI~^/p?ƻ!zyu@ I )4;S]S.``J_F@$~quGd܎KQILQ`H"c g\p=lHSd1_A0+z'\[kT7JMYB0 & SoqH] 73o83ˋoԌ;//\Y"+`޷{]̖ELcn[No8^w*=ylzoGWCgD|YMA%WS)@:|wPuԏmٱj@XP>xR|3"\W)pgM 5 L&,}' !`!\B`h:iAFn7Pk”kݜŖy ,=.u涨rQ|Cpf >n nqxȦ8) 5H yb{_!a ΪÃV~2=/8X% J$?Hx$rj|bKy[ea5 9$A#qu751MiWa^f⁠M+C6=IUvE.Oflj~gbT#,U̖EY&.Aiȓӏh^^&3lЮ~Dj4tijz )RQ9u+<1Y<8[VCk͉ f85J 60 scjL)N%+uLJ2döf ?ɁSq>,J5 rDVXd՘H!WTN|*Gݥs$p2Ӈch:k?']KKjͤ!' @U`5~%>޳D_^@̟^z ~ T- , 9<TAYQ\ SfX.nmUygޜp{o^텴JU=J,=i4#UTX_-Tb> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34567 15434 0 R >> >> /Type /Page >> endobj 15429 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15430 0 obj [15429 0 R 15431 0 R 15435 0 R] endobj 15431 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 381.625 137.278 392.625] /Subtype /Link /Type /Annot >> endobj 15432 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15433 0 obj << /Length 19 >> stream q /Iabc34567 Do Q endstream endobj 15434 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34568 20830 0 R /Gabc34569 20835 0 R >> /Font << /Fabc34570 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!׋ڎ>E tw%BJzڡP/v҂bwoG  endstream endobj 15435 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1397) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15436 0 obj << /Filter /FlateDecode /Length 4723 >> stream x\Ko$Wl|3H%݀OY,$ݗ_(icu%`0"`L][moY-Vo%hg_G}rH믯wxOUUիx*Kֻᱶ{B..'.E?Ui48/:.5 fJ d~]E9,4tt|_qED^bL{6=3;c#e/^heZf`Hp车35z Ł[(DGz=@Գ0`ѓ/pa'38 ᡘ1xy]/7ű⴩8SU aH^%yC S̆^ pG9Bv]%NaCuEnU8V[nQ8-]DbY@Ѱ<%XvkйO6`bLDOfԋݼLvp6+Y.bȁtxp+]{]r@[1 ߀ӝ '*817]!٭ZG h|t%q \HLKz )/VDeY>!w|Xޅ9$~vz]sGB-رnqDS߻L;s{[վAݾVD^eIuɋf7Zm@wo ZXq*rқ",h5I'lDmsJ "un nׁ?E{-ܨaFknұ樁>$[+2]"k䷷MM=v l]ަfBLjǂE-R -CWfk4M=\9EqR"<9c(~,>M(JJ;iVlB,u/Fg}lNYǀLD*m_(J5`@Rʅ^;w+Y]EӋ uX{Cuqk@[ur9ŕx4;8mJeGpj<>Xa2+X, X=X`v`Q]:1P&mUO+b5SIak9ȍr{r]ծĕr'%nZdpr cW85NIp? '5IT'IpROj$8v13pRpR>?N{'a8gfOZN_P@PomoP6@ؚI@f SZI,;~@2g@);}i ۋ&u E=f z7b!i||^밳??yraH}s%ܙu+HxM|̙ԥlbӵbzM2+uy4׼=~)-Q˽N톒 VyAVS5&:Ι{gu>̯M\8d#Cʘ\/'{.Xi]+'wH B@y~cA#CI_ GOI]oX4fk*6b`:[a"h9^Xb,5̎NN3vP1G\a^i% 3:NF>ZL `Sh,A9 V&>F ʢYF-rRO3L] %]_UyY:6c1u~|o{%kq ^:c5e=aA9#D53:L.`Eq({^g,BT 1.[\)A L& i8ZDj҃l0&_mMwժ6 );0{f@974]) mGRF݀%0(H񟝛C`ŗ `RRHX@J,[!VwIJ8'f頳q@I_iGjtp!uQ샑Yg Zܠ}ܠ$"C<O2~=a Z+hb-yx <3{C2 ؄cYD7/5 ܋u댏vc|bЮsՅu>='\o;Is`ة"HkP'"~U'`L'z5T;'-w2O/&|M`4rT+NvEz 9DP2-{~Xs+P k0QС(ι+ᡅtGK{uFY(j` 1.Wc=* ͍2[ 'gX*́s+ʮփȔwX-x'7R ,#X;4{iJIi(~gӲ9ۺ5 OLV='4wNE#eON˕.S Ÿ՜;qV[S[) 49?^uϽcto9BiNiv$OÃVx4*!m(#0%MM8*s4Y0 T9%QX+b8/뗳,:RN:3Źqtj_N!&, 4N-W!P*_,9kaŒ#iSvbAߵr^P_e23h9epAWY >!Ξ_aHAC/,(I&^i0)n7Y.1d_e,י߅"ґ2 R:&nsI\m97sWkV]]:sL!-vO}!(NwQ e.z2ZN0C-8r䧝1h 2|";`=) i(mȗ\!,\+"6;"@O]InͬPľI`S©$"% ;"% HR펉w6 x'Hgiι*)5K{ƴVD3QuY-֮THbϭjg 6Y0Q\xzjCemeKߩ)4ۮSу1^/|l2 kL36l^s^`RZYcR[0[^}פ0Z_R͇5:O宜+dzc۬(}ÏBDP#XRNe3|}b|ﵣ5ND5]7&|$.&C2R$|h\տțw{Dty͏=|G1L_{4~̃-қ endstream endobj 15437 0 obj << /Annots 15439 0 R /BleedBox [0 0 612 792] /Contents [15447 0 R 15443 0 R 15444 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34586 15445 0 R >> >> /Type /Page >> endobj 15438 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15439 0 obj [15438 0 R 15440 0 R 15441 0 R 15442 0 R 15446 0 R] endobj 15440 0 obj << /A << /D (unique_278) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_pcies) /M (D:20211013063105-08'00') /Rect [104.1732 221.0808 168.9962 232.0808] /Subtype /Link /Type /Annot >> endobj 15441 0 obj << /A << /D (unique_333) /S /GoTo >> /Border [0 0 0] /Contents (reset_hw_pcie) /M (D:20211013063105-08'00') /Rect [104.1732 204.8808 172.9507 215.8808] /Subtype /Link /Type /Annot >> endobj 15442 0 obj << /A << /D (unique_312) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_pcie) /M (D:20211013063105-08'00') /Rect [104.1732 188.6808 182.7627 199.6808] /Subtype /Link /Type /Annot >> endobj 15443 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15444 0 obj << /Length 19 >> stream q /Iabc34586 Do Q endstream endobj 15445 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34587 20830 0 R /Gabc34588 20835 0 R >> /Font << /Fabc34589 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]d߄}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>@dQHL_%ee8>϶f՟EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM^ endstream endobj 15446 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1398) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15447 0 obj << /Filter /FlateDecode /Length 3244 >> stream xɊ$y "!40̩c ӗ}M[ddWVdEH!=}h ?%?ujqo' Ϭi1kkhشS.<쌹^9=@THK+'c36*˒UҿhY`G8n2HOgc0w5!Xm7Xn h ϟ,5qq}gGe=Q'?vs (kna4*uQHBNqŠ[TF&c+p`@6,ԅ94t8<8 mXM^J prS@8x8AQ"VP1B/J-pp2^#XIe`cb G|^ ЖELA3"p?]Q$:52@uAّxU$6KVc00ȊRUR+M&Ĉ=c +K@ypr-PkBlFv ;H_F溴.q²j(8 ci ҲHKy#| T|,?O?MhIlޙS{S&?8B'ơC Ihu–/Vܐ~ }77,;t͇AvjRŹQ3QAXDQ =hET !l+$\Ǯ.vub< g`CH7G~ >yT]5d۱Gt)A qR#QOMاxP=`]] #ͷtTbCy }R״̙[W&x`㵀zHS*f}fj{Ffoc~*wLD}D2U!>;z~ =CF+K){'.iRR{Ns|q/oj"WGevSPnJ'lb/ǫ\mVo7tdCdi@$ʗ3 +wWBcF^g =߉cmhm!?3\|x*}Qۼk x՘i֘M;?,r & S(fkPmvX֛[nG7ԛDGtlɉ QeTϽ267W7znX͒-z9VpmH{|Kk KEz!5Y_)՛f q{$utӶud? ~F"HND ϒAZĀ۔n/=^YQ{?esݖZuMc\>yEC9A̹GS~4UA*SMdcnSL 3)7e7 7 KJ; ,>iHCģX-vsZL(4dg!tfzVpX!!] F4宖dT}q +hBD %ܯ~>i:iO' Ѧ7/ 6q+@4i~֠`?zwzՓwV;FttZaU_,Y<l:81ГNq<+Xcɏ?WC lÃY°e#$x)RJs{&p [BfMQ}=eBu3Ó^Ńs3,QYǟ31`݇F!di؎r^ivבQh&W:ON"ݸ4Lq`-#n.)dÜ_.{&/ z=/˽c"c" dV#0 qwG }L(۫xj?ăaޅ,4K%9&"a1VJrډNbU^b_=ěuT+0XV S?9Pzd#'8ro&ɚ_d endstream endobj 15448 0 obj << /Annots 15450 0 R /BleedBox [0 0 612 792] /Contents [15457 0 R 15453 0 R 15454 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34605 15455 0 R >> >> /Type /Page >> endobj 15449 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15450 0 obj [15449 0 R 15451 0 R 15452 0 R 15456 0 R] endobj 15451 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 371.325 122.692 382.325] /Subtype /Link /Type /Annot >> endobj 15452 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [128.005 371.325 175.283 382.325] /Subtype /Link /Type /Annot >> endobj 15453 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15454 0 obj << /Length 19 >> stream q /Iabc34605 Do Q endstream endobj 15455 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34606 20830 0 R /Gabc34607 20835 0 R >> /Font << /Fabc34608 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM* endstream endobj 15456 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1399) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15457 0 obj << /Filter /FlateDecode /Length 5096 >> stream x,9gcb'g*z}r**wopn^X[_nM O7F+ĥ~ф~a;o6KZ 2gvvMVYaKqYῨd+í.ң191gh}3Z! ᣷hd{׮ܪ`xȉ&9 ![_HqK^s[?e vɰIŅV$˙ kn* '7C’'k#Hdl|KRo\Yb̦ci cӛ9DsY!5]j4Z(%ti\9HOp9bd'OHKs #Fc,r߄%aeEWu UvYQW|r?|[VkKӰ.)9@rDƄrw1-ůrHC@,@2ֿEF[k.H Z\оwc{o_PD?79/aMVj%:^Я?Ծ<0'9ջ8=CbAm1\e-;D<پ\!X"#OK4d|KWyJ{7C7hkrjS"BhD"T`rܺ .1?+BZ5' 媙pke j<\G6s vĹ?:S}Tl! J۾Q'ﲃ8t).f@L$^5 k\Q'B]I}-qԵG]K}%qkCD Y@0=%^5Zyki/6``Y|3s$'y23[ʺز̡cf 8=vI5GDx-*W ٽgv~oSv!B}JwaF}t5V+ <V,;?Z!e2<=҇azI&Ϸ68–L;m{ J]_1"ioo3GQ}wˎ⃷kʱ$$Q~;R='^^D:8fore[vsW)Vjg~F*gy= b(YFӧY(hǕa qԫH{6i;f23 0¬١rq7]6{^2ջ+f^dDAmQdaЇc~qABnyѫ8љwl0'ڌ^dݶS0 pWS'oWxJ|I~r zR!e=3c!б>.t8 F| Jh' X(ڵ)he _4y_sC +QX\<" jyx;R}ĩ](rBh oG{FFBLldž[Lqit*GHux'z&hQ;C'RnhngĆ_'Tu88P"6M NJm'a6݄&=gX;G5=qmeZ08*lZ j҃CBϏQkc|: .xQ'u>@;N鵳?OSޠP}Ge)LylgJJr_"iD@]P0%ЄoKZZIY:"!)i|U(nНq/*<o5'hi^{bZA$%( (E~t'3M2Fq js*5c[aLF W9_ȉn).!3MOq|*nl ;N6|c{)qf lJ!/-)C;drg_0;\IvxZ\NUc%xU O|U T}CYgd2\Wo &4V2i_̹/LX)̸r⭿dU4MAqb Xu+ xI>OPnRS- za.SNcefYn_ ؏"ZP*BLdzTǿFbB0,_06u*Lٯ+Pʽ,3U6X#,>FzXPc1uܜ+ giҩP'Y : Wj<5'[뢰ba>(2~c_0e5\Qox5ND߭Ɗa1p&t$jܪ̪ ʩR4~d] .䊌VbuO5W MyuPSE?_:vȇ=4=:_6/y_H+wΟ8Jr]_1UCs?2xE@< t4n<->Lzgdڮr(@jR{HnP_Ywy s΍NPp=`X@~X: Vj'“DžwU6%[V,/)KKt{ՠxtWŃbjbaVlxy'"HxڣU~R9y0̸N!, i>5FNԧ#s 0tê+=Qa qQNlw+Ek ,0GLP > R~HBuiAD'xz&nՋ Ķ+9]k2~ZOx _SDQmlf&ipԜ>#ҁݦ$7ez$6rEG }8}M%FM,bˈN,1YW B,^" n6x;,Kfo57]=*&6NޚDgv #+hEae6ԓcs}5# yE^yeՋTgle (Sfw@9vu8'/nJBN,ārG> GT5a8>ͫL'/^Hhf!\l P2'Xz!8Lp,Q\m$S|wšT뼃}$}8s8w2tc[h C q (zۅO"|חTmeK,T/+n5 eS$d\й5Qk:r-.t@xXiw`o3#Sr$ lxq.cq49Բ}pNm=IYeX$3S+Q1=V8SzVڴp,Ig!a~PzꈧӽdZlS9+#-{S}YhfE+SOo8b\T}, ~6.ۍv|P408KǍ}op}VJ0ؼۍCLKzyxI/=m49>t67 c>ܴP\c{GO`q2|ǓLJP'(cj+qo#`χ  : y倌3ƚ=|>7 @>Y" nX`8g_ CET-X&r_>2͉g_2(DP#l/說apJ/ (UVE!!]X+ 7= x{z$#57/W`A _ϫ endstream endobj 15458 0 obj << /Annots 15460 0 R /BleedBox [0 0 612 792] /Contents [15469 0 R 15465 0 R 15466 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc34624 15467 0 R >> >> /Type /Page >> endobj 15459 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15460 0 obj [15459 0 R 15461 0 R 15462 0 R 15463 0 R 15464 0 R 15468 0 R] endobj 15461 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 234.1885 195.1267 245.1885] /Subtype /Link /Type /Annot >> endobj 15462 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 213.9885 192.6352 224.9885] /Subtype /Link /Type /Annot >> endobj 15463 0 obj << /A << /D (unique_292) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_softmcs) /M (D:20211013063105-08'00') /Rect [104.1732 193.7884 181.8387 204.7885] /Subtype /Link /Type /Annot >> endobj 15464 0 obj << /A << /D (unique_315) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_softmc) /M (D:20211013063105-08'00') /Rect [104.1732 173.5885 195.6052 184.5885] /Subtype /Link /Type /Annot >> endobj 15465 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15466 0 obj << /Length 19 >> stream q /Iabc34624 Do Q endstream endobj 15467 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc34625 20830 0 R /Gabc34626 20835 0 R >> /Font << /Fabc34627 20881 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1X endstream endobj 15468 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1400) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15469 0 obj << /Filter /FlateDecode /Length 3400 >> stream xڭZKW𼀸|?a4Z aoؗxfldUXգ[~FjTEkF/KS,ϟRኲ㨵)CÏt?AS> h"VqEkma|eҦvW$\qE %ʑ *PWVhD0-8M X*2M/4UKF蔇YYތSp2uVTpm5Ds~l9 :&D6g-O3zTF8'*!*7F@RUŠ+פB!} 7Q͠NPڍ]gfC.T4o8#Fm̈́#q1rc.*e>įW8Ic0*Q,x<]s V4́(lr$)QŘB̩ᔥݔTw;2tOl,}R뭿';`TՊL< ^,y{aB;k*":lM|hO,mbW+/(GX3уKEi؏# %-Nyĝ\4Q>?^P6dBkgka]SwlTk4q⦚uh=[dA%]se99&8ȼ&KK݆D;vq{᮹Rs[5'{K~7NaOhHSP{,769v[[bPV7tv{Tkmz7)V쿖{j 9%-8p\cfFO7W)W{-Z„1{> 9P>r J XFXy F8ºL6ĩİgnS.<5Gc{6<.Hf7ƛ揙r ~.i>)Uf%cf6I[7^oyr[g"@TC):BاiІ6RqsP5Ѱ*;NƐxx~ Iщ\1֦۔i.xTr/M2a>jMm<7pzxyc!NqfC 5yQLZ,BD.'%DD>gE"[~E/%}^_X d\Dy,K\-u}B B-Cm|FW*dJ*h? fӺ0$&QdtL`61G^CD7Ao@Dg9lr[S?uӄc6Xs'o. MӜ7y; *K]؂^}1Y)qH0Y@ L&_g] RD:h>q| SJ.n%o|&/ϳ$xU,-wiw{hAY;m _ vpP 8y1БMq t ܱƧ"mXi+krV?M:-8Xw4ݑ>9Y,"n# *1n\_sz'+$P#HgD3`f&ze +ө^f⁠E+c!lF{;Wpy2#g#%ʞRϰT1[vo-2wꚤHCee25+MFH'7 u]@6K:?G:0J=~\Gx.£ŃCmh<4ȫmNtbp$aTm#襎0-UK3 >d;* _ܪcOBh˥ICeJK3#Jxk`\W!ohH=vV'EJχy2m2*&YXT#~u=$]KKjͤ9@U`= ~wo=j(Qz 'G&MPY}9< 3zʷwc;Wp‰~x綟J= c3X='=rum:ؓx=:_ kmHSU؈h=s>ѣAΕp Ge]Kd]`l9D!o endstream endobj 15470 0 obj << /Annots 15472 0 R /BleedBox [0 0 612 792] /Contents [15478 0 R 15474 0 R 15475 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23454 15476 0 R >> >> /Type /Page >> endobj 15471 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15472 0 obj [15471 0 R 15473 0 R 15477 0 R] endobj 15473 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 399.925 137.278 410.925] /Subtype /Link /Type /Annot >> endobj 15474 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15475 0 obj << /Length 19 >> stream q /Iabc23454 Do Q endstream endobj 15476 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23455 20830 0 R /Gabc23456 20835 0 R >> /Font << /Fabc23457 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ* endstream endobj 15477 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1401) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15478 0 obj << /Filter /FlateDecode /Length 3976 >> stream xڽn,ί9/ @ŀov;][OM*bj ;WNy fu XWu~=~eÖC^?>9OOVSؽoù[y a`w 3q`2"[~|V_i8ɓ/:0|6olxe6lMVr7pUȲڮq5פcݲMjΘ>2껧$M4P>LqHali\(M /xN=L}6[n/cǔ-O+!d7c񠀺<ψ F-*`n5D_)WA@nYc&Q@ <ٵU9u+PgmՓrP5HkM]񵚦淐[[\ -[u Sv:Dg+D<7%MC AZáZـ +9 qJ 4.R7[.,Ol#ȅG-yݳA]@ۙw, טw6؋09}ıov"`Y ds{| r K+7fLdNA,uA\P`_pz@1pqyi M qܲLm3{ SRZ`0HvWbY];d?GjZVJ*yZsLRc`pkHm{Ǽb/`IGa[Fr!F o:>h|Re-Yk<BՁ YVJ"֨?j^ |0ػx@ D;,Lɱ&ɈAuA] |_9ԟk\Q03od/\y@ဖ;cJ^;d*wp5ʝ$NY ib,L Q54 'VlD1j*[*̹QM9OlA?0a ׯK1;"M# q1u$D}V ;/ү|~4w{>:>w? Bl/.t$8y#ϔ&#r(x߭8">v1;rd"#z óz]e]sXޅO\Zl-5*yT[ }Zɍ?,&j3%ي&71 `^R/Q{xwf.yo}N$m;m%rK&ǧ׻!n5K3H%gY XݶV)![v^ ȏ@NBG%D##6Oӑ<͉l4`H B2YZ2tuzo)ԼyG,DXGAx}<1Kv 09`46a *Ň})3Y׊ (lŇ{Gm +;ayKyb/ig (@GV=C+rIMe$$*#K'$AK@ )NŭPqQx' ``#sΔn@nrƍ392cxlߪ#WmwGÈm;@^&*2pɍ -mgtz('8>;!b5E-K_5wFWҘ`k>4(⹜. ;/}cx$wϷjX`wY`M/: 2_U08;Eotpu6cysuɹ03yg^湗xWoJ*. vOc!nHW SExh5dʽprvX6I qS<1|_n2[qgY/_<+x1a cT@rr[l\JY {Fl.l &̕Gf3dpRGZ-vcM@w~goLx=jUπ^t+4+`5ueSY2p@K(77qün7C<+BVL87$7NfiMo ΅5֋xevMJB_HR㍉}DL~S}>|#峈[έ (ie1MDވ D5gI9%#ϣ:^΁+%΍7).1U6فBx°.{5=(sޥq('Q޶(W_~E p5.:]8 ?Y(eC,cH2k.SAgȡ1mǛቯy5r׮隇\^Ag~ DW@ڵ'{7%%gGOw񄭧C 7Piwxu,!}PŭeBU`U] &EO> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23473 15488 0 R >> >> /Type /Page >> endobj 15480 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15481 0 obj [15480 0 R 15482 0 R 15483 0 R 15484 0 R 15485 0 R 15489 0 R] endobj 15482 0 obj << /A << /D (unique_242) /S /GoTo >> /Border [0 0 0] /Contents (connect_hw_server) /M (D:20211013063105-08'00') /Rect [104.1732 514.4346 197.6017 525.4346] /Subtype /Link /Type /Annot >> endobj 15483 0 obj << /A << /D (unique_251) /S /GoTo >> /Border [0 0 0] /Contents (create_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 498.2346 187.6852 509.2346] /Subtype /Link /Type /Annot >> endobj 15484 0 obj << /A << /D (unique_295) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_targets) /M (D:20211013063105-08'00') /Rect [104.1732 482.0346 177.7632 493.0346] /Subtype /Link /Type /Annot >> endobj 15485 0 obj << /A << /D (unique_299) /S /GoTo >> /Border [0 0 0] /Contents (open_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 465.8345 182.0037 476.8345] /Subtype /Link /Type /Annot >> endobj 15486 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15487 0 obj << /Length 19 >> stream q /Iabc23473 Do Q endstream endobj 15488 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23474 20830 0 R /Gabc23475 20835 0 R >> /Font << /Fabc23476 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15490 0 obj << /Filter /FlateDecode /Length 1969 >> stream xڭXKoW)a/rrr2X/ՃcLbC-U]$eXo'cdOC3gw޾|2;wsvپ/!9w=:U@?;ڗ?8 Χݕ6אB;1\kOΕg\W\] hi_J/չ$`B|4ˁn9 ˢ@b1s%Ɠ⢓\ĔG։,(/Ex7PD4P<RT:@{u޾TjWG~X[cs+tG t x: ݂@S&v qnT  Έ  HئIc.iq>Lk0tKo(cF9n}5w]Fa襈\и]0'1ΣS/ [m:#vs sBY Pg1H}~^}z1_[ʞn%F); RB{D\WVV_Y35̷ܿj}yHd}zw Րc]5_)obaPl)yE* W7Ie簵q\vaAINO\<;}m i3yȣ^c{sn_dgX.]|U7cUsZ gh[}#Tb߷NIvV6q$/2}XC"/?Ū0׎2]bFTl)׍4U~KW)aVX+omNgBB$7fI ao'ggXSč4Why.DԷ}~#[s5wʛ{O(/\n|uѶXȣN]ǘ)!p3o4;H esz\i ]37gu!vLi`G ssf7{c7]@fWȘAœdҨץSzDorcB'-iY#CT!퇐6ّCS4J=&)OiGegd3޶2xxuZ빒+aNfxyI:+f^,Xؙ1^ (EU^FZjNdo{M(ǚzJsP7\:ӌzI%3ʞhi1o1-run!챜-t_Gm6:{# ごGjb *{vTPG*)c8TM$q!nk\JznV8)*j^yxW u%SӶ@>R}qFڲ]w6DZ՗b㏛.!b~^ 'ƞy:F=Aeq?)ѹ4:>(ɡK©1R僔'T>]q3eձ<|{َvnk}&pL ]\(Cpr8+)WpU}bd bDZ ̊skW#vf8543K8A6_^|_.|wi zfSfYM!H{ZvbIb=IYtn'#$uuS&iDIOnOcl'g]ӿ>!=$sP >8 endstream endobj 15491 0 obj << /Annots 15493 0 R /BleedBox [0 0 612 792] /Contents [15499 0 R 15495 0 R 15496 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23492 15497 0 R >> >> /Type /Page >> endobj 15492 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15493 0 obj [15492 0 R 15494 0 R 15498 0 R] endobj 15494 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 322.825 122.692 333.825] /Subtype /Link /Type /Annot >> endobj 15495 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15496 0 obj << /Length 19 >> stream q /Iabc23492 Do Q endstream endobj 15497 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23493 20830 0 R /Gabc23494 20835 0 R >> /Font << /Fabc23495 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4 EŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM7 endstream endobj 15498 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1403) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15499 0 obj << /Filter /FlateDecode /Length 4886 >> stream x\K6W<@j& 4g ؃1؃EU bvD #c)R^lwYN&Y}C_4Z޿j]V_Y;ʝ;,]y]C-Nc^]סDT8 '~iY7xCECS#|ϱqs+}gA"GfjɃYuTdVɦo`m=I9SҒ\VPf齃59l.8jNSbވQ">f( %Ar.UWfp ̕+(9 dݤƛ"cq'X.+0)jx1r>o+$cnM&BW*akFh!1ی?X mD~S]}-c ~ր^;vYqQ(9Mi4DQ Wo۹%=/!% aFjcl7l&jG&Bb[ǖs! Hܣ;\!Qb65D;F*ɍ+U{ⲻ@7DgB6cm:.e3ViZU*_ѓpޭs =JK(vVI>߄:(1G ß!}@+xLw&OGxW}$MB Me F7W%;[[-~w E&wzuXvSR-}?\H[ y@=Ѱ-zH3ԑ&̤%rt ZFމFф]J.yhTICl#iR-RrZIrcNWI!\,t-SlȕXr5V,$YDS}{c(1QIuTb%S 8U7Ъgiay;ee4d9Caս8+*YgYpP98)XCIMeJfGjE[Q[|j|| Bq38`8Z*RopN@>K iiK xBވ}^{Y͔lUF?Kk/md'At\Gw@w-AHs=iݝe֖nVqnֺ18O۾Hym@QQ/y3R.`߶m ,{J N,k^NtqEkYɇZK~pkl#-VJvDX`ھ˯+f`ޢ./]@i0I墴{yW1 [ U@ :!Ls}o&/%{L}C-8uuMIs=YѫCfqsYr ,ˤrCi #|]Ny08νV~՘ DdWΓ-Ƙ]d#u7"=q`ϩԁut`igg4<_ͮvV.g{:dEqgɍxt']:[jJ]*Uqñt**K-އ -(FVx%z|u+yBR(MK4y9{*>1O/Yë׺isl)Q4%x7kuqf Kù^5ĩ:ǩimB8\]Yӡ;o[)AgqN8\|Lj1aC{m]4[ xbXŏ7o~#'70KׁF:g?¿2v`1l/glB5ibw з0vًS |s1l;(|XD۠2S'' Fߛupg-b7Ӭ\N̡nbHٹ|ss;3,cl?P#z'_Զ圵+4J3N^=xnAZGE][JX@QfXUy<>ecKZY{b ,:Dq9VG5M.NMvwvkf["~ABc`%ϓ땦<0S& B/8`1c9&2RpF\#xCLs5)35rWڟҝ7u (8kڎ U Lg}#!\Q g`t/|iY;I.{#wDճϮg ٹ;e _`zi۔sB'q=K;(-(6&j'>僋P) uB?Od9IZ$ endstream endobj 15500 0 obj << /Annots [15501 0 R 15505 0 R] /BleedBox [0 0 612 792] /Contents [15506 0 R 15502 0 R 15503 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23511 15504 0 R >> >> /Type /Page >> endobj 15501 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063036-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15502 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15503 0 obj << /Length 19 >> stream q /Iabc23511 Do Q endstream endobj 15504 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23512 20830 0 R /Gabc23513 20835 0 R >> /Font << /Fabc23514 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ׎n;|/(z4Qh2 ALF  c̙h;IHt(SBD* ^Sl\9 " o5"]zPL.o5HA?hxfxoBD>.PkP=(E> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15506 0 obj << /Filter /FlateDecode /Length 4174 >> stream xڽn#ί9| $Z 4nx\S*[dTw/H _:gdr18oӛ1Jk* ߾ʿ=i{S ,. b,M {.Z}ٺ*9/'o-gelͳt. >0Xxkqg'V|U4Rh6eND '--el7'O</L_ʧ.70^\P\ mb-lԒ}7o3O04Li#$7x 0t @da.܌f.[2xg5O4y"ٮoδjaUl+R ȍQO,;QNYA/G A:sIj1t*YjMɆ!J(b؎u@ɬxQW #~TyסVX8]vxv ˡhظJ(t+K}4lYtESڀU}x@ |`H&* 1F  O' (6 Pa54zALЎZJsH@y !BbXm3YtYhg["kF4+)b/ٮz@  'بՁL xvZbzg<Yhf٬ ؽXiN,CROI}*8)^rY9p]U Xr4K%,`Z,|AW%Z`ԃQ.G>zIAPߖcwkc+cV $G%/;9HTieHq`'򦠬CjyWGJ1Z弙O*-EQCA&*nDP^&YC D+, R;㻬Oݲ9[bM39J- 8q8jNwq|rhhjb0(eGu:BiwewsdJqQذ]咼BuU?9;,s:9i+:TQžvf-wiΘ.*Ŭ;U\mK|g7zvXv8cYwp!KzދX֍Kڱ9kY8\4JZ|`~#,JiGԐ=<{gtH :ƌG5ZJgVc'$gcǔ)Ƶ~hSx lf '5t@cX+^7TI'x-S5Ϻ=:k;I˞CQˆc6ҋ)(rZZweQ!A )؛(tܴG@߲=k˻zAY..Uk>6ng1Mp. †2Lq*Vi̾;aTsf]W߬jͰݚ=G57uj9Uݔn*mF ynʵncԯ1aVAnV7dvk[KEAi4=3g1CeoMe+v6g9G]iA[єm¾'csz1+Irr(k2]zQq eܫcQuu2jkBi) zk[Ψv7[t+'ma{(?a7nrH7?ktYx_ʓp]@T_z7|tjy1%ď2k-n҇ Mr=?~OkifDh>{D8Oko[pg_vӦP=T"l7Ri rSʞU5'؄jCMK}#8[}ć]m:n[u^ZQ{Mx6>&{U칲5+zXB{5AFX;@ᾩLisAz2Vuea;WE ;nCE ΩEM h8 j1/@ =Џo8S05-粵c1ܵ|9 8[ U.|1E&\c7Awcz "/墭x2P<)684o']-Zgg1{tۜPV{eirVl7orF7lo\bowS1KQ.^Ն !M_2*p1$BiG {:x)usA`@Դ;٭z:#Dx]iKйÌ+#+Wul;8ռ I\~0hatrP5i5@ VVgVn* w*.M>>oIeA"qF?__޺Mn騣J-ݛٿ,|3V[q|x?ȿwd3޶~8љ}w&L jkB9A=hrAfOqBk+9S~.Cx|joTu^u- -=;M6@g6Q'PR*t`vn #.t#h7OvĂJ2mƣh<@iA9_W}7w"| i?W]S!go #Tض4 ( 7 ps\ލ|B^@& ۬UXGt}>(kGտO;qw4NVL4tE"*ԟ](OO㑓:dPu2&?2#ێ(hi:8N&7&ޫvŭ&7ɏ2F^Ha-v!U$N2_zI~K‡rY .$LʸQ}Ie"79-G/VBt߁Jq.0ɡƆMKu c KwSˣ>ODϕrKZ^۸4LjJ 5v,${P> '#|_["Y"j9g7x 3>h.u[8Af ]{|).jpn3C,X*jDzQ,GY> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23530 15516 0 R >> >> /Type /Page >> endobj 15508 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15509 0 obj [15508 0 R 15510 0 R 15511 0 R 15512 0 R 15513 0 R 15517 0 R] endobj 15510 0 obj << /A << /D (unique_556) /S /GoTo >> /Border [0 0 0] /Contents (open_run) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 149.8452 686.7] /Subtype /Link /Type /Annot >> endobj 15511 0 obj << /A << /D (unique_17) /S /GoTo >> /Border [0 0 0] /Contents (place_design) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 165.6412 670.5] /Subtype /Link /Type /Annot >> endobj 15512 0 obj << /A << /D (unique_19) /S /GoTo >> /Border [0 0 0] /Contents (read_checkpoint) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 183.5217 654.3] /Subtype /Link /Type /Annot >> endobj 15513 0 obj << /A << /D (unique_717) /S /GoTo >> /Border [0 0 0] /Contents (route_design) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 166.3232 638.1] /Subtype /Link /Type /Annot >> endobj 15514 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15515 0 obj << /Length 19 >> stream q /Iabc23530 Do Q endstream endobj 15516 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23531 20830 0 R /Gabc23532 20835 0 R >> /Font << /Fabc23533 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw70|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15518 0 obj << /Filter /FlateDecode /Length 1266 >> stream xڭWKo#7 W\`{b'>@EOf)CҌqE%RǏ5#W-DMm>خ6';{R}3rJ{{~^Rc rO9oF&Z=݁O9_fh Ԁ6ؿو_3\H]I,|qCtFmǦLb);٬o{b]N>s(ă8T8M. @gATD5Qg3h$ qTMvl1jO/j00M9cvrBIy2y3w%]w- 3&ZFW]5"؋.'gxlqSc%f(0tv#ѱ1pʇ{暼 2 ?.lkbaOН:ۘ)F~ʰ1/: \!g~m .U3 iIkDUiS%!3u*c tEY]_ $91..;` 6DUY"L:4eNl-MJC1S/o%s{"]Tq/3%G.#`b4h 3 ,MC abȇA~֘˺Vúz cEʣ20 :΁ (źC_V2MiÑ O웺H+ V5qئ4z`{aIL9ƕq3} S_Y~7U T6D\[lRe2"#>ULAD USP4;BƄTR|@T9xINGl>oLY9VYt=j91^(m7iP! Q!mZC(#pGp8y` >çj?1kq0+AQ^›m)!$E\_[AYciټQ|òMnx#Ӫ;$ޓYֵ|2'YKpo)4"x%j9#&=cc; 8^L̡/C7V endstream endobj 15519 0 obj << /Annots 15521 0 R /BleedBox [0 0 612 792] /Contents [15527 0 R 15523 0 R 15524 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23549 15525 0 R >> >> /Type /Page >> endobj 15520 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15521 0 obj [15520 0 R 15522 0 R 15526 0 R] endobj 15522 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 286.325 122.692 297.325] /Subtype /Link /Type /Annot >> endobj 15523 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15524 0 obj << /Length 19 >> stream q /Iabc23549 Do Q endstream endobj 15525 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23550 20830 0 R /Gabc23551 20835 0 R >> /Font << /Fabc23552 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7|:c֯޽:HYs_RƐ ?wG?{`%dAb2 ALF LS4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfgѯ'&DS3RwlV4C+p|צb/mk: S[${JLh*$S#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15527 0 obj << /Filter /FlateDecode /Length 4532 >> stream xڵ\Ko츱Wh)}z&]:$ ŗ~ٞ9nUU4;Wv>>3?-4o:'kKM?q:X G~)G#[£$}GScoߦoɆB6ہoߚ_MzySzθh,4g&3Յ9t(q2(iZ}Zg`௧(42?^_|'Hr}87E!6mEmY;~6㞹m |'D;>*cϱ04\c88>QQOIŸ@2̲@7n3C ģycQ ) l?9΋K13[R<" 9'ʱca3vJ>s;ܧڨYz7/^'Juցֆ̇n&TWíC{ '˙kzU^/Ы:}^Ju4]z]@WMM]e'uls`l<.z_TF#HGfȫ8/ZmNjcj:{~ n N@)p.姡YyFL~ꉬSpK"3hԪc3 R¸Y5jՑTӀXCjn@uDz@Ѭؼ|mSh]2{Cu|߄)uuwgRW|JRšcIJ]\}"I5':pR|Ô`Rg|IRjowRlnm#u-{@nH *uFfP7RJݳT꾍uP6RAH]^>BH4ɀuW@5`Ώ`׶Q0>2q[HwC@IO(R]jjҊ-*%4vdP-|Gg^=8+ઽ.giXW< 8Sc}c>E)fAvT.uex]zݝcپ.AҬ>"Xc_aKڧGEMzV0,"|3*P,=EnZH-=0-RcGvuݦu[_\L+"93D5Qz+-&eoҕ¼Zp i `uI.Ql҇a#S4PN˅sN>n4ڸJ^ϭ?0x}E>+%>&vgj=Uupjmm7sfscW,6  %3hĵ$MVZVQ8OQq6}-!=!;60k JVkHuSm {y5XWוH^PtXXޣ1^HldoܜRFov!AF~D68ާIC8lC 2s6X_Q7sinN6ˉ_66;Aq9n+sØvi'zy&/J>,yO|^> <ҝY<糴4}{Q~9uLi< 7rmGH ځiVphSƻHu8_@< | ȭ&FG9D6@\(i3sm3?$f;Xq\b#EIR{zG߭G+Χu6 h)UƎ|[;n5 eC3r%޾N8CSJ! #W'w!i,+"3VJ4AN-lPD^Y bp$s&-M;%L朗vFz Df 'N· ?KZ.mHK3#CJoխ 3Au&YmVVV:QK*v^b,?5΍j7.6UvFBF;t|{3;(Rwf#&U:޳Ï\26ߢ_2)!Kʰjw蟻ZpG^9!Tt?OZظ1"`)-˝j= VeJDNl=ENgQ4,+`Avd+|~ԾgPQQ|:<3|կmu>b6R_,]/ v?9tOAhE[ʙJhrԪZfεZ~m@TzcIerj\Aĥ9֟Ƞc5?'O|QJu bK!3gF:Ã("J?mu)DJ$ endstream endobj 15528 0 obj << /Annots 15530 0 R /BleedBox [0 0 612 792] /Contents [15536 0 R 15532 0 R 15533 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23568 15534 0 R >> >> /Type /Page >> endobj 15529 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15530 0 obj [15529 0 R 15531 0 R 15535 0 R] endobj 15531 0 obj << /A << /D (unique_610) /S /GoTo >> /Border [0 0 0] /Contents (report_route_status) /M (D:20211013063105-08'00') /Rect [104.1732 221.6769 199.0922 232.6769] /Subtype /Link /Type /Annot >> endobj 15532 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15533 0 obj << /Length 19 >> stream q /Iabc23568 Do Q endstream endobj 15534 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23569 20830 0 R /Gabc23570 20835 0 R >> /Font << /Fabc23571 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7hЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVkkEŐL$K4>S~3cy/ KaEr63po.IU1" ^_Ocj[}K JC (aN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15536 0 obj << /Filter /FlateDecode /Length 3258 >> stream xn#ίyu~Km9,4lx\S/>ղeg@&,]E?_gu X2l/_\cf&oƦbbL=~'g`s3Ohz7@4%c?`36 J TlR Hc{;n`+Ό. 0<*!^fI. K& 2((OO! iIv>׃)kT$ AJxoGe=ٟ- az査l=F!zX\-= FeVhR*Sw~ 7aI yUAd7AѶ8ar~%x)E^`|Y,.W IP;8UuaE[aؐ!q< H1 I q:BqPMQY6.wjIuKL EW6'7[q=7AQN (b?gsLaO$!1yr)gKBlvPM&I̖$ZZf1f* Dn8#~X:=դGhçKQkf: w!WVQXzWXӦ]27t0x4 R95JK5 -BEHJ0g:'Ser@v3vM{L>1٭3+_=;OKćݮv9퉓) [Y+~y[1t,v,bPC.3ءA:v{T+qok~ժ7W^]blZɊudcas Tb\r!+)fL#f8`ڄK;K9vAN\1*֝$$z^;ume}}Bq$HeBcg3Wia"?@_Dd^5Dx~]q|&٤SI+օYߺ(tA}[Mr$Gr*)X3wg!2)!e U,,_>, ,_˗+ leIX L!ʡo܉NjY7+ j^B2݃q~0:M?æy%8\O?} oQ*C|`x[8ӇX<@^%Ӎ>|XuG2 eq7 -.Y],7΋h^W]`yh"fд"Б4حu͠ 6s}73ja3hhxo8㭝+]18V$ӽxBЂ?Hʜl,m6!Da2xk`vdqq\zWMkCK WYȵOo龑ͮeTΙQ{D6pKgd9<>|_~y'hy$==TZHy`-#10  ]ߜ{"OXA<=&MX}Q7;#* .nLz >YYwf y8uWPfc$=J{\> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23587 15543 0 R >> >> /Type /Page >> endobj 15538 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15539 0 obj [15538 0 R 15540 0 R 15544 0 R] endobj 15540 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [90 271.125 123.198 282.125] /Subtype /Link /Type /Annot >> endobj 15541 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15542 0 obj << /Length 19 >> stream q /Iabc23587 Do Q endstream endobj 15543 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23588 20830 0 R /Gabc23589 20835 0 R >> /Font << /Fabc23590 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15545 0 obj << /Filter /FlateDecode /Length 4430 >> stream x\K8W<@j r501<ӽX/7^|)LzΒHQ`D|6yu˛uVǠU}]=o>LsHן?Q˙+gQ,ug[Ž՘\MPxwSY}NNфzbhETU) \2ptvMVB3%%̮IuMbsQ{'٘^w6pϱ.r[*'s/|\}ʢ04vIK[3so;d`=q'KŻA딒`R>ֈ- GEC s=~DӬo_ l 3i]sSX`ܨ޿-A¨ )pX9R=&7뙯__4-cOiZGyol(@k`edmi)_6/)trfv8ď:S@m^tu/{>_T?CW\T}t: /y]`W`aUo[,՛맥E7p)D0(2 GfW:G۟vl3}V>L!"l]8Y-"6~̭qki q\ēEًE,xuÂMD*W(_9ـ(2#H:HnY'\f^{q zN-/--cf5A4-ƑUp[."C!K.ݰǨ1|;q곈W I<$>.GY6ʽs>؝[r>7;:s?H 3Ka 3(7*Iq/#hZ U#* O{dq[zl;dw&ڔ[E";l>{~|r.87k7c}Tl^5d$3TWW{=lE`HlY!7xx&e3R7w[sZ(+/8ZYXIz:X<r:|8;&Yχv=<4߲>1?7#j!ja H}f?Q#ig.o5v(yח~2AX9&DIsX>\k׻+X̞V&lBc2pgxDđF^ QӽOlSpO,TNFBO!({t\x{D|dOOոчiP3`jwf&Pn0G:MRuͳ=*׍~ý_5t.'%gKN/bMq68hoD yZHv@,uhW7dz1W6탉:N(d!N=B.a\iڒ-NTxԸTx9 G\+ Lh;ڱ&8jB*йd(LUz'z#jQR`A4ʽT cMqoOU=U`sGHZTţ6]_*w] Dh,;66s1dS\%cI r̀/)L+ۋHh \V +mwV?_ޤX1%`-oc+A2üES 8XbRUbchho.Ȝ"ո0RB4-'He! ,ӄbDԖf&MRU:lٜ;ج(EArJN[&+U!V% v*Ǖ,ӦEKa}Au~ UKer9ŕx48mreCmw5 > |#@Q{>=?}D}~LV~NO?;ۻ &C)խI| xMR{k? 1z)u )^H-G@JgwRj̇Jf&uFBBt+6otCImf'sJߐJ`͖ԍ!0GQ?C­*R U>kг?AEK'Mo׏? eu>ɻiS}^$C{.sВ_-I/rIe-kyycB"u:ֿ0]0{^{xќIӓ(Q̿y:KG'vmVֶ9>j>ҊWV@Ęxu%s_eH!vT){SuN!;wYE)&[/F@YWPF|E(,H 'ƕk#f8FO"V!qxcy~HP%!. *H(2ɽKM~yۅdlLKAr:b61_JŔ 9{۞al':؃ٓ_vXhRuE,[y3&ć q`Curў{sZd qP%1 7O$yNtku"N,)kؓ)lssv)F?4r`\,yof܏Uc%' XfNdX#6eFm\ Ak~cՙF 1Ƃ% O#:w/P%nY+}Tb+ ?G-ōJdDV gvͬ(~,buۇC tNrvc9˂/2j%Pu|h2ihƻ"x7|ɸη~%.ǎ`\A/W !4& >3;#/|G=cGfp՟L6/wctw K\cXXEDx t=P&M}mhk̴-2#J7%-Ԫ+âfpw Fq-Uwm+cJߠVLD9le+& ⾛,g~7ZiP9b"ґu2\'nl=\]rsW[0ϭLû*uv]Z`GvO1q!)q.ӊ2EO\iB$}ՊvRDMq .^~ i(1n'ZeW>\o,fWѶCf,_q%ҽnd_ 7 JfAqBm MnF71"T <3>5NY ||,$NTfIُE LY~mÏBDZVP`H:ু|lWKut'&:svqU~=y<sQ|QcO| l?bxP9pk|^H+0Dx# endstream endobj 15546 0 obj << /Annots [15547 0 R 15551 0 R] /BleedBox [0 0 612 792] /Contents [15552 0 R 15548 0 R 15549 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23606 15550 0 R >> >> /Type /Page >> endobj 15547 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15548 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15549 0 obj << /Length 19 >> stream q /Iabc23606 Do Q endstream endobj 15550 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23607 20830 0 R /Gabc23608 20835 0 R >> /Font << /Fabc23609 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7ЎYzrv"TYUCQ.p' 4Ab2Y@QʘJ)N2&4%*\)ɔfU}ɖD.UrjQip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15552 0 obj << /Filter /FlateDecode /Length 3693 >> stream x[K# W5z tڇh Nv'?^T=v$.zlUIERGJK-|.3-G7&]Zڇ0)O䕗o_J'yj>+5* |'=<4R^vϹqOk{²ih ^A|VD: 矤Rayf|.-<6!U@fBrRr[ќW[LCPl]LL*Ἄb! ^Iuk~y6vժ.SO:8Z##/ #^]n_a>p_=Wߣ_Óx*LGnfkEl)nuv$[ k!dh}7I8XM8x8gB*;=OY(:bt@#ZaK7{TcqeFwZ(^#~l\ ~ud:hb@ ]1H+ȥL,WR:!d xEL+I.SLFhx!N [et Z] N_{=ٰ4S(] ޵Μ\}6۲#oNfF|ɣQf dד~t00:џy` pYX ]ŰڧBVY4 $LWFy5FBBO BA_,a7---%y;a.YZc؋Yiu|;9qi$y0gzN<63YpqZ3"@w.=)td#>[Rv}Pm)PCM.r[bXOj$>qQ>NtYF2 ˲g[Jۡ(Tls `O[8d˺Ϸ] @{ZȾv2(6`l):~Nlݳ= }lFFm|ZH"IS}.Ea]#>X۽LaΫE&aH@(̅DdJBrT]åW4EmFP%a52ȅU*J^o2*͈w*gk56Jϫ*s|/} b[#`way9sS.'MfLY^:{#Ұ^U5^Q5UEj.3֕6[U" nfJ+1#'VN /ԑccɞqVphtsOoU~  Gh8hY%od0< 5+l|3'k4Й{K^!rMT)"(Y 8+A&c"([ )J<;'e\yV4׵;ɀm)]щpae** P9虡 3 e Ik\#O%Zf3\裙뽎bZX-/\=Z!Z{A͉&;e=Vl 䆻fS;=}pk7=lJ=p; 2^#lCV бh)m7m@ں-bk';)=qn&ofGf$+8K RW<|I2)_DEBɿi`?r0?PAh%/˟BHɥI<u.Vh1?E9$E lmgotࡳ3ܐ2!SGO`+xND~Үct9\l9wsΉ̋5 ^.nJ=stH (8l90 D0[ /[,g]wX`9R Uf➼9~lZvbMaOOZS"Y0pHeQ0._>pt.n-|1q4_G}/s\#HF'5=&>PQ01 2br+1r:uwiM^0ly)=ADWjYg^ *M'kRKbUg5믡쐪14B!ud5V eg)>)46xĞ":KNZo2y3<26s8 k!_ZYG endstream endobj 15553 0 obj << /Annots 15555 0 R /BleedBox [0 0 612 792] /Contents [15564 0 R 15560 0 R 15561 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23625 15562 0 R >> >> /Type /Page >> endobj 15554 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15555 0 obj [15554 0 R 15556 0 R 15557 0 R 15558 0 R 15559 0 R 15563 0 R] endobj 15556 0 obj << /A << /D (unique_371) /S /GoTo >> /Border [0 0 0] /Contents (get_ips) /M (D:20211013063105-08'00') /Rect [104.1732 359.1462 138.1357 370.1462] /Subtype /Link /Type /Annot >> endobj 15557 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 342.9461 150.0652 353.9461] /Subtype /Link /Type /Annot >> endobj 15558 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20211013063105-08'00') /Rect [104.1732 326.7461 139.2412 337.7461] /Subtype /Link /Type /Annot >> endobj 15559 0 obj << /A << /D (unique_379) /S /GoTo >> /Border [0 0 0] /Contents (upgrade_ip) /M (D:20211013063105-08'00') /Rect [104.1732 310.5461 157.3967 321.5461] /Subtype /Link /Type /Annot >> endobj 15560 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15561 0 obj << /Length 19 >> stream q /Iabc23625 Do Q endstream endobj 15562 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23626 20830 0 R /Gabc23627 20835 0 R >> /Font << /Fabc23628 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ɬЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (c> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15564 0 obj << /Filter /FlateDecode /Length 2692 >> stream xڭZɎWY@s_F>:LƌwlTu,)2+3"2ŖߌTz~UuΨU68L'GߌzhKA=Yk>cwʅFk;NO.NmJNO`l'|-uᒌU_ @Ge l0T`CyXl7OK QDHVGx ?y=N>+RG$MH ^J4NsХ"90Pr]( /Qx:&c̃%Mgn؞qMeظq4?~qOe#6G [ w@oޛ--,t?8l0j9py\FOG\ B5KB0Ѡ vD0qCk0 z2 ؽATn 1Q} &N1q '[OVDp{_+gM|aH" o82ȑTI"5إ;xfU;So|8g Zc3)\Ӎ>M ^\]'v_.C)"\kU)^= ȫ&36 F0X08Muܭ]>Whr\!foP3=.RBo߫<>Ӄ(NY!5EXBՋ`>5&_6X8ZĠ3@K=Sf(ٚP,$fB`toVb\>Æ0e{,0HK]@)F1eU޺qB+7x3)(0n]CN%LVi 8Fc}`jWk`aI7 DeG)p a3,^] ԋJMuv)JĊA|E`hH%&*:O%w%n%W|$~ ~@x^٧7Җܥ8wav$Z +vy.@a-vC IB>el6DZ^_&r'RR&2S_j]|bL{5OVBВDŽt وV̀/֔vf*0+)6^>fwpݣt'aU)mYԏ3{HHU?ӈjf0bꚤ(Ced`@K29;6* 4Բ2+%+sD,+<>U.^аeȥ 3DLp1lq4qpmR! RX 4n0-'bx![FBJv/=AT_V+T, :¶(bVbP< I;4CP"M> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23644 15573 0 R >> >> /Type /Page >> endobj 15566 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15567 0 obj [15566 0 R 15568 0 R 15569 0 R 15570 0 R 15574 0 R] endobj 15568 0 obj << /A << /D (unique_77_Connect_42_methodology_commands) /S /GoTo >> /Border [0 0 0] /Contents (Methodology) /M (D:20211013063105-08'00') /Rect [90 218.425 154.724 229.425] /Subtype /Link /Type /Annot >> endobj 15569 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [160.037 218.425 192.729 229.425] /Subtype /Link /Type /Annot >> endobj 15570 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [198.042 218.425 230.69 229.425] /Subtype /Link /Type /Annot >> endobj 15571 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15572 0 obj << /Length 19 >> stream q /Iabc23644 Do Q endstream endobj 15573 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23645 20830 0 R /Gabc23646 20835 0 R >> /Font << /Fabc23647 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ1N endstream endobj 15574 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1411) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15575 0 obj << /Filter /FlateDecode /Length 5036 >> stream x]K亭WheI Iι/09"&[LR$?m.T q2۫uZz'GU*wq*|uhVBR?ʓQp|m93? >\wZKyIŦ)+ ._䗯{5vdGG?H5iKjPVG_F43_IV^4N^igUjpbR_ 1]tx|{xVJEjkl5*pђ$HiX?c@OAkşyb=] CXvAOW,0!6AAhЄfp#Hh/ȯ?P }= YiAY] ]B@353%v 8L#^gBgmj;bS[l%migjT![KFl,]Cv_-fqo'=;kXs܋wR4Pz?  ϟމ @ݙ~V6 ~3I 0lq"<5Sz4}hÕ-q7AE_O<@y8O4«C%qO+`^se(`Z7 oqpf_q̯dr$4X|w8Mq.$3k9|Z}7@ +Ӥ3+pҍs{O&r΃i1d!< C}=Q2DCpNp $p|_qZh ՉڪVyiM04)6atld>WO#rRZsS"zQC֚k.xeԐѹVkriCzc,Ti[Q=K5>_}M5y}_|M5y_|M5yĖM3A~ aNP92FӲA; }}YS{B韆?M6qfp=ξYXe Bh0| cvrrp0EQa`߸qm$TQei68Lz>*J| ϬsR# U]Po}sTp5fhTv =KCWGaf֍2 4]6l0] $,;Oʼ笸\"||JKD4ؠ# t.]cGӽg׸m%vV6ʬM)~)ِ+6Km}n(랮)}x4 Rnt EO_=x;>Dy6sٺOG͍踌V?KoJF@i86SD!^.&w>6+xl\?&{@F.jV#cVq;wЫpcCAo(Ԭd}l.o[yhg5'L?8}gb zkLtr5ȫijƾAkcz:O a&\B )a%=%KP}ΐa&V\L$Xg!PP_)q7R>q7oIčO)7S>O|)J[S>q3{ĭO-)|G'R]/6mcJFǔ7:)guSb4j7inLӨGQ{0%McJFǔ86znU#&:PXJ| &֖+޻6 *UPwMJ}mbTk>\\\;w555ka\\\8FU䣋.RéSé7SZXqꍋ;)yd{)y`)Ʃ/..R|.R|.R\ئiqP5JaHDj" CWM.fi& &Qg` HjfVHn*kNް$;?S2&oZX;Y|S-/o4ߎ7*P>j(y/{o0}T7lHiɻjOіE  m  060#u% ˓9fCaW9Bi{biۗm{WC}- "ml0u]kx*v^ڱJ| ţ뎾Z.GE2wA'["RX^41/Zn2]>NGv^]{#ùXԐCu3uią7(bG XO"D6 qqP Ӥ.<3Α:kE=ȟLr!ȣIrFN\=ftp-1bYiԶXlz-MA/Ԕgݱ,ϵ($~6 ue+boDÒLUԛm0>dɆEXژpLu䡫Cę4 ÇIBkK2.O_(dڹM3ƬK.ݒ;\xᩕjd7N}!V~_̓'ގ.xks7t2U;ΙmÿXL2 *k΂"bme#Whfo4_O1efGoڭ}փi_پ8=>Oto6;鲏Xٻ?svęwBZ;V8*+WvÒ ˫c endstream endobj 15576 0 obj << /Annots [15577 0 R 15581 0 R] /BleedBox [0 0 612 792] /Contents [15582 0 R 15578 0 R 15579 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23663 15580 0 R >> >> /Type /Page >> endobj 15577 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15578 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15579 0 obj << /Length 19 >> stream q /Iabc23663 Do Q endstream endobj 15580 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23664 20830 0 R /Gabc23665 20835 0 R >> /Font << /Fabc23666 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy<[//d"W-j}'dH5aSq ܟBd#US0Erձ*U櫶`|ݝCvhw%TKh8rݛ7 endstream endobj 15581 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1412) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15582 0 obj << /Filter /FlateDecode /Length 5516 >> stream x\I#Wl@a $) aSδ #kKy ؤPVy`U\/R.T b6uZz'ƪZa|qY(c/5&\mtl6.KcI~+(ZOI'gI)˗Tz/\KM9!c7N]YcpH+)=ikd*l'_MK?uiJPg`P2^.L(j>BEqX/X+\]&cJCY#Q r{aD5F`E!Ӑ@2)Z?NOFGE2@DduhhYp(k&Ccw ;@^uJƕZMUEɓTuH)?@ hf4&-Ԫl pVD/צ5m `BYj]+,u@d,hMق&(:N S ,UC2ݹ( 'H s:~ߛ<gWPI7T\{@3t XG˒NCDg2Bbu@Lɢ %Hp]&q7j fl+-?H{1M&X, 6hPp*uJvRQ+tdh#(2P_^>+m7;tS/T(oJYW3Wu^ֶ&ӹt0`PVe60zX2vP@`9+CYi: #K{=#ބ}4L=7 >_`/˷Ҹ녟AY] ?oּiQیz}Ժ1I6ᖭ~'\Sc#:lZF&BvY _ą9<[Ac7eX8~%O&X/?nI3 ^8ZF 7^t\:tNƀ Y|m^d;&LF( iYT-zcu)P 9s)#J)ye%Cq=8v $ 46e:j]4gzc4LmXO)WVHU̱bդ/t{XG2d^\XUm]6UڦI1RΐIc)cEy-]1) '^Q$)NT)*+Y=wdeq#*Ma17+:PN^1XD5BKK=C8`1A:o$UО^yW[ -l-I! d@DyL9tJQ0;s}^ힴЊ0B(MdžUз= UI6cRy^}R2h4>mI{H5ƉߟK[m)>?Ĺ=h8[Aӣ#!/XC_H43[6,ETg5~t43Wl.lpUgV0͈A.@olITTŬߣtSm[jlg^'F<1YH= (=v@k+1K>uGO1Rd%XB1mY [+N0V55!x P, 2Sa 8z< ZVjX1A& ~]J^TOۦ7e4- &m^YՇ,`znTS>|p]ph#~Rès6fJ[; :g7C*C HHN y= Eb]Y`ֽ [Ր֐ 4{/SqSwBtiScܛ|]]i?A)$֚!^+? H)"Y@H}@ E% Qo~7(`(U3ʈJ( ;2q 1T=5pKovg:._yEu6lSɃf̘*N ]Z#QӠ^oNuUFJK?/(WAtSҏCy ~b9gy4bՀUaD)( ԛr )CqR{3 ek H9'82S݋a \f{1`-Nf[$k^]"!,XxM~|QPP_d5y9OCcN6cK+#VXBE =uxABI\RTީQkreLP-Ņ.aw4"#G>U!JUՈ1$K!:P-Zm.(`=9pxFT AYby߅aϨ_jXXy| UB9³=E@YPN{zd!ͱ(c]sCv볈R~݋9v'2ljQh,bcp6Uꩴ\V er)~` 'ҔC,!/>h6) aJٍ\/̺E*,p>Lڻn8JJnoE^:T"<(аq+[#bˆs5n?osvc1b*7CJ^A!E˅[?uvo^[צAOYYW6g;-]ȺQ[BϞn 6ue0*X&;Sý1u.&qwU[_.=+l>ѼKBJ$1nn!ULE¬m;܆%vd+>jcM@ 0^bp^MpIy\cL7$:?2}$~Fbq\ |uPn CcF3aBe2C O!t&zgO,[a.yyʻc'{+qDfzP)8e\$ʭ&PkP{RI-&745Z[&yE-6v0JWfxb\A~<8(e?ČJK!X:OF C0l Zʃ{fcM_H?`S"J7*m_Tf;Q?Quw20A[UfJBR6f>≴(VS[|>O\z1}2p^ VlSRA2e<ǒ(dyي°G[1΋U> lr]a[PC,l{&2G7\Zώ1@S?@`L:<",#\/Sj<TH'u~A'(1u8Me: H0ꍐooo B6?> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23682 15587 0 R >> >> /Type /Page >> endobj 15584 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15585 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15586 0 obj << /Length 19 >> stream q /Iabc23682 Do Q endstream endobj 15587 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23683 20830 0 R /Gabc23684 20835 0 R >> /Font << /Fabc23685 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{diEQv>;_oXo`5 @ǾwЂ)< }ߕ9pZ {v`%C;f٩c8I ko ZHj;Jx*e2r1""$oX*Mw1)W䝒L jE3DTZĀ0dD@M(Ͼ=9] Qv?f1oH!6},L>U|"j@͠zfQJR endstream endobj 15588 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1413) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15589 0 obj << /Filter /FlateDecode /Length 4767 >> stream x\Gؖ_@h7$dDd.fP0W5~sT0MRHXǢ N^~%ߟ/~Eۣ7^zRE98p \󁹼--_ Fq,7ʀ*ڕ}2]俋vcT[nLU:VaL a:FE+|ΏJes𝇩<"ʄq&,J ': GL0OR("*E')|ɓq -Nڮ1Xm۪>53ipshp|+dU2_T})#Aۢ/h:YRNmΆ;MDAȔ%M\Cn9Sݎ73\giBP$Stw2p#.?KBzM72_yƇRք,'ꕆ (@tk "y ZP&E Gb 8/a*ZbQ,ME88\a"]~UƎswv3ڐjr&y+)|' F^C#!N rɮЬ)9 FY0j0"CZE)e*PB)BW2H,]p~2~ fu7jMVKs`F}6REvFJi8v : }TmխQ],m(̑U Ue..FzP  UN|PYMnCtNUNT ,0ov_^ٜ"X,*, xSқ>TxHLJ;']2Qё.qha:SYfdHqkC fྻ"ߣQOŇ:Y }td8{+{c6*Y*c.StV>l+oM}"LGH@ 6unن. 92^gyo+{ 쵭>.(hI:85"" z+~/i쐨(?3/|YTHci&mn?@ Q rJBf賁jabelԶC+Wټ?7YdhV сUwG%`œF?8+kVjm?qôn noOTϵ`5=m௢[;;o@JlwdMzqn.@PྙvcȢc3'UX* ` 7gAZw+]oө&:{JBs8JAAp) \"4o a>N0tRsЙxC34j ^;:mըn, إ艭#s|I&. Vʯ6 V y``7\Suh=U쩌T\REb#Cn22S6053 u42 5Z#'ғWS? a.uV9} 8L L_x]B?`~s}"6ػ &Nԣbw}')D|>y6%V7r.31&+ᵠ_dh bl?S}n{dRT{(P>[7)c+ʉʋ ;F _˭>tkX!5iJw4hF9CG=sosl@PK>c?ٌ[IRs]< KJœi .qhiǛ\1}2n=a&iV<@4f?1tkAoZOWE=ñ^`lLMۀ5 `0,ⷁ&Zc8W*5K^@cc*ǼK=[d\vώkݧwVΙ Op30>OmcѻUrM6/mrXv)F9/\oN2Gg++B :\D]F74E#ŸsmZs*xQ q1VY b6U6vd=;Q{ofŮd~e냀h71zIa]PH{+,EdFxhO.qs{SNmE=4k'ɶ~cu+ /ۡCʙqJHFMà([xQi;y1{<©v۟~vf2MQCrOvMRhbM+WLbQΥj+Y]mJ5D;٫cbkPɮKA rր(֤=`ooj6Rbb 9%um#mkh\-tKF0:<$I)b2)A<_ur"N绬%bskץus{1Hl+t}ҮCR[cQ~qT+ tSo@k[cW^-mVvŻN}Z/Ry"hm~cu)/.AJVuŴx~'5LlQ2vKݺo~m?׻.퍞e0,6oyj8H D`Mw_6K۬{tܧTz/B^uqO|Lo-!bOfU_V~w'p% p'߆7 &,|! r?$є +o=mcmcNڮm<1{8zKDD>Z)w|k6q~e2:ѝ:_tϺ̄v*dzފ.͒hooys8'VYdۀtlM+a|,F\w|ͺKp;>/h{KH?2c.Yx!t3NҨm$G,\>A|b&;|yF&pCHAǹ<*RGm.{. k2_~&yc+S!evnE|f,1?*> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23701 15600 0 R >> >> /Type /Page >> endobj 15591 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15592 0 obj [15591 0 R 15593 0 R 15594 0 R 15595 0 R 15596 0 R 15597 0 R 15601 0 R] endobj 15593 0 obj << /A << /D (unique_435) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_checks) /M (D:20211013063105-08'00') /Rect [104.1732 558.3308 226.1467 569.3308] /Subtype /Link /Type /Annot >> endobj 15594 0 obj << /A << /D (unique_436) /S /GoTo >> /Border [0 0 0] /Contents (get_methodology_violations) /M (D:20211013063105-08'00') /Rect [104.1732 542.1307 239.8747 553.1307] /Subtype /Link /Type /Annot >> endobj 15595 0 obj << /A << /D (unique_589) /S /GoTo >> /Border [0 0 0] /Contents (open_report) /M (D:20211013063105-08'00') /Rect [104.1732 525.9307 163.5072 536.9307] /Subtype /Link /Type /Annot >> endobj 15596 0 obj << /A << /D (unique_145) /S /GoTo >> /Border [0 0 0] /Contents (report_drc) /M (D:20211013063105-08'00') /Rect [104.1732 509.7307 154.3497 520.7307] /Subtype /Link /Type /Annot >> endobj 15597 0 obj << /A << /D (unique_437) /S /GoTo >> /Border [0 0 0] /Contents (reset_methodology) /M (D:20211013063105-08'00') /Rect [104.1732 493.5307 197.0132 504.5307] /Subtype /Link /Type /Annot >> endobj 15598 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15599 0 obj << /Length 19 >> stream q /Iabc23701 Do Q endstream endobj 15600 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23702 20830 0 R /Gabc23703 20835 0 R >> /Font << /Fabc23704 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ V endstream endobj 15601 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1414) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15602 0 obj << /Filter /FlateDecode /Length 1869 >> stream xڭXIodWl14Pw 6e`tW "##^EZX~zOTml@M_5'k!اTRO/}9y3J/~Gh~T2ݜZm/6T}!z t:5m_m[~g3?0UƣdzʣzJ!^*ko|k[Zh?HgIp@:=w,P *vJ[/ ^ AjI a9q)sR֑s=w Q0zC<PHdYz.Zp5 د?/mB9 >;b`[teYl}zEK@+ ={׋Y@sG)/Ux# ~?3ç#=@xs4rx?Y4@m?XQXGV%lz| d]H{* .a3 "OzöЏ6$c A{ EJ8 o")-棝یX 2c^9#\`JRW-(X(4j2 (f5yqh|}䆗{I>Ϻ)"rlF^i9o{(@[T"(|f/w2t2qOnw3qpp9Ŵm6y4ЊE4V;qrg)ccSA6,5Y\sM 0xWŊk|.u=LsTb|S]37 B؛m.6Zo+Nw6J F f m`.v`cМˇ| S޼Uot7h] qT?44q :=u|Cjz&d^PN7:FGD]ް#_6c`9Iz5{g2@ٯfWɘ6EDԇ׹}R)v! sLmfbȻͬBX7!w3kjG)o{ U*A|g< 6+E8ڕ.!&횣jNd-#&UCcMJc=nJMr3%3{.=~@q f{{,EjqEPmc*XG$aܐ˖Z5 쨨xlvd㪌@S5bt' q[M[LMLSƶZ`yM_]'i(!_ Αˎ6zdͺ':]㰫ϭt-I#?-4KD\j'<ӢW9JW?Ƴ^U>ĴꙋdS>=f{^;Z=Iq`|\]L](Cq#+<(F(FA8'wB"}yXn-;z_߳:,SNҗwqcb"y\+BkdGXUz2ض gIr.w)&7Urg=>M:iJ7$ endstream endobj 15603 0 obj << /Annots [15604 0 R 15608 0 R] /BleedBox [0 0 612 792] /Contents [15609 0 R 15605 0 R 15606 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23720 15607 0 R >> >> /Type /Page >> endobj 15604 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15605 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15606 0 obj << /Length 19 >> stream q /Iabc23720 Do Q endstream endobj 15607 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23721 20830 0 R /Gabc23722 20835 0 R >> /Font << /Fabc23723 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`QfthǬ_{9;u S4i_rƠ査=0fcX-LF.FPQ₅1 }'Y~IdJW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxfxoBD>.PkP=(%ypf%)Rwm-־0ZOt⩔L$u$WʵRLsV7Ӭ#x#?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dz)UD]@wP&]@p94CZ-z^lM endstream endobj 15608 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1415) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15609 0 obj << /Filter /FlateDecode /Length 4270 >> stream xڭ[Ko亱WpIw>@v"=ٜz%9L["EUIUQVwK^k1bпoϯA;~_a!e>?QP]ε.Kź}=).=o/oqr]Gk7TE%x3\]E9Ь4tt|Z1kq]UDQ[4Gc,c͡3ΏK{v!('n^+a|%S@X6<$afL p Jo6G"Omb)sba<-80,ah-lt6R?uIyecHK`2,k/)~_>P]ƲMտICB)l_f`-0PE;Z8}# \~J GaB+;_,'cVf\L A6&@*nb"axbOIRoR9}vI x\ܳJc`muꦃ0 @ϫQj!ynz|yԞ'a:5tџ.m?]k0TRb'1pnge|h38Wv5,:[fǴ;Uu);COru] = U ыZwv*ol /f垐̝q|]Y[,GJp2=Ntvkf'u]j#^m'FӦ֤(|R{k/\vˬZ &}+ "[2TP[4 3l/"V%BֳMG5VV?_>y0%`gxg-Qfdʴ8e,6\TN_n\"ظdq)]R^RI/{d`OR[G P6#:kݻju9bsDf{e(1 QC@Jv; RM88w7jT|pa΀JP"B]aPMN8gyrCoM]:`[OFXv tn 7ipnuXžj$s+b3S5Hceh9rGr;Ԯ+;NJ,ݵ8m= Npr[8O6t_ *$NU !1Ru)Ti+\,e7*z cHHc UVA1M ?D~!u񰦰HR{{۽[hϨWbU* IW ZԈk- ۺe5Pvm{_cvye\S9}۔D~xz$2=-qU;}9/Qu(jGYWr|؈jOTIC W4ܽ*kQ\|w%-6=5 ]a݁hrKQXWf1YYs?Xߊ ˵H*i.(UܴI,)(W F%/ca YOlp]tg&ڬLIUC9h `\aZY&wSX:;v\Emuh D#XA줩8uݤjý܍I/svʉ焃׶U \=DYMM[rV ~vlQ;w^WL7nXAv^КVti{jkM6aMiv+\{:/۳(qf ZE}Ruעgomb4v iONӷ% KvqzEfRkγXKKjHf[63jE~W:e)]Nz$CmCOܴj˚0ēja'k}d ${֬5iưMMq(WZ-i^}fW*NV|0[&Sw[V>`G~ޘW\dp);P7e +qCwN=*d>fY݆+=L|4WH[쫜o"X&4EAV&a;0[6(vV{mekM[~+6|1S2Mȇ=kd-mp]c\=, .`)Lfq)3TkVlԫ\x$o6tcKh`O٦>w4+դkjZ7ZgM@SskBGG4tW5J{EJ{b=+]r$ l$x)sq69Բp|ޥUT,ðSR$՟^/ [ xV>YÙ|"n);72ܑuPVRjj۽kgTJVl]9l #%{QslhdY\xozCee[?)tnKՃ1Y:.|l57m΃ A/ShD=j p6.ygp\ 0gw0LGTy7M? >~B}VT"mO|x!E"I4'yvMP+a823i6+/Lq{pO=_Q S Ga["?OB endstream endobj 15610 0 obj << /Annots 15612 0 R /BleedBox [0 0 612 792] /Contents [15620 0 R 15616 0 R 15617 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23739 15618 0 R >> >> /Type /Page >> endobj 15611 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15612 0 obj [15611 0 R 15613 0 R 15614 0 R 15615 0 R 15619 0 R] endobj 15613 0 obj << /A << /D (unique_663) /S /GoTo >> /Border [0 0 0] /Contents (current_scope) /M (D:20211013063105-08'00') /Rect [104.1732 292.0692 172.3292 303.0692] /Subtype /Link /Type /Annot >> endobj 15614 0 obj << /A << /D (unique_667) /S /GoTo >> /Border [0 0 0] /Contents (describe) /M (D:20211013063105-08'00') /Rect [104.1732 275.8692 144.7687 286.8692] /Subtype /Link /Type /Annot >> endobj 15615 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 259.6692 159.3712 270.6692] /Subtype /Link /Type /Annot >> endobj 15616 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15617 0 obj << /Length 19 >> stream q /Iabc23739 Do Q endstream endobj 15618 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23740 20830 0 R /Gabc23741 20835 0 R >> /Font << /Fabc23742 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶZ endstream endobj 15619 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1416) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15620 0 obj << /Filter /FlateDecode /Length 2847 >> stream xڽZn+<@Pj1'=6}wlJt]L23"2VߔQU/_U}fT*|ߦ%+ExXUAkS p/p&կ@E3%m\ZGODZ;zb(px=*/ &ckU992$"JL%& ާyLO|ٳpLʳ#Ut4XJd8\&_e+<՝i&PԵl x9%Qڳ hUSVXMVgbʞD衎q->^vtT}9k\,_]-:1z9%N6aKg=bxA9зzDaTd}ز(Kymn&&U>-!AR1Xl7dSL]ca2T9 clѢȗ%_`c(XmGޣn] ~ugtƋ<.@1J4qq3nxV8[J TP@mvZԋ6SsQ nEp/y1..0y7V\ݹE۩GUf("7U?,U5| 'y&C0?TGxw qZ4%u}*h6ŀSYB<x-%}^1L9W3@'ź$ 1t] ѭmhIvŷ\rMW"!a62#-jI!augc`I<# jfY%[*pg^^ VA]*j}& zʒTvAf7,"l-+t3:AhyBԳ-уW(<`B@DQB4*G]ې ,-Ƅ!R}Us:=UYW=}W pL^&btKe[8'Ɗ,z8gϕR"4X`fmZۯ/%UW: ]kI,>G7kMq3yK(.A0@ fwx0\ =>Xy ݥ%bNbA[mPjgݡ;/ eX[UeqJX=.\S꫻R/6n.f(?[KP kb ʝY|qBB<}f`'9g9R_~RONLz̶#@*d\UDϸ@vR^XG $=GeOխg0Ik)=1~Xs u5*+`] rz+WH5;bjb,m4˭0p vcHGF25 Lw} Bs;![L^>B9Pw mE,Jɹ֧" >!x;!N ˗ݵis`bOA+|iS_nI1M1x,5õe7HrwA1m ˦ػBa}ƍ(`9,%K c콭ʒ8ZK=bgn'~8 >3Nk J?$úT,Hz˃Mh[%5Zp EDmbOx9C 2;rU܆DQ; "f@64`'?V<8[_)Mp-"fO=.trK@]s#+G`@'qr(e#W8C;{u<@JX%ـ!`lY@l@R^B4|Ȏ"M^A./KcL]2D (kkU!V4R@؄G% `.e象 fP2Fz"aJ'nQ[ݒWO['Yz}u,]ZGU}>,֎DkayW;x=ΣV|#`4~ZB}QxBT^0eXl6oDZ^_տ&r;R_2S_ "L}5VBВdA7h.O~Aԟ}Bew+=ɍ<ԏOӣAΕ GeK?B= endstream endobj 15621 0 obj << /Annots [15622 0 R 15626 0 R] /BleedBox [0 0 612 792] /Contents [15627 0 R 15623 0 R 15624 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23758 15625 0 R >> >> /Type /Page >> endobj 15622 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15623 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15624 0 obj << /Length 19 >> stream q /Iabc23758 Do Q endstream endobj 15625 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23759 20830 0 R /Gabc23760 20835 0 R >> /Font << /Fabc23761 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`ӡ~1OEҤYU.p' J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15627 0 obj << /Filter /FlateDecode /Length 5058 >> stream x]K丑Wl e)*:mSgEݗ$JIffym@N1$ɐHSN~ƩVtHb7竢oJ5Xe>~aLz LG"l]\'+r*l]g4W8SL6rdE[܋5p//7yj ~?Dl0$~ Gy#)G2þPB% DW6h&0ݍ  ~A#p D)Y||R1T)V _*4kVhЌϒ2r_܋ qҞ3W/8% |Ԍ^K'}h 7axIL1BD'8q=Ii?Fw6g|Пc (sp!6iʙ*PADgWB*_1Cxŝcui_x]_ 3 'G L9(as-Elx`Hv 8(C_ T+y>pO31LbBzNsQA 9Nbԃ(9e`sNVjxh\/ jj2(dzAqe|+0iQ:vǕ+ze]@;0i NW[TGlWU׵1@9:or MtSw&֋g[tfҬba ]:dcb6Ĩ&f`cf٫1wx/ɸ`}7ߖHo9fxC_O0xPzVX5S޷{v#TAf`Fg5$S<{_3v.EZ9bcn^( }vkf=sbbhCp8J ( Ǻw3ZFR2]5] 9rQ(OtWS-ʧXrI_( t Hk(͡ HO( z3P@B v( }6 P@B H_(7C _Q<!N0+b~R,F+Lpאjd>>ͣfu|\׆$KԘTtHzpIk]#!8V d#NTV߸ ԕZ]zQ;&1e/^SWoGG?_?cP jց^kXl39)B&|Jr Yj**+ ~@FjvEnb3$isR|e3B(.ȇcÂG4DEGBOTw"<v޶sβnOſj¿v4慴qhUU]{={d7a2(҃4Z"|Ť_.LWbjkC]kꓻ2t'hgh)0tc ~=90B87`uL%zﻇ7z~kF աCu: s]k@{FQz:.{-XW<zRWcVDx3`H8K nk'>!NlokݡgL]w:2ǩbh _Ґ#doiszCϻD)|˙Uד02ͨˁbzbȇ?Ns ~?yʻ>ftTڕmgso9vEs'bX̝XD}ztLWOlKfikNEw_KAYǮLtKqo]lg/tعe6;;q϶q$^7d:?=s?>H iSm))}K!4?clS=D(1Ec``.t{O +7K]Xs 8ACDY]%ަh_#ԽZ,3MK39mKD~BğRgאf'gl`,.u]ZNnzbg }D~W{c3/^j`I*Rrڸ'xU/3pvXSKs-yyb9KRU5zw?u%tPgc/b=Aa4b9'Lr4cz?~2퓤fD#KݿiѶ_ϭïKq[ ́íŕ{4eoVxqWHE¿="#Wq͙6D/XkWk~8(Q&n%փjNde+NU&?.tͷhe-.ֽԀ1QUgW" |ZP_)>7wK_uUV y{gY3GdtIjFon^JwSTx ǺEpejN=,,wb@ 4TέuXgMIՍK@|F.υ+-KE38d=8,P^ͮ*T;U Ա\B.`FX,T UyPXDd'ZVbg&l6kqLnJ fWR5vƕYN$(8w N' Ip6pZI-Dn (5o2f47TN6U2Y N?Ȣor+@@Pq3T`SggF@UTY !5b7 &# lbDZ%z[$)xxVi~P_ q8XrӁ<^/)R$Ο)r3'qby"!E9 ErwC E֐B,!E)RBoA6H50H[T~APmiM5S4S]"-THS?*O$wd@EzM"}&P_ =]P{7AE탊1*=*?_JA*rj3*3ȓfTy*gͨGROQ93He8G'MѰTs#Qq$*-+Dő8sԏDő8=jdHT#Qёh١HT#Qёh7QʃhHTܳʃw <<:< <JTWyVyUUʣm#Qq$*DEǜEHSi#M7g |ߎ-{UI#Iq$):-{6E Dl ",$3hL&J 0Ib0V+&'.wdE'וuAwC., L΀"c=;/PT7tﶟ48oE(=iP-߽?U ,{G |-L`hG$&r6T0;ҟ` ΰ\ <@we|2欵bGޮDsZcCr&}ɖ;Ul[vT J ~d%+ex `[x+C7X4訥P+|wgN@8l ]{|77?$mPw( 1|Ect$'J=r\D2G[QʒbJB=p{Y6I,MQL@q_xOv s$7ջlfBF>T{>D;w8gyW_ɯa*\( len'`h'9ϦU|>|_qwgl86=X'eYnieuҗSJt`3}rC VCP!VY< ~|O,ψLL%E>3ȟu{'M3~/$p/x2}#*%aSPC9>0[]?v3`zr\Si Ge$/>XO&]|vٲyX~R(>g>:r_d_tm7D endstream endobj 15628 0 obj << /Annots 15630 0 R /BleedBox [0 0 612 792] /Contents [15636 0 R 15632 0 R 15633 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23777 15634 0 R >> >> /Type /Page >> endobj 15629 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15630 0 obj [15629 0 R 15631 0 R 15635 0 R] endobj 15631 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 675.15 122.692 686.15] /Subtype /Link /Type /Annot >> endobj 15632 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15633 0 obj << /Length 19 >> stream q /Iabc23777 Do Q endstream endobj 15634 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23778 20830 0 R /Gabc23779 20835 0 R >> /Font << /Fabc23780 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫jЎYzrq"i,䪍A 査=0'31H[&##((BBq˜Ti, $WJ2'ī+OSQk9dP!5_>Y#rU (QMwĘ (R%4 ~UW<7!fZ5Y}'ܱYI M^RuTkQ>U}ɖDV*YZ yp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15636 0 obj << /Filter /FlateDecode /Length 3245 >> stream x[Ɏ#+x6 6 (IoSg  .ԣYhPIcY8 #5 .OI4EP7j )yB_7 ӤAfj Q38TcU#&PKC8'/j&5xvzaՏ6fͦV1Y҃SaW+1KL1{f|q _-̬u☑y[ W0y ##k | aFj .)6B/m$\{|j7笙s Eh&q ԬMGE>n?6mA8NW*+*}7S۾ k<]cfxhfpwricjF}yG+w9u \˺1:~uA_flOqd~?bG*9WJaQ(,)ׯr9=a73E/0 iЅ| Sm] ?<ɧ}#,g cN/x̷pQ?>O;9cFn8x]C6ڤGPKCߓ|`oY7vhҴ[;ڭK(Κ5 "E7o?T,^V ͅI )୶*e)XSS1Fjt{cV878By*vP5cV1AF).]Ӟ0rWyZ8UioUn-^47[+dًnqrnW#v>@tdֺCK_\; /i--/Y:R 6ӨEc"vE V!>]= /ƂJt Q&8.IB @Tě4Vڐo2)0O?)'mJ5 TD:h[6q4 ɹr.?L|X3/:JOw^|&-QCpڙ(= 9ġo25ކRm:roGhQvN&&7V~dz֩l+*|4[ oDe,fAA`OqQâX 汥$ JBObJ<7{!,[bO@`u)θ[Ѓ. _|C .9Bb>#\ wihzqh}mBN(GR-_*|AEǩkArÙ#Qf3CsMw+f2G_PD2:zVTP3Obд3S3ijѡ?^iIR. [.m풖T#o=&Gɨ1g٧ALχh>ٸϢ&,LQ‘V2ƥ_ Rg^ߍ}' s.3ESh'B۷O& 8Ӊr(SS`8LgÔ|^X_u=GJOzi<s叙 V{hoOG[8ƞwH0 ]v VɁPҧ^>z mY+Ueu9 BFH2ʨ<#\|pb%6#{j1vPUj[34,}5:'qC:_ o@`̾|УAΕpd/u< Q+ endstream endobj 15637 0 obj << /Annots [15638 0 R 15642 0 R] /BleedBox [0 0 612 792] /Contents [15643 0 R 15639 0 R 15640 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23796 15641 0 R >> >> /Type /Page >> endobj 15638 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15639 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15640 0 obj << /Length 19 >> stream q /Iabc23796 Do Q endstream endobj 15641 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23797 20830 0 R /Gabc23798 20835 0 R >> /Font << /Fabc23799 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7SthǬ_{9;u SJ* ,䪍(?wG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf' }QF䴫3^JمQQ@;b)G+QO TϬ>Twl@+p|צb/mk: S[${JLh*dKRG"*pm(48יq|mau3ͪ?l?7‹!H5j4>S~3c e/ KiܟBd#Xr^8`s2ҫcDA ^,s(R.Q8ΡR-z^lMeL endstream endobj 15642 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1419) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15643 0 obj << /Filter /FlateDecode /Length 4152 >> stream x[IcWs1@h%M9>MbL?U4 MbOfeݒ4>Ro} R)h%/gCRR[PMMi8>F7~w{ 3:R笐8Cw^Rze4Z\y Eh_"g3`srxeiafep'F82h R$JV=m mK2E$+]cO D^T>$zmA좑ɮ,,>U2-m_7ZjVKw/"iu)s&|O6;" 9 xy q'uSSdJ&gVԊUՒ fMe`5ɠϕ>wrCا=hzXe^Dj:JlΝ % Kb(-?Y f*E=ըn|GD*bؿii 2lyCO" l'%ѻYq2NڢUf7Gb-ܜl2ϸ9&7HbEu'bҹ;i.n"yh2X+W720zQn'c<vU@LQuVZU-ōD]et ԡu ||VQlMYͭZOpMyYx]Ydq ܜNt ?j #F'4Y ^lt1\Oɒ90~-zVryע-hQ1pk*58=.l:XtӘwB7zQnb5ZTl+GrQ ! Q`Fi*Shuri_3:(n;ܶ#P-6nFwF=9 #^zNGT}7jn3]pk§]w5g`QU!>꽫)d(vI Q#ZAo]`1NKu@u|iYϼ+L =Ŕ dMZ6XdJߗ5>Nեϋ=GȔ[lL]EOX($3ʅi~pHuwB`q/Jq}=[9Ũ۹CXu0$ީF'Le>n^wp`&5tb33י4="{1ԧsߞ(7kSډ* k2Xvk }uTNѧ0(\2M^T|r/Ȭtq/I^B n(}4uV27Crzl}Tq=eӣ$)'=M+C=dKHPf7H0Ҫ9boA׭Om§ b/|N˩HWQ%,>nӲt^ zAXQj,0Nr4E:NP ,hXjQSP\G$rP1!ɈuRttjXy3nx^α=V!tww$Pe6zսDM)9.V*~=|#m#QW~ t>%RAĠP;ڦ-{zkk-Z@ESFrT7;2 pz%hAB#ޢ)u{Ǎ Ȩ+'9i*Dj3RUD-;i q4M4-u_vj8W` *+-ǻ5(k\2u_cz&(7s?,n! kH2 @]_e0{$Z9`R~tWnXrzr7;8te|q,K7J6YԄ5nT)2 d;Re;}9S)ڜ|]q(+ (WU8Eyr:VγZr^\^k!Ž=0ʟ-KE3nI5Pn2q;6?pUSf[. W= #vbI)6x&Neh~ ]+'[]\O&|/IV(廊3w[sx+|d>mr'yYA"ĞulK^b :hjo*Mz;tGk t]C]q[Ĩ8jcaԆ`nmI~&:xcG9h+ {v҈z:LM?\a{":7 6)er]-.l>;Ҹ+M:el2jm|UҴVb=S\tZz;TB/ەZBXl59ࣻHLRF' >>X)tCXr}ޞC!^XսYjk{zWxFgŠAg4q4`\IKDUOW/ 2E-Qqw썢2. []JחOdi ԹGrc!H>;3` ̪wXtF4v)s=7Q !=;Opb❕1d|sOˏx ^/ȫ|} zb/e| OێASχYKfap;}Wjr |n[6v/Z4< p+rH39WƤ tųX ifѣ oTta|dMp3@οKvvx5sѽ՝Bb= c?<*$KKu^z (yR%@#?kpb .< q 1S)E @ >,Qb; ze<=^ٿ,2;y"aN0hٺN0fM)`ŽL_my r'QaE[Ƃj5Gwsk?:yGZï~:H/?-/g-jn NXgF mY7D<팁%ߗIx'TL(zeq2CgPy>g] Hx'N7Ң2$*:&#s|yļX3|K R'ṅ@*4!3-#a5^2N# "5mQ2b Y\}m}IxBm E |V1@nf22M$˿h!2*V Qt1P` "'Qa>D2bQ8, /[dFwN~"9r+śO:-~ZՄIV9JW*__R{.9XŢ ['T͏^˩><5W4tt(!xd},MkYcwQFAY֠)qWl$xq-qqb6©&kAMFEܢLòRKST|^83i"X֓˯zJ7Oe#̴M?dՂ۟ړs<7x]o|bGL{݈GןkPcK0S6ߗtj @J b"%<$u y&.b. 4*G16r>^K靣dR23ƕ_{U88.@J:^r]Tr+> 7<>Z5\ -3 vo%gh@T.HkN_RwzqÉΩPM2oU^Lz%Xꗹt)'Ģs9JC31:(FٟY(,{m%A@=HsЂ ^ϛ endstream endobj 15644 0 obj << /Annots 15646 0 R /BleedBox [0 0 612 792] /Contents [15652 0 R 15648 0 R 15649 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23815 15650 0 R >> >> /Type /Page >> endobj 15645 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15646 0 obj [15645 0 R 15647 0 R 15651 0 R] endobj 15647 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 225.2172 686.7] /Subtype /Link /Type /Annot >> endobj 15648 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15649 0 obj << /Length 19 >> stream q /Iabc23815 Do Q endstream endobj 15650 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23816 20830 0 R /Gabc23817 20835 0 R >> /Font << /Fabc23818 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n`)ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7L{qHB>W!KQN>%;2!3ϡh#bwo1P endstream endobj 15651 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1420) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15652 0 obj << /Filter /FlateDecode /Length 1204 >> stream xڭWj,7+̀ǏEv,BV aRz<% JSOuW7oSi^?s:E]]gYWǓ1je;6hgM%}zF b(xo|-9ӷI!h\ߠ/:NBW;s:=o[{=w;?^WAO臓YC3ʇauh1eJpпVo:RZvfl XLYsL5pPNv3,BY5ͷq>e[jv|ѴWMQgqL.Ջ~\k3BJ [A'=]1"Z>Z3xuiYN:[L u`2,~ 'Ol/k>.@5`^3ilD<^m`^[p&N/`glv'p\O(Y~G 8vp;#h7pi=}j\CbkS@I,(ꏜ)P,6'zH邺 UW+Oe;\td_q\TTT+ҷfp]Z-Y w-{y9aS(3/s|B.H+ cf)Ʋ!X8c /5UzCg,"[ՋE2uw\E`RO%Bݟ{LZ&)h&"`j%Y7hY+ALVy e&X9?ϗuM>#,e> ^L3ʎߌQThb&v<'G7qRQ =VҚ0)ε- ^*i[,ɗi{A^iv>SYU^7gW[7Ͼ7h^#0 7ө4zɕ20PG,bqj1,0jY p]ċΘHȑA}h(ZN<@ q !{c7=>a> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23834 15660 0 R >> >> /Type /Page >> endobj 15654 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15655 0 obj [15654 0 R 15656 0 R 15657 0 R 15661 0 R] endobj 15656 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [90 304.725 201.1385 315.725] /Subtype /Link /Type /Annot >> endobj 15657 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [206.4515 304.725 239.1435 315.725] /Subtype /Link /Type /Annot >> endobj 15658 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15659 0 obj << /Length 19 >> stream q /Iabc23834 Do Q endstream endobj 15660 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23835 20830 0 R /Gabc23836 20835 0 R >> /Font << /Fabc23837 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)I+"%;x77 f߇]&`j[i8-y-xvw7dth۬_{9u ]J* ,䪍(?WG?{`f ZnF(BBqAeL }'Y~IdJW3W( !b1M J-'Cf'd~uQF䴫3^JمQQ@;b)G?+QO T>Twl@+pצb/mk: S[${JLh*dKRG"WrjQip3W!K\|5tweBq١1 gC9T0ڢ_Ћm߽?7 endstream endobj 15661 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1421) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15662 0 obj << /Filter /FlateDecode /Length 4440 >> stream x3hjOsh}#(_z;2$ ؄ 11O'oӔ$Э&#bS;Y& 2EaIw ~YCTĿTK0U)94})M>5 H2Ri9%%> J䱠&rIJtU[RRʐĎ(<ΨLxh@FbNJæƂq\ZVY?^%L1f sk2ؔ+W2aJBwk]P'_WD8 gt<[MAo@D2ueN{ ?-+}7E^8@FnkxA<7bm$#JJӛˡp٭:DD~GhbNߨs\~GMDNE`~5{E^@7+3ɇ}}^͋b/&ѓ3΋:" Wh.J S  VmB]/ɞK=Y.K_?$_Wk`G5 EyZwF5WxQwu:42WXlr?-\5*T7-.k,7?Hw k NmߝL7K @YִY U4U~EnΌBjR!!y+;6mRuw,ճpهNJHxT=>W~nb~ՠ:rf|.ki*3m7hN #xT(%iw^D@,(hސd b+TZk.6H԰J{eH$=A&_ij-Tj ',qW q$UX?A2h cMfv աT*%`eFTH/\ 2PR!tuKoOT{{B0ЫUJIQI9ќGI9懘jAVK3'kc?bNNdNǘU4(ua~nR1(7?}AӠ ږOiP? 6=t=]{=ƐoBY̜ʨnq=ѐm.Rmm߱Fy4:~|\lg!~?fC#t;\RxgPӅ/ e^}r 6\ݷ?vOgϺ?/Y)l:}J'̘<<>Ak[̘r§WX<*2>sM?8yۥ8?2^ lE !wNm$]E!n9SK+%`PyAYKyC{=Ce) J(O*,- @AD( E41"/ܢ"Q&XCDs\eӃʟ_~^аB&JN &xOǼ/db,%fD*籓Ұ2e$ciP=jF5Jnf!O)Uf@VT`ш=#])rtXXrua 8FM/FJ }Ub(<1"Yυr+wcX{Uz^tmjw!t䓯{I3InL !!`M$t,rP7ȧq Bx1M6eV}+N{p*N\#q=S:Us4ȰZ*/ &X,# =`R QznӝNkgI;8 IN=HFL6}A0R#ӗ ϩw|ݼqa ×e7QsVA~-e ]qY"ʒ»9~iЋS?_s~T۬ Lb(d>o Vb1'9=s )sAG.p:qb'C dXh?5ʆ]AuTp{C$\8.ѐ0H5Ujw.2N};`x?p+/9.\rSm!Q;QNXŖ5GkHn^f.p}_lX!+(r6@ u@GmQTYWX `,%NFZQ⭛b VXnJk145q~Ǜ|lc\E(=DOKt3 ^,̌GdK,!Ξw!jmw:ep{ jdi6l[|\‹C2n;Ũ_5|N[;Q?X,[`efɀ}Ֆr}3=x&^tw", Cpf@rJET^ǀ(sѓ*r}M|Zf|wYiuMvs]:iU <7k1%7~݊|T3ʧ(Wڐآ̔Ƀ=?bdMMY_PJ9й5ҁQsvPn'C+6'V8A,@D\S d0qDecU*% ;%L/ĩp31Ƴ{'_EJ> 0"mԚ1 nE^QE+5jrR!/tK>s+deL8^dp$PYe@rwb M+T䠨a̱{ _6;<'RL366z_lQ>_wg-ٷ6yήp^>> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23853 15672 0 R >> >> /Type /Page >> endobj 15664 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15665 0 obj [15664 0 R 15666 0 R 15667 0 R 15668 0 R 15669 0 R 15673 0 R] endobj 15666 0 obj << /A << /D (unique_578) /S /GoTo >> /Border [0 0 0] /Contents (get_param) /M (D:20211013063105-08'00') /Rect [104.1732 220.8116 154.6302 231.8116] /Subtype /Link /Type /Annot >> endobj 15667 0 obj << /A << /D (unique_579) /S /GoTo >> /Border [0 0 0] /Contents (list_param) /M (D:20211013063105-08'00') /Rect [104.1732 204.6115 153.2277 215.6115] /Subtype /Link /Type /Annot >> endobj 15668 0 obj << /A << /D (unique_581) /S /GoTo >> /Border [0 0 0] /Contents (reset_param) /M (D:20211013063105-08'00') /Rect [104.1732 188.4115 163.3477 199.4115] /Subtype /Link /Type /Annot >> endobj 15669 0 obj << /A << /D (unique_582) /S /GoTo >> /Border [0 0 0] /Contents (set_param) /M (D:20211013063105-08'00') /Rect [104.1732 172.2116 153.7612 183.2116] /Subtype /Link /Type /Annot >> endobj 15670 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15671 0 obj << /Length 19 >> stream q /Iabc23853 Do Q endstream endobj 15672 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23854 20830 0 R /Gabc23855 20835 0 R >> /Font << /Fabc23856 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7UthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ>T endstream endobj 15673 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1422) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15674 0 obj << /Filter /FlateDecode /Length 3280 >> stream x[KB~@Uյ̞ۚƞ5ǰsٿxC*Uw`A-ruY.o7u|m~<^ ,N|{0Gm#\ \'G~3P1LE9 t^`_3)vQ8 B6..6SO<_8:cgc7"o pc %EBS3ӹsH$X_5!cQ昶9`c  L"E7hka7"#X6Tk$D}>c̓Keɰ.,%W@gzzf!Hs|9lKuI Y$MK3ny"haw0TQ DpQ{ȢYMԾV!PQ! 6'!/F׈r/ifB ox4\"N x/z'((8XW-`}Iܐ*n ?vbj C  ofI;`vq ˙!uqr#Y_B SwNήg8X%HYEEFS>.INĈk<xA#ãunT"gvcn +?~e^{$m%DuE$;@Wvv8;o3Lx,ʤ(: x K-.8](-+8v<3 n:1qV6ތ0 jC)=𥵗B"޲#0/I%1W9 \vAiLJc&Q1"~&̒F UWۖ>-g7lEE% KKx﹄e玜 r]a9C75p+.]`O['ZZN8FFl3B6wgG4O`wr}Z$ =yo$=MjC 㞥IY"i C(V˄t3E=*5 g aEIJl7M gO1i eD,%|(% ǣ&:=djFTs|]@A,a(+ݭ ;K87wu+&h'a,2 { (7gˁLx\[^]}d1b..To馛!I #pC݁EnYDnVT"%Â/RlCk^$%ŹSّ{Ȏܟ0>qx|ȡLt!ߕ* m6M(a2ԳG>k]ōͲ[Sjl!g%njuXׇPotU I4Fс;@r &_P Z &bLJVc1/Z!Wsc?TKuXPn` 1paKVں@꼸W2$Ȕ![ː3NZi0-DFۼrsu͹ye^> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23872 15681 0 R >> >> /Type /Page >> endobj 15676 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15677 0 obj [15676 0 R 15678 0 R 15682 0 R] endobj 15678 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 396.825 122.692 407.825] /Subtype /Link /Type /Annot >> endobj 15679 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15680 0 obj << /Length 19 >> stream q /Iabc23872 Do Q endstream endobj 15681 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23873 20830 0 R /Gabc23874 20835 0 R >> /Font << /Fabc23875 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15683 0 obj << /Filter /FlateDecode /Length 4513 >> stream x\Ko$WhU ~ I"jr 3/)RϪn=LK*EH4Rÿ~lWY ^nt7qUKk_KWWC'o(vdQ|=xpx@W tEoߺBV1opJ+KmMFaLBrrrrQis!C598pZ'u|mܭ1ga>yhGoa:$H l |CWDj B/VX€[x̬4% ϱ.3Y I~GY^@ H:mpHkxqwmϓxmV1Z&\uDӀA,X*`+ԿJF$m?GĘ7i=4JkQEZڌ8}  J6S㭀h J{åZm"|D;m-m*Ӈyf>&b^LguQ0Y i/}e͏s6siW+4O ,+n(с!cz>w-t<Е \xDgbZZ+]j@Ť@Ӯl*[}Tl!ĵt3a8t1(xԹm(СkZ|;Z(ǣ5㿡y*/DKW(2FjU6gp(15zCAvMl'$zd鶬̶b$eG<uVHh֕7Hmb֪rzBUpv|'$QҞ*p 3F♁|/p*h}9hJ8|1 $ϳ2K}6$MJ%Y$5`zGn+yݱ?zw6Ipb E+U(AT׫JGS& N1JJVn'#k~j@qG|f TjώD1#o2r,g6]߃>y_@dkx!C ;pʟav>x$m)w)[N+ ܺ8 ^-KfG\)SlҶ)Jz$^e _eM@)YўrC&! &9 Pq[8UR/)9LV }eOBT`Ą4IyK { ZFp`:{TyB-H [CR][~`lh$-izzi =V%}qs]0 U. GL ϝ"b,r!^* hyMFfd/,-XXg5hm~tr `J%`[ނ\uB Ya>2*[k ;2U(=&.%KJ*VșxA=v*#4;+r5OL; },# Hd@3[ ,x"H: 3@󍉨|O\B>7~ FP܇>Oӫ@vrQ') ƣ;@ÃtIY3mXso3jy~6TaUKqFʨrY(t@ ,U;/O?Gpk*p+JZ-"Wz=\Z`pf 4+8޶[:c8.ˆʻ­r9PX6s񳰮]Z"k{~c#Opf0CxE-VB4fNA̕ Pa3N@&(zQ ?d v8C{lK)KK\]lѪM:.ۛE*)snr9W䇉ܖK2xWm wIEH\&sq+ 9S>9 |P 8,f|C[ҵՉ`u@'5CKS~jU\m7]C!#UPuպ%j )׸Hl$ YP񍃹sfn'sJԘ}5k2$dޟyZ#|݋ lY^ċˉuU8¿7JBe$i!P9R>rZXR(?,FGPΛ1Q,.c98R f }j`.;C&+;x@YnɎEwVxattѦvSߠ5 Y]1tظ]05 A;+;eNh[g"j?Zכ\}( ~>M0++-TwȉzoY)-`@)D9Qq2H˝ÛMuuF>usttіLFK s΢&.t_]\Øy7PGw!.yIL*baPW䰻q\SP' Z`)qnaMc~ox%UopDW-pN+c{ pwuJZFgJ,GTiTj^CyGYQ\Xb[;xIkД8t69k6.|r7E& KӖI/Mo Rd;2m7U p.716{uQNE|_Dlw o˜1hPr%/`} $q.jxLh0nsxsS3iiϿ-8xc:>7KIN}s>\#x̀a40>>"V;~Sa.gOOc~Q|_``:ۍdTmJ`w[uS~xIR=Ѷz>B5P̶M?n:ʗ״SMԟ`{Xtyx{g4=d\7,pV~{A?\ endstream endobj 15684 0 obj << /Annots 15686 0 R /BleedBox [0 0 612 792] /Contents [15692 0 R 15688 0 R 15689 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23891 15690 0 R >> >> /Type /Page >> endobj 15685 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063037-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15686 0 obj [15685 0 R 15687 0 R 15691 0 R] endobj 15687 0 obj << /A << /D (unique_714) /S /GoTo >> /Border [0 0 0] /Contents (phys_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 436.6423 184.2752 447.6423] /Subtype /Link /Type /Annot >> endobj 15688 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15689 0 obj << /Length 19 >> stream q /Iabc23891 Do Q endstream endobj 15690 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23892 20830 0 R /Gabc23893 20835 0 R >> /Font << /Fabc23894 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 F~f| fpYw.Z 5J8.y-xvw7th۬_{9u ]r,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[OIkp:3ϳ-nYUo#x#0$IԢxy /sYsshf^2 GsBI`sJ 7LUǪHB>W!ۋڎ>E;!%]vhw%Tsh8r-z^lMKX endstream endobj 15691 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1424) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15692 0 obj << /Filter /FlateDecode /Length 2347 >> stream xڭYIk$G篈rb_T$z0 }oRI[gny5gR4ђ/-'Gw<}Zk<}:Zt?d3OB[[5O9[OtWhm-.2tw;lp;p/ؐk#{иD Wωk,kNA8CBk k uH | YPtOZ!u<2C^9-aIP%*tO",gu!ogQυ|].e}}Aqԉ,tWc;;5$gA A= 8($3"gcGG X$^kwv&&ϼ!)8J@7qh}>TC5lQOQ?0$'rRLj(j1 %.Q25R\hSeL {mVc5SwtMh8DC(.Wd^NunKVq19oMJOȥ!/Ƿd7Z>%9݊ .ԖVjKŕk42 5[%|4L6J\'18)mRX}Ew3:}|uJrT;͍ݾsRuٱ ۤ-{rЪUxA-.w7wԩ|4bkB\5ͦ5Uuuo[ɶ<ݪUv5H,\/իÑ[yO1VWhkpEn\!ԄM=g^ܩqLtk uhԋL®)||)έgk)twdr5ѸCNY"AA&)p|5sWD~L^Mtd u՛\NT)\fs] /Q|4vzsumvjf994h8bWJǏV]{ia\/c"slt%LֺrW,4)( 8i{ PJli m ELh OgԿ[e WvM0Oq׌rup[I"Z5w{ V(-~P0|{~|\^41xvbl?Y@2}1a^&7ϲJ2РDA΀2ߒ&b0!t{ b*T@n𷝵F#(q˶T2$!~2qŴpNb ^GMi8ud 0fX]ANoK|3˵,;ɾkuMZiƴz?ux}he8;f5!q/%Ĭ't˴u mQ']_F2o3 əˎ\&e~H,sZwYvB5X)RK~ 1Եe/Z;Vy2y~ -e[H R*[sE"=)oiWnE겏TwD2Gn38¸uRB8^N9 UY}N4@@ona5fAH6@3gz?3;1x6 XU*F)'AXR'U plSEExfKBof}>L3 *P& FOcݽD3ㅘfhR3J~b=+f(v pH1+_{ rYI5arSc[5TbCT.oNB$釭A>0#|0 /t}p"uZZh?4i. S7̓NxtSD]iw;;O<[˜ >\tWx&gL*c}OyVڍד4y,}֢[q3haploϏ\,>7ϸ%%H!2P0)^3<ݡPa2GzGqRK-Erȗ"Igy@Ts?ߔBSw6VYhO\- |O<&5&ń͑!q'3¦!tTo9vH endstream endobj 15693 0 obj << /Annots 15695 0 R /BleedBox [0 0 612 792] /Contents [15701 0 R 15697 0 R 15698 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23910 15699 0 R >> >> /Type /Page >> endobj 15694 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15695 0 obj [15694 0 R 15696 0 R 15700 0 R] endobj 15696 0 obj << /A << /D (unique_77_Connect_42_tools_commands) /S /GoTo >> /Border [0 0 0] /Contents (Tools) /M (D:20211013063105-08'00') /Rect [90 283.225 114.9865 294.225] /Subtype /Link /Type /Annot >> endobj 15697 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15698 0 obj << /Length 19 >> stream q /Iabc23910 Do Q endstream endobj 15699 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23911 20830 0 R /Gabc23912 20835 0 R >> /Font << /Fabc23913 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo\ endstream endobj 15700 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1425) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15701 0 obj << /Filter /FlateDecode /Length 4917 >> stream x=ˎ8w~X÷$@:n`n=[{"sKF0dPm9ӵN!*7))ZVw8;]1"?~Wǯ砝_0!^}Ř8 S9z {n}GxـU̵g5|Kb `M~U3ǐUt4X>8fH`#E9,8MJq0Ga4>i#OOڎHgcs%>B0jݜO|CpɋQc @|ink_tzR_[29Y!-DiOzB YJ{ϴ5fb L0? ➇4EP}PPQxS#u-RӌFmh/W6 (lт^&P4ek&)jh@]g~0@x&K#9%jN/d֝9 G&چ8x+ hSS `m:R0S#HN>e`uAg3LEb\}q\Gff"F]#!ywsB_<%U[/s'σLxϚ<&A"kr1ll}(l[צKm`.,:!}"g1)SZ&0\zӄszdX  PVIȰwtɤ8mkި(~I7qq,Ԣ3M%3V5tu4Lv@󘈻z!BF H38q0)0S29 )8 ~CĢbL^ X; _BbVv\\|ӐtPoF2upAvhѸ ܢ[&a"fzz yk)bI$C @dPYAHk V6`>]Ll"=~0[M`g;h0E xhF]ҲSԂ:b$ؐ $`Bߴ{+d] 6GMx>Ü3P™̶9ё! C |_"C_:"ldUe5-q%RT #;3ѩ K M. `$N>V3'y)$¤QMi7;ֿTLF"Je4ܻ ~* K^{]za;l*yw+0y#%BMvW>B~#.{\ ߻T)CwP0.jg<'NwOm#WGҭU%\H:]99|}פ}g|(?S 'pCPl8>&Ŋb0vZ3 Y]p$iN  fnV$v*O5zƓ|F#:M@!2ԈBgEٕ"?@oXAVbW|qVM%H]z5'1Eb>yY|<\Q%p)+w[7;mG۝]en%k: ,kisHT 7MdYHi#|fe}&%#D+qJ$h3k~x&;1Җv^WD|y=[r Ń:Sob4_Ā"gC6KE};?M>%Zaqm-٥S(@L'#fP0]LN=~(^iO@|6jUA[9;t'% @(h_Pq;x᣽8@evul$#V8B @ }' qbYYRB ,ymli&}avmHE{֠^uT%E7- 흛U]P*-49JaDgbn{A`:,f.!dstb[@Րm&; ys!f .%KT|R6eo(؀}cl@J-8mb3Yao YMqش62K+6vd|6hu*-9|4-oˆ.^a]@DAjp˜"@ RҤf0%v1T5 6$KKNfj B78kȂ,*-#%XAfk#w*f+!me35麕].畍0' &Rtu*tUܥhkgNsIڜToN椄9zp>ĜTZ,gNj>cNE|9NR"NJ6"cPB|Z']: R6Ҷx`Sma#m 0(B.ePb(Nʠw@" ̍&.[^ Jȳ{AUZ`]LJLQf|QjSO(u)pRWS}0F)gbJv=)lSe~RQؔڳǦenR{QmJ_F)u2jMQmJݷmSeԾ]L=ޓr?'S~zG>]5*Q~j>;s?uwxu ?7co;'Rm/_. ӌא|KZT0~.`7L~LSЅ'X9.\`f,:JBS"w|ku>޹-3/[VJ@liQ6hYb瞲< 7Q݀xIcfaD @YGvDnvIf3~ngz<驣 :ʥKhfj(h'Q MŪH*ilopC#(€FfųJN$ -OpӸ*%Dr%r%]b.'5icyO X2#I^(05;gl_b U/RN+i׍VO1 ?̰=NMCwQ:2`p-ouY9|lyBnn Oc@+Uʌk0)EFI@`I6Bf|H zO:_ee3E{TQ @XFЛdm,(*Ԣ[!j :J,C-*PADL/ѵXM&@)+a E{6ʩ\Ux?oE0U[ *ьvS]$fXۜK#Ki^>T߽(#@_J-b܎Q ߵqnʽ\Vma,HB<-j箯xX~  , OfkۂnԀ4z#}[^o`}ʨ#_邻.#_tۦ\)׻);Ւx⩗\'ja (AP3D|I /]T/a>rmaD?μ\1or&>Xʸ4YDKo #n'vTˌ&[L\ s9;FRC{`ig`w]RȄ4X!C]B1cu%1{3 iط@iZԻzRP>}CԽצT|M@?Mů|07T,ܕNfNqPrYW:Bn |2^1Ǒڠ!qKL"<8JT ".Sjɿ\hӽ޸EU9iX#Ʒ̩vz x|atveh ߿ )== w&"/e@JeYr G(o-?<W2TqoUn<,TIe2کb M+Pr8Fq-m7mWk, Ȝ˚)Gl˂vFi޶_ʆr.6!{%i۵ Ӑje*q2BԊ`T,;/jЈ$s[9EURq.%^f F|.3QB9 WQ K$ɑ˓|5U> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23929 15706 0 R >> >> /Type /Page >> endobj 15703 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15704 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15705 0 obj << /Length 19 >> stream q /Iabc23929 Do Q endstream endobj 15706 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23930 20830 0 R /Gabc23931 20835 0 R >> /Font << /Fabc23932 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 15707 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1426) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15708 0 obj << /Filter /FlateDecode /Length 5324 >> stream xڭ;أgh7A+<-V uG}Zw ͯn7bVCa#!HB/#r=^gfYDXjsڐ.ѹ˄evL'dH1H3&)L!-11]R*x9ғ:b \L8\71&@3cl6 &MS*-$T\(4rTп\c53VGS2ڻ!<J3Vެ!m|]I2 Vw$JKa%dYltlCMbA]rlb5J<;Z+l-鴟u벞FY?i8AA}FU$L&ǥ€Mq?QVmFI4Iqhd4l#c>4nbMKV%v)E}ām[,-#XFX?4 Nvq uY{vͤk?)rC y؁: Sij8kMDF/ˑeǑ2-<`5k܇3ўOSAI#y&ȐXh `-h a0 ͥYc"< 55%R6g. jnK0>8+}X5K=HImie)s31؍v7par"աL(bY/&UPqw -e8 XsĘscݦA)`oڵ+~v9=y9;uݘ868m((y*ykpP's{{ ^9mÎV';)a@o19@LK<[Cnl"Sdis>Χʡ?R+#:M,6{'0܏E]DG)7|{?h4Eܼcubu6}|vm ㉣,ΐ(MQ(- 뱦(nbiDkx3P=r,x_ky30CM> .K6bzӒh ڌcU ~gvʔm܅!d!XsJm)S@zmKO{5^Vj*Lc8}8va!HT6d/P;:;Ru%]=9Yi%gҕd.(>~jG2̡xƍ;w4T=ȨB H[ڬ1-SwYoIl}HA7 q_ x lr$  }:q*L~9U' w3;+]S?]dq<u,N8xp $|&@RwZt"M`_l e+ >,ԵPqGLtcEY-sy-yϓ4asw"[]B[F%o9՚W/ӛw e1+9Zy8vg):3f Y荿ѓp:YT%Q9$q|:69oPA %2hYpC9_@dΗ BS2"D20[lHF]h0{^,ht܋<| ̰_qԧ_( CMG == 15ڈ7h\ŵA@2 :-U'SV6l">&&#<$@VA /$9HJ2. VdĢb* F!$_ve:FKQ@ ((H(a5(m8ԩͼU(Rp!: dRV<.S8%ɅZz'';u;_#= TV&Ǎv 1aZ:2~B2t9~<2|avY 2znJRzJ+پYysC`)kg!iM~/yeX.x=yޓ-yN˅3;eQX <|{n11jNjB 8c0ƉvXֱ=jys#E nhn6hK 15so)UNl1Hߋݰͪ(ŒV@QoIwy- odηduen̟=3qCSrnwmOďƒԞ#Jrz+|wxSTJr ,$#(yV&^ӭ789S, C܋C]#pH\r^_}V,oP<8կ2L<58U4|m~Mm^GQE,CR]oDDH~uR%w[y!c;ϴ;3z,\Ckb4Yl B 9ri U_l|_bYZג1QousĸVYd@2 6!۝^+1 ǃ32MG>ѯJ{2"jUjW5`N㜊㊔Ʀ(p>:t5sjG/00 \a^eZ[xa O?lQ׿иw`n O*}tˁ܈l\ X(B y瀌}+f |^}>_ז7,o~Ê R{<*Kfy?QyǍl]!:\* w3~>mJe*՞i%}=g>OO3UHX+NUX]>=BdBΕHFk.=O endstream endobj 15709 0 obj << /Annots 15711 0 R /BleedBox [0 0 612 792] /Contents [15720 0 R 15716 0 R 15717 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23948 15718 0 R >> >> /Type /Page >> endobj 15710 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15711 0 obj [15710 0 R 15712 0 R 15713 0 R 15714 0 R 15715 0 R 15719 0 R] endobj 15712 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 383.7423 145.4837 394.7423] /Subtype /Link /Type /Annot >> endobj 15713 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 367.5423 154.2562 378.5423] /Subtype /Link /Type /Annot >> endobj 15714 0 obj << /A << /D (unique_592) /S /GoTo >> /Border [0 0 0] /Contents (report_cdc) /M (D:20211013063105-08'00') /Rect [104.1732 351.3422 155.7467 362.3422] /Subtype /Link /Type /Annot >> endobj 15715 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20211013063105-08'00') /Rect [104.1732 335.1422 212.8422 346.1422] /Subtype /Link /Type /Annot >> endobj 15716 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15717 0 obj << /Length 19 >> stream q /Iabc23948 Do Q endstream endobj 15718 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23949 20830 0 R /Gabc23950 20835 0 R >> /Font << /Fabc23951 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy45ۏ"bH&|%l)l1<a%xΰ΁s@9`sJ 7LF|B毧1_>%tw%BJq١0 'C90ڢ_Ћm߽?$` endstream endobj 15719 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1427) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15720 0 obj << /Filter /FlateDecode /Length 2820 >> stream xڭZKBa`[̀'ZcFWuH]Rufe+#Ȓ6\E9c┡~Sg Ϭ~쪜w8 . LAzBSz1Vd;D&`MI D4 F شSPaqPxƴN`YfKΕ&`3)b>2$(hIvMJj蚦`eB\i ƥZ(>OAfPOSsP+BWf=u 8753p;Cw3u]fhi7]ڋ%dJp*ZBʓSܤ]xjC4>jBm<oP{W )B!{ R/vvlݾgǻᏐ~2yOʲ:=#_P\ \?{y) 6 iC+GwB˘f9=wEpDx-,"V Z4M, sJo",Kf|uP(i_58LNw;r&bʴyBj*Wr @+~tѲEv!:[8 Ixq o 1`U)HAĕ*VVZwQIu|ʼn)@l(%c0]Bp+[nW#\Os:΍ ]|jH > b'04})r~0:𷤯<*d,"v?\+jLNz^Ojd;!'/k`qMkD pK&40.e fy_u\U; \mvҊH-%6O PVrÒ^x*3ɮ޴:K ]ZG➚4𝛉6o{x|:yɧ8mVGBs6Ԇ$R/L!^wVfuAہHpCcu=Q. xvH~Ș='hetڽVZJ}% 5=LހR(5  t$O+p\Y~;V[ ʣQǝ#TfЖw0"aǧ~|u]xs♟y÷FN UmlnqbKwh{_ӅL(4BOs`pz4#h,6<,m=s0P! endstream endobj 15721 0 obj << /Annots 15723 0 R /BleedBox [0 0 612 792] /Contents [15730 0 R 15726 0 R 15727 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23967 15728 0 R >> >> /Type /Page >> endobj 15722 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15723 0 obj [15722 0 R 15724 0 R 15725 0 R 15729 0 R] endobj 15724 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 178.8249 122.692 189.8249] /Subtype /Link /Type /Annot >> endobj 15725 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [128.005 178.8249 158.849 189.8249] /Subtype /Link /Type /Annot >> endobj 15726 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15727 0 obj << /Length 19 >> stream q /Iabc23967 Do Q endstream endobj 15728 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23968 20830 0 R /Gabc23969 20835 0 R >> /Font << /Fabc23970 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫bӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15730 0 obj << /Filter /FlateDecode /Length 5251 >> stream xˎί9){ۤ&3TY([=9$MEJ*͒T߸;sQb}';? WJofy>_a?(GfGV#UKG>xs273}cAwn2߿bp ~){a)l)0Mifr(½ß;?'W4?]A9=M0NL+9/CAC*<cy~ gR++_wS$t_af 0]WqnkdxpnK=`bP R".Z5M'/l4F{z &ydm^4M94Ey ZtɇG\C`LNsz(m7N.rK/ kp."`GQYO;|d|6NTuxr1@L$eEM`)mUIdReώd<N<1$c)vo e&%* @9C Bx}ː+.4wܵkRcBU󲢄h JXOıGJ<2=F3Xo r Iok0 wHH1NHn"lCɺm9mS`d#PCPb]GCE2ݰH-.XjXw|܏iH=?IKa7(1o#QM^JXU=ZU|~H nN3c>,O3Q!yr}f`OB!F+vuHhR=D$jOU[Ibu @wy9U]̻:m*8|N@-]%MT}iu=6Ͻbz16lHroȣG[ĢlgZ^Tuu]_{Rgٺ;X e !d$%Jak`e6.#pQE|.]GܦRR>FV(Glyt]Ŝ^mKRl-'qK)^]R/Ŷx)KM^oRlN.{Pּy^ys/5x)v_ּH7kU)vwּR쮬yK۳}/nϚ߇fo͝;w>9SAs؃VSEݲQ?"*dZMGQ[L}>S~;R~[^ߠTlgLR&)|7MqRTWݲT5U_JStݹ)^SmMSܪTk~bG5q}&*>p+Qz|?>!J$J$;s3ERE&g3%)XU&Vɴ_w8X#B7hY[4o"v-ޫ&Y7-*uYu 7꣈L=ykʡAw4s.9ߝ&4f2)#SyNהm\^/Åyg I 0Tw61c]x_\[}8R4Եl|}%!R TalaRnkm ]N{ood<ƺIދDIH#ocۄ}Pp@!opM m\ P OD7i R1!$O/Z{$ddP'v/1Ω+EΫT$ I%"0IPF\ xmbH3US,&!\.;wHX[ B+:)8|H8Pfnv;%r%\4Q pѺ~OIADs"e 'kU;hyNȚTɴܳaF1]MpwjY,my(!2OAa0>k%8rNcu/"vݑmݞ#}^2hGVM uPRx9D ۂ{fH'<2U)y42ՕƅP40ֺV;99%nLJ4@ l$ݱ"gfLv Oux 6stԭPjkRT*K  kQ,9>G 4{ߩ-`sc$gU&~;/!ĕ><v~GE}z`UrmЂ@/1b͆ɔ3}KuzRkɷUvV ?b?71\5؀',5@-6ΠYmËvn <}ǜ-11y~*Apg*,#CưX\Y bi>< AK +CHܰ(6D.߭RX@\jB"8 'R4ܛXNDR,e;`|Rn'L@7 Wyg d{1E 5ўm&gЕ:Gу.v+ _R,}D*t+L݁dMg0pE!1cp8ĩAL:fXΈAH҈C!#(CcCB#"0Ѿ\b*+S+NHlR94J3–[ {L'a>1Rş>;ja8 9ғx:p6x,tHbVe(:RbRiKdez>MEe4ADܮkxlYVE &j&Se^,\h%\5f͟b_/mݓGcDŽo*~ɧI!@_+a<.qbN.qXjĦ|k.5uKf[L pJ;j2_G )EGמ:e2qx#`XZd3ZTƙIHq<+zT7t˪Z/Fl˧kp n 'I2DT:BrB(\iYV{jP Cj.ED J6\Kzjn&wSɒ㼞l7azyTE6mEj=/k$<0y񈒃ɞ endstream endobj 15731 0 obj << /Annots [15732 0 R 15736 0 R] /BleedBox [0 0 612 792] /Contents [15737 0 R 15733 0 R 15734 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc23986 15735 0 R >> >> /Type /Page >> endobj 15732 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15733 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15734 0 obj << /Length 19 >> stream q /Iabc23986 Do Q endstream endobj 15735 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc23987 20830 0 R /Gabc23988 20835 0 R >> /Font << /Fabc23989 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =tRfZQTo8acX`~߇]&`j[i8-y-xvw7*|:c֯޽:H%_rP査=0G3cX-LFV#Pl!2Rh,M $WJ2%ī+OSr&A!K2>Y#rU (I(~S1f$?JhxfxoBD>.PkP=PGܱ]v4Lm)1:}ɓ#-I\[µբ\gy4t//d"ר`O6OaePD%9 /s9p!9`yQH:I*dz)ƲΡLH9.;2Fsh8JF[z7}k endstream endobj 15736 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1429) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15737 0 obj << /Filter /FlateDecode /Length 4614 >> stream x\K# W9U!!i6b&?"TU{v ?)(wʿb2˗oKU[ |,E R)W~㬔1/aMJT|{Y,\[ѽp̋7h=]!_L\k|et M|QM+]g4ޭ<>G}w/+>(:S+᭵{bk.tuPjW, ^^0?dT>{%*SD!!n~t~wo}&| E$&Sý,HdMNt¨({]AܵT VĒ۽:"шcinǜR3Bl}l`n0ί lRE#sy9mp̸ )i KbGQ;0*l4D++FEea_t[A2V5]H7H LPY-֟3K` kY Lqv),`>XvÏۡ:}=J}}{6^-zRj zCba + 7`t]=)`i Ԉ+U1Z61i=jS$ Srl2:"n.tŊX]'W3͠fiS -eE2#~nBiuq[N;wOSd[sBav'dFF"&6J1L)溋[[ 7Ja!$ eqaheT'܎uQ'f芵? W XmUG-7{Zr`g);SM·JL1Z^qq#ϙ]v%n^'Ij,IuIFmFWER %޺37+si^91ڐy\GHc#pVא rTszH!alE^|}UfU5^\N1֕0e%o&ƫWO3TU>l\QU: F|Zh`Dpk'8^_ 07T[2L݋ϨxS1gfi҃Df,sufζ'c#nZ)! ҁ~6Lߧ } d/&^gk:Y\>TiU@H#ۥ7/}~5M9=|ӽ_?OÌO6yM ÉH[EFˊl_#9\(s72Pa%Z.DIl[NVr 6j.,Ѥ}e&cds5oJT0w9[7\[:o)v|vkA]U3(بv/|[]v2ܵhwa9,#Xa!Fз;a՜' .ɇGVcLl\j*zˌ ѱaĈ6쀍f1|*s%4!:۠|9KV7(ݓmc"#q%=ݝXfM֚=)_ni.E &$F˃GڈENr4;ΡO.1R|085IML5&m*h~.)[3Z]n}ǤأHJN\HZ?/G9[f}Tw `M@=m9[eJGVR@,OO-kXddt, gBd )B9\}r&̏PPyJ2ŀ4=#l"tA2x}XTÌcB@(ŋ SY=,&/AXG}ܛ5 Wy50V<30% )9!) oc cu3Y,3Bf7<۴ȸ¹!Iգ=N0Qai{yB>HXի cxpeچmM۝bӇI k^s߱%*7{Ad gS1[s=ug{iR3Ip y4+R<8g֑wqHG93o6JFjx{{φ\㨬7 m钇ÒL*EXE]C0Y_b 7,H M䵞c6o+7,ə1/s{TN2SNXKʍQQm2N=qV%GA inūl}kzi~nin[} ~4<8<݋ȯ5P;왔4\{FlC'ľZnh /Z?N %V! WK'\lɔ& *ߖ\L SQ| ;^,_bcɎEKݚشT]+xpb;Ƈyw RliIöG=)⛧l8W0e(ҥE(!|J_)(jMtqX&] M$ί˿i"mezMQ J_zFNFHp> jx Dsul, F`b#ƠPq֌#~{ vQKMl<,Zeb8,m5>JZBN.oPMڮ*/ 1񵿣z~ #k+SnLiy~ec}ÄpEO_Pq^d{h2G:족Y>z]ؿROp8jEMzWZ)Gk{T耼|4x<{LIHӓ;ܮr͛Jgo=wtQ W׭s2Ha9|Vmr\ xf6Y>G[oaZDH3WE_'φ&i MaK׭p K-Yt *kPRX~PO{rOWRi!ulHV=%|E|ORKU%+=޳Y Sk?gaZ _VZ endstream endobj 15738 0 obj << /Annots [15739 0 R 15743 0 R] /BleedBox [0 0 612 792] /Contents [15744 0 R 15740 0 R 15741 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24005 15742 0 R >> >> /Type /Page >> endobj 15739 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15740 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15741 0 obj << /Length 19 >> stream q /Iabc24005 Do Q endstream endobj 15742 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24006 20830 0 R /Gabc24007 20835 0 R >> /Font << /Fabc24008 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3Ț}g2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM5 endstream endobj 15743 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1430) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15744 0 obj << /Filter /FlateDecode /Length 4542 >> stream x\K$ ׯsV~ `6c{)Azzb/zUU(믫Z%;WHzZ5*$?-7ckҬJ 'Y*^L/ Tе/Whgj `R">Ӛ$)`H'V<- tgҫG_ VO?׷m>8ޢET'5Ѓ=mf^xńh±,ya: e?ey@d$Є@N R`S%ٖ2GЙ^d .aH4u+2` Xv׭aLJ> ; O(>7>vYU@uN!XX%3O^Dov‚(+;/kjRs:BdX5]ȏ:+k2jZV ]B OF&xJ (OFU]mFԐR |ŚWHV#ԫ2"!]1t+ 6j}@Z._ |{L!I=%E+X'o͏fRرU;ci]S;ċ8"z/_A:u`?/.^Ohs/=ۨml)nEJ8@P=(PLdAWl>[96I8iytS"Y9Ƶ5APis@(ɚanXB/Qٞŋ:hW-+we`YA= J-XIR8Sx I̐e%ȤR)O8asTr#2]$y<%e]7F0GlG=[!ʦT_ c`F l'K;%f)`]cGUBGf|d$D~)mÞT#6b+m:LN9+ea6)s 8i~CI%Lz<{.+HH-9N Vo:aS~o3x-XN#z?I#S jLd>QJnڊ?ʡPG\x]9&mYr&=8@*;ב?jE42<>ikR+4uMn,dv2GmQqZG*ьiB2~)%t4k>Omo>>Jx D%a -H s_,aMq((, c7QB|E 5.V9\lKuijއ8ryZ˜<NȼKV}(mq-j\vES'[%QR(Xg9l d^G?os߭qr1׿Ϙ< 6(,Ig+gni3m8^1;2̦&֮}zV  (7XXhv:«"*۹Jh˾_vlTXCyG ^&dQ f5.ēv6[4"D~ Zj+*\!P'naރ,㾹x¯;{YAJS"vr{h,Fcs91o185ڋWqPZE ed nTu%1㡓KۍX6 kws~dn%O#A,;{8W]Hj[T¬0n!K%MjBdk%5:E 󜪜'!OEr_DJ$L󽊔UU^AHqM^PTƤ2D5H"y)uLK>*jÖ mېXv,oT?g7C)UAq vSҤK<>j$0:nCC$~$ٲ&C>>v'=sW&2V܅}bz:`HorvEAVюI`D;vO{.YoKX&zGMZݩU^x`_[_?Tc )@\τy)ǼLu_?H?)ƭ{~6J\RuvS׿z k[CLۊT;2;Lmqy%=uK9;:{ѩvx8>d\WxaEz;֖.~X/}i.2[ :Wzו^a$7^O)$id/l/~2Hg}t+4yq-k8f2Ulӡ{[[F@K; Zs$g;Ju}?[k4N:. H`:x~=xOA;"ݲsج++7kA.x+lԤ7.a+Z# lI5T|i~ZC6SD$%ډPb1 Z@3(q_|МӨ;b{^-cvVHJAd;xEaO >MoI yלf^ߖ_(u OWNDVY?e_ r|4! C7Oi(߭z} WZOQ)]kJ + '`*\R/[xZr"FUʯn0 X°GK^_|\mZzEWPA#vd_Xj#BH. c;YXhDlW_X!o]4$Xó1'B~UX$$:b  e"9{>H} B\Gк]ʀh|,%v]i1qG9_sΉ/370OOIrWmʛ't6=UiZ'DsOd[N;#+rdUnm1F|m㲟.MP([fNRX8 kDDfaHh"2䦉`T1{ "'-l u~"Ff1)/0ү, 󕅭R>X/rOJعMhin8z7gqʷ~|gOb'bU7QZ/Tj|n9U@:Tt.x,E[~bD+j [~/Xlw0zvi r+ҚqJbwSa˜* j2K&fdJ_Z@eB0IsUZ&q#-Ia4Ү'{.{ꜵfJ YPгLͥ &X285ۺsK*l'!4ll&{ V~/A_m~=NLŸ9Zs)Ǧ8asq ɤROښBBI`] JRB.t϶+)RɊN#B!2Ve<G/=G!>Q":KU.7T!VFliPz#ԘE(kYTd̴ ԃ4- 2 endstream endobj 15745 0 obj << /Annots 15747 0 R /BleedBox [0 0 612 792] /Contents [15755 0 R 15751 0 R 15752 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24024 15753 0 R >> >> /Type /Page >> endobj 15746 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15747 0 obj [15746 0 R 15748 0 R 15749 0 R 15750 0 R 15754 0 R] endobj 15748 0 obj << /A << /D (unique_170) /S /GoTo >> /Border [0 0 0] /Contents (read_saif) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 147.1612 686.7] /Subtype /Link /Type /Annot >> endobj 15749 0 obj << /A << /D (unique_524) /S /GoTo >> /Border [0 0 0] /Contents (set_switching_activity) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 210.0207 670.5] /Subtype /Link /Type /Annot >> endobj 15750 0 obj << /A << /D (unique_522) /S /GoTo >> /Border [0 0 0] /Contents (set_operating_conditions) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 225.2172 654.3] /Subtype /Link /Type /Annot >> endobj 15751 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15752 0 obj << /Length 19 >> stream q /Iabc24024 Do Q endstream endobj 15753 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24025 20830 0 R /Gabc24026 20835 0 R >> /Font << /Fabc24027 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 15754 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1431) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15755 0 obj << /Filter /FlateDecode /Length 1288 >> stream xڭWKk$7Wh03c!7@!'oa/zzMT%髯Jn~N[_)uNCop_NQ;ciڠ55lm|o?Y@NTP 0_Kκ@37qtv[4shA[2ك~W@qJk߶唻V3ܩ0X,ǝvHʁ'֡Qg[{5(F8l)?G[]3 a0#j3vqFTVNĒ)Aa`*6ٖƉ/:USE;o ޳~ 2PnVLHt.} 0C=sg yuM^ye'?libAO]:ۘ1g~TQ/:Ϊy`(W9hL!;0^ Q\[bXp^TN^?jQw#"P 86pśX8SV6I3jCz J_ь?nNƃiWX۪ <ѫfҕt8*7]G("dqTTd3ڷep8֮[b hG' u0) h*'ws}Pu .7VJR D1p^}"~zF˺o.m*]z@zuk´MqmPVRIXIL>yJ>҅)*M}'n W W F+Rp0 Ý{^`c5q(p7ЉjWNCv^ 0<.(5؇mϘcudO㍋& wyPpuS0X7$Gs j{8y 0 Bc!!t'`%SvAzpA6 endstream endobj 15756 0 obj << /Annots 15758 0 R /BleedBox [0 0 612 792] /Contents [15764 0 R 15760 0 R 15761 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24043 15762 0 R >> >> /Type /Page >> endobj 15757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15758 0 obj [15757 0 R 15759 0 R 15763 0 R] endobj 15759 0 obj << /A << /D (unique_77_Connect_42_power_commands) /S /GoTo >> /Border [0 0 0] /Contents (Power) /M (D:20211013063105-08'00') /Rect [90 332.825 120.844 343.825] /Subtype /Link /Type /Annot >> endobj 15760 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15761 0 obj << /Length 19 >> stream q /Iabc24043 Do Q endstream endobj 15762 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24044 20830 0 R /Gabc24045 20835 0 R >> /Font << /Fabc24046 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=@dQHLn2Wp:3ϳ-nYMn#^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO]@ U8Ρ\j'|۾{w H endstream endobj 15763 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1432) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15764 0 obj << /Filter /FlateDecode /Length 4856 >> stream x\K8W<@x $h`n=S{ٞ"sK%Ei;^{ K)GR%Cj2i靜5V7׳FoZ7E>?Y+7+o˕)WYUWm.>Aoߤ~z7㛣?:m<{T,`DJ Y!00r]Eҡ*F<3ij-fvr1}S?e- :v%S΀t(;<WOa^,`2 kd(-ȭF"sYqWfD7cdͲ,"r}4w`[ XKt"e%*Am؂8]U(v, X|y@r(D%0,>%:;F7vW_'ɹk Kds#,ֈppg ROj~f{xE1Wt~!NBM~(q&WV5zCu!0*2+Mei8V*R)6u0ڮ9ljAM sܹɬ[^/A.[/E!DD$hE%tRhe&x'0Ԝ-HA:7kˤuo]v%A3%˙^̜_i9^G^nHh >oJi0 Zv"3o^9P"]1ݵ,`҉p ]R&$H*ԙڦ,xEgB 3=_o`;z0zҰ]=\5m]^g-$t5n7ۤdRvsnL?痺 AsϱEJ^b:بS NacCLݣ['bqe{=1>cmQ42} TlFǤ{pls|at^:ӗ&5%QkME̿A _x)[}ͤ4ǡ LWS,Ѱyĵ|$DNKNr,IڵJt ^JUFwo TT(Ϧkk{+ & v=wFݢ$Z8M'LCdX\ԁK&u}L:K\%{^C@)&BֳMGVQ?_>X1}k0L+C+۾="!@u0P&ciۆʼׇ 2'j"O.)N!$FN_"02Oc#W}-͂M(6-:sݻ(ubEfybF@TP&m\):lQh^p>86hYI2m*^0Wj+#[,+EVi6phʆn<Ӵ@z  B4@ ,,2K]#rXWdv{(3d+VL  Er^Yy(7p,`Nz Nfa8+Ɍp2#ĕ7 Wr6+IpjpNw \Jk=KpB:⣣ND2[pjz(vStvŐӄʻщ5˅jەR!}2K ^QMp;$v|4:$?VtNbNe8= IlD'%{_DϯN_p_pNW֏~~<]_ R;!%xR %.O@J콏xR %.xRb}g %Gܶ* LbH"! @2`3$n뗣R͂ԕ7{H=( I ϣ%0ae)>ϒuG[I,.5XfGz;p_Ƈ+} R_w]/wRM369iߞi#m7HU ?b}"WiKs㴥Sll tfͶECZTu$H[}AA3Ou`z R\s!PASm;/T/=^UK{ RT~y:Gk#lUa`&M_+ RۗKtmDk_X h;铚 :)P 6 gi1ZYP5kyN{˴GVY[w9“M*~ɧ'? EŤq0ZchsP+Lt??G$JaIMp6+z0ۉ3l6D-C1~2 ڄ*tyvW]Ԉ\iiRmbNF`t!,#" [4!>H><qGTe[z䱦NՂi]u?2 z%3O3z_/&u)>7|:t%EM_:JO@*a/,I6H6b 5hmZ/!dbl|Xtq NNLϓ~$㪚l\`7Ḳ$X3+f $Sd![ؠVV0ϼ_AqrToޒz9$&EerO0엠,!̈0.'RsQU1M,Y3yiP{ Xϥ(觽 j( 挛0J]n;4b0P6WBhj]q%++ C3É tK`S^)3#,CL:2x!\bs:ǃEָA!,Ԝm3n*6|nbeʶliHcqs>Jp:Mۧ:d9jP_s#;s8J/@8Xx|* 7bk*@< Y=CJ򢛂 &o,Ѭ`sر!|cN{&](YxSw!Gq)F?dĖw[uMSc,rn> Z N 9 !Qm(c2bc3Ozj:9k~Y*~Gb-Y@Z+O8EDjy;yC =z;N;FyE4JH;ŸxNv BLjƝJ4 ;?[z-Qwm+c.O3XV8=PfT2N&6? 8Oo3iHi>q؊9k͜;ȼ|yjE˴; w{nӄ 1-Q'k8,!.F( E*dʥ֧tO.K\7 JsI,-"m%qdz Q;tP`4]A`&8T(ia6 7*2#M1kD1cYRʔ\Oެ{ߗiVK% Vb|^C>^cZ2E˦=|Gs\tֿ!i~W,#i*~ u%08t=kI`#@]RfYK)^DŁ  CsK4PZa=$zGxa8t&CpuS^^V+?:q</D#E\(棃ז =<2*,TNhtRN鐀UO1|dlg vAg g={Hxpy=;OIBZ'8 =, AfjS endstream endobj 15765 0 obj << /Annots 15767 0 R /BleedBox [0 0 612 792] /Contents [15774 0 R 15770 0 R 15771 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24062 15772 0 R >> >> /Type /Page >> endobj 15766 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15767 0 obj [15766 0 R 15768 0 R 15769 0 R 15773 0 R] endobj 15768 0 obj << /A << /D (unique_517) /S /GoTo >> /Border [0 0 0] /Contents (power_opt_design) /M (D:20211013063105-08'00') /Rect [104.1732 178.7501 192.4702 189.7501] /Subtype /Link /Type /Annot >> endobj 15769 0 obj << /A << /D (unique_518) /S /GoTo >> /Border [0 0 0] /Contents (report_power) /M (D:20211013063105-08'00') /Rect [104.1732 162.5501 169.8542 173.5501] /Subtype /Link /Type /Annot >> endobj 15770 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15771 0 obj << /Length 19 >> stream q /Iabc24062 Do Q endstream endobj 15772 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24063 20830 0 R /Gabc24064 20835 0 R >> /Font << /Fabc24065 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ/~oC25>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15774 0 obj << /Filter /FlateDecode /Length 3668 >> stream x[IW1@`$yrxɉϗ­F31r7b]/Xlό ^f4qzx1o[NQ>~Z_墡+tjcǻr+>.~뿀Q <]들nv+*YOoZOgIIģ.~h<6OOӰ>6$- k Qna %jĝL'{STʍPTHDY1UiˀSHg ˓ kn@ _@2(< \$RHEeY ,Wzfidfj*_qp(gC)\^MlSG,16\Ɯbg/N2Vc(x|zt>ʓ]9t枫r'Q[i#'k玼\--:hN٨'hkβ#OGc Jxp+2 CDzP#ZDeh 2\%'LlP jIHqj$0P -UQ4#叮ʅXH]3c686ge-ES_}{su(ʕt,4M1M)9&uB餋r_-G$\Q)NVAgEo9t0=׵¤Yt[ӏ,ٌKH,dXpC|]] E8V&#WF@fPLGCo.eak?l[ M#9cI: t;A.NT5:?Їd]wfrTg܈i7t 467Jqj\*$7S- kpzm_3(vUv_ҬU򣒶':^ۦgaf ^Fy /K[kIk96hfN-'NVrk6 .^kcv}o+ӂx׌J=Q)?/UbY> F2oAV@ŵ݂O[yv{NOJ<'dWqzRлnԐ(M&_nR>5zޚy&@#GC HZq *aިnl6=MՍOe,{B]<}6;X|0.T_ 3V y\BVeb;ITwhu{C}:u66 ΰzV}%yj#*FYt*D{ˎd@,^U{wpUNr 직af8x_Qy&j\ׇnuK\%2;wWk9 "oWZ5˫\u%4?!]T x A/W<"I!>=ė먒@?QZX.D=мZ}fl{~M?~8 e*2mQ]9fPU ҳv9ᛪc:6]d B`ʳ7 < )֭V:|,fњ7ܶVǤg_/ŁʔG\,,o{$!@x⳨TZz>;?@vSV%7ؐS)@@zۘ|s80!W e+o5򼋚*k ~ՓiUa}71yf;aFtViVR>^S??TT34U̚έE=V]0iȒ_A_QL}Lj@]Y!͡=3%k4tySS(UgIVaMdvOrmzr47UA(Xm3Ce#N {% 6=naj֢DR_IRѝ\ b֦::C4S|qi\<>o=_&o Ce+=Km El+Ko}tiz뭩 Hn%q2Y +>.EjLpO6_G܁Tnz \VšJ]M9W+yHߊ(T &x^ 8\7@#A|k!Eq `q\S:>ߦ n 5; ݥ+lXv`B!C> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F13 20878 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24081 15781 0 R >> >> /Type /Page >> endobj 15776 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15777 0 obj [15776 0 R 15778 0 R 15782 0 R] endobj 15778 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 283.225 122.692 294.225] /Subtype /Link /Type /Annot >> endobj 15779 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15780 0 obj << /Length 19 >> stream q /Iabc24081 Do Q endstream endobj 15781 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24082 20830 0 R /Gabc24083 20835 0 R >> /Font << /Fabc24084 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15783 0 obj << /Filter /FlateDecode /Length 5576 >> stream x]K8W<@x~2"3[j{1\kpDfQ%E(YfMOj}*ujrv $8~zVӷ_GLާhf 2:4{LvH@$!x$fu ކvdm0a ?c/W4Kh9!,GM xSJףIO~ (?3WTL1_Ag'#g҉zz| V'Tf'\ժ*]gʖQbf) x~+̼oʛUc"S xJ{I&C{c{)29-݃bh_y4Y Z*v^ &^EMr6+|W qGNY3VP:z6$]t+uYљXpXے3p-3$%BEd llmGX\(L~ρ: W- E` ##'ٙe^Et!I ~+shwz#~Ywت aI7z7ŭKΉ֮$Շ Pbq{_nVzqCjcxD5G:]SxD5uVZmJ+f(J*>.SR:K,S)6[DrlLIj.BLWpLʼnapsLR\݂꒗-x8Ƭ3=XYwxOضb֢k |8]f|dJC3)4Yfg4pyf2ؐ5y/q붠oZma_5IL+KMG-ytD oIձG\fTɎs434}/&+%KF"NhщݗIλ(hː]q=V"ם;-康Ycw"8?׆G"*{?2#.){?=3p̫ Gcf]2| ϝN8lxaŽ.gZkWo.|<2]Ճϴshui0E[ 6n9ݍTXTad S2#w#8bkB6u-q{."S_#b{fPlϽv{:';kΒrslv3sHǢ|̋yBd#z_c^tϪŇtXgعa1A#Mz#6Dڦ u ca(=UǕǟ~fzu>%gaE& HoK;V|N{8 ӻZڙ'"!9zbDKQqҵ Zi2R"soP诼HXljw;O4AInWʾ$BUcr:(#U(3CfrT=˨A\)ΚjfkNIpOh$8$618at 8N0:= 'qat pO8ӭJ9u;#\ME9?P%k{PX\} P-@u-P]SL *TG Mʷ+.:}2k ^Q]j]s0%nNT>Sb>Sb{ LKS1 aJ15}Sb30%zLݷ+2=#?o]OY';%vG~bO_*qϺP%nG~uۑo] ĎJ}߮J#UPGFG*@wkwG7F*qwϧW~緶'=ׁi="SlKh&zf@3 .|v:8cQ$E{ 2n Hܽ׸e;n\k||>UWw1^"~ _501 7><ܢ}]\nx{8>F696. fs4Dzmrʘ͜2u;FDvtrކzQ+G3󗓈9WcDAc sZ?Ho n-uH`Q*_f&h$r7W$ideoUnÕD(#= |\ޯ5"ç]2z:ؚ[үmV=UCMrO-m@<zc ?xw?K-&{ n/+EI dlbF]jYO[XW۲ a~wc١(ikX%tuO WxP;f)L)(^U(rbn" N[L~TR E|ęrk<9wXta'T[B㈳]z6pr$˴#㊦bH ctDT9%aϤ4{ O+ഭ,I:j>3Pjh=b9UU>A#b$ZUFOҴ luRzFMXDga Mt:vkfuѝ&1uU@6{4qFȆTh}$#KYϖGey"r(㩱S)˲XzEܤLp~F͹€{c-z&S8-]yһruY +^Olf@ ֶ8*Ybj։=*i\bݬLǨ ~ pb,ᛨ?{A\R^eyjIq*Uœ|t Q&fBW&.z[ Mdӑ z3E,kyRE멹 ýݲa⚡wgClvu<wP.pAm*y\(BZi7eL.W\9vsKwɎwqU4 4ѼBf iΫJeh r-gqėw;lC6| \1|ET=.V/$ۼVtggm)IFt6(xs̯AjMع$:֟{iu)v`M70>q.Z2P "P|A(&]ӭYɡ)~_zҡЕ !#cNcOg|C]W, "yH-&sbYOP(b[Ł*K~^Jo\T]+R▩$ծHW/0dԟ.'.#-RkM=#[t+"UX'RkS+x (jc:wE6Y2g% oUo9RdArtjͶ\ F\wo36:n@CgZ, nPp4!Խ/ M'B(3?~d8WsۜirVUgdJ:x'O{v%81)W>\rήAt˕DQY\@u=RTGZJQuWh&ui-X RM%RM`x= 9m*"-э[˜ԐߡLI˅}YggȖ endstream endobj 15784 0 obj << /Annots [15785 0 R 15789 0 R] /BleedBox [0 0 612 792] /Contents [15790 0 R 15786 0 R 15787 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24100 15788 0 R >> >> /Type /Page >> endobj 15785 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15786 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15787 0 obj << /Length 19 >> stream q /Iabc24100 Do Q endstream endobj 15788 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24101 20830 0 R /Gabc24102 20835 0 R >> /Font << /Fabc24103 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzw&H ~+__Xo`5 @wЂeA}W"q4SkW׻ہC;f٩c8c.@Br!9;Ph&jer1"" Ƙ3Mw0)WJQx5sT@<ٸs@61 Ej92;0G g5kDN 9\%}+j*.6dh߄}j]֠zfQypf%fhڴ[쥭}-UaoK?>&C'<ʡ|72ɢԑH5J\[-48q|^lau5ͪ? l?7‹!HUl9lRd!ϡdy<21΁S@98csJ WgLEǪHB>!׋/ڎ>E=!%]vhw%Tsh8r-Zݻ A endstream endobj 15789 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1435) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15790 0 obj << /Filter /FlateDecode /Length 5632 >> stream x<ˎ丑w~RHPe`nc7Syg z7^|Iʬʞg1S"%`,;O*vy>d]?^bЫנ׳ֶ<-~+ܻh_4tj:r}:_T9%h{`/ õ0`gn05:Oߖ?qbւw"}Z2\;5RT [W}b̖ !mz# U< xr^p~uu0|1BH̠B㞅Igh]+j ' o3k/]8U1 =Smad@w~9ٲhd~QNLN{E!6F L.DcpN/jc.V,/i ʚk l}e.ü҃3xϧ5kfse3HH--P8 ˃;᫈p@XuJϤ {qz6(T&D.}ӳˤh|5+@ B4s!fdUjU5Q?}@ 4۬]s3Wc]źJ3/j:x%Q]e-k&j^ M`{vt6;Jj\]֔ /X2f'j4!+׆#n"!,ѯ>%zKU5( r`S+bbZ D00qtz EǟŃ.a-4E{@ WfM0x lF v0R @O@^GLqI͔9Pl_- " .FK,iʒ욜 5ɏ]H f,^ "(g0jSpw5NaՙΉ8pߗ Rc -Hok!]% :|^\{;xi`S:zuж4^mSa^i͸>҄J=ޚm ;R fC7 /σx"n Wo]p 2UԟG|Ὗz q,s:~C.,e DXZ`~TyVYs\` ef~͂!T`ĂφBwP>j3Ba0AC#2)P ;< MPx"JW_W=V54Z 0`t[ \Yk,#`񵷡3(;MZTy=0I-L{q\I~| q+h}àC"L0 q7QIO ģcA;(EaAB>A.NRF'C̩@5%LE H+n-lǒƁj>z =.W'͊-i'Pzxo:ִ.n~di>V*F 쉷S]T"f_ޡmXoKO ؜ưqeP kbG`ۦۈ 3 (\<aC? Aӡq*f-er%>ԙo9PfsC|+S;I"h\orF$Up`>q0ޛtkI,Xo&ʎkL36Fs8.0HCd,{NG{N Ofˁ2imsqF)O^o\ſULukHb0I`fIZzC,$r ۾ﲮh>"CZQnpldhGR6OH#I> 7{p\ nQr}vlZ3p?%liŸbq:{7dyF&á0<9o|KY۬OZeBpUBzq0mxbibˬTm_ Mr,'HOHG\r㌭hJZK}3Wus!sftH5JaI,U% #^8ȀT51RfuQaFGLR#HM6g[d{$\ )HJ S2n4|jG} >']-IiE0UZvt0'HƴzXpc'#CaNa.v Dh5y;kBypң@)>Q8a| 6PNO8rW8wX08(6ScռF%æ}fA EBS@12I#-1}i;0 @԰mW αpi14S4Q4Z}^>FkD< R%E)fcpGm;ၚ 76AvSK8*}8MSiO/7ӿ%LkvKT5kE{1>ad4FE:N ^@F,U"'3EnYk\x5($`14mGDvǍnd+j+B_PXl&uGwR"Q•T6eweS]ϟ7:3r8_oެ8ST-ѓ:r}ԐP3 %,AFD4zՙ\bzkmq2T 1ʁCcg:isXH냢V.!cP ݫO |"+L t8@@덨ӾǔTs^08C8i0tHWsnDGh5nt npM|b]wޔ"z[+'^-"&匓[>ŗJ[;X/ۭp+ EM^hK0jmpx6G#u;x> >E"XTДt:iu͝O7'֞mg k$H|XGc:5G:l܏-&u,QkHnD)7Àd#cB~mVL8<^h ;,crEې\l23nDY 0#&(ς*40ER#4W-B& US!HXmCCf~3ʼQ7lm755F0\PQ4JC/܉bk WI&)P+o;>AyScm|$'0?OaA?އq[Od6+cTOJWyÿ]X.Pνgdk\uPr7OZc:um6@MfՕ]eB>.9~ݺ!54Mw&d&~U/ ~=*pQIg}o׃U1zܟ;oqPqNSxSPP3H`z&`Ub~F:'w2(=ջvHB *#u}Ҧ>Ui\X=Ϗ4}3&ZI AƄfoL(1&r߆ZlL;[^~(o?TڦC~>h1_|S#oK"qI1agA5NYË-,|@eBgA=!/߁!ɍʼf#Sc w+ۤ_p.ߨޥUôcTFwth$0&i>|?rI-mkgXlQ~k=۽im:|PCc֚m(+D(+|[.j2[ɒSmdrpA2v2wn`rp/7G1zёM92 I ܍s*PULsg>03T H`p>G; '[?[ J6>{`nOx={=(bY&xkh N/* y怌}Wp".WB_^1jS\[< &Ev XTಕE9&!9"b]C}tϷţůClֿ"eleggl.?I=OΗJBf`ȞG#1WJ8 X/uqsJe9 endstream endobj 15791 0 obj << /Annots 15793 0 R /BleedBox [0 0 612 792] /Contents [15804 0 R 15800 0 R 15801 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24119 15802 0 R >> >> /Type /Page >> endobj 15792 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15793 0 obj [15792 0 R 15794 0 R 15795 0 R 15796 0 R 15797 0 R 15798 0 R 15799 0 R 15803 0 R] endobj 15794 0 obj << /A << /D (unique_457) /S /GoTo >> /Border [0 0 0] /Contents (create_partition_def) /M (D:20211013063105-08'00') /Rect [104.1732 597.2 201.6332 608.2] /Subtype /Link /Type /Annot >> endobj 15795 0 obj << /A << /D (unique_458) /S /GoTo >> /Border [0 0 0] /Contents (create_pr_configuration) /M (D:20211013063105-08'00') /Rect [104.1732 581 218.5952 592] /Subtype /Link /Type /Annot >> endobj 15796 0 obj << /A << /D (unique_504) /S /GoTo >> /Border [0 0 0] /Contents (delete_reconfig_modules) /M (D:20211013063105-08'00') /Rect [104.1732 564.8 224.1172 575.8] /Subtype /Link /Type /Annot >> endobj 15797 0 obj << /A << /D (unique_480) /S /GoTo >> /Border [0 0 0] /Contents (get_partition_defs) /M (D:20211013063105-08'00') /Rect [104.1732 548.6 191.7112 559.6] /Subtype /Link /Type /Annot >> endobj 15798 0 obj << /A << /D (unique_489) /S /GoTo >> /Border [0 0 0] /Contents (get_reconfig_modules) /M (D:20211013063105-08'00') /Rect [104.1732 532.4 209.4047 543.4] /Subtype /Link /Type /Annot >> endobj 15799 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 516.1999 165.4707 527.1999] /Subtype /Link /Type /Annot >> endobj 15800 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15801 0 obj << /Length 19 >> stream q /Iabc24119 Do Q endstream endobj 15802 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24120 20830 0 R /Gabc24121 20835 0 R >> /Font << /Fabc24122 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 15803 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1436) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15804 0 obj << /Filter /FlateDecode /Length 1673 >> stream xڵXj\GWk@46dАEJB+`o9wxSK2q$^WN;h?[oJjNed"_>ž?'뽽<.]cֻ<9s\JHh 0!-RO;O;ۑfqig}Aa9TlCYȣQ9I;vڷ=Ds5H ׬T CQ0/n B*Ꚃ'Nm;ar[&%#xzU1 }g_|n){JJK|dS%/~$u_߻{s6¯ś~^$د |>|[҈ s~ߤm:s~~\SN9iw.;r>p8Le.%I |<|/cM;D?V2E19HI@Fy@h2@)s \F^ug(ǝ "t8zOB$a;d^{GW^^p|2Z0Y9;oߠa d*Ns;Vn j 1-&TGh^jXZCV"?&E{i9\^S x?:fB;ETlLV 7Us̗U8 آN^fQv FIpl )#hCSH14ʆ4kolHo::Gb@'ſi (iyʫoy黚d#%M0U^TeFA]q%/EFj֑z۴3xj:L_ /TA2T'ʦ0]7N;lc͂d/Myk*cJAZPF 0!Xs4!䘩U plӺ'Ua%InF#st:O2 ØYК$,$-|X%q{ | R^K 3GQֳ⥼b݈a2MibuYO:Meu <&ܔֱ*ج^yUW'M$Lҏ‘tKV Doov Үj]hz:=q 2 Οl6~u8ӕ ut\pU+'^u|oqᙲrҏvJIgríscvń9ȄAG|Lp#== J JBdY8B.B|2h'y~xGqN>H])] e;"tuh#nªh{IQK ɼ%.Ʉ;K+_FN2AOfMcN N:sϯi~_OM endstream endobj 15805 0 obj << /Annots 15807 0 R /BleedBox [0 0 612 792] /Contents [15815 0 R 15811 0 R 15812 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24138 15813 0 R >> >> /Type /Page >> endobj 15806 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15807 0 obj [15806 0 R 15808 0 R 15809 0 R 15810 0 R 15814 0 R] endobj 15808 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [90 249.925 122.505 260.925] /Subtype /Link /Type /Annot >> endobj 15809 0 obj << /A << /D (unique_77_Connect_42_propertyandparameter_commands) /S /GoTo >> /Border [0 0 0] /Contents (PropertyAndParameter) /M (D:20211013063105-08'00') /Rect [127.818 249.925 238.9565 260.925] /Subtype /Link /Type /Annot >> endobj 15810 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [244.2695 249.925 276.9615 260.925] /Subtype /Link /Type /Annot >> endobj 15811 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15812 0 obj << /Length 19 >> stream q /Iabc24138 Do Q endstream endobj 15813 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24139 20830 0 R /Gabc24140 20835 0 R >> /Font << /Fabc24141 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lME endstream endobj 15814 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1437) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15815 0 obj << /Filter /FlateDecode /Length 4484 >> stream x\K Wm$ 4ntIQ/[rU;[mK('QE]j/lNN8?4ZRWn]|Rgy0 ܔw&gw#睴𝺶F)7fʧg&SC#ߥWh:*?BR avs/? #c_('+u+'@Og0;X?~II0JL K'E 0VA y&;o?P7 }= 0xss|%BA6~`&CFZ&ls/ܘ @?A01 -i',Ubˍ`)YJ-e2Es߅[5},DI&wܻn~e1)گ0L`v_ R;Ce Ҥ:-˹+v%!qWŪuIWjBm]?O:ŚԺI[ip/5mm\`ڈ*gXB8L˞Yxm_ FAMO2EգsIYiՀfie)ѯSt2UTaDm Mg5Ekf[Ne 4לVy(30QM fy98Xi 4لi )͙pYbI <Y'3(Me`5"-f)W"9c(>^^cCjhz8k?U5uHS\TRkz{4,6ŭ]m^J [r1NYW%.He?gY37nJ+)Atw±ahNjdeTw'jo}n1 2 vn1pu\x";8WjzvUu9^c;I T%nS4wf X]eo#tXu/zaN3gGQvK}\,]?{$K33Gj>jd%FMeDBe U*Fhd1iƦ.LWS욎h 1aؘ2qMg5f. ⳬǬDZlGk:k y<Ƭ8dY8%>Sy[;f&nYz<>>3ac\c6T1Y()t{Lu"q\77qݶO X>TW qO|9O١7 >!뮐l;~{^TZlcY?NNaڣ\:}uSa-)N\ckkov*8=}[￟2GBT5}$U ҮKkceWWTz+oVK5߄  %hߥǭDz[xzuāDl^ zL6S&&ir[)>e jEv!S_IXߏh(D4Iv&rL0b;(dNRHX-k|y z\2Lx% c j2զg f(* OAԼėFƃ1RUUɭ6Dj4شP6f-")G^+\ʵ©a"p3L`\p޺6s5KH\%j[^Ch/,.%DHֳjMGdV榥~`|)Jd6ʔkaBgϢm{H&7 !8s_de'Sb}h{9x~yHqH$FN #02K&r%\ 436!IejtwTDgF(1QF@Jv['3 8S48Qkhe^p>bNnfiQ„9Cl0V^Q]b}"+yr9389iVpˤ̊TUxS_ NSe X0`az0,6,L Yt`*1:&uVMf+b6SQI`*ȴ2=.jCC`}-6p=8% 'i[Nf 'V87?.'t3Ip,\'['B=p2/ 鈏f&py$ v:pTPlH;T5Eˠ:̛T(kEQ9CTdZܴJp!؍ X>Pbc~zPb1)q哷|'qO.}O-O.}\>y'6]>'z.߮7ۘ,cJ[F݂)gu enL˨ݘQ1%nYFmuSeuLۖQ߳ۛhv>co%'Mt@%ۛmW<7xxo *DT>{*⻊?6c ߵܺG9 {@J|ނݣ {@J|Ƃ )؂)j) RbI HK⣦XR+iTƧ\Hjj\D5x}bPյֶ3)Te;wO!d 4_Sag&~~ÏaH|rT`r ڋ / ǧn Ra#P=tr4ȍ ?g5nh7 E"*Hm0: *4@ 90CZsU>OTS jXJD/YG᜸C>WH0UR 83K_@yĭWx%jˑaK_p݉h&]SѨo4Ľ{H4*@SO(u=(^)?QŖbMāMQg5CmUO#f#2G8`_NIJs*vTPr|Lo$D\F g%zO</#ƴTlHRN9{J\$xj2Up)兩zcIXy]1M@-7T,|LgqHq^F-i(R+eAg,3=ھsM/+vl2ap6Ն͑7ml{fF:@LQ!45}* e>GCs>:o8(AU΢r<Ѿҁz^dsԋ ::29 p]XjON˨q 楴b|ejX(WR.]O&rfX endstream endobj 15816 0 obj << /Annots [15817 0 R 15818 0 R 15822 0 R] /BleedBox [0 0 612 792] /Contents [15823 0 R 15819 0 R 15820 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24157 15821 0 R >> >> /Type /Page >> endobj 15817 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013063038-08'00') /Rect [110.3665 201.4539 272.9245 212.4539] /Subtype /Link /Type /Annot >> endobj 15818 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15819 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15820 0 obj << /Length 19 >> stream q /Iabc24157 Do Q endstream endobj 15821 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24158 20830 0 R /Gabc24159 20835 0 R >> /Font << /Fabc24160 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}  endstream endobj 15822 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1438) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15823 0 obj << /Filter /FlateDecode /Length 4326 >> stream x[I ׯsh_zy=@nC /CZ(UU/$Aj(GJrϿ垜["1g)珟ۧ-B]Xa_P7xO [?Wwkxp\{_D6I;o?|JQr d <>z7Ծ]7m^yc>DFpiPm@DH(x*5%{t]'yb( Zdn +y$d1]W&G:m{}q}W*{㻋 )i*g#w4{˔D ʉS6R fiz__h_0jQ`=eA2u7pQ:&Xdb]%$?porg1ս_XpvmzHrtJ܋+ $c|/ny1V"VʵC44Fw'B55GzH[U⤭QV`dXg_t ~9>x&* $LbUݡ\L̋?#ߌcQ̙vq((CvHuHI24gh]SxL`oہT[AowDj(btXY?vDN0J*ʮ'ݩJ$F-\FAת`-jY0 _AZ6:sJj#{"=gCҜȘ;H+Ht!e4ͳnט$zxQ;s2;\48mPUD@鑾",_G@w]h ^ s (SUϹ^#FrYJh͠-SʓlPb4:PP &wN)U?dLUDYUA 9 >}hΝLO[AXe v6Uުs8ul*XѨ8sݹ864J!Wjʠn,s+x ̒D ( ë_."1aZke3sS\jNqW-R@k9WSv@:j)0Gh9m͑]>SQj3 ܆Y3E%t&}ܫ z3'WxVsS1 P"_T(/Aʡ`IXRݻ‚Üf8]M%5\k$:Uө= kwbc,Q>Lk࠴j"W%!6Q:@r emd qJ˹@sWEV[kѧ.>.*]$!) E007s;}_ѓ` ZzbJ94L%4аQRDġ~ k)}rj%WxPΕH lߍb0RE7tƅcy] 6wx(қiBj͟h#W9~q.ERrII0;Kp z\O3t95W^Y[n6PVa\٪lVnG$a]d"3ة+c!S[:8XJl*mX-!p*9Cs-hW%&Fy>5JT *Z}+B)Tfwn#Vl-!9!򫬝&WM>h 8<:5恵.kg7Vean2#Gq^0t~_^N1abƅcpIG]RوnAJri6AN m~0<\繭"Ǻ/dtV'%iKKC|hhۇ&, Y t5ˑ)"+D?Ues[P\g+Gue}gYQ1cһz/#k[^ۺL=7*#~fcW1%ݎjζ| A !E从bz IpltoHI+QZ#Iz9^^*}yO\*{;-t=;aU^ae5_1/CvS=ѴekLG\u_]褌Nxl۱n޺Ү UC5/9]Zgb\ļ&b)nH)AZX##sW'K$öbzpk7h׀IWv(39iUcck|wWc؉UwWHHMd,9zTN<tTc_RsDUM`&."hЗ7d>.c&ȜS}{1fk.QE, -24If%eƽRNeyMSK\[4e%=%@vicu.Ӛi9id~-xJHK ȽpM`uM_GZ/iCG:rmcABkMP(Q$b1u0ƕDFI_@eZ ;t4cHfb'I'O {\x0_l<5KrK,V%#=z}#ZD⥳t؉gYRF-[sy( %hg85y˃Kg6TRF0v4U@,_OE-{m~jn}0F1!El:mdzG\Kh|x9S0q:M:K6z! 6TenK.dn8Ҽ _+V4tfQL]ADyE+n4(,.٢=!9 LL3S'/]Odb&Qy:h44E\z;:^'("\(B܃: |G1Q1 uM N@=HsЂw& endstream endobj 15824 0 obj << /Annots 15826 0 R /BleedBox [0 0 612 792] /Contents [15839 0 R 15835 0 R 15836 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24176 15837 0 R >> >> /Type /Page >> endobj 15825 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15826 0 obj [15825 0 R 15827 0 R 15828 0 R 15829 0 R 15830 0 R 15831 0 R 15832 0 R 15833 0 R 15834 0 R 15838 0 R] endobj 15827 0 obj << /A << /D (unique_577) /S /GoTo >> /Border [0 0 0] /Contents (create_property) /M (D:20211013063105-08'00') /Rect [104.1732 187.95 181.0247 198.95] /Subtype /Link /Type /Annot >> endobj 15828 0 obj << /A << /D (unique_631) /S /GoTo >> /Border [0 0 0] /Contents (current_design) /M (D:20211013063105-08'00') /Rect [104.1732 171.7501 175.4312 182.7501] /Subtype /Link /Type /Annot >> endobj 15829 0 obj << /A << /D (unique_535) /S /GoTo >> /Border [0 0 0] /Contents (current_fileset) /M (D:20211013063105-08'00') /Rect [104.1732 155.5501 173.3797 166.5501] /Subtype /Link /Type /Annot >> endobj 15830 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 139.3502 195.1267 150.3502] /Subtype /Link /Type /Annot >> endobj 15831 0 obj << /A << /D (unique_536) /S /GoTo >> /Border [0 0 0] /Contents (current_project) /M (D:20211013063105-08'00') /Rect [104.1732 123.1502 178.0272 134.1502] /Subtype /Link /Type /Annot >> endobj 15832 0 obj << /A << /D (unique_537) /S /GoTo >> /Border [0 0 0] /Contents (current_run) /M (D:20211013063105-08'00') /Rect [104.1732 106.9503 160.4767 117.9503] /Subtype /Link /Type /Annot >> endobj 15833 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 90.7503 145.4837 101.7503] /Subtype /Link /Type /Annot >> endobj 15834 0 obj << /A << /D (unique_488) /S /GoTo >> /Border [0 0 0] /Contents (get_property) /M (D:20211013063105-08'00') /Rect [104.1732 74.5504 166.3397 85.5504] /Subtype /Link /Type /Annot >> endobj 15835 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15836 0 obj << /Length 19 >> stream q /Iabc24176 Do Q endstream endobj 15837 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24177 20830 0 R /Gabc24178 20835 0 R >> /Font << /Fabc24179 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ7 endstream endobj 15838 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1439) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15839 0 obj << /Filter /FlateDecode /Length 3516 >> stream xˎܸy)|?ݞr`=98z!{& E,֛UEZwƟTU>W`~OY.BbW֦<(%>tw|P??P&P_ڸ0:2,F~Yp~PY>yXstM;sYں{(K\JT 1Rܢa)31qV.}]p`Gy O@<)I1k H?VGv aZ3%RR' Mv\{l=fb^#B6#҈"Q?̈2,ɸ AYjqdL]'L46`t>-1QB*D*%EqX"}bߪjQ.QԮarljDW )T&|o'3n\`ɌʎƚNbOsO`l4# d.b8 [UoVErYSK6 >m-U;-իpcv&^E\ڄzj!9ȯu8<jLj7H`K3Q <`TM(t+0;0X\j0񀸌=3P`3C4$[oyKJw5xdv9Bt{jҒPlӌ"o`IB,C6Ao'-=~ ʒ}8O?*),v0E !1wx E؇mVw{$vh# UT*`=~:`>.P quk"N5u} 3l% 3j`II1 Kp`R;sQ 608g 1֍n\ѸQ2k6߶QuS(FjbZ7%8;TXtgܭsWV/:W*8-cAVppb a?Ѯ.cso6-)Ń d_ Tî 3= -rNf d=z,T~GeR AClׁ'P'?_,;5-ֺ?YˈNVwLqh$}]Yt^^/}!( } r!]c:[Sq:v8~< E_rX\vK yqC^KNɾjLÐGFX8=+;jERS|c5e3@=#hٵ>?yz~%xJ!"BΑJ`t'a61K~ʤnxѲmOc+Ei(I - ؙŃ@vU1Ī=W)=Uib(96uy]=0v8uylt}RM:Gqc ȇ J-*iz% !Ml\+#ZT"ˏx DlѫXV̠r抟!Q)%;ߵ Y2N'Qwx{[qٞb[6 Nй#@2"Gk;sz| =tf2C O_uadRv )Kk :ed̝aI;^gĆzQi B'%C~A4KNTصuI!W11ȫ;Qz}zmdaY,ߎ\1 Ӈh5r48/8X1qӑN60ХqñζSo l0XMzpa. qiM$uEAԗi."1@k4UVHe+p7j$шߋ4o  R5rkwn3@e=6K\$<VVJJSzǞK:5U}MFMVw1~Iꚰr!K'zeԀB|旹D0te@,X^<&@`N|}'`YZ(oQ,:BĵjDB~[/Қf-6 dej|&NE7S޽6C*zf`h\8?֮ai^ y39/,{ŨÇ1klKYNχҪitۑHM.|fsM%KUJhqBko "#H䅐>3Ue_ǵuo/w?<=1_r99u{\; ^ Oz -/A9x}Q#<$t@Y pDfVUDd 1xxlN¼ 'okI'gF5vn@("X*jSdz/GxOrFՂc_'Pzd#ޱu%Ȭ3 vz校 endstream endobj 15840 0 obj << /Annots 15842 0 R /BleedBox [0 0 612 792] /Contents [15851 0 R 15847 0 R 15848 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24195 15849 0 R >> >> /Type /Page >> endobj 15841 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15842 0 obj [15841 0 R 15843 0 R 15844 0 R 15845 0 R 15846 0 R 15850 0 R] endobj 15843 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 698.9 164.9372 709.9] /Subtype /Link /Type /Annot >> endobj 15844 0 obj << /A << /D (unique_496) /S /GoTo >> /Border [0 0 0] /Contents (list_property_value) /M (D:20211013063105-08'00') /Rect [104.1732 682.7 195.5447 693.7] /Subtype /Link /Type /Annot >> endobj 15845 0 obj << /A << /D (unique_499) /S /GoTo >> /Border [0 0 0] /Contents (reset_property) /M (D:20211013063105-08'00') /Rect [104.1732 666.5 175.0572 677.5] /Subtype /Link /Type /Annot >> endobj 15846 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 650.3 165.4707 661.3] /Subtype /Link /Type /Annot >> endobj 15847 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15848 0 obj << /Length 19 >> stream q /Iabc24195 Do Q endstream endobj 15849 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24196 20830 0 R /Gabc24197 20835 0 R >> /Font << /Fabc24198 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=F endstream endobj 15850 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1440) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15851 0 obj << /Filter /FlateDecode /Length 1223 >> stream xڭWMo#7 W\`Ia NCo P6[NDR3lkHQ5oiԆ\~~]t{'s. 6jjJ.>y]4n.Nw6h3{?`lr+ow<"l9BwrYgg6,eapHkI j}XwAD}H}pͷSxRx&T3ԓ t9iMIEt09 YmlS&N?T'FolWbd|S}Pgkilsik:꬝7}xDbq#grc-Њݥ. ՏO37%yleì3[/+-1RÇd# 󁁓a7.W z5TưjDч+`lIvO﷣X bJ4!mvlG[Oت.0Op'yyE:ϘcuOJ#diZ_vɡ`k 9rw=q=񀫏w{2xԒ (/x{<Й uf1r4j> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24214 15859 0 R >> >> /Type /Page >> endobj 15853 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15854 0 obj [15853 0 R 15855 0 R 15856 0 R 15860 0 R] endobj 15855 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 102.025 122.692 113.025] /Subtype /Link /Type /Annot >> endobj 15856 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [128.005 102.025 160.653 113.025] /Subtype /Link /Type /Annot >> endobj 15857 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15858 0 obj << /Length 19 >> stream q /Iabc24214 Do Q endstream endobj 15859 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24215 20830 0 R /Gabc24216 20835 0 R >> /Font << /Fabc24217 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo J endstream endobj 15860 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1441) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15861 0 obj << /Filter /FlateDecode /Length 4982 >> stream x]K8rW@|KTfuY7S*ݗ%*SI1D`P".'GſH>H$ wvjotq>~RNW*]@Hx"q1Ds2g_#gsA+,Ceiœ_o_TkIwn2/ ΍R$/L?_5u+jۍ0ZnǮ66/\+g!z%¯68k!}!/WO_c>v @zNPꏝsJb"{5P|hgwBv=Ǵuob¾$fy PMlٿ۞^g j|&$Vh:ʇtQ/zaP3?-I Ѝ+IIAAETꤤ+-;ǻ |%T *1N{Xܷ~DZ(/|FިJAߚ΀iuvY]IyXu4j+DZGMVF w )տA Wc̏Dw˒B:(ډ ZV*0p`WB,7cUVmnnPs@sAZT0#f`PdnӕDRآm; uO-:fwmj:QOOd BM7 (z}@OGz-6Lhzl |/PPPA6Pn@e @ǀww-*[* Tq7 IA;!UvPN B~CS_!LO.Lis8&seQ.C AH^+|RJKr(G&i*aU7;⌓$*WnEПj67Q.R5Kf녶*!GRݛo&h"M5N}axR^W:_3 e+)! ס.>m e9.@cSJy,x‚竚)&،7M|lLl~H܎&dtCsaJMī6z~wL$+ڮ'q)H4UƝ6meSk{Gu5 .ǥti?7s_+B@l_~ ~(vMFu%٤`oǭE"\ń!(jHǼ?1 ,ZdPκr ]%tS(_;fX3Z?m|/ηIw:ϗ^Z Cn2So]ҖvHlF-x=Q:̷ z6ESQW򚻖O].ty} Lrx|g<`qF^|eLmK5_4|kf爬6N:k] e-^? "i` YE5|L#^1x6gw}1U*WahHgPۖ5uk+n+;JwUsb^/Hr%EwBOpHRɥfYl4X\q6&-,|1_JO($`]41tA1UqjS^({/2942aBZ sm 9I$JY0/Yi!0%`{3zR̶׽ *HFT3g_*q"̬ Y'dv(tcR'Hi| ^X O 3bSK<:%,Y\'̅01EA0T2M>YW%6G| md,M BK`lA\~4 N8fi><,x^1ɪaš*of2R%,, x;5EAl W\LC$HV>YM,Ѫ&\+8;oÉp⏀+'Q|X΃&S51vN,N+8zv#Pla|:((6{oTlLO}P3 PTP σLkdV*\,A12Tfard013@U0)8$n͟LBid*YՄPw>"xP9@m RnLb#ݘ.H1}b7c 1bK1ݐb7c n$vB-$@brYkF&}l]o7}7>6зc}b+>ck>c>c ΫUGΫq= v>bqGcMHñ&ءy{bcq폝?bBŨbs1jbgxX P3@ P P$uk0؎P=[bgSmP P4AΦóbM+gS,f;fT+~lj直۶ڻ*Ƕ=C{V{w(G؎{Q?yNԏm_ 6| S<0ņ0Śo矁gX3P T<[+6x*gbCbq6w)R<ߥ.lPl0]. D387JV/pMF(LbL,Pΐ@;Ṱp˃QHנdG,GVA|ThaW44:qÏx?4_Ú v*{5b*A"ݠ{gJpq=prWn%m3x1.5H !U$iou~qâ7`&[MY@PM8&]߆Gʞ"=Ͽyo]ߏV JЙ7z:O'ìg}CU3m0̨F[^I1>'҉~nPծGĪNX_>rtK"kF-y2sID-9KWK^2gS}.QnE^ O^/)J WdJ< +p4b %>ɦSu=6%;oCOuӊd }; }K yh߮?Y]#+]F~Li bc;'{Kau,:aQiȐtD9NsPf_azsJU(lI`۞eJT&fU2Ɍ0^)=R۩))-KeGX*+-uZ82HҺ ):8i h^2 (7i?IdOMy2@`~= %3 !ֽopsS5 QfxUX49y^S GJ+A]S!u*CLc,R(ߩx#{84ǻH>zn}Bў1}J_sˆ`lʒ *R~yxNJ02 &@ ]0|lqxOz 𛕝2hd3CVlR.dJ5 Rlo{.+) szfRZ-C€]g@OGЏz,> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24233 15866 0 R >> >> /Type /Page >> endobj 15863 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063038-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15864 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15865 0 obj << /Length 19 >> stream q /Iabc24233 Do Q endstream endobj 15866 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24234 20830 0 R /Gabc24235 20835 0 R >> /Font << /Fabc24236 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuXY"|:m֯޽:H9,!.p' d Z-LB.FPBDqs)NF8%(])ʔf< ǔ@1r&H-'Cf'h~ˣfiW!g3 Ģ@oEM2R"G?+QO T>J#ج ^v4Lm)1m:ɓ#,J[_Ikp:3ϳ-nYMޚGFx?1$_0Ԣxy /sYsshf^2 GsB&s.S0Erձ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/} endstream endobj 15867 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1442) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15868 0 obj << /Filter /FlateDecode /Length 4187 >> stream x\K# ׯs+z?4 !%JTl] <*(#){eU^|[=:Fdw*~?jҬJ1oW)uF)r_@5_@Y &~qR/1ƼI!@0ĥ.ݽ^`˘z?&xПˢNr#}$d)ǟIpRoT*-,1%z8/2L"+sueu'G4qy{Y<]u9Q^ȴAӽZ82Qs^IHр&]nʾ\ח uJ5 Uۗ5^;?Uh*т{y͋XY ( 5uB\VVA6Pհ+~+JW|@;Uyi5\HmYzgX^,0K>=4!mЊIFO~b@UgS R9" H;UPgsRVŬuCM:TR TFUl[^.lQݵXEz 00YwSR?nZhMVˇKR*Eq=Gf;O>OT QDRsW ud2Odh5Bk\ՕQwؤ6i/Kݤå/I1lOO|O=h|W4ClXjܥE0DZ ~)nJDpdJFhbEH4$`*fU@;e7tb,N'E0jF խ,c(sicޘ=|3CfHހ4. u5@f{|apuN+Wsdu}@zluryDtΡ8}-=ͫHpB{;A*H]A F 68j.?ظJljb{ {^HO+QBHJU?BDt#[(TVs@ٗP)],h#0Ѥ r;GA)7lqd?lKF NrXam8]ϵ̞kRuG}{&HMuJ&<&M]T6_-# WFM5Ws}Z9ϸyq>P~7g!؏/VIj)=D^s-QFoƒNUMT@g|#?uߖfPmqɍs'.`o"aѳ;+2` NhXةbSPfbPHvq.ku7"%9&rm\(rast [U%І3'i0^(C )ES\sLZk6: v*@Qz8Y9;Hjbi? c;#)y}K9w`}T78mOuO ><) 6d'`܁1xpGQت2 Clwqa #V4)MEN1N?h?WB_=v)k$~"[92ލZw%??aPzFQRJ #X4\둁/g |5tJg#*#4~zXxa,u0cD1P ȍt~/zg~hOD ޽YOtovQ@ĻѹH }ͬn[ 1m2DzDG%'U 4ܨXt 0Ptyì]TY8-c#c7DZz@OW0A=Oco‡Oǟo "\YF {άjNeq{N5}1l[Zdh\s~L(lN[ߩkExn/=dA3!sDzF }IZloMnR/zV>jN5O1L+X6\RUlPNQZӜfHeM;!iE1@w2ZCh^ G9`S_棴~ nS!ATܞLRs֏ -Q$]-[Gg\M» !v7C ̲S:SL8w~o(4wV<^c|!I4e9tƟЙ=yA8Ё-TTm _ GY"hZBr:Q#v_4/WXES KK<9hEn9 2ןoREXB*TXA耵OpKH/C%X+z B/[Ӝs̗QJp 1!-#>MN4?y>[. ' ^O0Q(&rn~l\>Ӛ.A Ief"W݆g 2 SugYHL'3i!]`T1pD.ZPax?_ q0~3 Da??01BÝ9Rz Եwٛ;cƫeoeZA&Q,o@g/x#bU yв_ʛKI_\ҡҕ@!#c-oҕ|K&'RH(S }˞qJb..Fa.s&*W_,tɗ-ۻ*%9_|ɒH/I\j[O2MZdAj/N?#tDu|ú]ueJӍ,(MW&˥M?&X,%/Ek7Z\8o &u%tzD?,|rUN~V~_rd}h4F0./+Z"&I mzJt?)P a{)'n~Dik[N[|ښwL|>/L+Vx?Ѹ<~*u3 PtXO`Զѯ]*vק]_x}W,hqPuΩzQY+y{m/C7blۼk@퐪+1[OD!vՔm?cNޗ2>O!MUԝ31Am25&+ uWn0(?! endstream endobj 15869 0 obj << /Annots [15870 0 R 15874 0 R] /BleedBox [0 0 612 792] /Contents [15875 0 R 15871 0 R 15872 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24252 15873 0 R >> >> /Type /Page >> endobj 15870 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15871 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15872 0 obj << /Length 19 >> stream q /Iabc24252 Do Q endstream endobj 15873 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24253 20830 0 R /Gabc24254 20835 0 R >> /Font << /Fabc24255 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛN endstream endobj 15874 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1443) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15875 0 obj << /Filter /FlateDecode /Length 4275 >> stream x\I WFtr99d&zCHjd -[DGR~o$w?!S&gE-I8xSLM~n?Ki&%g'7"KyqޮI)#ܻi)WhOCr7xIKeO8QG;9h#:yW(7㻔?XJ,Vӷ?mUyj' `Dg7aQeF_;O]g}Meb2fv^ť%0+Ê|?%XrNc([ba4#>@Re{a!엩UTY)bfw}&`҂HE|Yiȹ^2nfd #H eܸF }h;IWق]U=zLmg#p˒Zdu;x9[̬>Qֆ)> WUnvѓQX|~lǎ {ŊkG-X F]Xbp^ m"R6ciqr 6YKܵ1?\q o|WCe PŠ1A5$2/G#qrL J+*8ˆ%Ӵ)gٹ' AЂi [3EU ;IIl6TGEJ|Q0ro <$EIy3l#M5oʌ@@.QcGy5k`Y}bvݳt=ٙ{Bfi%9*T<\8&j^*xGӌ;>N ͙;lh?]f[_&X " :) =.kѪskנR Eb=XApQ3);?e VfPQו&,\ޑk,4v_9Kr0N* įX qUˆS4S.aT8Z`lM  AŽDy\6˗\ i_q?TJigS$P, T 5&J q x=>4]`rۤ9jpZ"t-X iWVmxpi ƞcgM+QrV! /> 4 %X+[=tTF6|W]M=){?qg],p7QZƬ9o#&>Q>)]@ԬPz:SLWw"WB-F!F۴dR-,(ϰ[q⋟uk^xմ.x4 F\K[{4HR*Tqތm%=G8̳xmE4*su/hGTy.aꤤk0Y6)&Zi5l!D!D\| 2ڪ'iWæ-mY9KW- `/4fC~Y!Qqn3FsjHEU CUbK\l |TGF͋=pJXU˺I*#6Use#gz R''bX'^8t9B_] IӀ0aW&rc]TJ 8)跪bJ<}J)[݁3cY$|*?,ϽXm}ް֦⎙M4mzVN䅵9V|(fJ(e + Fbˇ9M4`- 5rBC F`Kr͂R_ I\Fèu䐮 V06po\-a^O4l: 8G[D,U;ђћVB^Ol<ƢUX])^^{aKod${[\N.Iy_A1F/kyT艚zɽgT弩Aͧ$\ȷWٍ0]Y׼`awJb^qqlv$+pưlI%$2Xizd`xۖyGIEƃd43# n tGlVClha6@֥;gf'wMܣyUŖj>!Pmq;Rv)=8Qpp[{-z@7Lp|p?dp84u\y|^=SiNݝB0}?s}m6زN֤|9l=JSl' ܴcsL7V y"L {fs?dK+63=EU0I0dkZK43U%AQp )'d<JrӹK9:],=xlr]WNbfdw1XV.d3.G'<+;.C _[n.QWm2^lc$K^i>Xu53_蛙ՕX b\*VOGNUmlSu}&g> f_ koɦoK7UpAߧ?am2szu 6Y.)Y{輺 Q;w}9*VaV^edg< Y1Y4MO#0;C15kh:Bإ?8.+OO-^>K\O} ȿCYZAMxh''& iHkۃ{A+~+=6x֛LoJc0u5ayiDI$ 7"w)3N0A6$q讻Er _^bTbWIoi 6T:ex;#}WnY3~ع%OlJV:g1MO*|bh[+$--Omkv{8{* ?1`Pƕr!,|9cHY{6_ lOlUHS!?ZҞeD=M8eJU/H J2ȭDp)LĩD X9< у1bP7 ݹu?Lh";G{GۭujN bY[&] %S[&6ΉFj6 vi6&ە0XǞ?5~h9Rr!W*^_|QtZ"5~ui Y Ĩ|ToLV:8ā1o2R<' J,ӏjeҶjyzfi,Z[Yuy!G~c' sPue0}9_X(_D1|aH~ ~!_Dщ$U tysvD~ԓDalp;S:;g&MPtٺyQ]ٺ#ļE|D3%q%)Ygcaa`y> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24271 15882 0 R >> >> /Type /Page >> endobj 15877 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15878 0 obj [15877 0 R 15879 0 R 15883 0 R] endobj 15879 0 obj << /A << /D (unique_585) /S /GoTo >> /Border [0 0 0] /Contents (delete_timing_results) /M (D:20211013063105-08'00') /Rect [104.1732 582.9 206.4347 593.9] /Subtype /Link /Type /Annot >> endobj 15880 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15881 0 obj << /Length 19 >> stream q /Iabc24271 Do Q endstream endobj 15882 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24272 20830 0 R /Gabc24273 20835 0 R >> /Font << /Fabc24274 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 15883 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1444) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15884 0 obj << /Filter /FlateDecode /Length 1596 >> stream xڭXn7+x6ml@n!IſW {؈"U&eXo~j v9ɓfzo/XFnJ^^ sy\JJDB@&qT{dig98.>/PK5qA{g'覆6#&u~ghrpAkvjV[6 "-0*}L8SՑ>xG(Yvjޙ8"6>D"䎯#8/i}yVnffک5 ɝPaGt1_[ʞRŏ%O~ ~j7xZ5y[l_?`/_ |8Χ$<ҹ# #XǺCl(g?o=Zk ]tA9.֘ 1 =! :e,XP0n/=v#.~lяH\~,r4Τ$'K>~'Kdyp>xpsYA)NY$â'2Tԧk=}HOl{3uO! Y;]!&9—l_ wukm9Lfck~2/4;{hdh,V#,51yg>;ETllh 7p8NAŝ(qڿ֣GEOH`8l6p!JU[ِ~1(%k#TY7!5N[jUamUm|]͢YF ]W-izSI?x}ڀH_=Ne.LOzG N NC8{-ky tr}Ǥ%ˏs!Ϙ җw~.F97Eh,cOْxOV&[H>$ ]j=;K+X">.X> )i-?h)X^^?Ӑ9QqRf endstream endobj 15885 0 obj << /Annots 15887 0 R /BleedBox [0 0 612 792] /Contents [15895 0 R 15891 0 R 15892 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24290 15893 0 R >> >> /Type /Page >> endobj 15886 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15887 0 obj [15886 0 R 15888 0 R 15889 0 R 15890 0 R 15894 0 R] endobj 15888 0 obj << /A << /D (unique_77_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20211013063105-08'00') /Rect [90 298.425 138.07 309.425] /Subtype /Link /Type /Annot >> endobj 15889 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [143.383 298.425 176.075 309.425] /Subtype /Link /Type /Annot >> endobj 15890 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [181.388 298.425 214.036 309.425] /Subtype /Link /Type /Annot >> endobj 15891 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15892 0 obj << /Length 19 >> stream q /Iabc24290 Do Q endstream endobj 15893 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24291 20830 0 R /Gabc24292 20835 0 R >> /Font << /Fabc24293 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[3 Fҩ~f| fpYw.Z 5J8.y-xvw71|:m֯޽z " 6\HF7|{t;_Pt4Qh2 ALF  c̙h;IHt(SBD* ^Sr&H-'Cf'h~4jֈvr=C10J,z(~R@F QD3Guœ}"juZG):JJ9ڴ[쥭}-UaoKdOiM\w>@dQHL_%eeq|mau3ͪ_ l?7‹ŐL$E d_ )2̼d9 持 F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15895 0 obj << /Filter /FlateDecode /Length 4435 >> stream x\KoW)^`o;@AN ;e~ŗZV۞fg")XUYD_3hwKuef~ iu<kϿ:?&>:`5S-p$Ի~z֋M (,wsJHjJ J:Ae2kU߿e<1ңv%Z3G3ßB3u:vu8~tȊOwpG)j-5]}OZOPGCZ'hىqOܽ}D 4scOd,# ~oQ8`}o .F1 ̷߆2|VSe4{ '5Ǝ~Q 47'Hz }?=i3#矞{O> M !C= Lhͱ!ZDi}w>THsr"-Z3A k_ds~6ZmgPZj13v'Zi>*Tm܁:Q=GߊHZg&fV TM?dA=Zv*W4^Q(j>C4R6~Phan 8OqB6cEȳ{ ɖ,m峭WmK3,$Z&]L=/Iǭoz13bp~?}OiZ /83e֪w,;i6(7'յy ȅY73ƉL |Q= Zj⚸RF_6M@_ҐTCxv\mG_f V: 1Knn}w<ꌥ[߲Y_>4#K΅UW];y'羚#I2m*ltĘ~nl{ckKo@Э28Ņy68uraCms2/yoa:v X,P  b؆o`4XXddF ⼨%̹XT5Xijv=.ZW*CGW+vprkpK8yAOӶ N~ 'uuF@)`vSeKܷVrPpv4R%SDlR{ KxQ%@/J~~@]~Iu+6&𩵀oxAe8%{#v|j5 |OG||j﫽ӏtt.HfC I Ry 5Z_x֚ě!AJCjx/RG@J62߻!-Q靐Z~3eݐRGAJn3J)kRj(3usLg@kbnFL1.@dk%${z|Wd|E!7ffPҊ"%A^cdO-!?һMz=xPvf@l hJ>V>ݷ?ߎK: ~@6ieK1+]UO~d /IC_g{ mX(WiI:MSs3ٝ;.N8M@{C&yd]Up?ЙxcdDdZgHՕcsZw!qq"g dqWvb(TU` 5RlKZ~b,4q$oEASk?W)$1u@^j 3A {'lzo(V\Տ#81 hB$0EȒND~HwVG2TTAB㌏$Xql4'&bee/ ZʶLm'06/HЮvRAur[չZ^&IӁ;Kx$MnNJv#p)AFvo丂 Sfȝ?ShK5-FhGEW <x> whl*Y[6.h}jLM3mP[Hժ?FE s'.o9`rM f}b÷Kh#:[@nh9cxqɇ mʇ>Wl)މ7E݆/wniJ[lU@xƗ otƔ`'e3h,E&ǑdTխal=~vo4ip?Vc¥l;@L ayi e]~-I+"(ϴsn8L dv]ru.[x'Z ?AxE0ۤ~o%\.5D~mPXm`8ȕK> l7cȷat`,.@m84EqZLg'ͭpΗ_ܐ̠ܢ!z.f*ڠn rO]#.Sz0MbsZUAd%yj,;HO4br`Xi p4f5(+ bNa|Ys.&T8~>߯Ł'VY*gN/h>֭}}e;mVЅ9NʅF }иxzssn?flO', rǂ Ûe)A8a0^|?:%=2^YQ > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24309 15900 0 R >> >> /Type /Page >> endobj 15897 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15898 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15899 0 obj << /Length 19 >> stream q /Iabc24309 Do Q endstream endobj 15900 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24310 20830 0 R /Gabc24311 20835 0 R >> /Font << /Fabc24312 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoG endstream endobj 15901 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1446) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15902 0 obj << /Filter /FlateDecode /Length 4384 >> stream x\Ko$W9|?AF#r3 '9vh*Evhx;fXWEnnzS1ͻ-iʟ~Wi< Jz巷ov/)@QPM0)>_h v:u2FWb > OuXXv&Ti6w&H2ۡ0)v]1@vYRSa|/f´BՓqS Jj凑 XrS4&(:r*1ur#Ø|yo9T:eN#(/6^e/mD߸ŋь·n-[R ۑo|_y( VQ'p Uj \}7 _F> hQX0hgň`Pvl6J:}Ϊw -x,W 0$'PjUOuhyFӫZ[˄n*V \ٔՉ/={r 5W1+TIyn>8G <,C0֍-4=|hq?@Sa_)/C*Ac <<{~u5l$`u@eԆBkOK#il 萀"/Ñ,\1RxɀPdڬ!kDh;KV _u^8SJ+49R(jw`4 W5Uwʭ _Aǜ*ppzݧ7K1h/}sԪZfR(o3cֱ@ԺV,/{ԢȇH  >A $s!:u+[`;%ܲGE%PuV):u)a%iaAt "Z ˝<gODtUQYusq+w9[f4Afbr!=%_#[ﻋXDz>mn|ϮuN VM7cA~*7pॼml;ԔKY*/[:e Q}Ӧ&Ԧ,).qd5 >rj3B2f\g)j`go֊*K~cS{0JFZZ̈́ ֳ|Z**RZj9ܵ=@#诂_het7uKo7+\ϭXVYA%^0EF:W iC#d[Ku% @`Dv0EM3`y7~@(5^&sF }ocyq<-1,.a G.{5LN2%XoE.BVzRw f50K-my ?J+_wvrHQrfʮndb 0hX+[͟noZ +7SXu4mNb;`"ə-䪧ZZ\vI8| ߵ)l5dk5Ź#[<(uƢr\۵±R߇" KAY3S+9M>^O+mOp)"=~.: ]lX8-w28kR;bk}a億08X'UR96ҭs[*(/DW;;q![< y+?Oٝ:ʴOŏ*W#`NE^LW.~֫ cW4ۖU]/kRkwuz߯(ׯYsEˠGP6K@5xwxY$٩ fѸx'`0RfPy]הі1 [3 ~P[.@cBsw.F5'"K5gMKnY>TQ9ؘ!AskmS1e܎~bOvʛAptǶI Go'Ktz-Aɞ*'@vAiR+bǗ7~w`<o22sX/l`v ԕsKMfpuxqһ}T=[_W_U151D`.l_..k]^U/vOמ'> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24328 15910 0 R >> >> /Type /Page >> endobj 15904 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15905 0 obj [15904 0 R 15906 0 R 15907 0 R 15911 0 R] endobj 15906 0 obj << /A << /D (unique_20) /S /GoTo >> /Border [0 0 0] /Contents (report_design_analysis) /M (D:20211013063105-08'00') /Rect [104.1732 373.4461 212.8422 384.4461] /Subtype /Link /Type /Annot >> endobj 15907 0 obj << /A << /D (unique_22) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 357.2461 217.3192 368.2461] /Subtype /Link /Type /Annot >> endobj 15908 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15909 0 obj << /Length 19 >> stream q /Iabc24328 Do Q endstream endobj 15910 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24329 20830 0 R /Gabc24330 20835 0 R >> /Font << /Fabc24331 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVkkEŐL$E d_ )2̼d9 M \09 `FcU$!īEWmGyݝC.;p94C-z^lM endstream endobj 15911 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1447) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15912 0 obj << /Filter /FlateDecode /Length 2678 >> stream xڭZIoW<@U/@u4@nR2?oRݖeF,[Q_/x7欎ASC_,֧Y`l*ƜwItdL=:|9r><ʚ wL)u-y6tƆ5KzZHS+l 9b{ yMqgcaa989JO<6G61F&{YEfDɚtFx[ƥ -/Hyu&(+mj؋3sD_ʢ~H: ?t#t1fzu\,݅T|@U|{PTYc v+&j9 m6;ەkƈoGȱ =ZݨDfG|CT7܂vsk >BDϢT @jyghY6p owTI]XI9mmB~i]t@d*Ræ e7{a<3 &tni>l8e(3?KaTwns$->D%jW!0@("(X0a9<`p!ݼ׵&W䢿#e@!09G }|]00 D* ( H zGcXwDm\[DosdU2Icx X,5ޕlu+CyAO=ܵM7h606\.p)ͷ0/|T)ű_fWŠ6Es#m;0a-Oo q|Ik"h U'rE dIr{.]_kDb.QAl0fSrPB`rRX_']<[jVnqb5S3\ob`FZFLecjuჼʞ=urj#1Hq~jUeD6e#9Pp!llIMvpq^hmλmMc͔4 >[Ҵ.Hxx 'j~SA^U]ܰdٖVQPOiflx͗MhN5vrSG/K\JY5vy?/`¶Sf lk=oXaѬpS9{-t^$U˻%4S)N{7բf\6F_Gcۏb;ͩpfh k[;Z\D933选`8v5JRk>1j)C 3:: nFV#7G1AwF @騁wy~#Jf 9B^~&.rOirH`+ӮnY}!Z']]%jm|kywhuE3F[ okŒ㓤 A$Q_a?(B2suc (e'\뱎=[-80 O ~*>CD>CSi"af'ϝ]Mi)0Gﮱ(~厮S1Eoh-h@y;_"|>>;?OH Op6Gt"x5B63dB,)ohbZ)n䂪ƅo:X^EWWy՟oR_ft=rCI+"h>q!kws>0`WwM3uwi]i:7m 7_{xT:1^pRک1Ԇ8#L_oZfqC3G:Z{㐹ZڔJjz`#Q*dɁ/QNOgW?ٱޱ(* Iy!PAemIVL:/;΢3']ƓuRD5r:wU(T1KflJ.EͣĿ#Q]MrG+Z;0v@jz_AʺK4#I endstream endobj 15913 0 obj << /Annots 15915 0 R /BleedBox [0 0 612 792] /Contents [15924 0 R 15920 0 R 15921 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24347 15922 0 R >> >> /Type /Page >> endobj 15914 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15915 0 obj [15914 0 R 15916 0 R 15917 0 R 15918 0 R 15919 0 R 15923 0 R] endobj 15916 0 obj << /A << /D (unique_77_Connect_42_feasibility_commands) /S /GoTo >> /Border [0 0 0] /Contents (Feasibility) /M (D:20211013063105-08'00') /Rect [90 236.825 138.07 247.825] /Subtype /Link /Type /Annot >> endobj 15917 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [143.383 236.825 176.075 247.825] /Subtype /Link /Type /Annot >> endobj 15918 0 obj << /A << /D (unique_77_Connect_42_timing_commands) /S /GoTo >> /Border [0 0 0] /Contents (Timing) /M (D:20211013063105-08'00') /Rect [181.388 236.825 214.036 247.825] /Subtype /Link /Type /Annot >> endobj 15919 0 obj << /A << /D (unique_77_Connect_42_object_commands) /S /GoTo >> /Border [0 0 0] /Contents (Object) /M (D:20211013063105-08'00') /Rect [219.349 236.825 251.854 247.825] /Subtype /Link /Type /Annot >> endobj 15920 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15921 0 obj << /Length 19 >> stream q /Iabc24347 Do Q endstream endobj 15922 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24348 20830 0 R /Gabc24349 20835 0 R >> /Font << /Fabc24350 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=1W^.NTs_RƐ 査=0DI`2R(.Xc4GCIH_E+E̕'R(fZĀ0dD/P|}{Ԭ9*{braXt(~S@F QD Wuţ}"juZG):JJ9Lڴ[쥭}-UaoKe͞\w>@dQHL_%ee8>϶f5o#x#?$_,o)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15924 0 obj << /Filter /FlateDecode /Length 4907 >> stream x]K8W<@jTf[`O^,\ ؗ/T>]` vV F CRd3&}}RFUCt}5ݗK}yI^pt\>((9dYzŲ]Gԛ~_hKbgOmoH%P_}tP,}ȷoMo4)kgLtEOh:J^k7.LCVwp >'`}<5Qڼt&<`}86Xoܑec_:G{z>Kg,(!ijr G';jt}/{?`+|mòݏw8Tl@ԺOS7~QݗO $xgg巳6Ar(Z}'EIĹd #` )>5Yhpƾ.#y 8{-`C'NW@'8<@e|Ep#B`rd1ȿQdqif#D8]TV0RuZ+Ȇ ,̩inӯf^i;uSHz=B-i&"{6NL J؉$aWt\aW?⢊]D MW>}h+r*Jen]?k Uen80^=NAMr6 `t֘ ٟYSz2ajV* gZ܃s};hkkWJmUw]-+bW݃v{](?ɮ?aW*wmwPT6Ѷqs;/83 D²Zw 1,4;h -Ռu˕܂{f-m|7=lUpy{tWB2K.Fw"o"F9z1 D(Q\>sEQ0Cč. _sm(&=Tje3.2>cHxeӯ{\qvde#]2{| oOX7;7iMt;wi ~^Yt![UEf(V,!&^@ػP2_Pu~-PwJ:iV:OTEf#z+ *oTEX EMϭ|J9*[ՌPW)u5wRW|w(u!wR|({G >J] )u)wRAG|Z ynRꖸ~H}q[ o7 )7nRj|?-q=RͯCJ7)u[SnD5`q#z9nDJ=v#jԣ7V (Ѝu@oDJ#jVU=fqgǝ^D#HP@j@  H6[l1I-oH`>. i@G[uTq7TW4a[g"ފ!z ?3SUg5kԛ p$/ 齓VJ<r ! V-%U:ZN]~\xLWRP?:goN<θ*kKvuH~Nf̛zm?1rp^#퇜nq^!Ĕ w*CS^ޙ/H${.$Y,fؔn\+|*pUÔ1љ^:ʘx2'؇0N@zQNz$,'c#Ʊ6Dߘ*=g<g`^!K^Ip5]WaYcv`-&4ɘMKy'xNҍC)z?f8 sxo3O"SRY$]~(_Dh-)$ՒysEqmՌP7kXlO(/!Nbô̜ʨ2 l1`ʬ[lUVwR#_;̕$BNO/2/eթZi+8'13CTfs+A'RpVTYqX`S\K63s_:j8/Mh2]vı '#|6E29$^.MKtD'LFt9JLgrq8_n&( \j3H, w>%>\7 _.j%>stRcwk3K㼶t*s H tu˗wl#V!X7K{]~9 ;g;HBX4G>RPqӶzyvF=mo7Q#BmZߣWlU`3j2X*.f%ҊH f'کMe:jyxü3<ԙ[0o;7{Ho~WDsXpvL9*YNLR=ѦYVk9A< '$#3h"7ޠJ3 DCs ـa'i%O"a FU>&lT\x٬)ЖyVfa;b|V+CJsE@mpG 0V&]SSW>8:#Wbq =$Z. <=r >FnzMy9VDlg`=%]r FYM(Z Y l0sĩT&%X ;$% _IR|Gx8V9KJnqKږ \Z|+ұ\]HT8ܕ Uk.U& K+K ߲dp M)JMv5 CWf ~UAW$H#yl37MU(aVWBU&zmp~Ӄ;q]\Xn)mfyRj>R§R86ytXKH5K U'/"䑏1 >(qcr*m9`1~zj A>hK䦟 ;O(){ }h>'m앯%uWʖ4[/ Unq$Dm9tYiJKsSTe<^;md9~ endstream endobj 15925 0 obj << /Annots [15926 0 R 15930 0 R] /BleedBox [0 0 612 792] /Contents [15931 0 R 15927 0 R 15928 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24366 15929 0 R >> >> /Type /Page >> endobj 15926 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15927 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15928 0 obj << /Length 19 >> stream q /Iabc24366 Do Q endstream endobj 15929 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24367 20830 0 R /Gabc24368 20835 0 R >> /Font << /Fabc24369 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBNH endstream endobj 15930 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1449) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15931 0 obj << /Filter /FlateDecode /Length 4105 >> stream x\Ko$ W9@+z?>䶀& O'= XU]%QG#"j}b$^cozry? n]޿/; }N.<-_HQzPKgXw)!Ny'^Mnz-+p|T={78nՂC&1xyb7*"]DZК`>1]B<"U'e%F8N oչIKt7mJKR;^SE?]u5ֆ;-b`iN .'$X:MK[V<`MN`3Cf'3 ÆaLZN UHy紷UݷخMmQeȿt5@O({0>F (e8O&-@ٰVqp܂xtqL*f)3LcH8" $KrOR2^R-Y,s.`:ES' cmq<i ^Wƃ~/[TAURzVz AZe㯎PwuQ/7`ir1'Px 7d"|,7G\FU%uvǁSvKGϬnXل.y?NIϝGudQw[:+W$\1 VP__Mў\Y1r.1*aIkp1wj 5RHrE{58{]lI25zl+s)^ f*,% yg \ľ"g\XTN͞\yUy*:zTR4f6ٝk0N1MBFTb]^Y pQF ?Ns 6I%ـj&]) 4&rKt]0Ri`<1R<B$U"]kókEEtHĎe5q \tg"M_W  \ZfJ-<vdfJ-/{J\2CUZ%X0\iղ Y/pHUAӄ'F 2qTK>`!TVԑ+Ygl,-zc6 y%zm<@b/;4lv׭ =iC%mUW[X XLV0.mg ZR=嗐L:&ϤL6)px䰶K"_gm7!q5\XB7$k ;jj7]îgECfAy*PTHP'H|eޙTYҗ\ЙBFue*+H-2bZ#hiVjJ쩚uvԻrbgm%UgUͨ@/9Fܩ [mČzͅ!qD;MGb;K44CDMQ"9:aVZq5!ki07 IXyDpm@]mLthDq;>*jb}`N GF)z]Wr>V5|G0L>&f̮0ck8D1^fb[F*?7%^p-zn1T\10l)x:Or4SFMexrz{G;yʹM~{zש+`Gk;Q*^8ᝥ̛.: 9>ylKW`fvѝJ- jE!z>"Jk {آK"W!jg[$jS˯:~fku0FL2T/e;oǘhUJ\;$U[, x9ַQg/SYTAñ[I^wc{4B,Kg{c4 rcٸ`ٷ1slͰ2کNMrq~Md]TTڟɀg#l^P@bYl?D/BtRXq I{6ҡ(VJ|ӼO,^aUf}g܃5!KU^oEAf?ih}*`KkP­-a36vpivpor(|1! K7~_ Ls힁`4ڣdДkx;n%gkɗ%³Y].j=K n_#w*vȮ4= ie!`|n Ip g{*MT;E !g5*g:?4˴"u7MD K7f^}iAJf$V7,Z[_,OW]گ(2<&Ֆ~:p)Y,_>U"CơG81pIR +>J+Of vjߒ/¶GDd|b>nOii_]J}<J[Rψ>py7:X`,#.YkTJҖ`2UJyȷ9mY֧!;L.dLv=tVtߊm#';`r.)B\?"}0u\޿lhbj>H=&|_)U*BuB }(1 ȟ4ו{n7JU9-2\ܗ})i*%U9@g ESh5)c0]y zX?5 F{O _> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24385 15936 0 R >> >> /Type /Page >> endobj 15933 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15934 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15935 0 obj << /Length 19 >> stream q /Iabc24385 Do Q endstream endobj 15936 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24386 20830 0 R /Gabc24387 20835 0 R >> /Font << /Fabc24388 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-ZݛD endstream endobj 15937 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1450) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15938 0 obj << /Filter /FlateDecode /Length 4592 >> stream x\I#rWـqg(P* ЀOmg=fp 23UZʍ Ƭ,]+e|}<ߟPon1fpVA˿h6ulVhmbHMp_sӇӻ^.|g m. T|w`M$8:نkfM>@/<׷3u3!g&J/:VpEq7K6I%/uAZ❦SLXCƿ [<5./#ּ.~jkuṶ9Dm+>@Zp J qw | G+E1!RX.rWX /< F͠ohF5̘mhlڭ>G0$4@؆ i>1,/ʅ ܉"X t!wH@ɘI.ֺ5»XjMZ`ݠO88(.Y7\"Mx2m)Tl4y&M{02054m.xpgb"7">neUoX~l9-XE4pD球8Wf"M1ZhPcdB }Әe= pTN/4Ig뜳@!!*mo?6555!<[Sn l4A lkVZ3Z-a[2Kr/֎}u+GGK{o7<7YqnѦM0l|jXP͘ LZsJ8})3ՇsY ?ұ҅]( vo2KS{.=5TqHmvU-> h0I"n< *5 #a]XȺKW &o@q^5^%yF_Fn.1xgYc86fL& ƅ`aԎ5'p\_U0 q`I>Mk \3Bip|4O4O=@UuԁAgdZe)m/ Ė~ `%(q<,mKq&fqi̡ñEVy}0MP%G r׬6` q5ܪ*{cT h6 ⽚{0jn?>p5ڬ(H}m1_ qM~>BQMl6De3&Ⱥc!YKrGX6`;Q'{Yd qgâae4I:))/0"))/4K+GIN+-5%]9 *=ӛ\@5~Ȭ?rr`cjx_ìE2lQGIa4"Tf} u[í`&DCJ=j"{ѽ۬V5F-C(TAv?@& OO)0RP_JzHk`VZ§ aE7I=#n,CfHՃ] |D-ykՕZi.[V{Ju %O.`=TW%+"drumŒl-u*^o@0Dcؖ*ݎb'ӵa b{3SөR7ωN5sd aG P)uu_쾤;s:pl *GI#֝-Dys"Ӄį BĐĹ5vB(ITp$n^ :$NHtHj)GWy02Pb@ag |*M3ERbmKξو5[J ;5ĹbWj4p˼(a9/f48I_J~5I<D*gEЌs!vRl 215+Ú]m0 ւR؂w"aox hDSs9; 1zͼCg`m4f"K8iܑqVFtX~ ٜcmg}uޘ LQcgWu)Q͢}9]0]/j6_rb[V>tg2CjG=oȩbY_P_̩3w2.q(C{C#gCH*%m,N}ǜ ;ԏQkM}#;՝&kŻ 5[W&*ծS1VvXzvOj!PܼQw;ߪT#ڭ]jzQ( lTj6x lF]c x'u` ZR%fZr=?p"=Cu~*uA}+(Д\eje+5i}U6h>($$O6R}^Ž*;2P_8K R1{eSux#@6\ s =^Ҳm"-niY:-Q~l\!NMrX6Ae7jmUq2۝>{"ztҤu2λr!g 3GxMg2J)Tcji{HK ЖhNeSaVBidϧ6/"HGJwT%f&'z3cV &Mj*]x01xI8v&{fz͂}8p֨ܿ1Lt>vuJ5=XvA4pNKNE!;Nũ{{m=z/]g,L RC eJ vm.Ѵ#j e`ڐܴ-A>77U_\_u^<'0qjpUa?[Sʁjz<]|^悥77B4d}{\Ty)fjѯӶRפ~,`>X~,i !Ƃ w )CӆV4tT(,uzt>I9jO4rx5|\gj眎z@Rx<&nrPz}.'Te(#UnqowP/@/-P<6(ov06ͫpBeB '3SA}a a= xp0-2X0 W*s]v+(!1#gr+Nq:Zh?Ppwz:וoDW/b;h&`!;)It+K;s< ۄ14U] 4}$֖@ĥk/ +\%.2^8T\ LQj_p-\|Bnb1T.;q_ѡ=((i=0b=t[rRaw9vKDިrw>qyu2:Gvئ1ʖ|G.C&Rl%Ӭ|ɭ,T_*l tBLv= V~+1 6 &M;`^\?j{92Eo);H~]Iek/ҋ7ܕ+ᾭ[S #;}pc1wHo<>6wp8z)K^-@J|>$H2Yx!do 32,1MCnw|W|?d§~'ߖ,/Tbs-y{$N5Sy=Qy65+ otp-LB0t&<~sAv8j`^G%8x5,ƪVF3#M}1 } |,,68 Vfo}f3#R̺` ԃ5-ok& endstream endobj 15939 0 obj << /Annots 15941 0 R /BleedBox [0 0 612 792] /Contents [15950 0 R 15946 0 R 15947 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24404 15948 0 R >> >> /Type /Page >> endobj 15940 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15941 0 obj [15940 0 R 15942 0 R 15943 0 R 15944 0 R 15945 0 R 15949 0 R] endobj 15942 0 obj << /A << /D (unique_149) /S /GoTo >> /Border [0 0 0] /Contents (get_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 227.0539 202.7277 238.0539] /Subtype /Link /Type /Annot >> endobj 15943 0 obj << /A << /D (unique_150) /S /GoTo >> /Border [0 0 0] /Contents (read_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 210.8539 208.5962 221.8539] /Subtype /Link /Type /Annot >> endobj 15944 0 obj << /A << /D (unique_21) /S /GoTo >> /Border [0 0 0] /Contents (report_qor_assessment) /M (D:20211013063105-08'00') /Rect [104.1732 194.6539 215.7957 205.6539] /Subtype /Link /Type /Annot >> endobj 15945 0 obj << /A << /D (unique_53) /S /GoTo >> /Border [0 0 0] /Contents (write_qor_suggestions) /M (D:20211013063105-08'00') /Rect [104.1732 178.4539 212.3912 189.4539] /Subtype /Link /Type /Annot >> endobj 15946 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15947 0 obj << /Length 19 >> stream q /Iabc24404 Do Q endstream endobj 15948 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24405 20830 0 R /Gabc24406 20835 0 R >> /Font << /Fabc24407 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭa^_ DZ`O6Oaeΐ"k 3/s#9p!g9`Ni)QXI*dzQUh^@wP".*x P@E ۾{w endstream endobj 15949 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1451) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15950 0 obj << /Filter /FlateDecode /Length 3448 >> stream xɎ#ί@ed 7 48zx.kR qM,[,.zQgRݒ4N)qzY~EKjT f//_JO_8N`ߗ2*OET0J3<М W.Oá.K#(ʬ12O/.퉞 AFSp=G+\b'zÒiAa'C9O-@< Et3b[7N!a [ "]1Js;A)cI-և f)Vk2HY sW^ MJAZ@9Yqf`U&(i3*F V{BJK=l&M)ZG=2y|ǑУsq&P:_cv 2ʹu2IѾh(ME&ѻEETeSyljL٥ms7jW0厣VjIfC3cSn'_yh<1fo"Yo. '˜,Ok;bS@p١CbB.¯ ,PJ̓u1Խ._nB%mD`hA ߯`]-Ә'*5.:ce (]pҘh5L]Ɠ_C&\'FZ6T_SB W[O>Fa ÝnX22XO1SۤhZ"8 p1 HGt5E )`)1!=%i=$#ZwEeNt:i\&e] R<=Wg:ޯF[W[t'5G&%-qfKSŬT1jꚶ4ؒ",E%߂~~FeԀB|:y'm"^n" [tx\~"y)s7Ke>U<ؕG:]gՈv F0앀m{`fqY_\uM I,@Ĩbͅ2X=>+wx3(}ڐKkSIb8}%wX,VF.PUaG9PHTl|x>Ws|1>:DqiJי40 4kzc: r@+t=?a 3OpX~&& Bf5}s*8n 3>ieÏtcn" ,g o\DT4!q05,ƪVvz<%{7ֹ\YX^@`Up';> JlZ0u!"×vz校L endstream endobj 15951 0 obj << /Annots 15953 0 R /BleedBox [0 0 612 792] /Contents [15959 0 R 15955 0 R 15956 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24423 15957 0 R >> >> /Type /Page >> endobj 15952 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15953 0 obj [15952 0 R 15954 0 R 15958 0 R] endobj 15954 0 obj << /A << /D (unique_77_Connect_42_report_commands) /S /GoTo >> /Border [0 0 0] /Contents (Report) /M (D:20211013063105-08'00') /Rect [90 255.125 122.692 266.125] /Subtype /Link /Type /Annot >> endobj 15955 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15956 0 obj << /Length 19 >> stream q /Iabc24423 Do Q endstream endobj 15957 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24424 20830 0 R /Gabc24425 20835 0 R >> /Font << /Fabc24426 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4[//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoP endstream endobj 15958 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1452) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15959 0 obj << /Filter /FlateDecode /Length 4846 >> stream x\Ko8W<@j& 3Sv/]>ei FDNNfT Vi2'k䦗߿ht|g3ƒ*gZVwQFRX)w݄h~"T|#DŽDpA9 LQ&[<=Da\`q՛Mŷ2'[;Ȣȩ!`+d];k(5^D8ĞN|5{#XARm0|H Fx_,;2x8wfѧh,2O-=>LZI=(aat-;:m-{h>c4b|$!ܤɛ5 VO{hDO9Nu) p=#4V Vrwh"G7~Td;$:$". }6}ӵ(:хBA2ٚc3- 4=O ,'ĸ'=6zp%"۳[3t|\28 ҈]3TMդetBN mJ|U8p{r})NWf>Ka/_ў8 p:)_p^DIqzuF9e?8y"Y.ڏٴ+ݤ#ޣGpm=}g ,H6OWUoEcwebvo%nzr3^Rx&1z7}8GN$8UtlDPy zC*B,a @(D Ӆ&PȕZs5v,i6lBHtֺW4SbS"ݱ։kH @ЩbCATv /U8o\߭dBT37Tg8+ނ,7WJ8X?/$6RP;:^ "kX%/a18; MnP?YBT27v,iVX`q VÖ,UVDfJHcE앢+d1v .j䕝*fZ6_ E颂KjU+ԕIx#ˈWy Nj peVQƔLF+݋28!6QD{T3nVH7ItUb]IU 'AjNIhuNf/: JE'{NͪVT Ns'O:>(J1rPg Y@} S{ OˀzON@ݐ,# '%|Js>u;ŸgP_)}9wAJ>)y$H9@Jx71H-BJ!5}}/σJ]x7m}Jx/.T։A.ǩJ%N*uST8uǷ=}N(̗iK!I &Ǹm6t}R|-7@˷DxHo0qs/ލ!%SX_pm|\(}pQq ).%reks9=ct#+}rd{Æ8ҡD(H0nWX u?G`?)}-^:v?rEϽ򁐚ހڵ4}Oj"{zPdSy{ Y޻qe>g惍: cAu)5fR|D2Cl=XótdLp=AP.IЇu,uSS$EiG,y ~>t_ܸv"= mX{H0}w$o&B|r洏)tGqۍ)G49f-\ -UMPAU:*d,KJ 2Yr6KIȠis,QOi y+<ƃc-MU78@QV ֔OBuZsYI0& fIUNcnjrkDx4fYmQ¡AV6A1# (eѮ9'+JnYfW2UIz)`iibh=nl_uiB]tdX7y %"$zWlmhN)-fj54([g\tHzє-lA)xh+>!m8/v< 1a sm\^'N3E;jR>qc]K牛T?\m9n\Wkw0ϭ]]:sYZ`Gv}> lP;iREGԁ eh)ֻm){JMhl&^~y:!3h">C Js1;p>/9}i:C^uP5Jh XQse⁼0yV瑢hz7{x-jD-qME8RMo|ru܉RPiTr#/'i_}+"=;oi r)$C}š k)@v UJg*|#I+:[ '|VxlW\*.ekGZ;2> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F7 20869 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24442 15964 0 R >> >> /Type /Page >> endobj 15961 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15962 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15963 0 obj << /Length 19 >> stream q /Iabc24442 Do Q endstream endobj 15964 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24443 20830 0 R /Gabc24444 20835 0 R >> /Font << /Fabc24445 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 2~f| fpYw.Z 5J8.y-xvw7>6W^vqWsRƐ ?WG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4?@uQF䴫3^ɅQbQIݷ)hGON٧j {VwlVbVxM^RuTkI6^U}ID̵RLsV7Ӭ/~od"ɟZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9 h#bwo endstream endobj 15965 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=1453) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15966 0 obj << /Filter /FlateDecode /Length 4469 >> stream xڵ\Ɏ$9Wعh_Gan$0Arz0lMRj2jTyFQ\)YjB{9vgmٕڿuRv%nc䀹>MJ"M>o1Ix>8~o2|odz!~ӓ̿k{)Ϥ< Q\y,> fe?5t o&=mZ^ۍ'u|"p qoӞdII5)yдtu٣"h  ݫih&K=ı\H ,*N;qƨ'ܞ+_IҝIuҏ/HQ3%ՓGK{f0uv$Qf]s)T!BV#p ʾsXE%|DX%Octޚr:)[ gC@B2o3xS)0=l q~)Sv>%xadKKt깳gkֽr- 㶡+`f[]Y6ey(b;',M*Om&F*K$ٰì{I0tCYfqJ3J8|Ipcp 2U'&ά(h@ nR-ҵqF )o|9)`N }CgXB#>}py8yVDau_u|7 Щ󭞄qG4+kf 6d^W͌5sa_K\Q;QExBL!Ԫ}(JT6 733=o |ށ7`:pp:߃^.o@~`7?z#J;~fHa \tb;$OV g/fY+g> --+;hi= s9֪<JǺDUkNC'@|p mDOPjNY\YԶ+R@7)T-(jC ={!I"=ͥ6)A cn _r?4\~-L¶ė/?[ŗ#T0.!PY+M[FbwR[1 D-~0cE=( AUnŋBaYRm7O jqK_"/}]lAnl)-z#{ Hmq]z@EؾLu_fWR@u.+p@}|+ !*~Ǻ7E~d}fe*H_b0%rףj[y1hǺAKNMܷ<,]X hC}׵T/R-P[T"V{bP51k1[Utid9.΁dZQsS|tWqwcݐX& =- ( V8E6-AX!G!%>*5+ϼbUhR%Co!B1g?Ud:PCf!KZ.CKԁEQhRk7Ax7/[܉fLmU}VqFxSR:VsJyc.>w' t'r/js 4"icm*@{kƥ4یqcJ'&mź.)Vfj*~usdx-2ƷX5[m)'`j ;/Bp){ǃ'Yffc' .K3? O}k`*Ɲl ,뤧[v#;KJ ݛ ܃xgW'{>OWEm5:v fӴLE^%%Pf-[/4:t北bZR7Em+^ĈmV6 BA5mnDHw *p(W{B&[y1DE PT !n[\ؽQ`؃c}mMp*H;л rtN@[N9ߎsf`>%;޷R&Ni/{)ib(=Qz2m~,E$u?1צTb;j7Z̆1 O[/Ei5ݚ=su_  ]P IS[\4u%h뤰{#b^# .|)f/"]\\qr|%":<эc7mxd:NjW@?$Zn\#X|HKzўm`~"2ng J3=#Vky* hg烏7)mmdA dmW1э._|ge&m9s))c? l/EhW'Hǿ+-m+y&0׭[i7Xi[&yUVm^2V[p=_Dx+|Z<ѽe{npʙ*@= layՠ2/W/7l&~O~O:O< Z.yO\=ADk ats ҽk*_Ru9g`,؉Bbud5<3g1=K兯S~EgS!ʒ4s_Q ScQXoXP0˸.0l94 endstream endobj 15967 0 obj << /Annots 15969 0 R /BleedBox [0 0 612 792] /Contents [15976 0 R 15972 0 R 15973 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24461 15974 0 R >> >> /Type /Page >> endobj 15968 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013063039-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 15969 0 obj [15968 0 R 15970 0 R 15971 0 R 15975 0 R] endobj 15970 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 153.2886 145.4837 164.2886] /Subtype /Link /Type /Annot >> endobj 15971 0 obj << /A << /D (unique_618) /S /GoTo >> /Border [0 0 0] /Contents (report_utilization) /M (D:20211013063105-08'00') /Rect [104.1732 137.0887 186.8932 148.0887] /Subtype /Link /Type /Annot >> endobj 15972 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 15973 0 obj << /Length 19 >> stream q /Iabc24461 Do Q endstream endobj 15974 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24462 20830 0 R /Gabc24463 20835 0 R >> /Font << /Fabc24464 20882 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]Y=1W^NTs_R!*p% d Z%LB.FPBD1LS4$dqJU$z(SBD* ^Sl\9 " o=kDN{r=C XtlP[QS)hG_;QOj3Rȃ+6+1C+p|ֻ^k: -?=%CO4i.$RG"erk|Z-48יq|mau3ͪ5ۏFx|1$IjQ<<9C99 3/s#9p!g9`Ni)QXI*dzQUh^@wP"KvPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 15976 0 obj << /Filter /FlateDecode /Length 3638 >> stream x[K9:|?a4@n!ɉ7֗niF366kC.Vz~UFi{TUkFK~e9}R~6pϨO_Ƴ.Z;etPYg >m~g:];O`W(n.|(Xm#~?(5YOa59=,FÏOģ.IGX^Of/ba6$.uyPD- 3|"(%1.ccoܑo[{$(nT)Hp K_}b-mK>⧪}k(ĥ=vIU9I{ %szPk xdEBڃ4H>;30M KRYy5j; rw4P96WlrWH?vJ!f a)ǽR < +bǑ3ePm/-~u _n-%4) 'չ Iׁ^ih`\HJa^+-ɤZ !Y}:Eg& CqЪ轛u}!&5&)\2"nvz4"iP q9[֯9y@cfG 1R5,8&;]]nm,d` ru]:w0qtƹ M(gټvaN6Ió':*XH7 1L@3$L߅B]zFSsp::ZMEXǒ ver$6Ʋóߎ?9:jbVc}ݖɎy vuroלs)UXql..1~ ӳ_Me$oo ю$_1Y0n$ Of6#hb`rZ-D@JNH>]k *"MNA^_Z5e}oOsЩ1^;Uw5wGڑ?#v:b+pI&EwVUbF &UHzQ`,}_62YT&tj>1p8."97aι^u\<)ްonwy{ͼtT=E"%qZ5kaviǖtV)6H:ϔ27.5.~}[&uCwf,#fށ+/J l*x#u dḰ(5(6H06 ^& 3Ou# -4keԫ7͜\Jn^S]JaW(MnJ\(URUI҆XvE2tg~* mjز5]YM*E,IFڐv+z~DRHd8t r24.jW6OL kEpX~Epp&3%hHNJ`7=48['ZgbI$MG \ ' .yipjkWQa7Kj>\ q2o{hdLw6¶g(lF1P@H@%L{7v8yZs&~SM+YEI&RRpQ`K> ^*݃[mrҩeXcA䆕g"\),bme}Vk(..\ ÿcDp&"/gs` u2;B6?᥎Q`rf`vq!GݻIdK&׎jΚ E 8&}= et}GrD ݼ<(alה6 Bj,WbH̤&d@mOH5Z'3hixw:2={-Wy jn޾RWJ3Ֆ/Ím 9-| aR\xGqtu=r%-kCa!n;X\ҁ8&}سGcs\-ĥ7sj kkk,Ø!g`gmb+$_ѧ"l_pD_˟ROڼq7n](Z_Rp\x [4 nfoZLK%ʉ`[.S ұ&38oh4Q',`\Y !x~/oA.^> xr\noBm#ф>8ލoquh=fDSXm#(܇Ŭa&Tv5 ~|N;BRlVx]>bIqmA)9EMwVQ/˒Q05)_})k,!̕eAↁP8ٿ;Jdo/W~=Wk7z (@ l@۟<%L vxM}[_=!;VY,}4w0,^-ˁuʨNU}ˋ2

s"$eVӜ f\kkru?qj8,JE>3 gg+Ӥ6I%@FN«|.!Bf6bt6GM䏤E r31DzБqעeWd C& Vj."9Ӛ6L'ٸ,B1^}~濆ÇG=?T ؟ф,P`;9aS)c^b\IJGXS=^aVEVcЇ;%z_|[9&ZMo1OiThl!*cia|r\H V3E:X0'7`k~udL Z^EdعN6jMxGZ?@<2 "יZ]XGrmkr X!!B2xMʥ ;iMN^`.,0p0YO)!lgv [LFs9;.V.($&`EJ?ހI}Uv2 t(ڲG5 .PW>7k{*ط@yZ[V-G}(|^S>*Zr2?TGbdMCY<;a)5Qr @hA_Y"`sc`8ȭe #7ފdr$QթV2on!Aq{qMlnoD&ffؼ;>.Oya4'\&lIиlq=LJ$gaVCzJV_]_yqGS}TA+96si9qNfRe +fz_]$0PY3bܓy6"–N*9jO4i+Gs]АQ. ;L?/bC:a(Rݫu8ԑcv9§%5n-}>kq~ -G0/Nf*%ق=UR Jl&)gdP d endstream endobj 1566 0 obj << /Annots [1567 0 R 1570 0 R] /BleedBox [0 0 612 792] /Contents [1572 0 R 1571 0 R 1568 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc8806 1569 0 R >> >> /Type /Page >> endobj 1567 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062929-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1568 0 obj << /Length 18 >> stream q /Iabc8806 Do Q endstream endobj 1569 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc8820 20830 0 R /Gabc8827 20835 0 R >> /Font << /Fabc8844 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=knT-=%CO4yrCodE#2yk|Z ip3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1571 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1572 0 obj << /Filter /FlateDecode /Length 6396 >> stream x<Ɏ,7rw~E(4ed=çɀ~-̬7R*d0{s}ӛ.Z޼ے.Em7i}u(b`UP86|Ҷ׋Qr*sҊ /uuۛL/ۮ;!wfqQSA0 ;GPBU>pS0@w(#oVCf~~>ک֖xt9h4[ع_%rG\ %xDSG\x.6,;l+ OmfQԀ]~I[+Dh_fK; ; iLLDx("ХHi뢰_FUƁݬzי:FpuR/ *P(d@e*e, Sm=kzc^QF`IiSmҺ x&I5C=< ,`ӢWuP.b)>Ngь"uiH1:#c> H4k߫#!փ=<3> .M;Ql\DlVWND)ìg{0@zfC`y:Eo= P l_.=&ͬP= t8P%Na2@JD3 ,sB%w䑲BfO+ p脊`Zq̒ .0Atf7౨ @G8uTm@B6ns΁HA_Hĵ`IeTSGW1Pp Pny?`?{|fv44QC4y0[bOVhRاeԢ!MU?G5bY&nB*+X~ Z|(3N1^kW ߖ~ ߢll`QSwx;ső;S5#Ff3q jz)ZG7Fw' v5nf %in0`MQ`3I֜JJ:\Bڼx"KC9M%MFFnqA7ioڼ60lMJa&0]sJ I]݂8P_L'ɩG=<{b4˚KmfI06Ո^ HN$skmբ63vtQtyv;:[fNSqc>ij%vN#Da$RX-2>~LN㔄Vvm~$S2#LJ!L#a<9p @_œӔ&`t9cr -<'\ž "; :E#uv d3:@6'|(6M M&BK!x]*18 G9]C F37*v Iw$ | <>s vUj EHKXN`mcFx @݋(Omx\z0;E06c P7'Q|r'p+/ FuA.S#Eejp@"EڅSG``y٤6Y30mi'yjԤ22K $-\00X:FF>p(4-DH}$رʼnN !f@%[d߰'{apwt͋D$݁u =(.GG](REs"z[V؀;=;2?o=H7pKųȅνH9ة|oGݣ)g4d0hAQhOa;(;#u,{(.~+俊u%޴E*1:8KF:)Aa Lيf 4 ]**GHFx]`TKS۰_uTwM))5gEZ9XjX,UYb=ZS-puZj13za0ާXSzS}i9J0c<͙[ُYH<TY/K685N?,iS9n@:67VU[ T\pR즜ɦzI# LZʑ0LSJ*)}F A%ab.ؗ(-;;*ҀVҀ5dq=]Ҁl]}nAY \e NKݾe ]ty 3 Z85TTeBG7I$%pKt OCo/wq:mqׄ[ O@hj%<~biF1=)]jL㠘v=g!z_)1_cxsg#9r>a^>)r'l/ؾ !)ue*znYCX?y0^U q^ϗU[i".Oíވ\bMiJ<ڤzC%b_7r6i%?"eGcB"-:K[tvJȷFįtzҮ5QjT"Vg$noD䀸!2^q!;HH;zn_Д*`nY:U [SRX4[lRm`Gvӵ˦#Ϙ>]%0⋳Vi]r z Ľ!<01*v^D%W]K䭊S 'bI@,%<OҭR4+F84_(xX*rD掐AͰw?-qQ4~TMͦ/hֹ>C{GyaBk ׊AM1\]=~(ݹ01T ? ?t j_$E4ہ,ѫfڙ crh$v}43]CD2ulBuK@^koV)} ۿdxkL+eeNp.78MYzIHVOw|3xNr!=[/jJv yYn=&>oq 1vtRҁMt 7FM ^/-IG%}Ц0dkvi>x.X_vWM"!1N M`b\]fiN6sT)InS 2wkO#kr|r>X߾|& sO{i z kǤU9^Z|YM8XCNw6ꛀ,o 骇l=eB{&P&QV^ݱ&YKWvۂVu=nyE-Q'*;$j}\Ɂ)Sau迭gwM&2፣LvbܫȵLe}T{?n2p[ґm,kqUZ_V(vwdp*ybMgMC˩/֧/x{&u:yU}TXP[JV\.hQr7$FY4.TΌOxi3Zť$_p/Q!_k}QAĶ|R]:F#eBOj0_>jƊ6fK4iZ{i#gX>=ƌŨyZKA2u>y}{݊i`jzd C/[-$;&$1ZvLnv=LV gF7%l{tҊn|bP',+%XuXaKUb4G@ϩ!-/; sLf=M*W<Uy1oSxoE580W!gL7#Ьcwt׭1OYiu}{W WkOwS6iMA_?= qe?`Q{Gwt{??CkEb;M}WT_tY}ٽoY GM9FVD+݇RDϖqPE[yMVW0OceWV~G4bXq (T: endstream endobj 1573 0 obj << /Annots [1574 0 R 1577 0 R] /BleedBox [0 0 612 792] /Contents [1579 0 R 1578 0 R 1575 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9011 1576 0 R >> >> /Type /Page >> endobj 1574 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1575 0 obj << /Length 18 >> stream q /Iabc9011 Do Q endstream endobj 1576 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9013 20830 0 R /Gabc9028 20835 0 R >> /Font << /Fabc9038 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]Y=سFw!g37FEo5o6}|zǣ|"j@͠zfQNt\gy8R-~k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1578 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1579 0 obj << /Filter /FlateDecode /Length 4132 >> stream x<Ɏˍ<@wܞ!'<O ƚY$PH;,mlzS_1˷ӛw[xKLo V+}tHJ};\J'L==y?o`_m]PgbRzŽF32G6^nF Uh/*gX+p0境u?]i^A VW=>x`dX 'PVO12nQ 7RV LF,]9XKY# } -,t#[ 0JMN32Hx.s%E\q*œMY"5H>"&-P *GL1=&НQ P.MxB&2j˂I}U6q$㼬L),j-*uN!‘6ھub[-cLy٢:hdy* ,TV#qOAޝ26Mn{rsQ;p*M%4 ENk(Fىɼ $;||lT>l.Er`;r0]8onmMw!m-,;&؛/]? 6hr"J ; +$"'T0hM"ԇIEdنC^EzLXECy`&9[\W`<}0X"5ǃ=^FY[G k^)g\ wO4+>ZiU/m;KdcUG>^6iTO^keài~1&i~ՋwLwZ(ą1ozٕ0 V:}˫,m 7>*Q>,m.doeu١vIf!z_JE֤QrxrQ|rrxJk J9)}RI~diPq+ŤA1 F|]f*HP<+p_B/PD Ǵ/;?{*d, @h >Ck0L5[G|Ё:+@!҇N kv^Wp&$SI259KYpw nM$zNnH{NBb>[j6qZD(3nt庆GV$c9᎜(usfO6>9 dKhÚdܴH_e#[ xԷd*\z0A}y$ZvX5[mWtFjup,ޥbfEk=`c߻j*x8vc j.IKڕWL3Ijc黢*.~¿ox¿o=z )~`/n.Tt\~~GG]XR'EeaCq\~D0o4߻[9BUOF 2Ze Եbjϒ1Ӌks156G J#i)'Eڮ(gK4nib/2 vP~lZ|z %ߺdNnڋw^mqPRSիU>6ǣ}4n$:* }pP{N OP~9bT(u 1e҇KP81[YNfc9L(`)ION9etzR+Z[AL)+PIfVn {Ҹ:Mcz(d{>_UcԇatGүb1iQJ2qF 6ng'RD ߞ;4`uI{MVٻ|eb+k;SN<ӮtRMtw=QbQj{8q)sG[|j7P;9V&v*~gxCmrC!0r,1+25`'hl4<?@ b@܎X)&q C mIL_7m.f`!biMmD`hA @ Q*rs|{X~2K T/؂]r@T1<4fJoKxqʸW +JqhI8H>AcL8Է A l q!ɖ]S}"bS`]Bί4̈́4D7+s='tt=8(e?bR8,- q-=YNy$6_vp(ux&6݂>gox3:kKDviBhM_ũ&k^* +\/ Y| %n]KE9,'JHJ%ďRT!Zӂʼn( J22ʣQA4l;J%^_R‘~\~r4_xd#̰TYH iwꌯbuڰ-e0<Ǒ}(b9oT4AT%|)/o9b}Ed;@2lr]_ ߺ;~ أcr,[I阱cqf h6LA>#7KyΏ๦J;LC!12un@MWZnRD.bC3,d~O~OkS6iMn6;q "* s% AƿQLsa/\cgx/>1$QvSX*joR=2/y*/18fh+`2^Wve3=h\LS!i DH jw1 endstream endobj 1580 0 obj << /Annots 1582 0 R /BleedBox [0 0 612 792] /Contents [1594 0 R 1593 0 R 1583 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9197 1584 0 R >> >> /Type /Page >> endobj 1581 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1582 0 obj [1581 0 R 1586 0 R 1587 0 R 1588 0 R 1589 0 R 1590 0 R 1591 0 R 1592 0 R 1585 0 R] endobj 1583 0 obj << /Length 18 >> stream q /Iabc9197 Do Q endstream endobj 1584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9204 20830 0 R /Gabc9214 20835 0 R >> /Font << /Fabc9229 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶G`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ#  endstream endobj 1585 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=48) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1586 0 obj << /A << /D (unique_701) /S /GoTo >> /Border [0 0 0] /Contents (create_sysgen) /M (D:20211013063105-08'00') /Rect [104.1732 458.0615 171.7957 469.0615] /Subtype /Link /Type /Annot >> endobj 1587 0 obj << /A << /D (unique_543) /S /GoTo >> /Border [0 0 0] /Contents (import_files) /M (D:20211013063105-08'00') /Rect [104.1732 441.8615 160.7242 452.8615] /Subtype /Link /Type /Annot >> endobj 1588 0 obj << /A << /D (unique_372) /S /GoTo >> /Border [0 0 0] /Contents (import_ip) /M (D:20211013063105-08'00') /Rect [104.1732 425.6615 150.0652 436.6615] /Subtype /Link /Type /Annot >> endobj 1589 0 obj << /A << /D (unique_168) /S /GoTo >> /Border [0 0 0] /Contents (read_ip) /M (D:20211013063105-08'00') /Rect [104.1732 409.4615 139.2412 420.4615] /Subtype /Link /Type /Annot >> endobj 1590 0 obj << /A << /D (unique_173) /S /GoTo >> /Border [0 0 0] /Contents (read_verilog) /M (D:20211013063105-08'00') /Rect [104.1732 393.2615 162.9352 404.2615] /Subtype /Link /Type /Annot >> endobj 1591 0 obj << /A << /D (unique_174) /S /GoTo >> /Border [0 0 0] /Contents (read_vhdl) /M (D:20211013063105-08'00') /Rect [104.1732 377.0615 151.0057 388.0615] /Subtype /Link /Type /Annot >> endobj 1592 0 obj << /A << /D (unique_175) /S /GoTo >> /Border [0 0 0] /Contents (read_xdc) /M (D:20211013063105-08'00') /Rect [104.1732 360.8614 147.1062 371.8614] /Subtype /Link /Type /Annot >> endobj 1593 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1594 0 obj << /Filter /FlateDecode /Length 2414 >> stream xڽYI%W<4ڕǃ֦3SewlZ2+6EV/BION[;T?tzqe~~4l^kMEh']0vI acI-зTInr89Cy]#ZI{w tfB\O3c$DxY#2!"5P7V\EspmC#urҿ꧿R(5Xob5+~#M+RI16 ɴ8Ԫ;퀒 ~?diZr Fv=`f B&%_hBc행lWB'Y[ScrʱtXL؄/П~,M9hVk`h5բc5a$`G@Vaw{;a]^e|yY8}Hvp,uB@MRLƈ~=^Z m ۈJ {<ȈҥXȤK ~w>æfz݈bpd_OdeowxC&(E(UkggϏEl JBw.8P <*gq!6A$c(D8J0Xw)ioي {Pjӄsn4O,YaXֱ#y#.-cEӌzfjTxxbQD]8ғcYsW&T~N<!fY^jZB3)-LpdMP`C8BZfZ&mEfz'U]fAzB[.XȸRHt8.nv oؤZ Oj}D\ڸzn&;RXI}k´MqmPuRIX@Lv#ATV3@F*j!k2m7$՗RCz'J#TI~]J2x rRy1xU94`3lHgBx,?Nxy@r~0>[3&Xxy4SHw-Jm% ',`S0xŕB%0lDf/+S۳(* E PAeLj:ReRO:qt%MpGco|ITs *66LXz2{bm*-j8!..΃sdW0zT#4ZDpv> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9381 1598 0 R >> >> /Type /Page >> endobj 1596 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1597 0 obj << /Length 18 >> stream q /Iabc9381 Do Q endstream endobj 1598 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9391 20830 0 R /Gabc9406 20835 0 R >> /Font << /Fabc9408 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w. endstream endobj 1599 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=49) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1600 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1601 0 obj << /Filter /FlateDecode /Length 4919 >> stream x<Ɏ丱w~$s_BY6v>>0* tCjʧΒHQ`MjoKHzzJ*ISL9iۍW WI?PI.>Ex$i p*y5fW8V)UJKK X>O?I`QSZh)c|JA~rX?=OмrEghCwB+>d<2ρQy2a,kdɋEgUw{;yԀ~Gi6Dg D:% 4^ء!,A1Zkm\/_BMό)؏ a{,'Ky`=#Ox嗱  3Yp1CNM ӬE+fk knk|d𾙻pdXL&[,VfLVfhp }aƫx^0TZŒz~+MB:ZК%Jur ]vkrj@.~nR _͵?)ߠ$3Bi!(ē JUR/| jТ,_Ot#AnvRqh[Tճѱww=gu +uDUljYRn + n~qţ%ڒu/Aq&IbS2!{z]SY }aCS:0?=(1#$U% 96q%>mjJ21%fl ;>;?c%̐SxP*3.2.Ē=4R|mrtܙH"^EzLqDV" CEZ\O}WX-=!ar }ݣ_ۙk;X|Nvŗ{lս}#?~*_CP||g_CM! jj*7[~\R#n2E~^Y0Tn4#Kczݽ섶+U Nmp ]:r6U5Ms9qY~6d7>#waE"=&iY8CS\k5y 3-?܈ x,E]I.h*Έ2B "wTW^,H0F;OD&I A,q{R%ZTj> I:BQPcG0LqPf3\ @V zQWRd8[JTpUg&\$Hą.lXW9HAzr6)5G-'vc%NI! ] 337K3vAx\jf%ShIW[V#**}wq/i\܉J]/0-YN\X䠒E{n  LZ"pG(%.a`*YN:pc](X ;NJXO)̙)1-AuDÔо sP2ŚA.)-9om+ﶂ&0~qQI˨X 3`'bUs3+W5sdÍ.4zϩLgH;["OUS8l5n ZHxNq FtS>sLI 36vCw%uZbL%mO,=VȈfG5Ş>x˧|ځ oX|B1YYJ*m^\%ݓ )*4yU4Jz/U%A5 w鎁%" ȼo`u:Dӕ"M Æ27O Slj@+_٤ӕ炐 di0Œkӷ r *ײ7J(I!,)[`sus@ӎ,=Fݘ1wBS4%=r164LZkJ6< ed9A3 SZ yǏ:0iq!Ms!8| 4<0 16M~_Vv qQP*x%5^bn±|ޏielMLs1D*@Ȍ|pF!zspe HL9cw zH86 >q΅s_9E{z>yiɚ6Qʳ%R :͔ˠrگJT! o˒x "UY8*v .g>3Z+q/()o9xͭiu چ=fTȴ84c9,Т쑆lV`4F,g/.BkCT/'mWYG:{=ъb*Fiu~"s}s lA0j KCYvDQC@am-~B-ě"Mu8S%!#E2}x3[JǕp/6e`/nY"lmWQ)R|aUWxmQ&xέcj@c1 y`S5gugMt2weE[t6Hqs08UM?[ %lkX7j @\h(uV鲦F+\YE\"i;7UKr_~Nx] V|%Vu?)A|fs .2rIApT3⼂8})*LY&"g1( ~-c\]oYBHNGj5:DP1!grq^21:Z4Pm79N:Y!m0Y v!:8u$P 0;[8heإD?0b]w#/v߁ϭME%]r@W4b00Ѡ(w`BFIx %sf)b.tg nqauW̰a:-3Ԥk[5609ߧ$^u \}(ǰF"vɴeyJC]|ךgH| f̥ȜuWgߺZ3I `_ךs@ybO,Bn>k: t;x-:<<6~EqQxMM_f.uߤӁ(T`(bQȅY9אo\+\{KYZ[qaΏ5s}է"c1g49T!i,w)c)k"S)LD>\R\qt -ńB@$wtWa=wd8݊tFtו&Y_o%.놌 AhfQ.yDžʷ<3'kMWId@2tllf`ux.oL*HpKD-)yů 7?k nۆA@:\^ALoKns>C_>erv\펍yAǝiB!Sֲ4_ΈJm y䀌~G=o(pƒ:,nAv mYQ'|X3q<QY?%b"!tPQ/3A"aWGi]:QM£ϧR/J<~cyD%g/fK9֦i n|&A?p옱 endstream endobj 1602 0 obj << /Annots [1603 0 R 1606 0 R] /BleedBox [0 0 612 792] /Contents [1608 0 R 1607 0 R 1604 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9581 1605 0 R >> >> /Type /Page >> endobj 1603 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1604 0 obj << /Length 18 >> stream q /Iabc9581 Do Q endstream endobj 1605 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9583 20830 0 R /Gabc9592 20835 0 R >> /Font << /Fabc9602 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7çC;e١c85 e!Ui sw4_PK$V-dAQ\0j4ECIB%)7u%)!^\y( ^)Ar&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY Yv0Lm)1:}ɓ#LDURj)\Όl :n;/d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dxUWb^@wP"]@ p94C-ZݛB endstream endobj 1606 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=50) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1607 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1608 0 obj << /Filter /FlateDecode /Length 4476 >> stream xڵ<Ɏ#;w}EpH$Ӈ=>4TaP5{(En\iEHIqE-OHzs>7(|gj*%?3*C4 @]T%.]"rf;Kwo˷' )YVx 1]K,#V +ez _=qk` Exbh׵!,}.ՠJJKƹ50 saV*m9?>1TZ:oky_nmY*H.fEtW^=!MtgvARZybm,zL]a&Mèԡhߺ5ySo]2#fv'2( NFAG׊ 6"ڬ:kӴ[@&;"m k47c}|Jyq sFhs+5ʚ~ 5)P(U#9 /^= )lWgk[ TӚ: LL 6E":HcTY.{}BR-mӍ/nijd!rtS loUM)`ƖZ_1M[A>\tV 3WY#lR6ڃ]qͲjufUVNA[) / X*X@$iN:1LČ6*K_V)iF8^*Ea$_H w7EMlX.==Y1#SOhng!wu$i p: ɏgᇇ["D-Pť8Hn@MS^uJwuV"[aXsƃn֫ k̚ 8%2EO<QR,ݩ$Mj'&0^X_|RX5%;ڋV0RS⩣ʃ+5ʾ?\VN3ayy(Lm {71xn%sG Gvj Ӓ#Ucڮ97~ȦXƑKv\]z[ e4՛(SVm_E/OԢn-ze_0Ƹ.N91]Uz45$^a^ 8xHOFӥ8k^^ׂ@ v+AU+p/̀rc:xb l(V(H+"cI{ػ%{<<e{;C1B&Gpq'.l3:NB*WD4^R>V-6SR\pw޻C5WhA~e[`dW9I5Ms-jU>v5mvo~4N0Nfb{__yǔ쨍c?~0p<5"B2-;e>ot\GsyJ&Xk7J=nx-Tj){GߋqVc ԛ<H^IMm<z[ѭ"(+i=XEV~&1c{mt(|HXg7Ў: UmϽm}\ FBDlgw[똖T6A,?s gٯm#oiK* H29;WH݃cicmz^H7ɋc'|n ,27ՇvR:b9G19 ~~Ɂ]נٽhm ̦ӿʯ*8<[?-'~_nHvKF4KHT-W!06viysk$ V%k-C\uu:*;c ?.C KwV M@ u Tصu \UBCi9y1#|K2]glهr_@T1܇iݪ5J#aN0!'8r8QMC<;n/NE~m_GʰIB$W˺f:T˿3!M3$p!.6̐9^0| 2_F1)Kiƒ/[(g}-x'e-kȚ'cƖ#?S+u!rЧx-u[xU:I+їD䀊EZ?EǴ5T2ҩ@ WB"u5DZ$ `SƩy8SsSA##Gl_d4\2]R߉StmX@-3@wL(.mGX2O4EioOj}ѽd:ZlS > /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9757 1612 0 R >> >> /Type /Page >> endobj 1610 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1611 0 obj << /Length 18 >> stream q /Iabc9757 Do Q endstream endobj 1612 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9767 20830 0 R /Gabc9778 20835 0 R >> /Font << /Fabc9788 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n0w/gx(&'YU&p# J> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1614 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1615 0 obj << /Filter /FlateDecode /Length 4729 >> stream xڵ.k6f֜:' ?ha-&խѝ8j(RD6+iuWc&z4|hx) <2$X-jT-e]7gS]Z~e5bX뤶"A ¾ -u#FyeV!4Jn"Egt)OwN?tvINe鞟M86ľc@P 뭿?AM@%,5`Pxh6x16m^@ȣ^q|y(?22B cdgG#hvz`| g|f?u q LEqKd 1FCm}Wjd J(k.#{ov>8'v>%~@#BZbʬ-3 @=0ADV4&SN Gfا}zTuGb;?}Sv6_D,scm]H5K**~-)GʠT klZM>ָy̖+e^T's౫Mko0P; g]'!71>iVYcY{@L\ )}mCAܗ99zM.a\4!-Ah O;_A'J9$Nשi{pyp?#8@B8S;S ܠtxH.j~Z qQehND .8عwY0wx'x.,ws8f/ঊBꀙBN ܶm\e@W{,lmԘYހQ&Ș4I+I<5=bҪ83 ʀ2Z,ii* L(J׈5ګFε@X TĒ6e۱=կQՍU-ti i;z WM-)6<MaONZ'z&bv mdk!Qs`r5F!?7;Py} _`=G0Օ9#;]0pWbJ%0%QQ Mi&Kݛl1iԫ6B'bao oV޳FwefpU'5dq΀B%g żj֨1`SeٿÙ[/bf#q[ 4p\H}4Ou>p+c6K2?vf| R>V$A,c +e`d[lޔs435kʡ^` 11xL 3H)ʄis<$˳p\sH!8 Gsr2^gFi|n:#M_Q{ik_ڞ6|Chxhh}GHs%:*&ܗL(N7>4H3rs #ծ({;cZ 6gGyo ҎmF}g۳8L ='l F{)gDK? Fs԰F]F# ▱VKvT$%w'+ꇊWXZB\`fVl^ǜxe~iu( Wظ84ԥHjƳ02Z5%ohʙqnYl֬gm}W"kr#uȣ ~جZp"{wZ')V}"\z'ͣ7mZxc{6+0] D[=՞Q WS% L ^ ;o7X!b00HXJ/D:O7 5! nPӒiĖ 7~zHt\/AC߅Ŝڌ7O']kX 4" `+Jt >t!£vq&eg$cS׾_ Gt1䁧rx[غk:UX`-߭@/'y Q 2`uz V!чL\<+ kP= nNy|KCB74N(e2%b VKEZhGzYau&Q*jr3"|zw^-Mz (ށax ӇZ \Z6/B\wk=+q\l.@!OlO[by`^DK/3 ZjhTSS U+F v J2 #`2@OZBKLn[;u!caxϴ;gXC  /eyerظ[ M,]9T71.* 6!۝+1 Q?~Vt0ot&]C밍M<7ΩBT&Dݵ ܗZ}ڀm']yY0j %r/󫡷}_Ӿtn7 ?r= 9E5A> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc9943 1620 0 R >> >> /Type /Page >> endobj 1617 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1618 0 obj [1617 0 R 1622 0 R 1623 0 R 1624 0 R 1621 0 R] endobj 1619 0 obj << /Length 18 >> stream q /Iabc9943 Do Q endstream endobj 1620 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc9950 20830 0 R /Gabc9960 20835 0 R >> /Font << /Fabc9970 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n0>)W^P$MZ\1h!9h7r ģ$V-l!`aL4ECIDD+%̕')gƵa(Rɐى/P|}{Ԭ9*{RvaT(P;b)G+QO TϬ>JGܱYI Yv0Lm)1:}ɓ#-IUrm.\gy83ۏ}bH&}%l)lQΡddN9 &s.Ay)Q8F$!ë㥨Wm'uݝCr\vhwe™piE[z7}M endstream endobj 1621 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=52) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1622 0 obj << /A << /D (unique_665) /S /GoTo >> /Border [0 0 0] /Contents (current_time) /M (D:20211013063105-08'00') /Rect [104.1732 561.2654 165.8337 572.2654] /Subtype /Link /Type /Annot >> endobj 1623 0 obj << /A << /D (unique_670) /S /GoTo >> /Border [0 0 0] /Contents (get_objects) /M (D:20211013063105-08'00') /Rect [104.1732 545.0653 159.3712 556.0653] /Subtype /Link /Type /Annot >> endobj 1624 0 obj << /A << /D (unique_684) /S /GoTo >> /Border [0 0 0] /Contents (remove_forces) /M (D:20211013063105-08'00') /Rect [104.1732 528.8654 174.6832 539.8654] /Subtype /Link /Type /Annot >> endobj 1625 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1626 0 obj << /Filter /FlateDecode /Length 2090 >> stream xڭXK$G篈!sPԣۘ=,>=^~UmeΛ's'yh/?_=K\IZ"DC+J+xKϤ;R>j+ yBGZ%=h4HrYQvdB˄$4C!J%r%&E$ݖ\"I'L;g1Ib pK=[m<%~̒SI9$=6@R2i!B[%:]35Y.I%]D&&z B*=ٍ<T썟q䙧N[OUNVoC+fPG$;+)TU^:fd'MUyuL겁o ->6.WY4vI U'dsZmAΕ#j5C1R#Y oSVy JXHf-ڌn ȨLY,U[ӇyåBxXEz?2ݝ$U0R(A-l([0R.mH[fbG+0bZWvnE]>FݪvVB;7}hX5B \TAJ ү|RR}ݬ\%e|_LԆV_SFp}:B@t!WnOlaׅ ItT]t yrP]AEOK)1`\Cd+xc!ݬ)+i{ǽmOń9؃Fߡ~/ Q<ʻ%%H!2VS0>oD D6\Q_+lg¡4d#C0WuL¬LJ.ًzS-\Cvx:< endstream endobj 1627 0 obj << /Annots 1630 0 R /BleedBox [0 0 612 792] /Contents [1636 0 R 1635 0 R 1631 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10126 1632 0 R >> >> /Type /Page >> endobj 1628 0 obj << /A << /S /URI /URI (https://www.xilinx.com/cgi-bin/docs/ipdoc?c=hbm;v=latest;d=pg276-axi-hbm.pdf) >> /Border [0 0 0] /Contents (PG276) /M (D:20211013062930-08'00') /Rect [289.1165 297.125 322.8975 308.125] /Subtype /Link /Type /Annot >> endobj 1629 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1630 0 obj [1628 0 R 1629 0 R 1634 0 R 1633 0 R] endobj 1631 0 obj << /Length 19 >> stream q /Iabc10126 Do Q endstream endobj 1632 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10128 20830 0 R /Gabc10143 20835 0 R >> /Font << /Fabc10150 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n@Qӡ~1OEʚ 6\H&7]N8jer1""( F͙h;Id:'*2'ī+O^DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUf^_ DD<<%C9搗2|<3KB&K.҉ `RrqHB>W!ӘV tw%BJC (aNs(?`|۾{wo endstream endobj 1633 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=53) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1634 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 392.525 137.278 403.525] /Subtype /Link /Type /Annot >> endobj 1635 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1636 0 obj << /Filter /FlateDecode /Length 5692 >> stream x}*2|Za{sesSR`#Kdhg o.jF#D鍨 +)p\ v!(T S^K%VQvNbI9 Dbco׌bQ 키E Ƚ5X #3h: !ʑH}kV;PTG,N B_|輭 40&%0CM)dvĊ4g;ɘd]:LjZWb]J6'&=Gu`? V9"5vv0-k9VLX DհwZ/ѧ~␣q\~s/Wt->4bH~0c2]_|m,@̃Ɔv.(A/?Aia4jW'|jmO J@GyvƭncQ~S$X#;=9 Gu3댜ži86MɌ/)m!AY<I)j+~k\T SV-t*ư#`EFT U 1~֘G>ȓ5(MAH0AJȘxTE^N) ܙ~rwt?rco}jUЭG=i{rU*{@i ]a# ~3;fٳwk{M ƚ.m>W)Y$ o%FR\'yPQCƂLfԷFp6r+)T\^60AOZ$ʪ嚨?K*o^};;9+ͽ\uqgyyKgzʱIzMA|jB7fD6(byēPʮ Gb7͇u>ąrwg?AQ0ՙ}zlqiդ& d;?I.qyޏ{@'JMH]Zb#1-Wk 䀂;xEm3a]6x5g; \ȋm]P^d Es/4>X,%FڃQ {^A!\u?걤zSEA}q`4@pu` XrZɂTTgOP핉:Mz%laA.TaCޣ{$ {ӑIUţ _kZ3t"8T[KI&4kiPjbp~f6dHYbB X.)42ca}r-j/Ī={Ь:2v҅*Ŧ.σ*m49 #vzN߶b ГIV32Y2]UGvl7\ALX.)$ [ŧ DԖgM(R:+E5fms6['Jo`tcYdt&\ʜW.,<].X a֭ V5[s[6's| aSSUT}I;hc1 1 t,Y,fa@Y`X49c+ cSS5pPs2 ;=2 3ҕ0aI E's2Gddz9p3'5'5'uefsZ~ڜlNgi$sRO1'mImHgN~Ɯ4;}9AcNo}gNsRgf:3;9Img7{tm/#5ΐ>c7 5 3 1nJφfH#!yc":KVbܥA|mAZsft4*T_;N!~?gCrАPng-8#8eAL_k5G~>a]K1tۅ2{)c-[\wH66fy Ig{ Xr|ky{6y{5lHSnNioIS0i S8AT+N)q(műOYLa S4.Mu߲Zj[]cZK4,vdxrY3SV H`!a ԠƖH9T*5dR9;q,]N풫?TP͐Xń;y},=͢wT\mw7Ȃ\fzCRĭ,ѥA`@Z]w[MvCmiRn&\  Q0bV*`F\+;Y6,tu>nt.\K+nW@$z Oeu@SR`JmvϜ:7Շ7=qւ:9+AhJfNO3cQI9+HypcO 鵙TҞRZnTYfZs11Kol*#*z/`th4-1}BSv%XF|H)e5IlM\dmu?;z3USfC6C nz5hݷ؎7L|yBx8W̨}jbZ>p#; &uTDqP!HL.ǔ&3F;>/r.ƐgBuFino(HU A[E[uUZ X˚aԋ'1f: Ƅ92`fyHSfuK1 T~{QbHh+=V;c2M݁n DPoRTS( dϟY¬63f%u6Y}bw 9"HI2z>Un',b-Ƃ_uNcFQEϫm<N l]иx,Yu,Pw;~5+5>x3gu12sD]f5!ۋF;( = mN*z`P]X3OfFvf8 6q YoQ&wxGϓQEw/ j?:.p.Ol?/9͖RUӚ|0J4wvl/}x93-:=){rH fy]9r`F<SVz-b@,U-9s"J =~w@ /^Sv/f 6Uԯ +!=((p6NèQ [_Kт{ʚ.b1e9-,bE,X7d6Z. P~ʫ͌ %-vݪ#*)ڇMZDj1{t34vZ!@o /,q:#6{, )lUeOF"+ɏN(&\@ P L0Bک%_> N ̣\}A(†zV Qݜ*RmJH׷ )VX%9`k jx8wG~ɑJAg^ ?Ԇ B&bBh h# pR5ɦ߯C jǛYo8 *DDo`_r@艋2E0\LT0"1 ev!$GT{_m//{ [T۸2՝ui,:)ȲY>:#G/Hkb2C8GgrZ;ec8%'fH[`LW{̤5k,ҴN5 S&UrgS,77>ֶsB_1lvLve͟XC^xO{nѶ.g\D#ۮ6@m3G^X=Xi6 |rW:&C(Y %L}(I4.,DI*OuuښdIdVx4D `M!$wMGPr33X^"ԁr+Z: I<\)_L|"^m_>@<"יZXzARx֜t| va-r+â!Pc({,Vxpӆ3[u0U4"T1;k A@XtcoG38rKB/eN?Mb Cխ̐y,&IK 7]cV}O6!7]>+kb } Jl_4p_?%_ ʜe 0,l}* y^?hE>_{ _^>YXnQĻQo[߉A) ħMp7ڡ:<sm/8Ə;jq#a/׺b=ڳsDSK*w`A_ endstream endobj 1637 0 obj << /Annots 1639 0 R /BleedBox [0 0 612 792] /Contents [1654 0 R 1653 0 R 1640 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10304 1641 0 R >> >> /Type /Page >> endobj 1638 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1639 0 obj [1638 0 R 1643 0 R 1644 0 R 1645 0 R 1646 0 R 1647 0 R 1648 0 R 1649 0 R 1650 0 R 1651 0 R 1652 0 R 1642 0 R] endobj 1640 0 obj << /Length 19 >> stream q /Iabc10304 Do Q endstream endobj 1641 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10322 20830 0 R /Gabc10324 20835 0 R >> /Font << /Fabc10338 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪm?7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1643 0 obj << /A << /D (unique_236) /S /GoTo >> /Border [0 0 0] /Contents (commit_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 221.0538 186.4367 232.0538] /Subtype /Link /Type /Annot >> endobj 1644 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 204.8538 195.1267 215.8538] /Subtype /Link /Type /Annot >> endobj 1645 0 obj << /A << /D (unique_257) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_target) /M (D:20211013063105-08'00') /Rect [104.1732 188.6538 192.6352 199.6538] /Subtype /Link /Type /Annot >> endobj 1646 0 obj << /A << /D (unique_274) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_hbms) /M (D:20211013063105-08'00') /Rect [104.1732 172.4539 170.4757 183.4539] /Subtype /Link /Type /Annot >> endobj 1647 0 obj << /A << /D (unique_300) /S /GoTo >> /Border [0 0 0] /Contents (pause_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 156.2539 210.6422 167.2539] /Subtype /Link /Type /Annot >> endobj 1648 0 obj << /A << /D (unique_310) /S /GoTo >> /Border [0 0 0] /Contents (refresh_hw_hbm) /M (D:20211013063105-08'00') /Rect [104.1732 140.054 184.2422 151.054] /Subtype /Link /Type /Annot >> endobj 1649 0 obj << /A << /D (unique_319) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_hbm_pc) /M (D:20211013063105-08'00') /Rect [104.1732 123.854 202.8817 134.854] /Subtype /Link /Type /Annot >> endobj 1650 0 obj << /A << /D (unique_336) /S /GoTo >> /Border [0 0 0] /Contents (resume_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 107.654 217.6437 118.654] /Subtype /Link /Type /Annot >> endobj 1651 0 obj << /A << /D (unique_338) /S /GoTo >> /Border [0 0 0] /Contents (run_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 91.4541 198.5807 102.4541] /Subtype /Link /Type /Annot >> endobj 1652 0 obj << /A << /D (unique_347) /S /GoTo >> /Border [0 0 0] /Contents (stop_hw_hbm_amon) /M (D:20211013063105-08'00') /Rect [104.1732 75.2542 203.3877 86.2542] /Subtype /Link /Type /Annot >> endobj 1653 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1654 0 obj << /Filter /FlateDecode /Length 3171 >> stream xɎίy10PA9 ԓ }[He0t7T) )˯H 'b ^f]]^#S]TZ;i19'^/;: 9åW܋_.VebO0^`}˧4WgJt0 uXQ(sd2t)Z3\'q>yl>L)" j ЏYp`: sۙ@,h#_:aRVOv ^}8H$0Tp wG,E,ۋ -Čl_!O ϸ SI|WDgoKc F qG|\pQ:h4ƟPe4OLbyzcV$a]ĵr-XIqD -hiԼTRt m6rTƤY둁q-寂enbx\d**] 1Mf1-ՠ+q¿; [u-Fvew-H`^7\*3`$Y6uߊ˸n]֢ztŖU怂t$x};H̞/f5u~nl9,:2^}Xf\IC %S:i0R0x9b2p6B\N믄N6۱!?NorΒ 7! *V/R~^kv؄GC ;qkć].61EErsekJ|5K`WWvJѵàXn9Bo-o rz!%Y7NY9!H4kj%s@*1\)?p1KYc~ǚ%j^47\@cSɒ[R̎1lgHv;bDt-p35"y9:%MFy7{k2vj j%(B6q{9~}ڐvԀ_9kq7+lȷ&KѽOUT@t{n%ٔp&w笵&S-kԒs[=~{դ|I%5QyP3ePyրq;2Y2xXECƃ|-M#oxȫ#kv`M G3/VJ{ U]-4߿.]A/?O0u\+)Aec4ٵN,O bcQ\ O%R-z{XnpjjUhjËPg|pZe7u#DKԍLeW V(:h麅6',@?e \noId[ׅv-nQu:}IOJ??ζ|+_%@apq N}NStFAR2Cr6 Y a]s!gsu?sX3l֔ÙTj&*΁AΓ f#_xNOF z2ݖkӷv$AB@tBO[N#svܾh(x(u( fV˶Ov>o[\lk~@++_p Lu`=,4xXv,BmA|_/hRp(|nSrކ/;W@Sӓ X'_(O%X*m".@HI7w1jC3bA%VO[X]O;2H Xe!eR6a,@Q 9iS":bMA TN4حuIJÐK1/k;Qz]:c[T (ݩa e vz*3ff8VLtӽ'cpo_@Ll6خ& '0e eLc>%EAMKͮ._\Dbm'11+ )g*,V] <{sLG%`*&Oj4e~TT*FM]"[Rׄ%# YnR gx&X`tSS ٪x 홀By rn(nLU Zm3B~[ϵ5 Z4MT.Օ8%xk=ꤙZKf慨m_\O*zumؘHw.-Ru& y;t0횁?-Ē,d3@N#\ǷT-,A>9klwx{pTAaVEefށ"xy2Xsw `\$v Tq05,ƦV@+1CsӼi$ޢO<Ηgöü畏ޗTyjv$yD`A#d]| endstream endobj 1655 0 obj << /Annots [1656 0 R 1659 0 R] /BleedBox [0 0 612 792] /Contents [1661 0 R 1660 0 R 1657 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10505 1658 0 R >> >> /Type /Page >> endobj 1656 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1657 0 obj << /Length 19 >> stream q /Iabc10505 Do Q endstream endobj 1658 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10512 20830 0 R /Gabc10522 20835 0 R >> /Font << /Fabc10531 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [nЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶fUjkEŐL$K4>S~Y2cy / ̧9Ê!ld)8` &5*WLj$x2=m,1@wP"O;FKh8rF;i z7} endstream endobj 1659 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=55) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1660 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1661 0 obj << /Filter /FlateDecode /Length 1074 >> stream xڥVK#7Whj`0!C!'o6!xzI- W*}Tww-o`/oɼ.DM0dR:!:`ΗlCp%dO܌Z 0h0UKN\z.)/:ʫyˮqp5Ԅ.4POV|Ռ|Ņūj_&s_g!X3:3Ѹ%[8y??vISc$[}ר!α^J 6=]M\cF\8S@' aDs@Yb2S\ |^bX y3ƂGzcMDKyDЎÍnÝ ŧ i\eWB~^8)*Y/~R 1â/|p?f=]7}HoXS3#gJ"bf;siҦ Wߐ* 8OPOŸTDGxNUȸ}Kž5?SVc{Ǻǝݞ-=,|ZI@:p>v59:pgvp@s{EAA(Y=<#|;|Pr>S%j}V2Kx\5> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10698 1666 0 R >> >> /Type /Page >> endobj 1663 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1664 0 obj [1663 0 R 1668 0 R 1667 0 R] endobj 1665 0 obj << /Length 19 >> stream q /Iabc10698 Do Q endstream endobj 1666 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10709 20830 0 R /Gabc10719 20835 0 R >> /Font << /Fabc10729 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫@Aӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1668 0 obj << /A << /D (unique_77_Connect_42_hardware_commands) /S /GoTo >> /Border [0 0 0] /Contents (Hardware) /M (D:20211013063105-08'00') /Rect [90 366.425 137.278 377.425] /Subtype /Link /Type /Annot >> endobj 1669 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1670 0 obj << /Filter /FlateDecode /Length 5398 >> stream x\Ko$W@|?A7bOg CZ233JRkNۘQWIH˷E/ ;Ofy~]j^[,E}].=ocUdt!?wJ9w§y:iɷ^年C95ʺMI/|U|b'fyYrA__-Jj/,ߗ/,;Yv˜C2*_,OQ)ߋt~1w_cy{  b%kK^X(Ν)gn0e u!0RتJPa<Z~KdVs~bPFۇFBԟeƂU9B{6 ƟbbYe\0m_oY Wh8钊<,_ -|x?'4t }GA dz^! A!!9oE;+]oYd(,]zF;ح#lkX S{ mh-9S8G~%>4z`gNE꤃XZo%hW(cg.eGKZ7oZ#jZnNZ[ E55 N୛3"j2P/k4fAfl/E-{KXPg5hͥ~td3VG)n:jco[3 As˘l>4UWnȔr.)P}RAΜ  Ş\5Ws#͆MHR5E<9"fkD5 F !S% %SL877jTrb΀LR qsC68eřx48ej@PyS_ |N3eW+X0{0,˰0, 7A:XlF2R[(63d;V  Uyea 'qat8$֣O8ӭO@O@PO@;uG4`i HG6Ѡ Sm1#6 8rsgз'bQv6cs6}#!}|M_~q,;V:Rwsu>N ;[{ûd}_g+S팦q7#t2I井eA n:@z8:WDF*Sȸ\Tc5o'4&4ç N:IAUE Z4i85pB)fĦr#FrÝnB6 hf7{D}J)b*= B;V7Z+&4NeS=abA` z҉:Fѩw7ѮTNaN>Ȥ}Nl :25u,{7:r~A @"eAbPn=ȥs:84@GW&{:wmY sًRÌ/ @:&%c׸˜* #Y.~= 9 m% Hi(/h!K`̳ |ȃEODZ<~TX# lYPzlPj9$NJLT Ș"Y&bFH/-~e@5FD=(Md+I8{Fs fp+5 sfhOpb܋)ѳAKpeB3Mr-BzޒIavJa37 V0 ϮW7k_T,G N\&eK'ψ('jJE&aTJlW3# O ZNzZ>&iB$A¦JE+h}*$%G)U2; YL  3Ёn.=K4ASjCfi":#Qw@'aV#rtc*.aV`F@0@ީ&_u8})<25|%.2 KQN5`{+$߁y`CЕ"V !DZ!7-^[ K(~eyQ=Eb%hLƒqӒOZx2'j1Q/T;ꧢ6W`H9Z"Sq00KO0mZ& JK&K8.Y뭈q S#-@yXĥi J\ lnM{`nlEK|NAyH3Ns!J^xiNtZ-%89&ƪo x?}|*PnB =|i7Kg'7 C@sB4==Y߇:L?';n4 i9ƮS T vo ӳ7JMq6!!hS,VɖE'D+<52ЈZa$Y Y5,pE,y6L#W2lN>V҂H{Qie0-9[Η7s>k0ϥ*u6|Qx>MiEӉ|k/|S"'-rKcx Z۔$!{U|"Z ؊Li&–YD2mGt0ԋw͕</)0gd] O +\uLp9z+ƜαsJu{kudnžx-7OuZQBs _M3.٤k*ZCӥ&n8T@:VT!x,ȷm#00QTϕgAR{`*a0$INʫ: *Elkx\ ɿ`_xgMwTP2 JLgT|Q]_Rxa_c?%ecwI3R"J/ =iLo20SlMQ6R}Lؓ5ߗ& K"stE*l'H.?AMvU wa?Q#=b7'"gti`luK p4N1<@=]ve?c4n-h ^9r:ڂ /Nbs%\յxUR^d;媟ԅ~q=\SLS íD+zKS{ēx>1_}{ʳNZ(2WqYӍ^ 3*X2e\4r???n"! j jm 2m>UcvpBcŽa5{{rZۭ{cO\ɯ/7q[[+kˎ[>ѣAXd K2T|.4j% endstream endobj 1671 0 obj << /Annots [1672 0 R 1675 0 R] /BleedBox [0 0 612 792] /Contents [1677 0 R 1676 0 R 1673 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F11 20866 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc10895 1674 0 R >> >> /Type /Page >> endobj 1672 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1673 0 obj << /Length 19 >> stream q /Iabc10895 Do Q endstream endobj 1674 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc10912 20830 0 R /Gabc10914 20835 0 R >> /Font << /Fabc10923 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1676 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1677 0 obj << /Filter /FlateDecode /Length 5341 >> stream x\Ko$7WyRˀoi`9i3pU%}ՙd`0$E=R۷ՙ%%Ř믣n1z19|׃kx/ߗ?0]K1-_A//ZڄW_4k}\/u9kQ}:Zj]( (ӆqᆱ5\܊fa~\AKBKpF g_Oy\d>m`6$ DPPGUhsˑiz~vDV@Ȣ1S~4 D!o6vN@3+\ mG$;em~&MX`*RA4 qY)U+{>1~ %؆#4t<7U~wҎ+ŖF:(U+5QxDqOFCVbo +3C&!)dD{Iܾۗu?T 홗Rknjm[\F\ 1cAOK؋9rs*`9W"BZ*{" g!y'Q^6aw5Wxsynx&IqFp5UMJZLZu93[Z~iғ @.M;Tgr1ԑBC@V5*ղOU,ٺ#7vpUmҼI)YJ+jȕ329'c[I볘8̉h siElnc>D 5̀35L k ~ۅSAеAhqV ʹ-LEr[BctFc* 뭄7h⃡Gi<99{0T\__ E(U=<0c#Y+FyZEFHxѰ Ld^ixuy.ؕVo}# U؈D/?~i+-7pb[^\ X T_WR:^ł_퀌[LZ @y;[BXX&5 u 9[Z^"8Hhbzdm@֬6R5ÒQ׌:0CoHd>@[&̢׼/MO(k!^tEP"$L74#G ;x(=dmxFk OW,ɮɑ| @ԧ*r2aav@ 3{ ήa7Nť0U2j:^#éQՑ:hwN)Ύ}'r00hmq&R\B ,8Rhi: O^8UQL-8Γ:ehfFo 颌L#E$US tmbj@&bXF@__+]z":tL#5~_d{̱qtRV#bixAԌgM$6nbDPچ\YzTk2\ %cXa>#1)PI$a0lYTtd.Tt)E83{4 GZ?P`t-Nޭh(aYz%$;K"J$=4uks\I~nu4iuӠSXwI C)`Y< SM:1Ei-J$ҎVNo)[J'M TCZ\^T%9`ch8)ۋq{}'zԷҊOv`O ăݬ+)Fԓ)}S*O&+{4/%%2Ne8yv "uER vrQ|AFb8Ez[F_4dT6sC#̝&E-Qk /~DZVCD%x>]?oc4 pXx98E2(eV>2Zt!8Dsu/۝,* ЧT!+|vk|*VP ۏ+gk+r&oqLg(ۓ{AJ>이P=mlqpY#.+LUCxcLk>9` fa:3L9^c 'D] >Dq#}+K= 3r|f\H ^HC_<6eu:mus*m8c]s)|vxa/s։KcCnӪ3yw `Od3h5BŪBNpS4jM86'&yy8<ʻdn-kY'HQ˻f/Lwp V7'9ox{K(E\\مEMƢ#jl#kߚHr2XxaNK,sUv8w^F؉it/N\i`c<\K\5!s9'J=P\#2' xCq NS8U8Û't1lR3mw@8w z-6Γ-J}ЎёvkӒai|$Щ=:# t*\N.j+T[P4 3XQ12fD[ӴGnqڸI\k׍b$_j.L)NF2cdj># 7]kD.޸Ջ .b| ȇZoӹ@3͝*c}RlȎ7 #m#nv3dg|Ug## ;6P^c\@v1Ñ˿ 0E> pT-ՆECb*oA:u7+٬l>}p<Z,r>~6>>g>^MЇh)w!o4K=?Ǫ~E‚W9kIiwKjx3w>..|$"&0|䍋\O<@u&>]&9q{A26 ;(Wì-}h~?=Sc|ݺ2lm$y%%:sTyMM'+ʝ !Ac˷\#K @e pG{6Z8r Ў;+Dޯ :Q^Tg7X¹"Ԗ0k_%MG/=\ZҩijBh `5;VS|-G?"XwځLtxt#A^MBeYL#"er!k `s2_^(9gDȍƜ͎_eW$ibȒf(#<c=nV+(rM|ab]6G 6sMa>KacFpO!ۢz}NBZP$X\)H|I|eOs7L*D8|>[RFbNY_`ֶMYM SOՅCx+ - %\{kEcxL$3Wϯg0ϯ3~_w['l$;M0g~ڨGp/YC; כ7O yN 5TmPd9l262Tgߏ⾵]O3T; (D$/S10>} '2w\.wkHz: S endstream endobj 1678 0 obj << /Annots 1680 0 R /BleedBox [0 0 612 792] /Contents [1693 0 R 1692 0 R 1681 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11092 1682 0 R >> >> /Type /Page >> endobj 1679 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1680 0 obj [1679 0 R 1684 0 R 1685 0 R 1686 0 R 1687 0 R 1688 0 R 1689 0 R 1690 0 R 1691 0 R 1683 0 R] endobj 1681 0 obj << /Length 19 >> stream q /Iabc11092 Do Q endstream endobj 1682 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11094 20830 0 R /Gabc11109 20835 0 R >> /Font << /Fabc11116 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&>.PkP=(E'ܱYZ)]v4m11:}ٓ#,J[㫤̵R̃sV7ӬRl?7‹!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1684 0 obj << /A << /D (unique_253) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_device) /M (D:20211013063105-08'00') /Rect [104.1732 345.8 195.1267 356.8] /Subtype /Link /Type /Annot >> endobj 1685 0 obj << /A << /D (unique_254) /S /GoTo >> /Border [0 0 0] /Contents (current_hw_ila) /M (D:20211013063105-08'00') /Rect [104.1732 329.6 174.7052 340.6] /Subtype /Link /Type /Annot >> endobj 1686 0 obj << /A << /D (unique_273) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_devices) /M (D:20211013063105-08'00') /Rect [104.1732 313.4 180.2547 324.4] /Subtype /Link /Type /Annot >> endobj 1687 0 obj << /A << /D (unique_276) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_ilas) /M (D:20211013063105-08'00') /Rect [104.1732 297.2 159.8332 308.2] /Subtype /Link /Type /Annot >> endobj 1688 0 obj << /A << /D (unique_279) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_probes) /M (D:20211013063105-08'00') /Rect [104.1732 280.9999 177.2792 291.9999] /Subtype /Link /Type /Annot >> endobj 1689 0 obj << /A << /D (unique_296) /S /GoTo >> /Border [0 0 0] /Contents (get_hw_vios) /M (D:20211013063105-08'00') /Rect [104.1732 264.7999 163.6832 275.7999] /Subtype /Link /Type /Annot >> endobj 1690 0 obj << /A << /D (unique_320) /S /GoTo >> /Border [0 0 0] /Contents (remove_hw_probe_enum) /M (D:20211013063105-08'00') /Rect [104.1732 248.5999 225.3932 259.5999] /Subtype /Link /Type /Annot >> endobj 1691 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 232.3999 180.9312 243.3999] /Subtype /Link /Type /Annot >> endobj 1692 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1693 0 obj << /Filter /FlateDecode /Length 2436 >> stream x[n$+x 9@(@ [|0|0$cc.UYz$ F<>.Ioi JYz·-j/ 8@9p3 8 /Q8"\i ]eQD% eS+s^d=+jmPsajǣwP+@P2(`[۝($ T澒Tǂ#PqsV gt~ Ny}\ k=._a40ǚyig$Xc xqNQy7g78\:wN9yj4J|䱸92y'\?rEOCs}n>'N̄I/3 kBCq`Ї፿aS^-gp0 z7>}fD40̎C5yAa=,s'C:u0C)|{IKe/BQA% 4yR'!iO_YVYȯ"$AxwU"Q)|Kȧ ?Ά|hd E'ڟ@hle{/_] ,Rru$R*&zW!> }Bl_ N!!QEm>Y|=vs'ė:o zyɟ,+=[,`%9U<}3sgn!xo|-QmkBOp sHFvoɕ;QБZ] }XiĚS=}m oerpK=Q]:55&8f']N_i\U@. DlX2sGA&(t'z❜򹌍:ņ8Zliu+uO:hgaÆ6aau3e8v%i L|/`JiӴL̄ <ŚW!T#% 5^K6|Uqæ-V?hPX=QI O_u:r\($(Zd2 eeX~/k57k>P^*ߡ<3UYfEYu̘s}M=c퀫uÖ#* 'qzLg9hνWSRdȵ[Yq/Tϲٜ>EɒUl_B\Fc#mC95{,ZQ FͿ$(rjc7YάWnDSߓr&;R:IYCeՔi",y9kLUK?jSZ[юFUrM4LG~z즤 YXAxD `Nb&aURߡ0YO .ʼnA^U/M.A4ixV[8qIR,Y{)AIZ#!7vdO]dL,-Bp~)rC4ձSR{Je4OMY\5=E^LT("0,yd94K7:e'4k¬bK%婓\i\R}YiT,!H\i WZZW?F؄Fc|TA8%UElyo~6?gܖ{pٱ&.'gf811Q{RV6#JNSS0NV d+ao\R~{CS~ȳg#g# k40\(ohy,`.x6m ];otI"s? Mn=c+U)&!>q% !Q‰ZH01"ˆ ,Θ` /թ@ endstream endobj 1694 0 obj << /Annots 1696 0 R /BleedBox [0 0 612 792] /Contents [1704 0 R 1703 0 R 1697 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11289 1698 0 R >> >> /Type /Page >> endobj 1695 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1696 0 obj [1695 0 R 1700 0 R 1701 0 R 1702 0 R 1699 0 R] endobj 1697 0 obj << /Length 19 >> stream q /Iabc11289 Do Q endstream endobj 1698 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11298 20830 0 R /Gabc11300 20835 0 R >> /Font << /Fabc11313 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy4~]GFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU ^_/jj;'9)ivPBNs(?I NЋm߽? endstream endobj 1699 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=59) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1700 0 obj << /A << /D (unique_77_Connect_42_project_commands) /S /GoTo >> /Border [0 0 0] /Contents (Project) /M (D:20211013063105-08'00') /Rect [90 372.425 124.1605 383.425] /Subtype /Link /Type /Annot >> endobj 1701 0 obj << /A << /D (unique_77_Connect_42_ipflow_commands) /S /GoTo >> /Border [0 0 0] /Contents (IPFlow) /M (D:20211013063105-08'00') /Rect [129.4735 372.425 162.6715 383.425] /Subtype /Link /Type /Annot >> endobj 1702 0 obj << /A << /D (unique_77_Connect_42_createperipheral_commands) /S /GoTo >> /Border [0 0 0] /Contents (CreatePeripheral) /M (D:20211013063105-08'00') /Rect [167.9845 372.425 248.774 383.425] /Subtype /Link /Type /Annot >> endobj 1703 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1704 0 obj << /Filter /FlateDecode /Length 4641 >> stream x\Ko$9rWyJ|Bs==c,$ݗ%U5R&d0dsEÿ^b˷ץoK2ߏ?ymfy5n!urؒ%O䙌y8rt;`p=Ys ,ϰgil3V?H?/*1-nڸG,wBB ռ``?FQ'BמX+k޼Kbcqa1ڬq ˏ\~ !v&o@zx~l]bCubO4_g_w!<9}-˟gӹ=?f¼//6 v1_2N}ҧ\ |-nby>'_712q9s4;xT%C%C@Gbƌ=6^oX`sXS96J h%&XSE?I%ޤc~ ZQYdJ'~:jȩܙ e#PX .G-%~39&rjZf-)y-bY  { th=uNuECxWA v-H.6E."u_6JYxm0oLzɻ`zUU*O.E쩩jD^n_CQ&Hyvpe.q^iyҿ=,hyVDŲ@w3M_EUU8_MiWϒ+*1o2yL8D$+j;6sts1)lEI6`Xhh<ێ]xJF]rH.uãqy\30ӣ>iG(F/ ϰU+|!g3 G%m9W,|E M={I~zy[^0 KwqCiOފ|Ae@Hpуr o</ZjDPi XG}`…4꫄5}e-6z;eC&aMt OVPH-I9H{ yA=p-ø欎3aYr®:YPB2йdL5z'z%j~ꅠ.%.ymVZ@]l=ZEJ^녯ܘ.5"4T[s&6K3-l mcfbXDC ꥣ-_BS2ۋH[o -\f*+}si/Miܙdɾ7!;S}H %8K_dNj rE)5ʐyHk(c gLcr$ӄbDpObTGg{Q5O3:{lȬ5YQzFAqD*m(L5`@R*ηFLi"%C]a}Au]gerP9ɁIC_dvԮ,:m `a&X0\`a`a;X,aa;X v5Y\fd` Dyh֌Vw@-H"# "v !C]9\&xSx,J:>s`yooWB̃-0&$NlJ8Z^ b8քAKC`Z@])$l!mdQIe}"WsQ&<4f/K^FiށeKgL#)%>l`fhd҄DD5T aڼ% E'a O@C&pqA˒0_fu)P{\ʌ۸rVLc<︧:%R`<,Bvj/9.Mր?0> g8$bš1+dsu-)nSOK@r/CRkqmN}iYU))fsd&6*ǎkQ(i popV[cq; `o9Bs٘?zTz,ymj:iס^Reœ 2W*bϔ <-W4V )ԅ41?@-1CYa\^no~ZF<`5jB0C#.7 *R[yGtbWlFa_B1ɩ6hl*H3UnpMn0=' `,2I3n-ҋ+K66Rm1h[>6Xy*z9sZruW?X}w,=a|r#+ U ec?Sf7<@6ADŽۺ;wv5X^F^EPy7.BZiOATvI]'nbyϹ|_><M3J (pOs#KW {8IG\=՗Ӧl($1hԯ `-0: B |Y;R5:DG9@Dv"p? ϐ\$ M;[moQƠ LNseF>qR{Z݆{GӃ,[1>u.1`ZEs'h~8:/V74#٤k*Z7g&CcQ-0ujɽ"b>ѫÖJMp8ȣ:  El{\ f85<`_8^$UL]/R)$՞h_(M>I(▴#- ՜RѭaݒH4FW]qV)+ءzr%Um=& KW9R&#yպ&Ґn]vYw~`͉~S mcs@hebB,rp7~,WA9wq*_2ҊF*nA> gI-gQV79ڝBw&U-Y)<[wvw6lMDœ6g! }t_>ҹgΖC[V9ʯOWz:Qr ڻbЌjs`HImkbF;n(fWՀ 8U>Vg۩r|hu('a9w=q%ݖ`[r~Fbn["SXѡROuY[ a endstream endobj 1705 0 obj << /Annots 1707 0 R /BleedBox [0 0 612 792] /Contents [1715 0 R 1714 0 R 1708 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11472 1709 0 R >> >> /Type /Page >> endobj 1706 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1707 0 obj [1706 0 R 1711 0 R 1712 0 R 1713 0 R 1710 0 R] endobj 1708 0 obj << /Length 19 >> stream q /Iabc11472 Do Q endstream endobj 1709 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11474 20830 0 R /Gabc11489 20835 0 R >> /Font << /Fabc11499 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n jЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pl!2Rh, $WJ2'ī+/O9Cb\9 ZNN,ۣfiW!g3 $MwĘ R%4 ~UW<7!fZ5Y}Ɖwl@+0knT-=&CO4{rCod%# yk|\Zu8>϶f`^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|5ʄivP(y Ph'-:A/}>7 endstream endobj 1710 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=60) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1711 0 obj << /A << /D (unique_103) /S /GoTo >> /Border [0 0 0] /Contents (create_peripheral) /M (D:20211013063105-08'00') /Rect [104.1732 251.35 187.9602 262.35] /Subtype /Link /Type /Annot >> endobj 1712 0 obj << /A << /D (unique_104) /S /GoTo >> /Border [0 0 0] /Contents (generate_peripheral) /M (D:20211013063105-08'00') /Rect [104.1732 235.15 200.4232 246.15] /Subtype /Link /Type /Annot >> endobj 1713 0 obj << /A << /D (unique_105) /S /GoTo >> /Border [0 0 0] /Contents (write_peripheral) /M (D:20211013063105-08'00') /Rect [104.1732 218.95 182.9387 229.95] /Subtype /Link /Type /Annot >> endobj 1714 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1715 0 obj << /Filter /FlateDecode /Length 3196 >> stream xnίҵ/ @Vm9>M2)ſB6=*V}-R}UFi?%>:gT*Kb*K ])x'ppc=?z @AWQV8AmhAG:u8YM +Vq\M"L{ԥ,\,& ٺ﵃3AD$VEl~rQ;J}y/plah@u&JJtv)`f W}L%5nH'  ،>9m2әKLD1|v,D_t2fa2 gXrQWͮgʞ;,ɸ&)h<3   铇Ŧb)em?d=Dΰ@$Vm4e$E>g0M;x%S*3P!Ns|gi7fJw&638@ZUֳ+6Q"< #5TCiFcM~L,K{+1QgjH=6")$&W$6Ѓ$Qwq Y\wNl^+h~\}X3D 1zї\B3sn)[ޘ&twr=VFcq際@`#sj}saX𬙜{Dnde+8 CѴj"0ހ-g@ͥD 9pMVzùL8g{tLo "Yu4Ol)S/ZCf|uϗzɓ{^`|8=!L-6ݔ77/-EKsI^o'Y 1ٮ&z-ćE1=Y;8G鮺QjY;f?,u TKtPTCIRCnknS3Ry-u+oka/Z2(ލQk, isPNݧ7.,Uy]ǃ)CL@_RZꧨl[ 9ykY1&oBvv0P2Y tв E4wa6B: ƴLɠl/[\ @ƦQ6olIwC7Y{D;VΞcM 5 tz@9fdM8]ӣG}(4 =سc Mz5M}uMA4?UX'Mjx OJ%2m)%cƞ Ȟ0nM3o T%KVWQV8a5IQ@Z:ޮr1]sTu æWz%@ye.*jOq(|u\۳ZղӐ>j]Hby@p ڗ1F6}vK|e{@Ov n l _4*Ж%F<{ ;1->o+Q},_/wKi/C ]_:_2w7[Ǫ!o.Khb;ةc@PDR&AEN#K .YCObK.՗9BfXGR(-[tvE 4TG4N-haZL}Ų"5;L+W Hc'} V`^)sS/t) Zde=t>B،8We<ݯԏ3{:-UKFKV3}uMT;ʐ'߉n?y&3lfsw_HTN7 l Ċ͒[A{evU*([+D՞V' &(5m{JoMo.)ުvVBSGXc/0<]I^N'ȝjHsʒWߨ1I bVϩ8c_ߟ@!pDgVv+ c>Oq}K݌<Og5 =u:}#| &Ui6 =u|!slzD|:>g[fYfBa5y8n!PAe-[3PeX.wxj?_DxVNxg)O&6PD UlOG,Y{7谱fʧT406Og0z#~K ~ޢiՠLG endstream endobj 1716 0 obj << /Annots 1718 0 R /BleedBox [0 0 612 792] /Contents [1725 0 R 1724 0 R 1719 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11670 1720 0 R >> >> /Type /Page >> endobj 1717 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1718 0 obj [1717 0 R 1722 0 R 1723 0 R 1721 0 R] endobj 1719 0 obj << /Length 19 >> stream q /Iabc11670 Do Q endstream endobj 1720 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11689 20830 0 R /Gabc11691 20835 0 R >> /Font << /Fabc11706 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n FЎYzrv"i,䪍A ?wG?{`%( Zn\JtG ƤJS4dLdiJU$R)!^\y( ^rj\9 " ٷGӮBxg(eFI%o#\\@Bl(Y}ɾ 5Ժ@A꣔xJ9ߵiK[ZNߖj?ɞӡ'<ʡ|72ْԑJ_%+VK2 ufg[X݌!{kEŐL$K4>S~3C Py<30́s@YM \0S0'rqHB>W!KQN>%;2!3ϡh#bwo endstream endobj 1721 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=61) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1722 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 378.425 112.8525 389.425] /Subtype /Link /Type /Annot >> endobj 1723 0 obj << /A << /D (unique_77_Connect_42_floorplan_commands) /S /GoTo >> /Border [0 0 0] /Contents (Floorplan) /M (D:20211013063105-08'00') /Rect [118.1655 378.425 163.7275 389.425] /Subtype /Link /Type /Annot >> endobj 1724 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1725 0 obj << /Filter /FlateDecode /Length 3172 >> stream x[Ko$7Wj,w{:@nbO,f.˗^'9m`ȒH'Դ63GN>³:Zd:<뿝vV?0$ AO];ZWx1=(s;O}k*o7ɝ-zwz?+99 gOC/f^ l >͋?]dO;;Ƙts28xM|gLkWxقEApβRLbxP9 :.QHCd@19ۀ%^ N(t2d[l6;P ܙ"f]w+Ro kJ04ZX Xrʋ^!  h*,-pZxH{fb">i!]{J`! MxwBFq=/W6m(WB9~ΐtGΟf߶ĞSzyN $2˚H$9wCb+~.[/.q2K}წtZ/҉7LKf:>.ѲVv~ܤo3 M^h-7C|’4r,DVө|RvNLgt7JSҍw>U$˒U2wuFQ*|z_i鯹*3+ + ﺫG#!C6yԃ>s LSjgoƉKGi$×73lڞH8x}v0C a*siPF5y&aIZ ^LLh&j_"BgXG^;T8fp̕a,RP/[4j s/JVd)u;?I; Y M$>`Dk=迈ލfdyJ@p dS5aДŢ\9G0gYR2eM"niPaCVYDbcUjkըY$Yy/ fwzb)QfJNO/L5`׎nUm)93N>}1ڼ`a+5R&_7'ܳlP@ۼoS[UB}!:C o`X=X:,B " :7(%s+E <۰ҵF= ݜ]+ NJ,fq߃S)z*OpS)l^=Ox#V55c^q^P vR;{? @uRYs@ zvՉM At':UI`G1 N/O`!,v.2T7"/G/@7{AFKԹc10/l / #}0 PW`ƣRTznal O?ZG4; kܼH{2=}TU+rQhK=1wHrGvO;{6p|a Z=(Oo Wrt+~BX)*+%ճPжትf~a v1iEG 2FpseB.)Zv$'crqUD+)̊B]0Kݖx㹕lW7ªuUsb1 P:#O5BohLLzJ/scX &νM"V^gOo5(;")1S_ nGމ#EuE`4uMoTqbbWQ ϐe6Rzf#-}/q8bP{#A(>HE#UHݎ [ͺ!+a8.yF^VԔ3Lg'吉\UdF:J| -ΆrOVp}b/܊IN,j"uէDƑW%dzjȵPMD3S>1U|/Bx87j!S!L(˰":G4һ((#F=,3TA:UN@5c Ä'=K7h)d@ZVbMSc[F(bݳMR1[2H[ZR}Z`U_l*/;q6e]\*|K1eƲz}{): q=>RSR[SK56C՚+u]eTқRG?('KCjͤ![66ݡGXs8HN\^ \z$Ŏq(xtkm*`\hV$[  ¨zCDR#o終g[N) [=^*JV)xRo'$ U[b=nؕT ^X> !'9/^ 48j{O endstream endobj 1726 0 obj << /Annots [1727 0 R 1730 0 R] /BleedBox [0 0 612 792] /Contents [1732 0 R 1731 0 R 1728 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc11868 1729 0 R >> >> /Type /Page >> endobj 1727 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1728 0 obj << /Length 19 >> stream q /Iabc11868 Do Q endstream endobj 1729 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc11883 20830 0 R /Gabc11885 20835 0 R >> /Font << /Fabc11904 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.n8}{@ VBqǮ@{̴RS;߼q77 fڿc}Lh < ӄ9pZ [n RMЎYzrv"TYUCQG7]NZnF@ʘJ).fLdiJUbrDjI)g,ƵE !K2>Y#rU (~S-(Y] i(Y}ɾ 5Ժ@ACU> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1731 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1732 0 obj << /Filter /FlateDecode /Length 4851 >> stream x]K WIdh4Џrd&AwzR\e@^;[]%Z(DҶ, %$0iC$ֈQI?_oߌJ|ڛn0n)y-װt٧GGvgėKD\xVCoo?;!;7xHvWZMNZy&?B=m7N m!|ҽGa88=òc#vH$bH=9{_C}Gg -#|GI7˙|PRuq_/?S6 NJ<(̈RIh)TN(QM*m=MiY{ ?x&%ؙ*WR9գtOw 5|u3f=;3/(+j ?_-5A7g:搦>w:qLmhkP7oJndxxBF;B\;ix_}h-eR֧!Bx9Clm.WHRF<@3!Sf6|_]Qza{:'[3Kjeu:`rFwA]Q֏5ƃiosC- 鴾ȱ.%_a|y&5d}"WMc^4{@^MĸB(M#ުтǡS[0ɖfח21}H(K+DWjKJX-}۸jؓ9kFg$-xW\ JH?z +B`.)8XH. ݎVK%:~:[. q 4( 1W4}7iq:Ȉiu,Tʉ>nԲKDk+,wGsYToӵsK?赐{3BK[xiѩ::c{۠0;SdϪAaνA,6X2dŒa%- Xfp% ,Yܴdgb%>K-YNJ=3ƢOXqzBWN|CS 1Ⱥ1\tn67N!ToMk$չ,!UT;ZGeHRAAl )3`LUAV~\€6hX xlLn2N漢jOֻmȲ LLe=< O](ِ3/CL@5j90Kf8MK誑l U+ضsm$k1~{Hߊ41cqnIa8$23ȃ"-tݑsu3ZֆzW$1EJ90o^7iiWr9٫~ӎ,i2qdnJ0SJ߆1,P&l井f pSkXI/~G6RhB. ./[T@-YrV,>[{;.n[s8@υKǡr3Rg d"K%؋ذr2`v~!2-)mM;]{{NΥyXFh(<_bf<O3wa1k]ɢ<[f`%C+g_w"t7 ~n(Y$])yLi2p' nOEcse LaǨh~s>4x|=L=7s{*n@~:#ogRY׼;.KZ&0~5.xÍ4 S9?kxBҝ)'d,B !z Gdo_VVob:7? +~'dh1 |#'!/S;fG&J^RXRyCJknt5uAS\+˒_6mb)#q#V+m/1PT Myq5*9DC*CU'ե`1;8,Ql륋( ~-`M9 *aiej%ia\qf L+f!bJ"V:ѯ,2T *87bm"VxBUU͸VpwZPf5W5ٛ N8eULZ [eLk1D|VXe&8ANNcpYN9E 'PN&Éj:p̫lU3N܉'r`ey:'Xx靸4+qhcp&pc!CA9EP ^1#! RheLׂhT`nl)EbkgXNp31؝7LIpDnsehQ?:MOWq_Z72~}0Kx&yDx !#gG ?zj) PCc38R[hyIU_/JX _YMZ-7rD| ݉Laܟ{~ek^24b[Yg"1Oy:nT$ܔ=x:/C)Bn]ILD /Q4 ybY(] &[)0bYK,;څokDgv܄_ÆvQ5LȈVq)Ʊݪz$zRɱ/REOJ[>y ƶӆ~ב(a[L_A$.d;jh{vZ+LQaw }I0%&rvMO~;kfsrGEJ>?*^ڣ?V#~HR~Kګ(̶_[w^> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12051 1737 0 R >> >> /Type /Page >> endobj 1734 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1735 0 obj [1734 0 R 1739 0 R 1738 0 R] endobj 1736 0 obj << /Length 19 >> stream q /Iabc12051 Do Q endstream endobj 1737 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12066 20830 0 R /Gabc12072 20835 0 R >> /Font << /Fabc12087 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=϶fa^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lMN endstream endobj 1738 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=63) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1739 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 675.15 140.4735 686.15] /Subtype /Link /Type /Annot >> endobj 1740 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1741 0 obj << /Filter /FlateDecode /Length 3770 >> stream xIk,^ρ)׾̢9f|z!R[WH=1꯾}˯^;%f|eݒ4>R﷟y6H좕L!Z< J9pM;½3?Q<l qyq'̏xsQ*E;h< W"Ώ seAƊ\CGB tK"v <.wWgFK|Mg~|L`L#z+M) -yH=\ A<.F=0^!li ȥJыKEedcQ*SLQ;&a!Igpt6\9\J{7 J8l E.>w<(&LAҩ0-1\ :&,Әx~wM``*'~2jT{b&(bTD @Bl*U٩zKlPX:{HBpP7ءϗPF"T]@ZEx9?5\I7(T>v+ Whِ~`)+#*P7]g jqe"3E#&yxaPwaR$~0a+J=K#=!=h(q_w%@#X9.AhH~+6QwbC+tɼ;jpyI d~=)6#RXx!# 6nWc㣌)1!WIFךǮ ѦEAk kE;18}@ω)ɤuݽa>R%FTJ4g!.2 J@ѐب.6'G~A'ˉޟ*4>Qdw@xmAF֕IEwOr.f`REaq-"&΍fc C0,C ?ՃoS,L>DҪ\[`I@lcp+6`MuKoDߍ23 ĐMny<J,MUWs 䝉u^=>BuB5339Rrfќy5g@3uq*|h615Ud`/אDCᎃnz=;4ŦtuJ&̠?>қ=ŲFb)0tPO>t52)Z0Ww+]8˾O$1U_GkY󖷍^l5l?:VzKsO671zd82 g>}UwAzoycXGmu1vbyYX`S1)NW2[[qFXN=&ܦj~-ZlFltL).|''>_׺svЏqa`ZY~✌.X*z,GF 4{:by6hjmjK}y*}*ot.wuM1$eD]OxTtBEԻ{m-bp hhv!"',bPM^UM֓4]>GnoIVkQ68,&j0eqwLB09xCw 5DP'I0^ 1{iaz1)Yx?$Lp5) ' s &]nP'EH%\Jey| Moiɥ<>u66?p7E/~rI:@!~X\5߾%׳%}[^r`TF_0?0`~F,nu̓y6C}yC¢y߄] sHҷ4nj+`7 EԃqjaVKtٰ#!_HY+o+?АE+I-_H&QUcvpYd=T-QIt9ˤ ?p>Y>Tu^yDҌ^j<tTLx;8!eFjn,/ d>d`}Km@S7Ђ@{(Ram:J]nb./|B^/@{w*[Hun= w{fJ_Gxq8 % _@TAۡ~mJaSnf2|ke2 ΗLHLDnhw9"~\0p0?bV83 /.D.;(Pxy~"9sPI3pOl|1~{3Zwz#ʷ|^$UG-`&/c5ueC}˗DPaX#J@t-}ʽ `QHIk8We6> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12241 1748 0 R >> >> /Type /Page >> endobj 1743 0 obj << /A << /S /URI /URI (http://perldoc.perl.org/perlre.html) >> /Border [0 0 0] /Contents (http://perldoc.perl.org/perlre.html) /M (D:20211013062930-08'00') /Rect [375.0265 669.1576 537.5845 680.1576] /Subtype /Link /Type /Annot >> endobj 1744 0 obj << /A << /S /URI /URI (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) >> /Border [0 0 0] /Contents (http://www.tcl.tk/man/tcl8.5/TclCmd/regexp.htm) /M (D:20211013062930-08'00') /Rect [178.99 616.7577 396.03 626.7577] /Subtype /Link /Type /Annot >> endobj 1745 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1746 0 obj [1743 0 R 1744 0 R 1745 0 R 1750 0 R 1751 0 R 1752 0 R 1753 0 R 1749 0 R] endobj 1747 0 obj << /Length 19 >> stream q /Iabc12241 Do Q endstream endobj 1748 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12251 20830 0 R /Gabc12261 20835 0 R >> /Font << /Fabc12276 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫@JЎYzrq" 7\H&5UJ8*eAT Ɣ3wҜHNIx5s剢xyRٸs@61 Ej9zs2@}giBxg(7FI% uGZAF 飄fѯMɧ5UG)qr޵n6ZNoK5m͚ˡ|72jIHh&Wj)\Όl iV5ۏFx|1$Q_cxy /K"r!/dy> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1750 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20211013063105-08'00') /Rect [104.1732 207.05 190.5177 218.05] /Subtype /Link /Type /Annot >> endobj 1751 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20211013063105-08'00') /Rect [104.1732 190.8501 185.4632 201.8501] /Subtype /Link /Type /Annot >> endobj 1752 0 obj << /A << /D (unique_726) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20211013063105-08'00') /Rect [104.1732 174.6501 191.0347 185.6501] /Subtype /Link /Type /Annot >> endobj 1753 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20211013063105-08'00') /Rect [104.1732 158.4501 209.9932 169.4501] /Subtype /Link /Type /Annot >> endobj 1754 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1755 0 obj << /Filter /FlateDecode /Length 3857 >> stream xڭ[Ɏ#WY˹/@||Y2~߱V,#͠DFD"F?X嫪׌ ^e4rzS{5pϨ_.Z;etPo_ĬDž~}I8&F/G57t>ݣ6:ZJ fj2x89cgPZa1 @37v^40)>L|0;a ɫ&% *nZJiDqΆ`FKZ@V -\0WX|-Z6MC{14Tx$(*\L.*A|7(w0nhoޤ|+ r&(bZ֠H fѣ, d2qrqY/#۠'*tl_#RHpijb僕Jf5ZU~.RzؼamS`ue+  SvΗMvZI%h3_bC0362.i$Ef#m7 FUd-oo}2O eOr{`яLX:L805„-ZXbW2Z"ƴb%L:$$ai 39jsʜjQ;bq&%wX#~Y~>viݸ"5k9Fu\p-7h3pOvqȗPBFICZӥ^$‹\>V+q7fYTD<yWD09\n(OtU_%`˽;dfY傣z1J4UsiXj8_UuԫU]l]Gm;Q.϶;=om4uɫ Up+bT "} &*ެ!Td֭Wu-q?gv vς/K_S(CQ(Z{٭=ŜNĕ8UТ`kGTkN!^eF<`v:hWW,F'mcڮ9 hEnIOpc';؟NAc::cfqoh^44 -̷-AVN 6 ] 1thx79-5ׂ'F|6&r!C͉eGJ1f9`Vٴq~-6h{Q5։7֯ hktvOi>Cp{w>fBk<$)GV dt Bj iˆ}zFeG~1 &1/ƟZ`fKَйx[iMw)uI-FF'0గtژ@qt 2;zk&v1v[Sx07Lte.LCWm3){g쮧J z.GBHdž8;SrQ9 ('@ax .|G4(*Z6IRJ+Oxѝ/sx9>q*~lō ݦ!Juj)|a=vY76WCҐ[Qy{_(3a?F6K`j}5GKnq-RVÎۼwl>QA9[st 5Nǝ dݓ( 7V*k)Ͼ F?u% m, N!B p=ݺ-\)jÇ !RV[ 9<@jJZ"k}p]0Lc%|Ӭ반e[yr nfP]ZGMk)߅)-!xuY[/hK6`nܚR < K|\ Q" lg -}[pz5A(S'mWpQ״+c@+H 1".eX,dWɐ3Fz!P&*:M?Gnr\s|$>1lW,yeb5ONLLO!Rn5\&a][epueXU̗|gK}W!lF{]#H3NxeRR_ah[jf0ުkQ< ] d(>9km"UU@:4e `Vlt,nIĈD,%VxT}O :CsՉ3GJ 6=0 skhIfҔjwt#?%ᎀIՙAZ 3-!o/+{G>Du28% TVa4 m}vf24a\Ls+ kmD\Z6z& RX miܰL~mP5B ý2Oizd$g$;mIhRs*PI[i^VIBrxId~q^%3U=)?k$dN [%zX֧3/.~Opg8[YBe591'T̠*؋?kuk@>x,;mZuQ6m_B`ixYEfbq,^^=-'~ITMvV|B#jg<Ո_D yr]iՠII endstream endobj 1756 0 obj << /Annots 1758 0 R /BleedBox [0 0 612 792] /Contents [1764 0 R 1763 0 R 1759 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12437 1760 0 R >> >> /Type /Page >> endobj 1757 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1758 0 obj [1757 0 R 1762 0 R 1761 0 R] endobj 1759 0 obj << /Length 19 >> stream q /Iabc12437 Do Q endstream endobj 1760 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12447 20830 0 R /Gabc12462 20835 0 R >> /Font << /Fabc12464 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]77 fڿc}Lh2< +S 橷൫@ӡm~l1wE1 e!Um sut;_Ph&jer1"" Ƙ3Mw0)GJQx5sT@<ٸs@61 Ej92;0G_g_5kDN 9\%}+j*.6,>Q]d}j]֠gQypf%fhڴ[쥭}-UaoKdOiM\w>@dQHLJ\[-48יq|mau3ͪzkE!H5>S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1762 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 252.725 140.4735 263.725] /Subtype /Link /Type /Annot >> endobj 1763 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1764 0 obj << /Filter /FlateDecode /Length 4399 >> stream x\IoȱȳsOhPI]6v>>= wlZ$.LFDFėߴB:=[$Wux YVR˫'cBxg,=YWhAzG\3٥a ThtNJ9>/ҟoBlMh'V_@6SZfd&ptvIV'o³--,u\lb1?8G| ӰN<;モFX,qRr ~~G4C })k,S3 8` 36{lkf?i|G@|L?OƺH4@#]L#9-2'OKHKfh9b|O&'aMp n\k~&] r*r.O6jǙyNM t *㔼|wps$ThljSb#7>wQк.=)҃Eعxқ%Qt話ÄaM);qfNq 0XX7c6<ĽmlguLaИ[H8Q xejV ~״1͓H*ڑ3-2OE5VdAm :lӕ yCM*߹Ú7. n5 .⿮x  uR? Ƭ@;~yEXZ-H>H_7/]Υ,v;0/0kF#9ޔGey%0tdqfȫ\}&/nb ך2Gk-+71âLѸAY1  ^/H =яETx23?^ٛ;u 1Ea 32;*,pᅘY3NtrQ>m71Fn}lϔ88+ Uj[R\ m~y((RWyV:Կ[H g9>o;G;?>Ηkee*n6Z}w>Q.⁓Xxe2y\h2єȎCy 3C .a:r ets%N-} ؘ!6`\3=/ҏY<0Kmλun_ܾWE+KEH<džˏ;Wwxw,c+zqRc[Rׇ5IPfu7\#Kxc"4q魻U9tcEa#1-oեxݚ's^m֢_7~SN`n eKPޫgvW '0,#V8Qžv,=x%vUmWTDK.c_9)n);vzcunNP.:lx )L6EF̰Ȗ%-KRϡ)L NjX[k JQU^ܼ/iR܅*c0ZX?6fdyJ@p ł-j̶)EsE´HB4>@. xPd>M86"jZ{X::+EUatlBYǀ Dqa//D5`S&nUu)yBm"۴#4c!Ś3k ̠N8fy8فYC_;nCUB}m!:C _¯`X-Xz`6`Q]iL_(9g"yXTumXj #.zWMs'%n^p }W85N곋;lWP-z&k@%7 SH|C9;TG(%{u5*TC:6&y8,nT'fR}Qwc'1&}Q AW@@4 r6©c48 pu$,pZrntx N"Y5*}pln8uơXENNb`)4/&/: Kdq]ԏuuyMR@J]ݕxAJ )+H]7Cj=:~/R# zH佢vpYps͂[t̟8x`r3&d.[Ioڝ.4AOu)SP)4M˃Jm'i]ER0|S& eJbۃNxcicƉ|UNZAeSs)96L8aEC$5\[6ĐZTSasRieg[WD,Agڵso`gͷלՀ*+()dԼTz>-sIbU|mγ̨u+{[q{zj"^`1a)r'sl'+ze R|[ʹE^2iOf֧)~ L k%-& "Guz5Hv|NqY[*`[;br)ι_1?ìeKs2b;"y1 w /c.6Gv,=}7m&/;3(nQVӴS+'G;%^>u=mϼK}ooAJZ<45HT:-K̴=0|m@B ⤎uQ9pn Esh,H"|SÌ*ؔB 7ZtR[ +k>[5Ś7upl/su~킞mݔM2HJe"տ/FXvP_%B_O6M6%Ú?yM)%j ~]X[ۇ KV>+y/U+ubq(Lw֭qh >-"4uʸ&XGR,;osLg/֊XGZ}ޥfv\s}=AyV^Aye:EqPP4"nvL8yaAa2\ʵ/_)0'<ě6/¢0wp7³9_pC*2!vX40'hM!s8 sP*.` dgYi;Xe>c cJ#f=iXR[ lF]@}ܭzC+ ֤[\ ZfJ=%(ȧ_1\TOfNCskB@GGN" w0Q+b6+f(oɅ\g=CሲA`HS ^KEJPfX$,ծXo^8R{>Hg1yd=RZ)K4rw+""!4* A6ҍޗ|nEUWdW8^ߤq丨ZS9dC2کZlW۩AQŘcw-m 6~֜g#/Fl%ڼ#an(~:sa7>gkKn4}7 |X'ݩKcfR*ozkm5A;ԯۘ_)z K.qe+Ph&ϔ ~9d)x:¯s:r.ՏB{+~SQi{Kuef0}| Zj}@y̮~ ؍1uY[jK$nO|SXbjc,/6 abSXvO'ty^$G ]v endstream endobj 1765 0 obj << /Annots 1767 0 R /BleedBox [0 0 612 792] /Contents [1776 0 R 1775 0 R 1768 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12632 1769 0 R >> >> /Type /Page >> endobj 1766 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062930-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1767 0 obj [1766 0 R 1771 0 R 1772 0 R 1773 0 R 1774 0 R 1770 0 R] endobj 1768 0 obj << /Length 19 >> stream q /Iabc12632 Do Q endstream endobj 1769 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12647 20830 0 R /Gabc12653 20835 0 R >> /Font << /Fabc12668 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫@Iӡ~1OE) eA6\H&7]N8jeAT  cʙh;QLdiNU$_aJW3W( 'Uk9dP!s2@}QF䴫3^J(QMwĨ2R%4 ~UW<7!fZ5Y}'ܱYIZ)]v4m11:}ٓ#f*Z yp3> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1771 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20211013063105-08'00') /Rect [104.1732 136.5732 152.3807 147.5732] /Subtype /Link /Type /Annot >> endobj 1772 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20211013063105-08'00') /Rect [104.1732 120.3733 185.4632 131.3733] /Subtype /Link /Type /Annot >> endobj 1773 0 obj << /A << /D (unique_726) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20211013063105-08'00') /Rect [104.1732 104.1733 191.0347 115.1733] /Subtype /Link /Type /Annot >> endobj 1774 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20211013063105-08'00') /Rect [104.1732 87.9734 209.9932 98.9734] /Subtype /Link /Type /Annot >> endobj 1775 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1776 0 obj << /Filter /FlateDecode /Length 3593 >> stream xnίbj_A%6: w~V )JTdիo,( wUzϨU6xKoNg'QϟRg2z19쵶^*w3\㧁+?_`Z;gbRka VZ?&ew8B9.~_6 6LA=p?J$>2Ǹ@iq&AX-{LyKA(#8 js)fA W < `!tPN`1 q!̿0\FaN1it3״ t^dI7v]tg<M[,ƛq=Lu ֊FȜ{O 5lj[ Ilӂrթn'Aq?DžRAxj]H$n3Z[nܟZvͶ,J)Oz'r &fU/~Y ;_i"mx%L5aN0/թ.i ߼48'ϗqCMET&6ly >a_aBca-bW~N6\e%YIsө-ω[\vF\MlDKL :.ںsLjh= ͳF8T)ߝ ]r +bwU8 w-ۅHxH]ףvM Wl2wdތf"8JyzwbA{MBcݬ}MJVxJY+djFr,Z~oѮ%HJpu,)rϲ9MH*Yw$KUD{wJiG7IJ\`E#&dhl4cD/1FOp_~W`/"Ȇ{an!ÑxWȉ?n\56>NJIT" R`ri6EJ(b2LD[[ қtPYd!hwl̮>ǓF1}z_/.㾲Hs<Ӗ]yU䞌[|v^2/K+[q>6#9{NC=BH`Hb?-͜}Lvj'av.^r$&:r 6k=7H|][ӂf=X },8>ݨ\a6s(an+#46Tms[1"A@ @΀A C"{eV'9H Bi(X5ʹ9| ZieL?г˴&Z5zbBLƻy;{+g7>ؿS7OH5֪ZG~Up`c 0%O<;G͓HYL ^o?+~۳EMkkT`cU"l;Cxql{0G33 "\tγV&>e is{AV=xc8t-޽ߦ+멺2#I燭)j*8͍Cx]:'oh,W.(ـ59lYl %ms`чy2܎X&`.`4mb`N( Hlx^TmŽNj i2Hwd<7bC@O:8Qau&Ac>]bތ|F^yܧ\gl! (ށb ӇhEjww~8vLY<ɣlpW!^i5B~G/2 \Gl.4DRn$ʘ)\5zf`h?\8.aiވ :35o,c0<'FY8ri1uLh&L,|8^܄\\Tɭ&7ɏ- F^Hax*< 7R_3FJ.+K_2 7 @ĨSqXaƊ%K8% B̙ +nj3Xf,G*{GzZb:e'NR&|YBcụ^qiZ3dq3Ю́TBJJ}|:H5U#\$-,ArA n23@stm[v!,: Nk#?MxR׺r 4ʿ"`jXU@;1KkS=ċuxY(=Kby(=x}IS> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc12830 1781 0 R >> >> /Type /Page >> endobj 1778 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1779 0 obj [1778 0 R 1783 0 R 1782 0 R] endobj 1780 0 obj << /Length 19 >> stream q /Iabc12830 Do Q endstream endobj 1781 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc12845 20830 0 R /Gabc12852 20835 0 R >> /Font << /Fabc12862 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n .ЎYzrq"eYHUC.$.p' JdAb2 ALF Ls4$T2~QWR̕'DƵa(RɐىY/P|}{Ԭ9*{408 SqbGfѯG&DS3Ră;6+r޵iK[ZNߖj?'=ʡ|72THL_%ee8>϶f5#x#?$_cxy /K"r!/dy%JivP(s(?`|۾{w endstream endobj 1782 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=67) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1783 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 286.325 140.4735 297.325] /Subtype /Link /Type /Annot >> endobj 1784 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1785 0 obj << /Filter /FlateDecode /Length 4063 >> stream xn^_QgӮM6$A@.V4o7~͋ӟ^uYA~MϿ0!^?½9WգuܭOĥ>. nóBҠ;_t4]Xm| Ғᖙ~ogdO?(#kk;jǧ$i6x78 ^GE^7@j-4QeJyg_|όZo@˴h+} ivIEb!,Œ2iYlB6sBgA;N}l<"`fj8:>^$Fe*:KeYgַMD]M.SG 9x"a9rE%UGي=[ K[4 ӦFV7-nY "zpoa !(g@dWu̼ h }Sy:݆4tsS׺E9GI6,!,J;s\z%f0玈QrI|yܔzL AF<}v1iJ-8Ad*qb >|R3piږe_=CFaM`xY]ױbSS^žqx]fncdd%߈enɪN +o<`#/ =$&|w ZI[Chp=/˾Il|ːɗh j^'a%o2'Lg%ɔg%r/ ˣ(ZDy4Tl{r{/v^hJ.`լbhw~ ]q6r@^ii(IWQ' .K$vQˈ͉a/9h ^5G&aE#T33m[T _`NT:bcq{Tx;_$n'0{mg&Xu!@%x*T$W5nk^(cpCs>K15OREYp<`iwjQ,]Աr:"CxȉMb&0}b,p^:,h|ia vb5Rc:ғk@~iF{fuΕV{DgtEȷ0CH0)P8,CP5dۡ)ɢF ´HJ!ri%'Q@ɒc"WjHYbԧ {Qf8:و(>d *+ ;}|!6h\W|;kXN;@ :X}u~#c׫C84=6mv)ɡV Ա__rX"lEBЅ"lE `F,A=R(N-VC1V:k]W6]8)QwN~+:DO .nPFXzu޷ѬH7l P=9R@7=ꆀ:NN7Ӆ6OtC<]~ovUHqOۏ뽈!v _msۃ0G{lSR>eIi 2z/\܋PG Խq. .Nnđވ9?svCjk긕͆7u BmmA>ߴ !NrvHeEBaԝҵ^Jd6OtqZ w@33H̝lԗ7=˧ﵿ{ii˽JDzj+)Z…{)O.zb`Crx1/yUJ܄)1W:h\Vu'dOEe1XILԕ!o9> 4UcyPtC*::i!̶Cl[0wǥ"+yF"}I);՟CV;-fɝ x:Slx=4aMe|{.w"rPR4nS+c7v69JW\fåט373WQ?\MwD0DB;Tse¢Q'TqHIJT Ts0Ø朐s^*aN6RHZxAX+DQ`pւb+Le9Jn"WgYuňyǑ0ųOmoqgC٪#)DG=&oq5ʻp|h;Sgle6urv~(YA9q$qD087Qa'%&[ cFQs(gq [{@GXk# xDyߒT~vg?fg)E5t &ԚO9iF0[t(xJI+L|cQ.佔v4b#q!9^hTqI/ifM]?> Xn릙\Oz [i?ap{8*e:6Mvu 맯/OD6c_XdtS:_x=i˙VOǬ}O.VdkV$(skBGG> ϗn~v2\kErc&? &ɍl`t'w {"-TQ3wbX$4X,> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13028 1790 0 R >> >> /Type /Page >> endobj 1787 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1788 0 obj [1787 0 R 1792 0 R 1793 0 R 1794 0 R 1795 0 R 1791 0 R] endobj 1789 0 obj << /Length 19 >> stream q /Iabc13028 Do Q endstream endobj 1790 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13042 20830 0 R /Gabc13057 20835 0 R >> /Font << /Fabc13063 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVZ)]v4m11:}ٓ#,J[㫤̵R̃sV7Ӭz EŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'Ŷ  endstream endobj 1791 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=68) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1792 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20211013063105-08'00') /Rect [104.1732 164.5809 152.3807 175.5809] /Subtype /Link /Type /Annot >> endobj 1793 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20211013063105-08'00') /Rect [104.1732 148.3809 190.5177 159.3809] /Subtype /Link /Type /Annot >> endobj 1794 0 obj << /A << /D (unique_726) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20211013063105-08'00') /Rect [104.1732 132.181 191.0347 143.181] /Subtype /Link /Type /Annot >> endobj 1795 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20211013063105-08'00') /Rect [104.1732 115.981 209.9932 126.981] /Subtype /Link /Type /Annot >> endobj 1796 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1797 0 obj << /Filter /FlateDecode /Length 3389 >> stream xn#ίyu~Km99M23TVK22b!A96kQ ,hym"!t Q.J*` fאb"!n,؎}Zhޞ&}hkR^ `1)3)9t*QHP%ҟаΩ@.?cZgO}p-5c7M:E\$u+ggtֻ Id͌fN+D&FіծHf)ƙ¹9>q \& 6tVkxܦ/LgjMFœZH~7c .+av0E-̋pa8fT 8b@W =,9~Pݐj;;kÆ_!l`&4Vnշ B]]\&%Suܗ9#U6.VŶ&Zc! /)eҰDgLM :ں,$jhH=IN9TS#N.8j/_ yVݣ8oeB4d,|,a1?9)j)6Jj]LcOZ' f񾔌"녨Kα3Ư XD%fy5ag--MsfwC8 uUkWI'sGs*PIƅI)R1ʀxH!TC=!@jFkhWo=6{3^F+a9;j^j&ɋ y}2X/^;N3?=20j.,|O5TPONTo9z|U@?TaտU-iW u&X,f,^$Й>ZSĴ]Q>P=U{gp1d*"c 5]QvuI.KcYUicnC8^%O _TeBaMqFpB0; ҭ}Yĝf5oMv׮AE?{!yO qj<aۇA&w`zz_57oFM{ _}**~f/ A^$3W^MU^"(hГ^ClV~S{bdPVW.RhKYѹ֟cFۿq_sj6/׍c/;JM©5r 1)h*m&J4bM W8|l!_e* Lj]|̠e+bRRφ_]Lr9*EGMb4]3+yT)e;oѾ-[31"u7;}i)EOl}ގVZg֡v9 >0leٷ2~=( V)ُ# Ӣ[ cv^c2b|7]&^W8x{cx%U>MnarhM˝IvѠYT?Ԣ 7DdnG|۩Uv.&:&r/W^AYf m2&Y~zKlвJ7JK3ddGhƃ⦔E|nb.-{~X=fst>ݙiJYiL3kwfTyԿ+=ro~lZ#F#?_8k޵KIKi1ƥY ǧrm5)$ꛒ?#gWT'ф\n,C׊ &Y=+ pbso6ۤH֧S@'!MH9wTY֍ ;SZaљꉑnc:>#駗5JPx q؎0~`XCiFcƎtt+=@RǯExݿ$&oA7̚ˠփ .jp>YbD<%3 8WM T1!cq BK/PB,EWdxlB BP7ТKNTutk똋K1Oȋ5,5.nr}Q]p1#jp_p׻;yc-:a;c/'C]mD2l0fU0j ^vI`9"%f*6)_8(g1 H{A`r4E .`)޹e""2cXLt$/ Rt^D~5ڢLenE,ԍO4U̚*?BSŨkjd8cK` H], 'T/͏Ǯ>Ӵ5.C JdYg ' ?lx.P6ÃEJ1w"V#1\TWZnߣ v0QY&Ks|*j#!y\tҸS`zf!3RQxkTߘW!Ώ6ʰme}aaxΏ#MGFEc4İ"#iKUDc1QBBREfFJ4h, ve%ӟNu]cS fXԂJbSY9Xd[.Lmm=6bB}*˕?98rOH~<=r%4&ҍKSjΤ9@f FpD9 cp>T?Pz+oCDZCDR3 9J9 k9ۅxP7;_kbMpn3C,X*ne'>땵x}E:> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13231 1802 0 R >> >> /Type /Page >> endobj 1799 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1800 0 obj [1799 0 R 1804 0 R 1803 0 R] endobj 1801 0 obj << /Length 19 >> stream q /Iabc13231 Do Q endstream endobj 1802 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13240 20830 0 R /Gabc13251 20835 0 R >> /Font << /Fabc13270 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫@‰ӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3W( !r&H-'Cf'j2@}QF䴫3^JمQR@1P?JhxaxoBD>.PkP=(%N> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1804 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 319.925 140.4735 330.925] /Subtype /Link /Type /Annot >> endobj 1805 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1806 0 obj << /Filter /FlateDecode /Length 4080 >> stream x\Yo~s}Y`߼ O#GAbE˯RKx/ϲҴN&$E.򇓓FW[ gOJ9w#F]iSiF  tnoh| m|קaxW?ɇG:$rFo $rGjQVWs_g֓z֓ R*GR R/Q)s; 2LkGڍ,e%ZuMpWz&i Tx F>(vkm^IJ ۯϨh 8]Yi3K*?J#U i)oIi㉦ H|4DSw\ڹDQG?MeϗY- XeR*Yt)XށT`3LKu2H1b/93a[{=1wёx8wjF6NMΡrIAj`YBDt7lթـcQO6cCz̒l  ""A/G[]Z[6a^@pg؛r`P1jAapPtZa:U'i=5ǁ* =b;'y6\ qr*G^qr) }b}K8hecD"hO *ODf> ӃxX*pp82бف[]r@ݤ0An`RP i3ՀQު7iδ$M" N#.0.qԽASyigyca5i`zIbUxڦ:Fp߬)u5O8kW}ߜium0 &,!3uY`k X$Sɐzq/Jsޢ.\; G] fVSspLiWLQ7CL4{Ƃ!~>MߊOsUt${f;sUyžO=Xzļs#NT#]6F9׳6vƺǓZ9Nי EK=@w:S&B^<~_Z,O_߲T$2D`Ysz >7?f/ICq{#F Ǔ0Ve5!k\;W~30|܄D Q"Eӿm:o>KBۋXpϴFp# {Z5CW}⥩$MkREFpVDML'bZ}%=E!a͒u(}K8TVԷqWT:s^vPcO2,\2.w3ۇ2OXBPcRA\]"JL+;kG P:#:+I4btfkD5 F !s% (&ћx5Yr0Zg@.u:] Bgmo10y N8fY8끜ksXng.Zwc^pك`! @,,*mP uؒF6gR[867f;V  Ћ-.y( .ݭ8Iɬd zɬdplNb|7 'pI&.'Ou9F0X=V%W)Y9xƞȫsQ/ȈCcR!\[AEKa>mSx CqZP`2k[^XV'w!+b: f켸,.;L L"x,1R msLbFJ=E%~.sv!V9_|:_Rktӂ1MRޮ|E2ٚտ͡ty#PyX ! cGέ7)zQ+D-[WZ¡lEKWLEW Onsd8/zWe;C frݷR ~x _ 6nޜHrO'WdYfThθmChF )?Cz#?gr vǖpvOrz5/8Zq0]-;nYCr)<4ۣ5D endstream endobj 1807 0 obj << /Annots 1809 0 R /BleedBox [0 0 612 792] /Contents [1818 0 R 1817 0 R 1810 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13431 1811 0 R >> >> /Type /Page >> endobj 1808 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1809 0 obj [1808 0 R 1813 0 R 1814 0 R 1815 0 R 1816 0 R 1812 0 R] endobj 1810 0 obj << /Length 19 >> stream q /Iabc13431 Do Q endstream endobj 1811 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13433 20830 0 R /Gabc13448 20835 0 R >> /Font << /Fabc13455 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3jt_//d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$x2X4@wP"]@ U8Ρ\j'-:A/}% endstream endobj 1812 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=70) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1813 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20211013063105-08'00') /Rect [104.1732 373.7423 152.3807 384.7423] /Subtype /Link /Type /Annot >> endobj 1814 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20211013063105-08'00') /Rect [104.1732 357.5423 190.5177 368.5423] /Subtype /Link /Type /Annot >> endobj 1815 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20211013063105-08'00') /Rect [104.1732 341.3423 185.4632 352.3423] /Subtype /Link /Type /Annot >> endobj 1816 0 obj << /A << /D (unique_727) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_virtual_bus) /M (D:20211013063105-08'00') /Rect [104.1732 325.1423 209.9932 336.1423] /Subtype /Link /Type /Annot >> endobj 1817 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1818 0 obj << /Filter /FlateDecode /Length 2761 >> stream xZɊ$)tȰ0v1U˼MKDF=#>,][m>runsVǠ)C]_I[>-xmMԏGcOcޜ`|ӏ^6UF?hqƆ{|vJ] W8;,lh |ɰ#+|.r1!Xm?_C^R6VDȎW"( IyZےcn)<IHpuNxvKQ qm 6/UlT1F^ŵ$P G:IH,;.rq)5>x.zjT~Om.>ťV[3XM_7kWmCZkvYBsFN,ߠxZ݆0_ 7.Z;;RZ^Cu!e"6oDi5ֲT q,Z*`4- 䝀6SAXBQ?W@D;ggK,:Z#yHko Oyn8 bp5(̿;)Yѵʚ&(fIsבm));Zwz>YӯGYwmnNY^ޯ(!MLj]+zT40.h0 I/ۄGj$BƲJa*0b)"bwT"͑ IT:P/) 8++Ps&NƆi$&#Lئ/!0 줧:9s&R>ϸ`+p"{xZ3T+nQZsL:) c"{'.#IAAǐ_toǗg\YqǸq\r|>^)3[$=c~s4POcJZz.H=¹M ܕ6[}f41Z׼%.8.E4Yw֡DYR.ǵ/~X%UE&ߪ-Wody{:k yk@Ь23Izj.߸I~LtW;%L7n/\Hg{kP,),o,x U Ag;8~ #ias砉/θ4 :y% ?WqA.\~Kpf‹oY_Q??f)Xt1yȈ r$/BPvDN'6}Tʉ!|t ( ;P0 wBɋGЯ\lZh<穖y%.D?*eZWFۡ_ƹ#ke{v=;>CL (Rz)Il"KӌсQye5/_rZL`Y|d!b,<xvL?"-Uet4`qM%'( !fl7.ȠN/CۡAG ^rz|ruK~73x%^x^e&իRgjR_;J ( qqnm=>T< :81ГMqZ %k)۩1lpfCB_/^񎱙r}Vk!]p@pZ%d3h̖%"zWAJ͗IGD2~Zu;3i)mԘ|ĸqpy2гҖyfRRaj-o-3X\uCT;ڒ'Ὴ~E2Mf@K CuD0:442+6K2@ "оXgxcxpW E9A`RQ x!Ƹǣ'ai#ڦDJr2 tc:<* J0#~3KX˽/viQnn]3tXD7As,RO;4Jr>_7Q~Иy'hyRZJ:;\t[b^, 2@77 "t |>Y;f;fBau3;*̠թ&w."tr} |sY9BW^͸o"uWA*itsٛG >bOIDj\P XɁO>ѣA!,2G tdY`l5LQ endstream endobj 1819 0 obj << /Annots 1821 0 R /BleedBox [0 0 612 792] /Contents [1827 0 R 1826 0 R 1822 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13604 1823 0 R >> >> /Type /Page >> endobj 1820 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1821 0 obj [1820 0 R 1825 0 R 1824 0 R] endobj 1822 0 obj << /Length 19 >> stream q /Iabc13604 Do Q endstream endobj 1823 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13618 20830 0 R /Gabc13633 20835 0 R >> /Font << /Fabc13643 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪ)#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 1824 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=71) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1825 0 obj << /A << /D (unique_77_Connect_42_waveform_commands) /S /GoTo >> /Border [0 0 0] /Contents (Waveform) /M (D:20211013063105-08'00') /Rect [90 193.9249 140.4735 204.925] /Subtype /Link /Type /Annot >> endobj 1826 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1827 0 obj << /Filter /FlateDecode /Length 4764 >> stream x]o丱9@+$ER00ටmrrd7\/r^$amDU+I f __D3wC4H_NjR?:qva/>ipՓO%?, "MLܚ[t_4|&P'; ^raOd~Yo33kvL) Izg}aP'.L;{{rnv|Xm:f1@x/cv8OP'oP`V[6. n. Fq ~3àt8.˼xΎ.Ĩ?4wH6XlO@..`@̴ z0dF` J@&X tŦє*aits"=?HcqbP3#t#LA6~YB&~be9.F/aH4q5"_:MmXdU[Y/H DrUGuHC7a!*Pc;p ̊4 zDYCr,=ɷQ7d`x+ H~d|P@Q"A_/Q=ti@ώqCP_Aca5`y1#mG'2GI<)mł㯯9ѷӆb`LSV!nvC-EUU+rU9lKULO.ոZ1ZMH[O}ܧQCMUt7ϤþI]&0פÞIս&ThR?UD_Hiz@N1 `49_^1I^u/IA\\Fl[[ ^4z4-` ޵$ZULƚ ٲ884IBq\*lUT;-f6iHgRт,FjQ%UjB*o? ƽMv۞xLDži4xD7`G-X!D%|VY¯$Wi .g*KzGlZngIwrDQk=oזS[T2p&5Srh\K ِ$z@m[h 2"[j)=[R:*R7R*.ϕ+<#%U> $kTZ?:3)&\0CSEpFbݸHH!a)&He| ]CDi±Q*m晰ES3^TiFg_{GΧr>|j :}PA靠PTaP'RJH*ud"u ԡ R&R7Uٌ6&zTY 6fɀmb (^dvJ8_ۄMvo6ԯM(!@HLǽڻ1ݧ"u"[RW<6(hޓmI^p }ii ͡S-NQշQ^8'_!M_'n]?"~>Kg >$<ԧ)%O)c~NZYV<>󧂫QsfY,> q1,%+<*΄ST@aW zG|܁f!22$U0aS&2-`nҎŸ|Џbc4!~',".ήF{ H]Qc$V{w?(~"O7 4l7_Uv%"4IYU|#YQy,FЙԁ!r|Sw@5qұہ̫ClHHg'T9%D-+lJPMWL0S5 dhcn,Y|-XU/ʡc3?>q|Ez.u+pA?U**ap fhy^܍> 9ܒq>Mg%\I5V{5r`IQNN;M h WvD+oV[%N k8i*3FDK8 Y9҅qn)ZׄucGڌiSAt úQ`SF؉:h_vsʃI/(OJ3RJ80wIAl/etVMI.>H (vZ ?1a433$+ ߆iHB&0ai#t18'Nrn̏3(:,hL9bN͟DCWh 3 ͋:!⁧wkE=m&ީ̸̶/J>fwϵt%zS,-䛻 ➒}X[3φ ^l4ކf.Nʹ[m'?mě2j0M@ͽX/ÿ#^UG_,T\ !&'u\6aq~ ]KIdOX*ъQ ߊ*A V*$pWf)Ք"mI:=zC߭G+Χnuҥ ()gZ?Wt#d5UeSyWg)sB@FG/G\QsN1'ln 'f5SmUwY‘ %SEJQEXu;%i _IS3.. C~#iuKږ )寙jgtiX.Ed#*r17e ZK\*7VKWl5΅l7ΫUvBF*3YfLvPTϾͲˉ~V?˥9UGy}ԯ7p42-YH84( ~Ij::M2'\Y>yϠF{nNЧ"Ο'pԇG:̢S\vM( endstream endobj 1828 0 obj << /Annots [1829 0 R 1832 0 R] /BleedBox [0 0 612 792] /Contents [1834 0 R 1833 0 R 1830 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13792 1831 0 R >> >> /Type /Page >> endobj 1829 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1830 0 obj << /Length 19 >> stream q /Iabc13792 Do Q endstream endobj 1831 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13807 20830 0 R /Gabc13814 20835 0 R >> /Font << /Fabc13825 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n QЎYzrq"TYUCQ?wG?{`'3cX-LFV#Pln)2Rh, $WJ2'ī+OSr&A!K2>Y#rU (I(~S1fubG /_M٧j gVqi*J9Lڴ[쥭}-UaoKe͞\w>@lIHB_%e:3ϳ-nY`^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|5ʄivP(y Ph'-:A/}: endstream endobj 1832 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=72) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1833 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1834 0 obj << /Filter /FlateDecode /Length 3840 >> stream xɊ,_QgCs_eF̀ƧgKƌ z#2"z%$Q+"c߲j'=)?1OS'捻*Q_?I R);i%SN?M=*eR?M  WOoV `#!N?* Ҫ'uM93.+gIsgi-W1*^/XU Xݱ\eUEe51& JL$B>2G۸B7t#`6$=S%8F*q. `(83.K#/FsPe4 y Nki:<'t~10a8DC$DsЈ q!!f6b C$N1 q>KT٦h6A1er{:YB Nw1})\k/ %3s~ΗU$2PFY1]yRR{LcQcʒZH\fY,zNT5IŦ=B]Pmfg^*MM7ԅ|V^Bߓcq7r+!jGHObuѮ )mRe\vKa6 se2[:XZepp~qeՓ}9OR2e%TnzS{1j#Y$1--'q63u&$$b"]cU/̢&TZ4KViXc~6 {u.eoD9$d(-C.0x0EvzLUAR.[+ rk}/}wm}Y욚* wsqCY ٯ+ _](H.A fԠh z~͞;wm.V&l„WE- /&?ZhaؽnDzhYJ9?+iv~"=gW[>`P,3~'pO {¸ƛZ̬XHvͣx$Ћ@?c赆qKRZ:wCne~̫Y)%CrY2Ϸ˹bb΃ /ļ8"Xtke@--[g:סCT'F46W%ԧߦ-ۛ`LL)2DQVFP5kk{PMBOϥMͩ8d,`i;U|s-ⶺcq[MZ =Zv>`BeMǡ?x8ӒKs]S*T˚ a2 h Op(5"6^ZmOi5(v Hv6 I܀))pڡNl@Ԉs L-+"  "}\MWUq u[U'i[Sa_/\n/ySQznĈF̂Tԑt^@Ll 9@FӋe'ltB!h(* QcjQn. W表x2Eo)}q򦄡9,`Yz ?y,չp_{"Na^PsFzbl\!nG2Bu*\7Q6 XΧխlZ45- ٌM؅,Gq]^sV.Em2eewjݭ_0d\ji{;V2]IXnokSs>6]5q4C0:eM3g-=,K l .'Z{-Zr1.ߕ|@%ߣlGm]sk5fػNΧ\CV k1nL[I5Zk]뵻sRg~E2FzNRٮH:Bme7kx'( ҒiJ۠\qԔHϓ d{/~GmRRQ6E vClfBqN{h;aNС{ hta;-+&Y͖BYF3]Z\^Eɸ 8F18GxX̽!aQ>Ri7!~Lѓ7 ׹b2c )/R$}^pAykP_}oc?u%zC$#*~k .߯?N6LJ^*?0}-ᛦGM j%i|Mfz W˱._s$+EJCfg_a_WXCj֍OWY:xH鋯0c jrtA0pbt7#bip7NB(؅z@P1܆4fZq70aHC[T_ҥ/(ԧ AvUA @7L32MTXbO"YW܂nh9"|\p0 p:X 7,2"ZO+bqRJ}f㕎"(:f}T=+GT}]%"TLU-|Au|ȺL%CIDPaX*~O8E!>,;JX|6%ip[d67D5DDy zsc7xO8+T^"ZS;/̼ 3sMx\pr(P=lBfq5$ƪVW;@@> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc13981 1839 0 R >> >> /Type /Page >> endobj 1836 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1837 0 obj [1836 0 R 1841 0 R 1842 0 R 1843 0 R 1844 0 R 1840 0 R] endobj 1838 0 obj << /Length 19 >> stream q /Iabc13981 Do Q endstream endobj 1839 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc13991 20830 0 R /Gabc14006 20835 0 R >> /Font << /Fabc14008 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=S~3ȚCC 0y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1841 0 obj << /A << /D (unique_724) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_divider) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 190.5177 686.7] /Subtype /Link /Type /Annot >> endobj 1842 0 obj << /A << /D (unique_725) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_group) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 185.4632 670.5] /Subtype /Link /Type /Annot >> endobj 1843 0 obj << /A << /D (unique_726) /S /GoTo >> /Border [0 0 0] /Contents (add_wave_marker) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 191.0347 654.3] /Subtype /Link /Type /Annot >> endobj 1844 0 obj << /A << /D (unique_723) /S /GoTo >> /Border [0 0 0] /Contents (add_wave) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 152.3807 638.1] /Subtype /Link /Type /Annot >> endobj 1845 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1846 0 obj << /Filter /FlateDecode /Length 1260 >> stream xڭWj,7+R* xXdg"d)U8͵JSV-қ>>1m>Ohmk X==_b[ԿH4`OT0E0kٞ]wBx~>:+Io7BGB _f`|2f#@I=Gư2f|qI3? %"5&}1C2F&J>Mb/xQdD;y "7%#ylt},Qd_d6/6RZʫv栆v)Rzaq f][Kq [d(;/|ѵDb֣"س-ޓ}LmZ>ΌDBN.'>-yo3yK~W ڇXGt2fLqw^Z|#}i,"UΎ{tHc7MTl: pqZNe\Esl4{0E쳾+'ݘ-bL1ShّZ'՘\#/jc+17:jߏLQ%em?D-_}glvFd lG(v9Iy>OST3g[Ɨ̱̒OR5}uӀJBIЪ4Lq$?1mlu&vbQI<; /Y e'؎É4 JE8qSs9pt)k% Sj楒irH+n>o+Wɀ]uK#U& .)RD+TX݂z `CT;\Om H?hEi~_-gLʱ>֧xVEzj&][zl\(pԨ=3kzQ (FtV@/*QES7(s;4lj< Չ#x.o5&M!HGZev͚޲ʔO3$u RuKLRzE %}w#4Vԧ&W;edM?A3 endstream endobj 1847 0 obj << /Annots 1849 0 R /BleedBox [0 0 612 792] /Contents [1856 0 R 1855 0 R 1850 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14164 1851 0 R >> >> /Type /Page >> endobj 1848 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1849 0 obj [1848 0 R 1853 0 R 1854 0 R 1852 0 R] endobj 1850 0 obj << /Length 19 >> stream q /Iabc14164 Do Q endstream endobj 1851 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14179 20830 0 R /Gabc14181 20835 0 R >> /Font << /Fabc14196 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪ%o#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ endstream endobj 1852 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=74) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1853 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 399.925 111.681 410.925] /Subtype /Link /Type /Annot >> endobj 1854 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 399.925 139.8465 410.925] /Subtype /Link /Type /Annot >> endobj 1855 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1856 0 obj << /Filter /FlateDecode /Length 3794 >> stream xڵn%ί@w m9>c`Om2GVU"j ,ʛ_^t:],V:=]vV?6a!e^? ^/ѺV/Sk_OӓJT"y:? P6k 4x3ݒ?C`Vןy^y>Ƙmq[uHM{3C$(^Y?ؑ:c[xo 8ϩ3E|^:"x>j9O9)9aAHQ(xxD@W 5k,ۤK)A,߀o[C؟N?}C? <'z,pSoo%F: e9{PIMɺJ[-pRwV <į!VhG 2idE󤅷 cwYګOE*.zg݇8?Tߥ2-|Ĵl>y+aE;S?nsƾSxUh.vUL4+7 B[<V\>RgK[Ʈ?8|A FB<9."47,K(v y?$#Txxos/ ;w&_u/>#e6=ANp\iƒ= W0~8a g (NPas$θWX 6qA @ST皠:MRBТvꙌ m,@P3P}g@0OЛ&֥(Ru͜P/~ݶ 4K )6Ef-)KTk 4c6M̴ZϖlR՞YuT#eDW҅"Ŧ.σ*49 #ҟrJ_$OVVG,s.*Uvl7\#^`$_3Rħ ^T=U3fM(R:kݳjus2ۈclH[h5 PIobTM-9/\3Xa%trRnq_A9lY^(̡Rg}YՆ8nZY))Mu0=Zܨݯn0+ jn60T 9¾V|0cᠽxz4[aP:q8zO5XLV<FPy%W2CQMQed=YOQV--][iD{׮{#lJi7/CCt~?L IR9L“ig2mήܙԤq3иZgL) y!'C?5C{zɭ+nj//"k/ a# SO,pEB⽦ =5X=wF,gSNl:a%i_p]8|@\2z`aEƌT{Y2;$F5Vjm@_]gC˝Jj.lEaUY,{;h28EגqA]=ӤC&a ɎE8zt}L5IAU9?:B"7R{LO-[yۚm6Y>퉧o'a-WU`& X9W#RLp:C%֫7]`XM`d7q̳75Wdž~ J齾e˫wJ;! ;i.w^-"ޱD OK8ϑNN<P;#l7@đ%-w1M}Ræ#B<_8ђFQ4|ax`K nx'22[e& HG 6[/xEuvY [k,=Vr]j|MیT o^Y&ߦe+8VLR +A(+oc"9s@$Q55<6Iv°Ё;t!^&^tBܰӍ>PJ|$lՅhr4DiBL_>~t Sg0 :\@=2k܉]ѫ@ nQ Xt)Ij~k>#EnU7_UWہQߨyqJAU&|lhRgq`QIK_VV[,| n7Lbqܗ]8?Jݐ"@+ lOO<\.tQj~.B:0]^SaLDdPdNY] xN/JASN캹-k6<9J>akVtu Ye 7O+hE#+Z fЫxruM~7#x'^ W&,L|ܥRx 3չ(_j!lDTӚvnmvRb D0xVҺ cZYxf7OYf4n7s.(ݘ!4@0}O&e⊶m{*_Yu]vauPSk 1a^#yS\RGj]@GՃ*ʧP>QS>b.Z2U4/.VIԕ?Zge$fRa\@K(ȷsŰnWC|+VZY=b!(HSa q L"d0qDes#ZzOTQ NrI0S q؆Θ 4hA~IgaaFd㹏1E^QEj(uZrR!F%{Q5d]*`Yte[\xnrɑSeeO?)tnk1ǡ-ms磘> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14352 1861 0 R >> >> /Type /Page >> endobj 1858 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1859 0 obj [1858 0 R 1863 0 R 1864 0 R 1865 0 R 1866 0 R 1867 0 R 1862 0 R] endobj 1860 0 obj << /Length 19 >> stream q /Iabc14352 Do Q endstream endobj 1861 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14367 20830 0 R /Gabc14373 20835 0 R >> /Font << /Fabc14388 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫@QTӡ~1OEҤYU?wG?{`%NfcX-LF.FPQ₅1 }'Y~IdNW3Wf ^rj\9 " ٷGӮBxg(eFI%o#\\@Bl(Y}Ѿ 5Ժ@A꣔8JRhwm-־0Z|fOr;L$u$WʵR̃sV7Ӭ#x#?d_cxy /K"rԠKh*2 'LKBV%|)Q8F$!ī륨Wm';2!xڡ1 g^B9_'Ŷ'Z endstream endobj 1862 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=75) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1863 0 obj << /A << /D (unique_629) /S /GoTo >> /Border [0 0 0] /Contents (create_clock) /M (D:20211013063105-08'00') /Rect [104.1732 522.0076 164.1782 533.0076] /Subtype /Link /Type /Annot >> endobj 1864 0 obj << /A << /D (unique_630) /S /GoTo >> /Border [0 0 0] /Contents (create_generated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 505.8076 217.8802 516.8076] /Subtype /Link /Type /Annot >> endobj 1865 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 489.6076 126.7947 500.6076] /Subtype /Link /Type /Annot >> endobj 1866 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 473.4076 154.2562 484.4076] /Subtype /Link /Type /Annot >> endobj 1867 0 obj << /A << /D (unique_654) /S /GoTo >> /Border [0 0 0] /Contents (set_propagated_clock) /M (D:20211013063105-08'00') /Rect [104.1732 457.2076 208.5577 468.2076] /Subtype /Link /Type /Annot >> endobj 1868 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1869 0 obj << /Filter /FlateDecode /Length 1917 >> stream xڵXn$7+x6i P9 椞a .~Af2K%[Hb+ߴ5:{1b/p?V_K}ښ콾(l_ֳ l:kAd9V J Ɋ,Yz@^do@P$ZSynمR) H/Eh79Wsw6M1k0nvbY͠P$ ?Ix1X[Kiؾ/ W8do4lkCΦRO6KA\XJ&r3b0Ij<#w^R" 䡺̓|ewNhNB~81FZ o?$'p8P'(|?$_I9 p#{-X[6>2K-lV[}w|KCJiU}3g,ʹsR}B>I>ΏF)IANֈ"Gs5³66MS6sL]!NRŋɛ`(Y1WWe)kpI&2.M|aY]9 :}՟#Ø5 }&@Z1t,ѱ;6ͳ7%W%|n? 2O.ֹEĝ1CA~DiTjYH1iF;Y;>#gW; ڰ0eX[b׫Um5j \"e~ W%R޹l~-lF \ʗ7G++e6UtLO bm^!^(/w+",Oz%dS*INx-}MM % 6=tfƙ'^Frb;T.VV}3 ZBhbaDMG]5feV$wfH:X6Άr /đyCܭ(&&]dq!ߚ츅]95~pScibXZԅI?䝒ftl)U70QN.ݢW]\bn_tG* ƞ6'Y\ ez3nT71m}16ݐ&s+oou~3&cu̯Ե6m%b@wk銎V .p>ydXv'w'Jz'JBdEpoD W pי_C>x8݉QnmC7J۞9ĥy#GI5ӅMd!l + z2#lBͦ08 !QC+ endstream endobj 1870 0 obj << /Annots 1872 0 R /BleedBox [0 0 612 792] /Contents [1878 0 R 1877 0 R 1873 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14546 1874 0 R >> >> /Type /Page >> endobj 1871 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1872 0 obj [1871 0 R 1876 0 R 1875 0 R] endobj 1873 0 obj << /Length 19 >> stream q /Iabc14546 Do Q endstream endobj 1874 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14561 20830 0 R /Gabc14568 20835 0 R >> /Font << /Fabc14578 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=JщwlVbVazצb/mk: s[({L̇h*$RG"e*)sm.\gy4cEŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9P_'ŶD endstream endobj 1875 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=76) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1876 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 399.925 112.8525 410.925] /Subtype /Link /Type /Annot >> endobj 1877 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1878 0 obj << /Filter /FlateDecode /Length 3967 >> stream xڵn$ί9@ %C8vHf.[uԚ`UE_ӟ_u:Z2:~c|Շ%~~3&>8w狻Z_:p=قw+>tԿ_ӳB!)_t4XB/,,[lIVr/Ya]b3>.Rt,K6i:՟5?@t 9SGe;σ.x5QJHg,{0i\0rQaz<&!J;Bs(36v7XG \W";GԊMht zUXgV0}8**5ɋխzz˹'\FegۥMڭp-D29I4M]sEV?"Ӗd/Ӽ-8ů>f<پںVӢ­`pe*IJ."/UbE0x]Ųe$3S^SeSQw0^qRDBAUi|XU¨,BvN]%6%u%W(ң;2 EcY wBj2`pTκcvn.u6yV( R̻i p>,U_}NeBTvN9?ֵ@%U!Cl q \$$uag5bSb\}"gx+yD,$8ӎzRl4S).&)aFxW4?o&ż{xGdA# M%3~j$)K=/h$[1`kJRcKie^RcNr`jOb g6 WM ݚ`'bq nOAߚop^)K]iLeϫ>"^H=*<(m=/\șe)5S@]oD I2~iN8%clUQ\̟=N7C}D4dnї8>lw5>3)BM"tvUk?ax%B/SNoK\?T5\zj*-#^2XH1n*dyz %QlH*k2鍒[[k؝h8iԾALm,7Mz-EȽt z5kbs"X//9V55ƽPqzyD vpg /\Y@{. % K R~&|ځ +0Ct PR>r}3#x%^< 7Byu~Cpfs#P>o"P!n| 27=rk%މ9lH"-Evneu?SGwLG,o&Ȩ#D5:eP9鐳BF^[>jsaU2h&̑ꬲv2m7ۥA,7>o#-{5'i>OZJGd6xŗIN~Ϛ` X,t, jᒋ[w|V%~wNi}\/ 3 kIY>U8z*0wFwS.B|=ƚ~OD錓~Y3,`8' 2}N$Wo?ē?'~?hǜҁFٮ"`nXQ s/^ԏ< L{DtTO{x`}=C"Sj|$La-D endstream endobj 1879 0 obj << /Annots 1881 0 R /BleedBox [0 0 612 792] /Contents [1895 0 R 1894 0 R 1882 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14735 1883 0 R >> >> /Type /Page >> endobj 1880 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1881 0 obj [1880 0 R 1885 0 R 1886 0 R 1887 0 R 1888 0 R 1889 0 R 1890 0 R 1891 0 R 1892 0 R 1893 0 R 1884 0 R] endobj 1882 0 obj << /Length 19 >> stream q /Iabc14735 Do Q endstream endobj 1883 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14750 20830 0 R /Gabc14757 20835 0 R >> /Font << /Fabc14767 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]h߄}j]֠zfQN@dQHL_%ee8>϶f5a^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dzQUh>ΡDHIO;p%4CNZu^lMD endstream endobj 1884 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=77) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1885 0 obj << /A << /D (unique_739) /S /GoTo >> /Border [0 0 0] /Contents (all_dsps) /M (D:20211013063105-08'00') /Rect [104.1732 478.4346 141.7327 489.4346] /Subtype /Link /Type /Annot >> endobj 1886 0 obj << /A << /D (unique_743) /S /GoTo >> /Border [0 0 0] /Contents (all_hsios) /M (D:20211013063105-08'00') /Rect [104.1732 462.2346 144.4222 473.2346] /Subtype /Link /Type /Annot >> endobj 1887 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20211013063105-08'00') /Rect [104.1732 446.0346 161.0487 457.0346] /Subtype /Link /Type /Annot >> endobj 1888 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 429.8346 184.2532 440.8346] /Subtype /Link /Type /Annot >> endobj 1889 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 413.6346 126.7947 424.6346] /Subtype /Link /Type /Annot >> endobj 1890 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 397.4345 145.4837 408.4345] /Subtype /Link /Type /Annot >> endobj 1891 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 381.2345 164.9372 392.2345] /Subtype /Link /Type /Annot >> endobj 1892 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 365.0345 180.9312 376.0345] /Subtype /Link /Type /Annot >> endobj 1893 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 348.8345 172.9177 359.8345] /Subtype /Link /Type /Annot >> endobj 1894 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1895 0 obj << /Filter /FlateDecode /Length 2172 >> stream xڭYn6+ W+z``zM~}Peۉ Y"E}w[m~j9Ӕ_/Xfn.ǯwΗ;.s_ q5:;\p>]6xl㡆)wD.Մ`$J/չ$$.$ xb5rr傝 E.Vc>g` ~Uqd# H)g3f7~.|m08O?$A5ݍ8ᦐ)hFQR4^F(XԹYM'Q#iE3'{Kd8oaq܇l1Kn/(}WLh.R-p:;.}pqbayΝ@b&ESҊ#I5qAik{>ire' MitV@G.!kфG$B)/pxD~t_QE_Nzge^܏yQ /s5A*в>tVx&g*c}OekW+JJ8&ĀڀwP40ޡw  @p?{\U((A V95Wz*TfmkÕΙaM ߯!_Oj8']ZwfIaS M!ڈ;rgN=qYto>OG$tuTxXsqNГaӔZUoU^hzu endstream endobj 1896 0 obj << /Annots 1898 0 R /BleedBox [0 0 612 792] /Contents [1904 0 R 1903 0 R 1899 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc14929 1900 0 R >> >> /Type /Page >> endobj 1897 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1898 0 obj [1897 0 R 1901 0 R 1902 0 R] endobj 1899 0 obj << /Length 19 >> stream q /Iabc14929 Do Q endstream endobj 1900 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc14946 20830 0 R /Gabc14958 20835 0 R >> /Font << /Fabc14960 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nhIӡ~1OE*(6$.p' 4Nf ZnF(BBqAeL }'Y~IdNW3W( !b1M J-'Cf'd~}QF䴫3^JمQQ@;b)^G+QO TϬ>Tă;6Tr޵iK[ZNߖj?'=ʡ|72ْԑȅ5J.\[-:ufg[XLf^_ DQl˒K(Kh>eN9 \L \0%7bNFzqHB>W!K\|5ʄivP(y P@%u^lMI endstream endobj 1901 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 399.925 112.8525 410.925] /Subtype /Link /Type /Annot >> endobj 1902 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=78) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1903 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1904 0 obj << /Filter /FlateDecode /Length 3987 >> stream xڵn$ίtfXh4|[G@OA ؽS/zz4ulXokWm7]A :违vV6Na!e_??ƒ>8O狧'Z_~PS틾=A/'EHWMV_I%^x3ܝ-TN!0+ϼBBc|):%Xit?>}?=`LvN]:?(kq2>'m %ddg'YNA e:=T(:ϬPUu*lx8dVnzv+#@$EI@T@;tsIS6jDd ++j7?>65 $>zG\TU@5K %ּ\K;=?}m xƴ;uv#Hohw9MC]5hVj[\aC]lG@fFn-]KIl.$>ˋg|uniS06jF׉X ,v]V !`p|>%:Zw+.] ]킌Ym# ͱHhdž,sHc)X;㺻uWv{1Dw )V&5Y ϢFC0N=(6m*\楉t}++-iW 2F=?8|I`#x\AxP>۫%v >$#Tx__so v%_uoWD)6{ă<#]~[8TPx>q _G{K,Ly r RFH8ULTi73Tdhs†݁ytmRjCjU:nnY U@"ҬAaB MчseȖ%U*=1_Xf*/%[TkVHѭ[B{fSA6G@g 3d5*Ȝe-cNMTfKa[;3U$3qB}KBViw"UjKՌxl4fZams6c6eV0ڀf*lV j]:: V2td].X:: [A5g-8azs2JyU# 8.nS[ނ)M}0=Z_`wX1laT 9F>xb=54On},S+Z6A1t^Umf"`[(j`׬'Nwe.-rtcwһڭp/`D=I4m]sEݷwptSʴm'n{8O?v>֓<}ˁh=1mQ=eq|;h ntT䵽͆Oٯ ~>\y0!%@wV&2l4نv^OӐDu{qJ鮩z{DyֿFd-\;ϲ+O[yy~Dp뵵oW;/VQ񉏪S=aN4Iu09H7g!?w>ܜCYu&laT)uu-s>x2LtL䂿@,F Cq (6#ԿH`EG]Ҕ\-SR(tLg6I U6` %('VaSMuacJ{5lM!(H&w58ϻz.MKJBB ;!O &wGT<)/I,7DЈKwP;jm>#p Ea=ǏK::[,8;qa׈BR9WdǦfkj0Y͊@MǙ{dar}GK#ۅDFDfȌ6g8dH} W8y *껨MB1a>Mzo^D kƾS冷oƘZ>ֻ= :rh(-HwV&mul`aW;|sZy#=άVjϙ):9"%hD*gWyv=N=Pwj=؍l쇜hw5*")\R{ௗD mrه^ȕ_cSQѻQ.DΗ%Al4tQ!G%D7NM P^RcH$\T2}Z0jhNl'W m45dp/n\O2v@R3jl8v6zԢգuf3&lγ8'CZƼ׺8uJq˜* w{Z?{MR6u`xX@iѴ`E$2 [%&daB n:ːW{4nV#_VJr36mTR!mZFE1x}ZKl-HdC6_@$Q5 ܷIv1C|{M6=1m/XevS3#܋%5fSh3NՎv"FNDNC6й=D@?k]bv]}ԕ|=ea,Ngoz Y弿ڍνXsש| zNe5֦戧zE,6__r.ѭ4jjMBY̊\̎x4T}CG/x#hLX橰/.VI4Lt]a\@!h}W~\+<-.yVlmo Z`8ȫe6rMn8\T} 1ۍvrP408K/be/'>+a9Y)bsJ02TJJzcO+.>~]}v<y3 gw+[%UiJ{a?U aU}˘W)%f{~a`ӎF: y+<k'7G,ώdfV[`8Gv vt U v1> 0(L> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc20557 1918 0 R >> >> /Type /Page >> endobj 1906 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1907 0 obj [1906 0 R 1908 0 R 1909 0 R 1910 0 R 1911 0 R 1912 0 R 1913 0 R 1914 0 R 1915 0 R 1916 0 R 1919 0 R] endobj 1908 0 obj << /A << /D (unique_738) /S /GoTo >> /Border [0 0 0] /Contents (all_cpus) /M (D:20211013063105-08'00') /Rect [104.1732 503.0307 142.1947 514.0308] /Subtype /Link /Type /Annot >> endobj 1909 0 obj << /A << /D (unique_743) /S /GoTo >> /Border [0 0 0] /Contents (all_hsios) /M (D:20211013063105-08'00') /Rect [104.1732 486.8307 144.4222 497.8307] /Subtype /Link /Type /Annot >> endobj 1910 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20211013063105-08'00') /Rect [104.1732 470.6307 161.0487 481.6307] /Subtype /Link /Type /Annot >> endobj 1911 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 454.4307 184.2532 465.4307] /Subtype /Link /Type /Annot >> endobj 1912 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 438.2307 126.7947 449.2307] /Subtype /Link /Type /Annot >> endobj 1913 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 422.0307 145.4837 433.0307] /Subtype /Link /Type /Annot >> endobj 1914 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 405.8307 164.9372 416.8307] /Subtype /Link /Type /Annot >> endobj 1915 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 389.6306 180.9312 400.6306] /Subtype /Link /Type /Annot >> endobj 1916 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 373.4306 172.9177 384.4306] /Subtype /Link /Type /Annot >> endobj 1917 0 obj << /Length 19 >> stream q /Iabc20557 Do Q endstream endobj 1918 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc20559 20830 0 R /Gabc20561 20835 0 R >> /Font << /Fabc20563 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pDЎYzrv"eYHUC.$G7]Nx41r$bE0EP,3MwPtJUD]IeJW3W( kJ1r&H-'Cf'f5@}QF䴫3^(Z(P;bL2R)G+QO TϬ>JGܱY ]v4Lm)1:}ɓ#LDURj)\Όl iVQ`^_ DD<<9C92̼dV9p!g9`Ni)Ԩ\u#U4櫶ļΡDH).;Fsh8rF[z7} endstream endobj 1919 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=79) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1920 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1921 0 obj << /Filter /FlateDecode /Length 2194 >> stream xڭYIk$ǯ@c_@T`$ǘuŒ*Mݤ2c{[|o(M[m\~yխter)u, zV_?mt$xпT3k@jqOQ&]?ď;]8r˜פM[wP"\ֵZ>(DL5kr{`e+GJ ob\@#,%IA#"ƞ%9՚RlibӰ(mcW0hkZ\8xO1䈠NY@ROc-rn->D x;Y [l3K{ þfDXG{MF4 Px3 +2ww1¹E">Km9xۧQMb ],inw=`-v~,K#bV29q00 '̞vɫX=yR!/FmXmqOn AUcǚ&F6}ިiM `,jrigGO6k +]mxiv _ =FpΉX48DŁ hls@C8c›=; wWz۹;k;[YSHZY̥JK=]VƋXE;C/p^=;| NPDL$E_siLĖnurB,m$ 'o 0YS _uXkU׬PC!G }yuOWrMʐg3+g VD: ,h}6ܕ\\3j/ydWZkK p>!$M3D8NDKjKEW>c'Lv t>R&NmS)g Iti_cڬg^VhM\Og " JHQwOQ7 ьd7O +_gU`V]m&)]zĸk\* Fxɲ)-4=H{Zf8֮і< *|AxY&T ,J2@X,X>AD@iNB&bEZ> ōEQD^}9"bp$1q*ej֢eJ$B}txomҧR=2!~Z*7%(bV/_(Snjvrǝ=a]ax&]3Խx?Le7j!IINƷn? 1:'hiܻ[iSZ+iǡ ~( Ys=r牄?^@X}XIX TB(2#Pn?Pe'o2o@?(rxsB߼]Q]*lk`#qbKy\gċt6o԰B]<΁9hFi5MwV~>! A'c endstream endobj 1922 0 obj << /Annots 1924 0 R /BleedBox [0 0 612 792] /Contents [1930 0 R 1928 0 R 1926 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc20595 1927 0 R >> >> /Type /Page >> endobj 1923 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1924 0 obj [1923 0 R 1925 0 R 1929 0 R] endobj 1925 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 265.125 112.8525 276.125] /Subtype /Link /Type /Annot >> endobj 1926 0 obj << /Length 19 >> stream q /Iabc20595 Do Q endstream endobj 1927 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc20597 20830 0 R /Gabc20599 20835 0 R >> /Font << /Fabc20601 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pP3thǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy8)l?7‹!H5h}'2g("_@9Ê:!l2g)-\0cDA |ն9)evP(x Ph|=B/}* endstream endobj 1928 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1929 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=80) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1930 0 obj << /Filter /FlateDecode /Length 4375 >> stream x\KWl@2_"E`1̴ Cvؽ|ѭiA8-bU}|IEUjB4˛4-''g$E}M^g'/Z7烕/oorAFyuM1=1r](_W |rB_w@QnQYm? =<"ZiqԔ_{z~# ~]pJy(_v˕T0\?qE_[B$!Đz9 P d>7QOI_C"A4Ѭw .#ZČn? |1$M#hOOcA'%K)` P6H&H s:Q5 J+B{O3ZL;'4l9!MF1F)هyBȆ| c#>CL5n_^` CfXWlO٠P6XGoYϽRCn%6p.$ܮ睼LdX@)">/):dr!ŤԚwNe4JJgg̈́24` B_C݄Sr;0q[=6ٍ1[cr f9fpe @ H"Rt. w3 谍Q#BLq Ê6LV%0KKڰEtqWb!.Ws݊cCv-fؤj2PXTEuF&[tQO >Z*q-8G >@_SȉyΒ2nTw4SS[@8LH&v/MsFxmh!ԥ.W:bcQ]3\6QcsLGXӄ5*.&)Y@cʌ>: #QuXA" Uq_ sNv/sܙ[9^@e)Mʬ ݬ?Qh)8\;Aj P ȭTڙ)~S|8qLcҋ wDƼ'h=y S]?O-QC7Pn-2zVtW̅|}\?WuUo4}qLF bNԼZe]YQHA(}cHK/aeY3ͨv7̈~JỺW >O~[D˛;޾w.7 Fo|u \Ğ6jgW ^DC*#r__XoZf -Wx~ǨE9hd춉-ZcȬ*@dҞpoOWK0LtRr;̨f^j$H| eTWuJ76I:6D*Ʌ,\XS{G,;b`'^b$-^*U2Ep\/i$"Ŏ17s ݨd]OASxm\ ^ >r$ rm-a|5QTiK@R%\0PZDLbt-~,b$#@u0PGCpNmiDQmCSeD=!u)wIaOJ@cEԧ%ZVg&NL{-6[d'YDA4Tm EM=W% wo٦ %1NX@+28ebbbj jmLlUU4-,z vf ܇i`<X XddZc`&lv%wKƲ+M@YwӼqn$S|W/vp[p2K8}8%N{$z8Iph$' DΝ$KIlb#pt8ƟAn0n3r6;;Sd ':8?NbQ5~*SC'<3S} N -85? F* -pjpJNDES뒃;+ 8]~E'ӟpNW^!ފӻg{xlOl-0ӟw %G)qu?]#)qq?ۏx7AJ\ڏx'~G %#je8ıM[@%l*qp0Mà7JܲwTMm]m=} VP+_4/+j7  I{(%צ|\Whx||G-qһ1$j"-^=.H\}>7Qܷߚ?L\,hq/зF%x+ψ2}a/?E9M^.) *ix͸ʖG:~65B*Pr:~nr7s9bP1{7q熮Q {0j Mfo2N'98r_T99ID`4h<K`$Q֔Hp2ԓ(P y Ws缇EOEYsB?͟Ue"~u 4*K!5Ʀ.T-6 -ۅ3՛C]1/ȋ^Xx\Zy;#A]S\Wb"M8Hs=i'7KߔsD>t|~@(Kw&5[]KYz4wFӌW^X*ٹ';ߟ[?U MG>7s8:~T `>'t"nd6X洏E'z8hcY\7ayi6Oo໩G;#2+â].ڐPDUvz p,غ0|M0{:4WGPZ`- ǝGLeoϘb|Nj}h4(.>8]YbDz`*BLB;#w:!2~N:^i<-NQ*wub`h<p!f[nУ `_iѳPZ뉾ɼsi9,kmZsyXyX*/ߡHs0nv iOCIHҒH |/'{۪kNcY&mc8GvS ְM€KG&ϴ ӱ68Ox@lS;v|ɇ_uO%si"n32>5GJ( J?ԣQ5^.ߴ=׉lS(J-&Ass3`zK> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24211 1935 0 R >> >> /Type /Page >> endobj 1932 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1933 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1934 0 obj << /Length 19 >> stream q /Iabc24211 Do Q endstream endobj 1935 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24212 20830 0 R /Gabc24213 20835 0 R >> /Font << /Fabc24214 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1937 0 obj << /Filter /FlateDecode /Length 3746 >> stream x[I$篈ұ/PTuM |4dLˠmRKY*3#_xV(4;W*V}Y{F[}i|V~N=瘜SV?jm!T 3|4ox~Pd@96^xj]^OI^` r]^NrnlӸxYhdeN(\  ,xԥ|:´W퓇dmubKBI e.}!t9n«<˜N:\e#ggӒyXN;M`qgc +򈓎|G^nL1Nm+X}6 &E pKdo"ؙϡD׮Pw8]I#?C!d~G}aWVs;C0y 9)/4sa$b/I )J!ʛA I]O8p (T,י&} +#!P+k\]yJyɊ(-J8a}i2[!060e4XG54-$d M ,C_i ZYC~D4kp2v3JHՆYާ)^ EjDUSs! e?D>)DcP:Y #m!G#Tc LȞ@I`/=ٕ]Q裈hD|G6p{w#Uf<\r 2b>8R@-]k?Y7a=K@}20LPI+Gac%T]Ҩpw0/g/{@Ys3ek\ :?JZlaMk]յ:'ÿo"Pr4m䎹osi|Aw AAۗzWz~Ulm 1j՚d"8GP2_]njq-Gn&*h` XW~d(JH E?4D3Hp|Z5#ݘ gy{eKAm|,[VޭʉeVbl)ZHŮ9b /!Y~ HJ;d"U;Ҡ-uY$c=WTky]b-t'|BG7yEchzġY4f<5i aZ}a4d ?mꁶIV9ri/#wSB47IcEɴTw[f I[ |+_ݺvh^a# (YYmǭJԡ5ڵZgpք6;(6Aѵ;&Fia>Em!"ruJcU!2fkݲjl*//vatrcf ID;\h}[cܮ4If/Xx:{u{6ƄӖ15GCuT?mpwD:U/u߫+¤W4͉} fz[p!b]T4Y k~/bsvPݳe:YSy!H_MDe!LSlQ+8n@'iM]军Lܤ4-tZ'jdkum[gy9[Q5n͈陾n~MJ@jۺ▫,tGݧe.DVYEC)h`Ia30lRW*36?=.A^'"[=%Qc϶b79}C~ύqOv؏6q1 D+C(ۉOܚNc`Ya6<*fyLo1%&둃nDѸɩ ^7#Î0$>@[j ڌ=h_xn9Oj@"f \RO.&KBy9gqyNµ<#tp72ڕϡ[NIIYz$ImZ}dw4O= |@g> ' = (Ƿݬ6-Ī #5<{Hvٔb;l[f0q/1"cFI_cFMHMc@nq?|f8oxC+&&R-oRusJB)Z\ nv<8A <KP.6x13A`.+Q 8g`s(zS=$쫽yv)CR@9KHOD:YUi׫!k0&Ӗs4#ӚyyxJ-sI (Cp#Qz^r6Lj|/rsP.PV.6$M&ûnΖi*M<3h"ZA/*ݙ#az}bsX^88eNb1؁Dt3?>_IlNޙ[`L<w:!, v#VyLo|M5GtBbM/Py W4tt@!x{$Ǵt3_@` Ծ8.xJ8ȣ: *Ekx\ f85/d.z+^DyXv UJ23]|!I':Wo:*AZOa%\[y"cz/N}by_":a:gMe =+&e) E"b7Y).L}l AF5nM@L~O400<_7n''~Gs)bs9ՀIa4ΩHX=s9 *0xu) m9'尹#u I:+9-<9ݺns~湛G]=1{4/; pZ &@`pTN*Er`ƞ5OP|y~E:ˋ?|йgnN!G|4z>f n5}n^p/7I$Eρٶ"հYEL3# 0~:M'~IDK?.&b2==Fbz_9VWՠag endstream endobj 1938 0 obj << /Annots 1940 0 R /BleedBox [0 0 612 792] /Contents [1950 0 R 1946 0 R 1947 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24230 1948 0 R >> >> /Type /Page >> endobj 1939 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1940 0 obj [1939 0 R 1941 0 R 1942 0 R 1943 0 R 1944 0 R 1945 0 R 1949 0 R] endobj 1941 0 obj << /A << /D (unique_741) /S /GoTo >> /Border [0 0 0] /Contents (all_fanout) /M (D:20211013063105-08'00') /Rect [104.1732 606.1 151.6217 617.1] /Subtype /Link /Type /Annot >> endobj 1942 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 589.9 184.2532 600.9] /Subtype /Link /Type /Annot >> endobj 1943 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 573.7 145.4837 584.7] /Subtype /Link /Type /Annot >> endobj 1944 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 557.5 144.2737 568.5] /Subtype /Link /Type /Annot >> endobj 1945 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 541.3 149.6802 552.3] /Subtype /Link /Type /Annot >> endobj 1946 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1947 0 obj << /Length 19 >> stream q /Iabc24230 Do Q endstream endobj 1948 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24231 20830 0 R /Gabc24232 20835 0 R >> /Font << /Fabc24233 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwoC endstream endobj 1949 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=82) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1950 0 obj << /Filter /FlateDecode /Length 1682 >> stream xڭXMo7 W`}k xX'NQ ė>Ҍfv6r((vwTt9,>Sx 9}z\lךd>=/7c:ނn]3m, \|Iwm~I~ ^>X[#%\I;ݍL LD"x"*}rT<ɉ K Mc\b8vEEt,9l8li Un]tS/zX>k#2O_yH$f` #! +/AH h];hO껶hȱ7ɚHLh2~ʐ9lZ)Q+fWf`dI{}z]ǑC!ѸS0sDzY}Lzk,H#i9ޭc^a>vK;6$'!3m,KD"{(܋q1r7\qp3%(ף$j;񳓑xl1B3LH.LlTT8S)=DN'Ӭꕂ%g 0,!Ŏ" BEҽW)| v\Fhm^ǔdX-RX.hwu4(Y\p^Eczt'{~ZK~3Fht[&__噰~4N8'9҇au0Ε'\}SJM~f 1(PS9];usLsh4B-X2uS}T3 ٖBJO:)2ob -ӉtjŪɈDݩוb,D^E^"?|T.^]uA[M}U(^~T7D>O,,b2pN/t6'LClV8c swT[euW=O4xiVF]&gɛX$Sޭl@cfF]EbUF,1!c[SFKΰ0V,(O\j~tA][+4zLR8ref?2Uz[?I\[u(vJ/؜,* /S9I}PuP]7M`[r+b͍EJS4hU8udk7`8F*m{B5 )Ze&8Rzb*OLm!!Bb~:Ǯ$3b(o9PiBِO+ʼn`bQ (O⼬[sn=eW\VҲ4aX8v)[K% HH&WT7/|f+Ys;7>I]+TK =)qNhRN'+[ZbO(FݑtJ! p$2C릚}|vZaSXdkr,"DisIN_0*o-v> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24249 1957 0 R >> >> /Type /Page >> endobj 1952 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1953 0 obj [1952 0 R 1954 0 R 1958 0 R] endobj 1954 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 265.125 112.8525 276.125] /Subtype /Link /Type /Annot >> endobj 1955 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1956 0 obj << /Length 19 >> stream q /Iabc24249 Do Q endstream endobj 1957 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24250 20830 0 R /Gabc24251 20835 0 R >> /Font << /Fabc24252 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVm`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶ endstream endobj 1958 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=83) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1959 0 obj << /Filter /FlateDecode /Length 4378 >> stream x\KWe)g fg6c&?[Rzf| {U,VW|HEUj//2ӴNI8?.N-~in.D+^??(܃<Wգ6<^R*>ɧ'DNF>K\7_ nIYm#-^{y]ȽR~ZD)*>? -G P Q +hR\{!ĴKQOƑM#Jk%J=|Y:,w-,XF=4>1;:|ol?cpHğ[3>⺻l;u;;Ub|DY >'R;TSW r[39_0qɳ/ynZ4zݜbs=g?z" tC%~jZ0 ;L}ZroM;CR h_յS=c3mVSW{ vZ#/;{$N.eڏZYȬ]&fj81Lf`np+tUJ ]o )-x^ί!_*nKk;`,zC/B$5W_,MiF^Pd ?Ԋv_X/lif =W݋oQȓ-Jg6Q%Qk2JG?.ƽ[۞xJ! fYNHfǂl]DZ* UU酸yƃR\(R55Ž7Ƣ1& v-w܋JJZ9M#zZd\j73"jr kԷfBfX_JZ !{ϚԻ(ͣ} TbqƕO$Fg`hvdy0sK[, l*^.ȜMd[T85Lk{ud/8;tExY=|A%m*qdP|A%n8wTM#mo6}|>kംx^yy]!VS= C`d+@&8ߚPr^,8y-qҫ1$J< /[$nNbӠg!d@7sgN6SN5Ee)+ԜcCʭHVh5c)+k쇩tGJH;#/U}M~ݧȅ\`_o`=>Eq,^뗜_/x>3t20FlOH=ɩ@<]7ؕYܦ g<*=*4sq!g c=% gpgwC-5'=O3&s ~*s%JԴz:Zp_`1i55C꺿cVW0X\Y;#N]! *@+Ax@|!1} l=~p-&pz#7]t",>DfH<6AeG- Z7h<w}gԴF@99Ji9?d8E+0e{Nj`3ۉ#6BO)g ӅNS -niT)~^{E59l!OCP7&NcIdۚ0֓6$e"3ͺ6BZ =[O5Vt"~N1.T+Sv@oOO`+X,gTrW +<"kZ0{@@Tqni,1`_hUPhf-x2\g^u[r\e /F+Z]\:K ]^GqOYmVKÔd-EK\^z}۰=1O~ڤ"oB.KuI,@ |dzE솎XhT0 `r2u<P".  QJ?ހj;X7obƂ* ܕ{f-J}_[lzC I :_RkTӂҊ0R2 ?_]t"*[ _2M'q0bOJ2&%p'b^ b6;j?R $N6k2GlR,I9`_xW2S;*87>k)L/zGD[ˉ!gÚj8RƤT6祸!MB536Ed#)UJ4e!1x[EiO]1YL@e+<Rp^ԮV2mo)APE}s/l$l֭Э9я~uG Fly:.xs\lE )M+6L^B.g@&~&jd,s6ˉ隃h7 ޘ־1ʑݔx*IqzPBL;_s:}@O"HuʓǓQ\( \\RD~x+̨`Fo0lXOW(G9/kѽF\viD\=٦?acpIx>ާg{͡s\-M:unIvL]Ck zΟ/ endstream endobj 1960 0 obj << /Annots [1961 0 R 1965 0 R] /BleedBox [0 0 612 792] /Contents [1966 0 R 1962 0 R 1963 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24268 1964 0 R >> >> /Type /Page >> endobj 1961 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1962 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1963 0 obj << /Length 19 >> stream q /Iabc24268 Do Q endstream endobj 1964 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24269 20830 0 R /Gabc24270 20835 0 R >> /Font << /Fabc24271 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ V endstream endobj 1965 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=84) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1966 0 obj << /Filter /FlateDecode /Length 3547 >> stream x[Y$~ׯBuPѵ5 ~041=CWuL{ar* ">%*To?1鋬ϴN&8ʿ\Z~0)eVS ZEcqJy\ W |+ժL?Jd\:(r =?9>@ۜ?@9OYa$ ,*)s1ԎSԖzLWi4|b 0jE蠳>2:S0V#@a6Btg MfߐlBuLrddM+A"#7u[oG^[O]UB9JVO.U8  | Dtk2.v6)$M>:7neKM0svgɗ /,ǶB0Ң|qM 1#(yEjȜ/x 4Q rSNQuW;buUEavϓ YmN2$> 3L9J_*0"J`a%N+aj]TRB8%k,fO6Wq5=3ShkN& *ոm I*&-ĬK_eV1[$~*W=@V@TР|-O"&u\d w"s V@CYXg"]VSf.S>񁼓DFћMy> zLWMFۼtϘޮڙjoz6)OZ~dQkOhaL%ޤ^L<:) 47op짪ku4c0/K.&y^/@/`nnEqX n ɈfA0DB0!Ő tN*/h0HVW+~X|tV=g+P>ygSj_Kv[˪+^ 1 QF"7?";8j4.cPpak?yDٽpMctRF'1g\gU^>j5Մ\v6$Twk; hbYUzǼl:0<;q:)NfulfA[Ҹ x*Wu2 b2, +( F"yg ?,-?<7n_[|wyK0y~Q\d+wkz6+ $6{3L-?7fT4 (_73L,` 6SS l嫮I¬ԌĦF7fŒMUV*֨Q/[^|)Lwbƪ'~82]ՓLhx~YNՍh֌J4h$'}KD~XmuBt;mADqvR"[zE}Wjܒ~ޫ.5m㺚1 9Ԏ+n#Cj >/jS#{BA-*N5v \~@<̃OfzQ۪Nq&b=5& BɰaՌgX6 ?P c' R簀hSfu.QJ誳˜L(J/Uhop~p (n⮖^i2M O}ܢ,m]j {<,/e̖[.c]UmÙ_-wOf* !yHh gƶmE;,6`nbb7ד=27#9M:1>gG5( ?.ʽ <w|ҲR<6OqS{R{oT|ݛHFCs֚m(SLز7E/S1MXY[xkz+#yѧʐd[;ul7r/~x{191*8/p3BobT cZbcT^𼥃1Pȅ/Sii j^Xq*xHɔ*hF%39.yP|1w4^G}=qoh2&@,$úsTgO3\/U 'X O& Svwv\ ÿBC5v!\_:_ k+VInvDճ6MPE<VcU(i$}[o?> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24287 1978 0 R >> >> /Type /Page >> endobj 1968 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1969 0 obj [1968 0 R 1970 0 R 1971 0 R 1972 0 R 1973 0 R 1974 0 R 1975 0 R 1979 0 R] endobj 1970 0 obj << /A << /D (unique_740) /S /GoTo >> /Border [0 0 0] /Contents (all_fanin) /M (D:20211013063105-08'00') /Rect [104.1732 675.7 144.0867 686.7] /Subtype /Link /Type /Annot >> endobj 1971 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 659.5 184.2532 670.5] /Subtype /Link /Type /Annot >> endobj 1972 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 643.3 126.7947 654.3] /Subtype /Link /Type /Annot >> endobj 1973 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 627.1 145.4837 638.1] /Subtype /Link /Type /Annot >> endobj 1974 0 obj << /A << /D (unique_483) /S /GoTo >> /Border [0 0 0] /Contents (get_pins) /M (D:20211013063105-08'00') /Rect [104.1732 610.9 144.2737 621.9] /Subtype /Link /Type /Annot >> endobj 1975 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 594.7 149.6802 605.7] /Subtype /Link /Type /Annot >> endobj 1976 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1977 0 obj << /Length 19 >> stream q /Iabc24287 Do Q endstream endobj 1978 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24288 20830 0 R /Gabc24289 20835 0 R >> /Font << /Fabc24290 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3ͪo#x#?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶`|ݝCvhw%TKh8rݛ: endstream endobj 1979 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=85) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1980 0 obj << /Filter /FlateDecode /Length 1307 >> stream xڭXKo6W\@[b'>@EOS ΋&hE7JW-Dڂ}z]mNv}a'嘬.DͥhO/_Q.i櫕{p|ȨagO!T40!0RWg'ˌ= FY+;=G3`F5ӵ3Hgt[q\@,\X0~g٫l \Xz/إ!@;8O 7qwk~Gݤ/v4Y|{oI?1 1d{ %Q6Ɏzf^Cooؿ֖̳ͫ66`9ةnJsH`ɛ+*lBKpLuX\誫l}p^{pl#WK%Vb_ CgW0K}uSn27۟f>oțKe ͿF]6nbaН:)FоhꈏFϸۀ*b^>yr3K_**6O`4L2n-Die`7@g+gЦRJ1ø[ِ:1QJe9lάM.f5CVX۪+G |L}e4P3`@smI|ƒuxUi2e<3p36OT<& 7aҐq#vI4tЦMmCW)+*؞㹇+%JI䨐}6!ϑL> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24306 1987 0 R >> >> /Type /Page >> endobj 1982 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1983 0 obj [1982 0 R 1984 0 R 1988 0 R] endobj 1984 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 399.925 112.8525 410.925] /Subtype /Link /Type /Annot >> endobj 1985 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1986 0 obj << /Length 19 >> stream q /Iabc24306 Do Q endstream endobj 1987 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24307 20830 0 R /Gabc24308 20835 0 R >> /Font << /Fabc24309 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 1989 0 obj << /Filter /FlateDecode /Length 4042 >> stream xɎί@I f&;e~?o&z!WKo\h ;%N~ѵte?I| YK){vgL ]wwֵ^;^]??=/DprYGkIfIkGf1 Wgd( /7k;:K62g>WktW4H8g,>E 0V n)6M0G0RJa ^O, D:5b'^igLA6#˽΃l|~LjvZ]2Լ.)9qὈah`p=gl&K _=H%klxS Rʃe[ 3k ЏV0/駯hcPD?:?H[F 05-4zcS՚u$X`޶QpK)`cA1g0-ء,wlYG ~O>!FQp%[%F4(35z`)U.Wיp2&>NLs?ܫue E!&­Q:fAk?8wv=OQ d}ΎBRu)'UI@PWM~ )Did0>ܱ)L#U@o+bMh)`0%{)a[Zީ b+>)zB #WnŨcAٽ:Zw+fm] "ރHn+f8cY(^d(sɲ CɾwAFʔHhDW1V#Lِ*T;WvjEnjs$]w_ԓM*YAVrhb/!P8`/TP 7JS;BCW{hPPS/H2B a1:-57@{phDS< Qf#1T ǝfl>TA>@t\cE>W{P>3%8n8jhu!J X$]/-jg)ޥF&GOH zi =6ujo3 Ü,S2 ),6En-KTk4c޻x&gd/"ܒFֳMG5RFte/]i/l<ƒh0-N7w=L.Y|ʴ8rgel6(|+,Y 5$6KȈdޑٳ;*`w뒭oa&f#Uc{+TjMw?Z[H++̩fEe`>-,¶jQXrJ+Z’ӺuwaaLӠy3>$ױ6-6G ~vQ헜 LXS Fʇfq)yWD vp ?JXV<*g .6\pkKVtxYe>$my@AlޞpTamf[OuLSM|"^mA<2U30 (}Sfs#P>DuZ!| 27=rk%i4pGѦ&æl6dx/1l³8 #\l P3[Bfs'r&quK%]Bz_]X}48VԻ\xh6tc[~BnC>^~GTc]@ex@ӉM?\ti(k3ߜj7PHFO(b|o,uz/Ƚ"`=1dIOCQr$ lx8 LQj9 /|䤶Ō*j35>+ Ҏ`O+EZ> 0"렭۽+2Q NYk6TN:ǖϽ\XqL,,X(qn<7 s:l ~5nMvzP408 /]Lg_6Wկї @zrX~{MҶiN(K|w~;43833 MU>`,pTo+`_?l=}iVDȜ:h9_?_-Zg;f;9YX(p ~O }e;3htx!^d:95au07|S(2=K"V~190G0z#45LaRʽV`l5gn# endstream endobj 1990 0 obj << /Annots 1992 0 R /BleedBox [0 0 612 792] /Contents [2002 0 R 1998 0 R 1999 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24325 2000 0 R >> >> /Type /Page >> endobj 1991 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 1992 0 obj [1991 0 R 1993 0 R 1994 0 R 1995 0 R 1996 0 R 1997 0 R 2001 0 R] endobj 1993 0 obj << /A << /D (unique_744) /S /GoTo >> /Border [0 0 0] /Contents (all_latches) /M (D:20211013063105-08'00') /Rect [104.1732 442.3038 153.8217 453.3038] /Subtype /Link /Type /Annot >> endobj 1994 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20211013063105-08'00') /Rect [104.1732 426.1038 161.0487 437.1038] /Subtype /Link /Type /Annot >> endobj 1995 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 409.9038 184.2532 420.9038] /Subtype /Link /Type /Annot >> endobj 1996 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 393.7038 126.7947 404.7038] /Subtype /Link /Type /Annot >> endobj 1997 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 377.5038 145.4837 388.5038] /Subtype /Link /Type /Annot >> endobj 1998 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1999 0 obj << /Length 19 >> stream q /Iabc24325 Do Q endstream endobj 2000 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24326 20830 0 R /Gabc24327 20835 0 R >> /Font << /Fabc24328 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n(ЎYzrv"i,䪍A ?wG?{`%( Zn\f  cR)N2&4%*\)ɔfU]d߄}j]֠zfQJ@lIHd%okp:3ϳ-nY=~oC2k,`O6OaePDt/ C%xp4!d53pj 7LU1" ^_/Ej; ʄC (S94CZ-z^lM endstream endobj 2001 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=87) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2002 0 obj << /Filter /FlateDecode /Length 2147 >> stream xYK# W輀z?^ !i pGR*U힞F̠ZEK$Ew yE9Sєós6hgM%`֦1bxxh>@*(!2ޛJ.?uØ'O{2&^WՆw 6^~}|x7=0 j!VrG<^I0!]isLK ?h\̭) #Mbl`ckMa1 pS"ᑑh?0c7XLiUx[4u:Iw;on,찫"&;xנGvޑNt Q%[]h{O껶h9ٙ t6o/&_YECmxVZ<śTa+f^?b? cb۳]p1u8mGտǒ>D$|DY V`1PmM.'k'AFq9:tO-^_CN8G?&Y2̫#QI~Z#Dp6\ė\22aRefdoMT} JCH=z Ϫ:{k^rdBDG _@eD۱[68n iiP O(nOi|K6k]hN\xs盪Hx6_g*?))}O!ebTΠZU.v\MB,&ur; 9SYGZBJ ʡޓIڗ3i6KMym4Y?*tFs+#l\r05B:I^ey`@ ?zΝt6ԇQ2 ]IL2_~FF |e " bMʮ7H96P w L4"lK%{⋎%j I'b|墿!ݤ\k#L I-N&wXܕ\\X5Zx ˮdWZ]mݥ??!nHxfL5j FֻF/Tsj 9rH;mĨe w:e7ꅶĮO(Y&wS#ҿe@Ԇ\&e>CmM,)j>lFlF ח{c4;cgY*|['N}5g)]A4ATe<'oO?[֞z۸a:uRB8ׯ^O08Wyٍ9';/lA f |Al AJ6@xgbCtޡ` Kw&ݠHD.ǒ:JЅ}@V`oSJ3_RzY  p*0Ӹ0$Ԫ ,--+__geq=A">DLkhJf2J~u6bZCl@?)bGb"Ĩ' pYέ@Დ MXS\vװ[K% b&Kp;! SΘE1ǸJ JF' 16` 6#4haEmo#'pvɌitCZʳ53 endstream endobj 2003 0 obj << /Annots 2005 0 R /BleedBox [0 0 612 792] /Contents [2011 0 R 2007 0 R 2008 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24344 2009 0 R >> >> /Type /Page >> endobj 2004 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2005 0 obj [2004 0 R 2006 0 R 2010 0 R] endobj 2006 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 399.925 112.8525 410.925] /Subtype /Link /Type /Annot >> endobj 2007 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2008 0 obj << /Length 19 >> stream q /Iabc24344 Do Q endstream endobj 2009 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24345 20830 0 R /Gabc24346 20835 0 R >> /Font << /Fabc24347 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!s4@}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iVڰ//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo$L endstream endobj 2010 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=88) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2011 0 obj << /Filter /FlateDecode /Length 4106 >> stream xڭn^_QgӮ}ƀor$2tm2Pawmz{-j ;#WVgu X2Yx YyK){E1!<3vݽ=Y,[WgJ!Q'u4aXyxgmT 4x3<_~RN)0O.ZuK6M|| =<9Bϐ1 9t}PW}Е}d,OSY߃"&cc<R퓶.Yc&'1 H)OfA.f-]n FcI;JX VgEO0+5F?gIXP7V:k47,ug@,rkZd @;%ZM ܦIuCFh /@>y"2Ҁ$߈(NɠPsK9%% Pw)vRJ[nm9<kx\@gt,&奻C-q]qزTuj#̴;U=H o*W9ECU-DT(fk[\ !\0|~#aDqB'F|]-{l.B$VN̋wTHYniSvj\ˊX!֨R\ _&elh!֤1Z$sOldȊJqцXb$C( XR1-[@ٷ.Ut7(p("*1mb"0*j䙩M:Hw <ΣDnJso$Cv_@iC%jzVLj'q(ə L9 E xr\Fhio" XP: ~IF ,>C&`_X?pM^ D6{ă<3-%5zQ5rc Kx@q #mƣ%VPt&BۼzH8{V&j4I A,g2J.h.TaSrAIpyHkR]ZYo]̙ q5K& ),6E֕"[RԨPhyobzy̖luRӞ}ZuT'eFW2"Ů.ϓ*m49 #ҟrslfeWVG,s.*vl>w\"+Q`$uwd OR[ֈg6H}fluϪ6g#m#Qfk H 0nRVvpH@iiy,+SŐ u{uktP0fy P\2FyM3i kUq\*OmS{ SRZՁ Pjrv<$c999R)0@G`A{7;Mꖁp4a,fP+Z6A1U6V",ꨲ`e=[OQVm-m--hx:yHFk'px <+eQeEMKF7x5Di"irL+۾v-ެZ#E/߶S\-7sZyue|۬Qɞ֙evyShZ%wnvqzm:\pI>L[fPٶ.2&(>ܦ=k۳5'*fqOP!i ^2q }P8+ ; q vAăjHtTc816cesi62Y&w[Ȣ7 -;{>#w 8!aWYow7RHA!Á/aչT$DW5c/쀳tg]8ю\VJFX$f^ۆ ֬fRNX).%:/sk> B6 P$5NMF0*?/Gf}D <)wj؜X?hDkwV.`뜏|g14Q e12ɴxlj;6R5ntz>iP7 PJ'q&W%r26s3\,w'0qE#gn2+;5;s->OM=FزsWmMayR|樂v0ߊ]GVA =3rN;un[Pa*~tLzE?q\r1azHnxI"[w;~ޥY.<ݘAVE]i^5_h1F]FI/3PQE€YwR|%'?I֑#Kwq٣q#o~NREʷ'yē7[{žfʔ3Ï+uqxXDF,\F 4{ j ĢT$DGΓ0;ʱ$$V%}3aNW'*kbs="^C__r*g.S7|j&NP =5';4/1lgv>DeFC617ba2䖐YV+rELbVDc+3?6[BFݐ]XԔ}$8*vU <7k1Kh|RY-eְ #J_zM<ƘXF"oȨOFiP֋ ed\yUG6e*  9΅.7 .1UV\x{49(sq渕t}R$S(bM4 02Y.+qa jb/pλѐ5c"׍•p_dF.L(¯9+3LeU>eNs*%fzU00zZ%  ]z2֜OHğp<ï=$;Z'Y~so!]_Ke"\tx&^0ms~Sj@" q6>3CbGx.C80JlPl(\V5hKX endstream endobj 2012 0 obj << /Annots 2014 0 R /BleedBox [0 0 612 792] /Contents [2028 0 R 2024 0 R 2025 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24363 2026 0 R >> >> /Type /Page >> endobj 2013 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2014 0 obj [2013 0 R 2015 0 R 2016 0 R 2017 0 R 2018 0 R 2019 0 R 2020 0 R 2021 0 R 2022 0 R 2023 0 R 2027 0 R] endobj 2015 0 obj << /A << /D (unique_738) /S /GoTo >> /Border [0 0 0] /Contents (all_cpus) /M (D:20211013063105-08'00') /Rect [104.1732 517.3308 142.1947 528.3308] /Subtype /Link /Type /Annot >> endobj 2016 0 obj << /A << /D (unique_739) /S /GoTo >> /Border [0 0 0] /Contents (all_dsps) /M (D:20211013063105-08'00') /Rect [104.1732 501.1307 141.7327 512.1307] /Subtype /Link /Type /Annot >> endobj 2017 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20211013063105-08'00') /Rect [104.1732 484.9307 161.0487 495.9307] /Subtype /Link /Type /Annot >> endobj 2018 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 468.7307 184.2532 479.7307] /Subtype /Link /Type /Annot >> endobj 2019 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 452.5307 126.7947 463.5307] /Subtype /Link /Type /Annot >> endobj 2020 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 436.3307 145.4837 447.3307] /Subtype /Link /Type /Annot >> endobj 2021 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 420.1307 164.9372 431.1307] /Subtype /Link /Type /Annot >> endobj 2022 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 403.9307 180.9312 414.9307] /Subtype /Link /Type /Annot >> endobj 2023 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 387.7307 172.9177 398.7307] /Subtype /Link /Type /Annot >> endobj 2024 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2025 0 obj << /Length 19 >> stream q /Iabc24363 Do Q endstream endobj 2026 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24364 20830 0 R /Gabc24365 20835 0 R >> /Font << /Fabc24366 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭ&o#x#?$_l˜!E_@9?ɜ F0p|sLѨ\u$x2X4/s(RevPBN> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2028 0 obj << /Filter /FlateDecode /Length 2011 >> stream xڭYn$+x QJ6`$h]ba.-[B*[ċ"z;ѫ`6'~[Ƃ9g/~><ᣞ#D nr؝s`Gz2覢R<\>lz\ IE/cYn;WTuooAbHQXgUވqO~x9L8byr>is0 TG2Ο-͵^W+9h%b`B;E \g sTDe'e/p!!kn!A MI!b%f0AKY\:PLJEUUI>W*vS26Ko2 k=Wˇ|0FZ?ݡr~7mbB tc9F7N+ڤ7M4% j` ‚΂b k?nMźDlz(/ ɕϲ4͂!^P8}L8~LrHBV8]*l*V,%'"%6¦MD8!%CZ0K]v)|t{ zWqM3 k,.؋soXnJʊmAX ~mXt <1d=&ra[;x6ͻ^}oO2SC /L#N/}AcbdN/2=y>Js̼1:1g#ܜ61_6c܂sbcenZd`ZXfv iSSвO1;;q^JtE@:75;D SŬ59_nYrӔu3ޒy5jL-Sm+%̱g5DZ4B'F7TvՐvs^V9>O'[r7 kZx*/v-H/)LԂ"ZNC"ZZ$c^lanSޓEKӦFj{.-nW&ŏ2y?2Hku!BrȇM\1nU" ʸ"miEF׀\\TmgG1L4)Fr >y\ %nj.n)]eWV Sr[-TayM[Y4@HO_Ɩl 7#e5]w7Zcϴ);W|A]rWm cKwA YN|J PzpNKO҇.EOzVhߟ3eXe~޴,KNqTõs1B`~Nxx2UQmyw@ N;3:gXn>N|ꞀfYC0Zvǚztl]/Z'3|Aԇ .\*l\ܭh>F4^է87RpOkfTV^ endstream endobj 2029 0 obj << /Annots 2031 0 R /BleedBox [0 0 612 792] /Contents [2038 0 R 2034 0 R 2035 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24382 2036 0 R >> >> /Type /Page >> endobj 2030 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2031 0 obj [2030 0 R 2032 0 R 2033 0 R 2037 0 R] endobj 2032 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 399.925 111.681 410.925] /Subtype /Link /Type /Annot >> endobj 2033 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 399.925 139.8465 410.925] /Subtype /Link /Type /Annot >> endobj 2034 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2035 0 obj << /Length 19 >> stream q /Iabc24382 Do Q endstream endobj 2036 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24383 20830 0 R /Gabc24384 20835 0 R >> /Font << /Fabc24385 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$Cv6odzI0H~acX`~߇]&`jJ8.y=xzw;JQ|hǬ|x=;u SrYH7\Hj;J=ɨAJn\&# c̙h;IHNQx5sT@<ٸs@61 Ej92;0G g{ֈ.{b(}3!nEMdME4 <~U$߄|j.P3YuG\YZ)㻶-f_KimfSۧt&Mw>@dQHLn2Wp23ϋ-Yu]nC25>s~3ȚCC30y> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2038 0 obj << /Filter /FlateDecode /Length 3966 >> stream xڽn,ί9@w 4C;][O4zNQ7b\ZV#oNZgu X2o|Y8ԇ5~~3&{8o狷GZZhk]ҽ~QyV_J$oqUGg7T͚Mf5 Ogd?(_O .{+0pLW~lLO:'CG5`? ֔"(>T xO%[->R7퓶ƮYc&?韐 <iY,un GɌcA; J7)"1O0/gcqjgx?{`%Eaɬ1b=xcyyVkK졆 5 )99& v(kp8[q ˗:!l-X 4Jx K"$ q@x UHِk&N! M'P<=gH_ޚ+Ƃ9SWl]}rTQ UW⿡rjxؠ45nƑM6`y~c[0GXbfd ѕmŇ=6EcQkl@AợHv0=-7JF-cutY Ppk^MI;ĉQGW{d #F6{lXB)Y3S?np co^J >o,rT.L(/ +7ȫ A[[^HU{.fQ]Kڛ>8*H  ݾ-` 0IV32Y2]T4MnFVooBuֺW [heǶِ($5 PIobTM-9o\3Xa%trRq_@ 9l c׻ŕ9TC!\ OoUmquSdڪ4Ձ Pjrvr<$c9s =V|0cᠽxz4kiP:%q8zO5XLVހiPg3OoAL&6'@RG < sdLxO$e]!S?D">Q.0XaγSO4;☊x۳U\B AL?Ëˉ/=aW WNIАzUu~[oc#;ñm_Oz:֐L-0g]ʻkyS7w8~84 Iq+'ICՂv_%c?ly._/d@y^nԣL; 6W֙yÜܳn %!T#l74'CZF׼!W>)t!!rӑ֏~D"WT F4̈w*#UfB8 6$=lu)g٭쮾;@K۪(+ʭ%Mj@C 1-#^BADžzg2*t$};I̜Z $;Jk7[pȲҐT|MLo[i=䰴B 3X;7vK[C1P.\ l0>zaFwCdg&׸Xj^NG q(Aqj+QOٚMn^]BfDBz9;p quqCeԼ pgC>}[-c#[Ymi4h6_+(dsooF?e?=J1Gn x'.5.q~>|b\ŝՈhEK'_ FVSRՒAi;fu)y_ uv|﷯lx& "++~yuoJgDq[PA+霦®m)W)Oī=;^f]uuWaF']*0C\+ f@I[9oY>z6NP |B3[w0a_c< |4ˌ&b3$Q}֐Y ܎,+=l,.:)5j6ʮj+ƚNqsIMgpu!z#Uw(@gOx=hLwEF+x^P]T+K_Zge$ޢ8й52B(Pup] Xi-f"Me6\*D52 ΅hҫxevK /ĩbb^rP[|6͇CJ> 0""~;VS{1#ѽ+2Q NY+6TN*ƍc^T YoJ&X8^dr$TYe;@rb ]Z堨cqhKDž~1('I4_ '!P"qŕh\I~gXЁ+[L$LklL+w;NpgE=|3 4}z,}ea0&9zJ0,]ov >Y:t ]oy4֜ΏBğθo|_wǓNdfV`8'?̈́ n[]eJ3UI|h?ē0?H'n${=teg!ck&ٰ< |P?QAg VY?Ꭹcps`̏Fi[r^˥k Zg]j endstream endobj 2039 0 obj << /Annots 2041 0 R /BleedBox [0 0 612 792] /Contents [2054 0 R 2050 0 R 2051 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24401 2052 0 R >> >> /Type /Page >> endobj 2040 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2041 0 obj [2040 0 R 2042 0 R 2043 0 R 2044 0 R 2045 0 R 2046 0 R 2047 0 R 2048 0 R 2049 0 R 2053 0 R] endobj 2042 0 obj << /A << /D (unique_625) /S /GoTo >> /Border [0 0 0] /Contents (all_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 472.3 149.5702 483.3] /Subtype /Link /Type /Annot >> endobj 2043 0 obj << /A << /D (unique_627) /S /GoTo >> /Border [0 0 0] /Contents (all_outputs) /M (D:20211013063105-08'00') /Rect [104.1732 456.1 157.1987 467.1] /Subtype /Link /Type /Annot >> endobj 2044 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 439.9 184.2532 450.9] /Subtype /Link /Type /Annot >> endobj 2045 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 423.7 126.7947 434.7] /Subtype /Link /Type /Annot >> endobj 2046 0 obj << /A << /D (unique_467) /S /GoTo >> /Border [0 0 0] /Contents (get_clocks) /M (D:20211013063105-08'00') /Rect [104.1732 407.4999 154.2562 418.4999] /Subtype /Link /Type /Annot >> endobj 2047 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 391.2999 149.6802 402.2999] /Subtype /Link /Type /Annot >> endobj 2048 0 obj << /A << /D (unique_644) /S /GoTo >> /Border [0 0 0] /Contents (set_input_delay) /M (D:20211013063105-08'00') /Rect [104.1732 375.0999 179.4682 386.0999] /Subtype /Link /Type /Annot >> endobj 2049 0 obj << /A << /D (unique_500) /S /GoTo >> /Border [0 0 0] /Contents (set_property) /M (D:20211013063105-08'00') /Rect [104.1732 358.8999 165.4707 369.8999] /Subtype /Link /Type /Annot >> endobj 2050 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2051 0 obj << /Length 19 >> stream q /Iabc24401 Do Q endstream endobj 2052 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24402 20830 0 R /Gabc24403 20835 0 R >> /Font << /Fabc24404 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2Z-LB.FPBDqs)NF8%*])ʔf< ǔ@1r&H-'Cf'h~ۣfiW!g3 Ģ@oEM2R"G+QO TϬ>J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶE endstream endobj 2053 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=91) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2054 0 obj << /Filter /FlateDecode /Length 2150 >> stream xڵYK$WPZ] i1Ն}!2gNPDW^?>tzr4eIz9} Xf%`MGkc _|UP h7S(3x.c2_l,zT1Ʀ|ơs;p+?b.-^u%wY 6MYɲfwb@(O g|# 9 dFj71K< "v&&ۗ 7Xo맟p]9H;Nj^0佂Xu}'vd>ny#Xam/82{{`Bu$Aܷ92{pnU|1!: 7g ⅶnTwKA|bb9(!-\ xBaM "\4U!ͼɄ#h(X!]|<ܜrs~R) ィ|)" m]`{#d===9Ryxvs;: >]Uȼ+`fJw;ӏ% }'%wbn WM&Z =,5LTH4TiPG&Y+R*wu&#Ʃ1I QU:ɜt^-`@Qw.h@bmMFc#y לa߫6F(ia`\Fp'p"[-S3 S֌q;ɳHG-TrWH)q'GGя,vPvcFn ]K p$șy,B Wo"ágϤfn;ȗ֣qN&,d)T2QR;^jB@Jm?Iy#2ԥ^:.%[|{&Jevei^!F_#%ǩ䮬epGPQ""#'  w΍N -rudPi2D;DbjT_, =]$&'KO[LbUQZ;;i:ńQz2V]I_||_ǃ)&X Ed)$$bMʮ}'@1MAT5o^Fo );Km eD/: )ꪩJ@_u1XJČ몢x5pP3nF5J h]1[^\s?yu˼.xWoj] ~?s%K=(_t+ʹ}z{I}9$r?newv,_/E zD2MKuOFL|ΛXSbܩFNdԊZBcfSþxL~faU) Oj 9R$Al2B(꥓JǵӸTTgxZzlfpcꖹ8q$_gr/צ x8ij v}I @`, /1#DEd4@ּڃhS'Y oSڠ:TR3kJ+vFWE}:T.D<3 I^,4k\V9ogup;=@BމDLKhpf2J~AʷuVobZB@ߏbGkl"Ĩvkf]v 䴒fӄҷ ,^*i:S۸ 7Jo >(]nmJFէRCm?P?i-lڇY"voHҡ6NWPPw'mtd=졟Nmo3csL㽧bkg-JJ59&DV)ґt*?Xx9yd*G<}S؋$)k05<, ZJpe7{tC~;58(kq6MX\~mj3H#fnSΖi>Or>W SSπkǓà NOjNc-zV] < endstream endobj 2055 0 obj << /Annots 2057 0 R /BleedBox [0 0 612 792] /Contents [2063 0 R 2059 0 R 2060 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24420 2061 0 R >> >> /Type /Page >> endobj 2056 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062931-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2057 0 obj [2056 0 R 2058 0 R 2062 0 R] endobj 2058 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 399.925 112.8525 410.925] /Subtype /Link /Type /Annot >> endobj 2059 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2060 0 obj << /Length 19 >> stream q /Iabc24420 Do Q endstream endobj 2061 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24421 20830 0 R /Gabc24422 20835 0 R >> /Font << /Fabc24423 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy4(//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo endstream endobj 2062 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=92) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2063 0 obj << /Filter /FlateDecode /Length 4004 >> stream xڭn#ί9aF m6rrf73ԃVKglvU,7ibl}F/.M./g//ͯ:ɗw|ql',oԟ~ww/?//ы|AqcU߿EZŒVjMF wҬwAJCc(#\]}ZGh8E`A~Sip{ g pcyw8_W:#؋Qr"p1$T f JaH؄†Q O ^Ow4ڨ$m/_W= 1Mzi@^zLQ@x@U>(_~V|G?T"  3}Z?ì;G^˟RB#/*8ZsFUcfniBN$S@IC ߬ʻR„ǙR7Ő,Frv@+eA*XQ79h`.e®e2K @Op"D=Pd_QU3Aaʓ_߻/ kdU,1jto9P; W⿩y79MM]-hvlUN[(1PW/VQA@E|`*6*@d\jx^lX) b:`y!B`Ye!v!9}FK6 V x.3;"rͲHjT7؀\5ؼ؏W]h?޽SvPfv<@ Dc IdwI71ӣ7yC{ǎK)SA $܈ !Yڎ|xG*\foi课 XwV:\{%߈uч}bC^ !`j9k_hN% $WV!NJlZ0mBDlmΖط͎D6PQvnv+b]:V1t&dNC.pW/nm`Ś 1M~6vׯ6Y1.}0=ZSv2 yt1j~Tf>蹱xb54h$q8H1Y̠jNy!WCY]^gb=[ORrWbkAvkA҂.N A/sFcIZ:IB]Oӂю5]j} }}aMEdD^+Xܿ_KV+r^j߹MUSqY*}e_1}[5v.8kjV.ʻ| 8dIT:Df{f,_6f{^YN?{}I,p0LdTF f*~\N0 .'W>ӐCfy̦\4i'۹1 910\V#v8\+TVb'ȇhD!4Fd.aBoS-b*;ŦȒb AobV ΪZf6aD4H7H SɄI 4KF.KS }ܡ h5EMcvm,jA@3<1_s?7X\\316K"\g=e?rk?)p,h6h>g6r._\ĺ$޷Z%>69D& #6ߠr{^ P r2@l^m/75/E` 'q=r\2e!O@ubc?Ju{ƎXO bGz^PiY3o맍ˊd;m G$8597(X^A"mF.b=F;Si_^̩T %-Z5u(M?P檄sNI]ۤEƨݰJ 'fq`=mx7wgQcwm,eiĝ?KYK9`))Y3Sa|uilGd8FD,C{MvEr>~ -rQ(IN[j5v9zt`{L Mލ2etdƛ1uw-Y:^Dԝi;lbʌYAfRYuK׬IgX?zL!MrrR_ }YF[4{ߣd5 ,I9-Zޕe~lU@Pp:9O2;pڮSh7rhs(9nuCN'CZмW(E͆0&Mȧ~%#zV}[,=,`Fxu"ɬ Y[յ)v]f-n@Oۚ(pscWyD 1-3ފ9io=BLr$9@$-";J{aNve)Q!޾ exiEa3Ldm 7NLSU`a{9*+ GTo&m1biy>NorE_z2?*%^w* jYdW6bcݺ=yKOIK|`OOX.UBFߐWze$8Jۺ@]x o6 CX[bo<]qֻ zX()5op-P0*Yst+$kRC3M<.`#s[4u4 `?!&~7%7Qf "⚞2JG/8>ZoӴch\JOt诀444B_YaԖKݵm K3"c~Fw@\MސQbj zhl3פ!k|ğP~h@~C,tп/L;cw V/,y0j?X3t Y}> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24439 2077 0 R >> >> /Type /Page >> endobj 2065 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2066 0 obj [2065 0 R 2067 0 R 2068 0 R 2069 0 R 2070 0 R 2071 0 R 2072 0 R 2073 0 R 2074 0 R 2078 0 R] endobj 2067 0 obj << /A << /D (unique_742) /S /GoTo >> /Border [0 0 0] /Contents (all_ffs) /M (D:20211013063105-08'00') /Rect [104.1732 517.3308 131.9647 528.3308] /Subtype /Link /Type /Annot >> endobj 2068 0 obj << /A << /D (unique_628) /S /GoTo >> /Border [0 0 0] /Contents (all_registers) /M (D:20211013063105-08'00') /Rect [104.1732 501.1307 161.0487 512.1307] /Subtype /Link /Type /Annot >> endobj 2069 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 484.9307 184.2532 495.9307] /Subtype /Link /Type /Annot >> endobj 2070 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 468.7307 126.7947 479.7307] /Subtype /Link /Type /Annot >> endobj 2071 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 452.5307 145.4837 463.5307] /Subtype /Link /Type /Annot >> endobj 2072 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 436.3307 164.9372 447.3307] /Subtype /Link /Type /Annot >> endobj 2073 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 420.1307 180.9312 431.1307] /Subtype /Link /Type /Annot >> endobj 2074 0 obj << /A << /D (unique_642) /S /GoTo >> /Border [0 0 0] /Contents (set_false_path) /M (D:20211013063105-08'00') /Rect [104.1732 403.9307 172.9177 414.9307] /Subtype /Link /Type /Annot >> endobj 2075 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2076 0 obj << /Length 19 >> stream q /Iabc24439 Do Q endstream endobj 2077 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24440 20830 0 R /Gabc24441 20835 0 R >> /Font << /Fabc24442 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=Y#rU ((P[QSqfgѯ'&DS3Rȃ;6+1C+p|צb/mk: S[${JLh*$RG"e*)sm.\gy46//d"W-j}'2gH5<a%xp8!l2g)-\0S4*W" ^_/jj; Jt١Pϡh#bwo X endstream endobj 2078 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=93) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2079 0 obj << /Filter /FlateDecode /Length 1974 >> stream xڭYn$+x ܙTU|kCOmF@2 F Ln/"wDڂb{9SX14><8rG=G<?_!ʼnܜ<؜s`QdnыGH-s|ֻRMP]Om.zSZv}(26*VE uqvPǺ6o {M~Y.0!4|:-?.=3Pvv0;.i:l㠩NK0d74%{AXr82I.S ՗_Ox%R I9܂7B JA1~-pԶG8Bk> XXYu҄XL1= 9W} <0AM :S,ļ==-eOxF3ٕ*__sOd#@KCEx/~0Hl>e|a;+2_҆K朋 TkHcB?PE@9+ 1 ]qؕQ% QcE͞q2HO2p{%MSχ:Gp/$AgwN)evBj?])O"Rj=Y,"41>ܡ ~f!Hƻߝ:$G' &(Vh~"c4Q Cԝa uxfU +o6'h!jog|a 7J6^S:6]EoyśF򶚼^O7}گLnnQ?0{7E۸`3 cU{5wϛOxQvѧUgSV5yT[~EVw 01Mjb eyG gIB g'K-FEGn/X"rfa^FؔXbVPUKKiw}0\3޵^ՅG_l\8aMႽ:Jd,}X JPhâ\.7Cwo['K/n>ms{WxT~ޗVhrx<5|s#sz-Mc楲Ɯuprst|ٌDůW(;w" 7WȘ69Ua|w-;ИKA5fz'K q OM3#iYۚMTm9]ͨv|Sg ]SƔg%%)4mk1j -Som+%̱g5嵚i]_cu^FPU]DWExX,X?Y^,l;Vqx 0i8ukmhRzJMyOJW-MK<̨T3Vx5lS|/=#E"X7+,'|ؔ֘b=$+㊔踸 Ύ */m)]1a1L4)F'f >y\ 5nj.n-];e@N+y M܄)mռ\󦭌 uS&闱@R}󦧬Eq= VphM)C "a0NxzlC/]f:,-te eXYt'T+}G_Տ8)+*X㾧qkW/ַ;iQ! ̑/>MÅb9GG ?^}ߏx~121 "gfsg[^3Qw= Ι֫>CO꼓'-L,5r74bӪG$֓Eq#> Rץ]WaqhNғӔZUxrjkhB endstream endobj 2080 0 obj << /Annots 2082 0 R /BleedBox [0 0 612 792] /Contents [2089 0 R 2085 0 R 2086 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24458 2087 0 R >> >> /Type /Page >> endobj 2081 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2082 0 obj [2081 0 R 2083 0 R 2084 0 R 2088 0 R] endobj 2083 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 399.925 111.681 410.925] /Subtype /Link /Type /Annot >> endobj 2084 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 399.925 139.8465 410.925] /Subtype /Link /Type /Annot >> endobj 2085 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2086 0 obj << /Length 19 >> stream q /Iabc24458 Do Q endstream endobj 2087 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24459 20830 0 R /Gabc24460 20835 0 R >> /Font << /Fabc24461 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭl?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7LUǪHB>W!׋ڎ>E;!%]vhw%Tsh8r-Zݛ endstream endobj 2088 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=94) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2089 0 obj << /Filter /FlateDecode /Length 3995 >> stream xڽnίyV699f73ԋ/ 2D,"j _t:Z2u|9~}ÒC^?£>8O狧gj]t,>.'ߞަGWMV~T͒ bΖd?+_gvK)kYu,K6|z =39{t~TO16U:dן]9$}xXψ'>*bLG}z P((%@W/!Hqlgo|'`ZS">cqJgs>G3\ߒİ$>A7hXڱ'9/!׷PK?_%RU SIƐcu]YL{ĕITA5usnFb ʰHX>QEO`AM, 'PA@g_ޚyksƮKq\z^ Mۺ^eB0gPueP.%hQ/q+!b.6yy{`m0{8 ЃV~8Z^H, @b hX)|FcgI1GLx-.7r؆-h:!0Y벺Q kf e/ԇu&>GW{f EFRG`ѭW!9q-p}],;}~( T% *{AD# Ddu"EX3w9₡̫L;vsBErRI:kl .iW K5~[Ǧ<A]0^xBxP >0tW XXkCSo2B=xPi\l僁v.+ |I2f AMװ_iƒ-Tq1rB(NPH0|q~6/qAS T:*#uꍠE +)%4Z_*xmR88s^ : `!P 1|R8 NlXZzHq5k[i ;VA%+09 LF-$Lz*j6?ZQu iB,иǝ+}_,[24k&.8K)|v"j[Ÿj}>iaW|n՞|dmrMf$[#;{]5ԏ=+vQ>o !Aĩ]^\}Kj荖²s3 ߛ^55>#րjZgP Gp/vFT.0XF :]QSgz7VugC@K"2He#\~Y̓fuvcgβ)":ssnō}i(['4Oɡx+-'Y.98}_40l _RE\c EB$o9zʕ=m($ GSU˒mx{ddGgJJ$85kㆼƮ@/gQʇk엮7ah.{mrYw ꎵ轖ܜjovjS$oh mh/c"kÏqz?:e |SMcJQl@cj`>A}R-?=K1GMڎ kE߽E0t jo k11]ijƴU%J¸bVF|l.r$Cqk(sѓ(rZ2խ}EmA6dg &C_a,u)UoEg-`*it j55eCqdй5ҁ\(`q y] ޘHܥxH.<*DkxX F0l_p.|H^UT\iX$#S Q1u+ i>pm>|oRY-efXJ_ZMm>E^P꘵bI1},܋ T\E4 7K o29b\T}, 6.ۍv|P08K/d^LY}Ϯ*q/aX x|~{M0kǀN3"̧ST'phFNOӗg>5+}W)$FW0zb!tW:_l9DϘ)p?UOpeyv }QQ&]G!љA]Ea6Gg4<{~Q?{; B$>֠ȁG~G2MC(Yh gXzϧ˥K j/} endstream endobj 2090 0 obj << /Annots 2092 0 R /BleedBox [0 0 612 792] /Contents [2102 0 R 2098 0 R 2099 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24477 2100 0 R >> >> /Type /Page >> endobj 2091 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2092 0 obj [2091 0 R 2093 0 R 2094 0 R 2095 0 R 2096 0 R 2097 0 R 2101 0 R] endobj 2093 0 obj << /A << /D (unique_626) /S /GoTo >> /Border [0 0 0] /Contents (all_inputs) /M (D:20211013063105-08'00') /Rect [104.1732 546.9 149.6637 557.9] /Subtype /Link /Type /Annot >> endobj 2094 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 530.6999 184.2532 541.6999] /Subtype /Link /Type /Annot >> endobj 2095 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 514.4999 126.7947 525.4999] /Subtype /Link /Type /Annot >> endobj 2096 0 obj << /A << /D (unique_484) /S /GoTo >> /Border [0 0 0] /Contents (get_ports) /M (D:20211013063105-08'00') /Rect [104.1732 498.2999 149.6802 509.2999] /Subtype /Link /Type /Annot >> endobj 2097 0 obj << /A << /D (unique_653) /S /GoTo >> /Border [0 0 0] /Contents (set_output_delay) /M (D:20211013063105-08'00') /Rect [104.1732 482.0999 187.0032 493.0999] /Subtype /Link /Type /Annot >> endobj 2098 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2099 0 obj << /Length 19 >> stream q /Iabc24477 Do Q endstream endobj 2100 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24478 20830 0 R /Gabc24479 20835 0 R >> /Font << /Fabc24480 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG&DS3Rtr޵iK[ZNߖj?'=ʡ|72ɢԑH5J\[-<8יq|mau3j ޚGFx|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!īEWmG;!%=J‰pT;i z7}@ endstream endobj 2101 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=95) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2102 0 obj << /Filter /FlateDecode /Length 1671 >> stream xڭXn[7+.X`d+@w) tQt4) @{7ȒkML^NWҼ~z֣uud/>E~L X~|WkQZ|>j;7(xoj(OwwLc=vdcmAN|x7@Kix( LV '('J:s| /4a(ujkZLR~XL$??R~Mƿʽ/6 wT r^2ҿ7xV7X}sCp~8-S)03:S`M>⣏kԗQ\O{?Yx63Rz 2.W f\-7q6uӊ?HjZ֣`3?^d,q s Z6R'hخUPMlB1< >ϿغewZ# 2TӮОU;U*zk+m╋ oAq:0S/ηlm[~/@YæOyd q2tʭcAHXܵW><+nxEzMaߩ6nlrWZ6qGpI䖰6n:4jW7OgL0++)!y=kRv҂-"TD jC/8iqU*-bHu4-USY;oĢU1gC! Z1t2C rrrfեQvez5bm3&xa4,~ : LFXAc10g9s&lccZp&cS`;AzG2Us{T@jəAEVec4k-T6Fon9GO 91U*4Ƌ`KP$Y/a]wi w,!ƱNv%ܦ}OsXTRGJ=v@pQqCfI3 Z"UPxŲt٢(2ni--Y:_;^Lk)thL,1z|I)"uw9qt]y[Isj*MqaK%aEq9 \>nFG!}˪.߃NZZhw$zߑCJÝ:zݎﰱzիtojoT$~U_Y]?/S<㕋&;:$2)AݰBŽNG|lrQ^d9DLп> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24496 2109 0 R >> >> /Type /Page >> endobj 2104 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2105 0 obj [2104 0 R 2106 0 R 2110 0 R] endobj 2106 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [90 399.925 112.8525 410.925] /Subtype /Link /Type /Annot >> endobj 2107 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2108 0 obj << /Length 19 >> stream q /Iabc24496 Do Q endstream endobj 2109 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24497 20830 0 R /Gabc24498 20835 0 R >> /Font << /Fabc24499 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=1W^NTs_RƐ ?wG?{`Ef2j[&!#(H!`a9 }' #Y~eJW3WHcJk9dP!sFP}QF䴫3^ɅQbQMݷ)hG_OM٧j gVwlVbVM^RuTkI>U}IDURj)\Όl iV`^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VEA ^|v,9)C ( 'C9PmтGŶXJ endstream endobj 2110 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=96) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2111 0 obj << /Filter /FlateDecode /Length 4017 >> stream xڵn$ίfH;|[G@ANA ؽS/zzV3fU,Wm7]A :A;_~䐲/oƄpg|qd]N#iw_~֟^WI98 5߿ ?k#o}%YO?f7g赝gb)EDzd4ͧNppOgIΩ?K磲8c/z}AI^ & ձ~<{2ΘE0=u((E 8q벤W/"H7W`DVK %ܯ~Njk5mAFVhcf9Ǘ %@>bOo(hPZD?:;9PlO14U]u eQ{JMqɺJ[,px_BO"W@H' P=`<&:J)Wa[&A0N骃R.9qe$Hl#^: j!hnY}N _r]RzkbE%Gǣid28JqbUQ.,]ETwImaP7 UW⿡y hA@kUk"ě D.,vh2*@bM蹼x.GhdGJ떖F-:1rcQ#$l~5({ɦ]1GW{b wAxķXb$/,ϫCl+*e |Ĵl|V(kঃe{N]YFX޽L'mPU|@HR5#a6ۄ&3Zg{Uϰu9Zfo 2[+m@Qvl6+N5.RƍQ:v2O., aԭ 頚0fy P9C<۪ێYՆ@7EV੭joz֔>pJ-@n/n0;w jn60F*aU#y bMꚁpԇNI\ek7,S+Z6A1t^Umf"`[(j`׬'Zwe.-rtBkjnk#,Ji/RQCt~-I=bE~VWq\uc-JzRRS\r;ܹ/Om}Y*pFZǕ:wbqRNEz]NAG!$^m2_LMZ/* (i{ZyVphzf#i6EVǣd)uOG儉 v.Y%R%W DpTN;3u>gDX@ШH`ĔH aЪ#=# 8U!VGzfS)WصcҜ&bIE'*n@|fUsg`;e؂KR᧱K3iaXeNQ5*;osM .9[3Z}reAV]lmærHDW7{Uf(uh6Y㬳M3N?z_HKKSUFw7ڞ;໩Q-O𒝓 T9?|uy[XT Н˕q,&*;V7p*CP7.*t[T<̏*%;` %F/ t%DK[4dv٧aQ:j !Bgy3=rF}IRd}IZ.ͬDž$AAM}\yz4x~Q؃>8xwEXnA<S,@J\CwIedwD>*`/_ʒm?,e9\YS6%?5Uk붲rr}3#x%^x~ r*,4AR3Ź(ƋDu(7~ >DTӒXWHIe4A6G nҶL~YYxf7OYf4n3s.6(ݘ1MWw9%dV~.(I,˸̐`=e$8 Ի@]x$o6tc[jo<] qԻ z?z_;ʧU>-E Tf:'<ĝ|J7ɚ^eC2Odй52B(kv}~] rڞ,`8ȣe6|MՐ2Wˢ/ "7^dr$TYe;@rb ]RŘ,7m/{9gy>O^Jf6xŗ I~O><Hbj ИIpavpGcq? 0|Etgyi'SJ̀!>00:tW:d9?qUz_>/˽Iz'23M0 vBF>xl畂Eе0$L> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24515 2123 0 R >> >> /Type /Page >> endobj 2113 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2114 0 obj [2113 0 R 2115 0 R 2116 0 R 2117 0 R 2118 0 R 2119 0 R 2120 0 R 2124 0 R] endobj 2115 0 obj << /A << /D (unique_738) /S /GoTo >> /Border [0 0 0] /Contents (all_cpus) /M (D:20211013063105-08'00') /Rect [104.1732 389.5346 142.1947 400.5346] /Subtype /Link /Type /Annot >> endobj 2116 0 obj << /A << /D (unique_632) /S /GoTo >> /Border [0 0 0] /Contents (current_instance) /M (D:20211013063105-08'00') /Rect [104.1732 373.3346 184.2532 384.3346] /Subtype /Link /Type /Annot >> endobj 2117 0 obj << /A << /D (unique_464) /S /GoTo >> /Border [0 0 0] /Contents (filter) /M (D:20211013063105-08'00') /Rect [104.1732 357.1346 126.7947 368.1346] /Subtype /Link /Type /Annot >> endobj 2118 0 obj << /A << /D (unique_466) /S /GoTo >> /Border [0 0 0] /Contents (get_cells) /M (D:20211013063105-08'00') /Rect [104.1732 340.9346 145.4837 351.9346] /Subtype /Link /Type /Annot >> endobj 2119 0 obj << /A << /D (unique_495) /S /GoTo >> /Border [0 0 0] /Contents (list_property) /M (D:20211013063105-08'00') /Rect [104.1732 324.7346 164.9372 335.7346] /Subtype /Link /Type /Annot >> endobj 2120 0 obj << /A << /D (unique_497) /S /GoTo >> /Border [0 0 0] /Contents (report_property) /M (D:20211013063105-08'00') /Rect [104.1732 308.5346 180.9312 319.5346] /Subtype /Link /Type /Annot >> endobj 2121 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2122 0 obj << /Length 19 >> stream q /Iabc24515 Do Q endstream endobj 2123 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24516 20830 0 R /Gabc24517 20835 0 R >> /Font << /Fabc24518 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=J#ج ]v4Lm)1:}ɓ#,J[㫤̵RLsV7Ӭm?7‹!HUl)lRd!ϡdy<31΁s@9`sJ 7L{ͱ*U櫶`ѼΡDHI]@ U8Ρ\j|=B/}$N endstream endobj 2124 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=97) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2125 0 obj << /Filter /FlateDecode /Length 2354 >> stream xڵYIܸW< (M@xJTzq۱E}#|1XU7?>L:͙OސkqvI6j֞Wdֵ.gY!Bˬ Y\rtY7RF&:pJtUj}vJK%r{iڀAIMWah2ls>, (ky{aM΅68\P*i{8k~1ưVRouqq t0 TA'}7X?mekۘ!댖RDx1-)t=x r9UNvN0TZR>`.]ȝf_R.>䔅I&ĉ`m"Gϛ}E0,/5\&t9<_5bh7?膓~;@Mx_GdAb{YWZu][R./.-&G?W !|F%bWn׏1|^J̎Jn~5<~Ō7B .;*0N)A$%}/C8oJ),>5Px-zr ^zB ~j8.yhpxS2\c^%LсO'6#jyjs [{xh)l r}7ۃsDy V Xx8,0YRMCgܰ Ӯ4CVjƨeިUMؤow7Zez1-"r練f )½"ˑ9A}]8}\R*iyu=刈QeΌq/81: R␥LO~k~Fג;R9p\-W.^4z`g[9u⹧}^O5LuVg#E䖻[1 I}JmLR?# DC* n(?n&o +ae^hNri4"Dg"ەd'k0ؒj ާ^rz1 zR`Zn/FBtȼT"-lBX<=в8̓)$OHw182-{ [QO:-;}˹y3#-*;>u-Y\Ν8QvkL>ύ(?Q%uX +-~%!qE}7r *nDea9eDO^d]gP љO]&aރ GXSaܩFd;t8'HsFbGM}pbogXOy4m3)@$Ae yvHG'-{?=Oj~N+nKcGx4/(9I}aUu@] 7V7p곬c Ih_cFV"{" fd~^9#Z a9u 6=vM%G֔Y^ 0uq&Vʠ!Bx;3=m{FXwo !x"8THyΊ/ eoLh&BNb|ҸOT\6 =urZIi`8JVRI÷3!+%H 䝖 )jnϬhWz--4]z:mCtN݅oouk;7r0&7>/V8_zS?Ϙ"}kW-ZN=9&DV~P$3h3=LxAV93+:3+1 wf8-tNC|O;gUYN-ƻ93$ܯo9D1cwԎLI'*Uǟd.7E%NF9 3؊纳xG4x  ZB endstream endobj 2126 0 obj << /Annots 2128 0 R /BleedBox [0 0 612 792] /Contents [2135 0 R 2131 0 R 2132 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F12 20872 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F9 20848 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24534 2133 0 R >> >> /Type /Page >> endobj 2127 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2128 0 obj [2127 0 R 2129 0 R 2130 0 R 2134 0 R] endobj 2129 0 obj << /A << /D (unique_77_Connect_42_sdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (SDC) /M (D:20211013063105-08'00') /Rect [90 202.725 111.681 213.725] /Subtype /Link /Type /Annot >> endobj 2130 0 obj << /A << /D (unique_77_Connect_42_xdc_commands) /S /GoTo >> /Border [0 0 0] /Contents (XDC) /M (D:20211013063105-08'00') /Rect [116.994 202.725 139.8465 213.725] /Subtype /Link /Type /Annot >> endobj 2131 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2132 0 obj << /Length 19 >> stream q /Iabc24534 Do Q endstream endobj 2133 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24535 20830 0 R /Gabc24536 20835 0 R >> /Font << /Fabc24537 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FfROvue| fpYax} -Xw%b A<vuX$th۬_{9u ]rYHUC.$G7\]N=ɨAZn\&#₅1LS4$dqJQ$R)!^\y"/)b6M CZNNٗGӮBxg(&FEG'uߊ dE4 <~TW<;!fZ5Y}GܱYZ)6{ik_KiR'Sbt{E'W9F&Y:)ƿ2VK2 ufg[XLdžGFx?1$bC-j}'2gH5<a%xp8!l2g)-\0S4*W" ^o/jj; Jt١Pϡh#bwo* endstream endobj 2134 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=98) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2135 0 obj << /Filter /FlateDecode /Length 5134 >> stream x]K丑Wl |tVu [ 3 j_JRh{ɑAF|dwx/oдN&$EMxvhUBۛ'{QBAƧ hzƻǚ/ԻwW7|^v?@TS{T %dWb2 km ƢR:dͤurl]ȸ\,O?,+l3 dD~%3R&0+E '6zR3O㩾.8@0iEt)?( uٰ$BNcu{+×笨͂Xh |q0"BV0U c e^ܐPF( DŽI[e~<1،,RfJsLf#9i6ZR(VFh`lN.L)LWSb^}Afx6Lh&}yd`n3%OaK~̚k[Ra+ tC(HbUsعTٙ̎Eq~fP$ ~ L-W#֌8%Ft3ߒcG 5b2*'<ɝp]#?‹Ee"Vځej| } FQCEVu P^d/QHT4}պĖukKlYֺĖu[KYպĺuɫKlX޺Ćuɫ `>4{ T3fv΃n5oorL36ֹAA|4O:f"Uإ߄J(1MA +n҇bX$M wRUo@4E(?\B\+5_m2_͕NvM)YYG`3b['>%ZCaH<&Z.VPcOQSRf+c5SѵlJsddNvٯl2 ;8 n`Nz Nf 'pVpY,$ _ '1I '1IN.p ;wXL'[$p>߈^'1:] '1:'6:Nb9:}}O@}@P:> t[@}P~ %ή_)qv*Hw̯xwH5 %̯xw:H̯\3Rbm|߷۠lDطu ĞK@%vnDػTbF~PK6J\uTⲍmDVowwvww]mwwmUPwwWA%n]~wwTOSO&>>dO&|~2E: cZHHvR _\bؤ#>0ʍ_̆Q$fmPoEITmqQq^xU86gC2l'](fMY3S7Prv9;m5Zq fE:sS=Ti OV>|^gN3aT9evK8p%l5JjrcfZDȑW -fm HrE|7O>.0xŮ@0G{'k >h"_ZIZdAY3tWГD oՙKaq *зYe..FzKq<0%O ٖ,5YZOQM,4Xі(<sK&xVǯqe!p-@G9- y4:n<PQPOp( l 5wC4JU '^ u0eS$Kqv it<$l @hJ`lP5'!!I=f~`Xdz Z*}"N IUB{&kH;j* hh_K*X%4h|v 7GLЊdpw 3'>)Tb9,_KՂ;llvїaLD,_3# 8c^2hs](#x4)B$ FEe(P+a@@CW!i-")W_slW+dFt/PX bUCd`м t#v$QA΀=EDacW@uvT @ Z&9m FQu}E]Hh~h%T(xB(g)* L-L9YZ0 'ʱd#߁M),}n+XE%SisOS'Qfo;OLC)_BF{hn*zX 7Jc-~I} (z91Q>稠[˳#kO%/YJput^\'f>5v5A|?J%j ]ib%չEzԡKV1 z2퉪 8MmɡgS6sicF^*M~9wT-YKaDpO5je2ACҔ b,t1ŕ*[U*]U6ԉ[۩5dfz>YXMќ5]>V4i_N{` p4Nqssp;.A<_ _Vm#SIv<, G Q,PFIǕqFxì eh@o9kMkhQiu|~ߗbp=¯\?/ɕFXק+?QLln8\}ٔPa1?kѲ.mcU5sZ\{UGr/'q=|zŽcf[.Թ9r&ө'F"K< endstream endobj 2136 0 obj << /Annots [2137 0 R 2141 0 R] /BleedBox [0 0 612 792] /Contents [2142 0 R 2138 0 R 2139 0 R] /CropBox [0 0 612 792] /Group << /CS /DeviceRGB /S /Transparency /Type /Group >> /MediaBox [0 0 612 792] /Parent 20884 0 R /Resources << /Font << /F0 24982 0 R /F10 20849 0 R /F2 20804 0 R /F3 20805 0 R /F4 20806 0 R /F5 20839 0 R /F6 20840 0 R /F8 20860 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc24553 2140 0 R >> >> /Type /Page >> endobj 2137 0 obj << /A << /S /URI /URI (https://www.xilinx.com) >> /Border [0 0 0] /Contents (www.xilinx.com) /M (D:20211013062932-08'00') /Rect [484.3623 28 558 38] /Subtype /Link /Type /Annot >> endobj 2138 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 2139 0 obj << /Length 19 >> stream q /Iabc24553 Do Q endstream endobj 2140 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 382 14] /Resources << /ExtGState << /Gabc24554 20830 0 R /Gabc24555 20835 0 R >> /Font << /Fabc24556 20832 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{̴RS;߼q77 fڿc}Lh2< +).y-xvw7pTUthǬ_{9;u S,!.p' J<jer1""( F͙h;Id:%*2%ī+O5%k9dP!_>Y#rU ira-q(~S1)_OM٧j gV#جhVM^RuTkI>U}IRG"e*)sm.\gy4[//d"Xl˜sshf^2 +?ɜ F0p|sLjT:I*dzUXb^@wP"]@ p94C-Zݛ1R endstream endobj 2141 0 obj << /A << /S /URI /Type /Action /URI (https://www.xilinx.com/about/feedback/document-feedback.html?docType=Reference_Guide&docId=UG835&Titl\ e=Vivado%20Design%20Suite%20Tcl%20Command%20Reference%20Guide&releaseVersion=2021.2&docPage=99) >> /Border [0 0 0] /Rect [382 14 468 36] /Subtype /Link /Type /Annot >> endobj 2142 0 obj << /Filter /FlateDecode /Length 3449 >> stream x[I+ W9k/am @AN= Ch*4.U(H<1ABSCTxKߟ J oWrt o߆4$v7xvpC`Zs{NB)h|#Ӡ%8{pL(o5\z+#sl+Gm_2W)}#O2p33wH̘omg3{¡ܕ) _OC8DFQY (UjXTR%:U5Z: >$̨f׎fxtEa"fՍzho|;.4YҢy?|qTcP)/y1k4.UHA>2@~96"u;=]lܕQCW_PUc)'u(reVz201.ٽr`@'{c)Bś1u@w {p1<2.t6'5dQOh!Bn@|nv{gj~tQI0ΰ`ݶos\Ք(:s+g` :_X׉&#M G2 E-4tmha|!gm[M~0uRDT[ 8wt&EQG $y])epMS+|~@̗꽾6X'}cQP\17ڙ_I>F+#Xĩwoki[SңVFԹr9ZCnԋ7ǐswc ՒoAp2n&R >ﱝ3z,Ib)y7R[ P ejn:*-BN7|6Zsчگ`ucNҖlsm*ZTUnn3vObK+Rڟn,x,F kc+zaPvPsȾoa /`E'sUJDhtmf Sh/%9CIάe_V1FU.)'{TmvzlʩFoU.iQn ELX ˄\CIr94ԁA-2z AX0)Wh%Wؚ)t>ѥ(HɸZtV=]h2-:h(;-V=|%eɅvz~@t+g譎}^L⧾+gk|s:CX|o~ <@Xi@YU(PhH͘M*!\E'd3ʤ.GH=\5rz@cIl$%Ijih$sIӘy`ک q#`5ǫis4W3:j!j1'÷$A$.};[7_d&.`fYe,Cw}ԱR2+7s#m y&ag $M@CcفRHyl}R2L%sxzq54zലyS2Ƨ,e啍k].T[f}:p. JJa>mRv-eXRkS|?!ri͞a`j(;- pJhyeA,KK;X,hPce(5h3 y$Yޞ ö3\:\U30rv~':,R6 t}& ~G]AlrN+,[{V={m'W”W7vvS;\Vg^ S@Lp c z:!|ű)f% #k܊;{rXh "n8}k*?wVPn^ڪ*I ]W] Q1;I5ŷxx ȝ8:Cg{ĤlYRtlzzQ0*MGSQ.A8_[SJt;g5QSE';+vzuH-Pyf T;5Ǹְ˫VBRm{?opBn'7|>|MhS \"s Š-O.*ߦ<|q(T~  ]_%xx$K`.Qt5JzkAb}"G#+CrEPı! ʂHFŭbA5c'wEKydj+!